diff --git a/el2_lsu_bus_buffer.anno.json b/el2_lsu_bus_buffer.anno.json index 4c428889..2c27b07c 100644 --- a/el2_lsu_bus_buffer.anno.json +++ b/el2_lsu_bus_buffer.anno.json @@ -94,9 +94,9 @@ "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_WrPtr1_m", "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m" + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r" ] }, { diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 71dd522b..4a90db49 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -291,182 +291,182 @@ circuit el2_lsu_bus_buffer : module el2_lsu_bus_buffer : input clock : Clock input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt, data_hi : UInt, data_lo : UInt, data_en : UInt, Cmdptr0 : UInt, Cmdptr1 : UInt, WrPtr1_r : UInt, WrPtr1_m : UInt, wdata_in : UInt} + output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt, data_hi : UInt, data_lo : UInt, data_en : UInt, Cmdptr0 : UInt, Cmdptr1 : UInt, WrPtr1_r : UInt, WrPtr1_m : UInt, wdata_in : UInt, buf_state : UInt} - wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 128:22] - wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 129:23] + wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 129:22] + wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 130:23] wire buf_write : UInt<4> buf_write <= UInt<1>("h00") wire CmdPtr0 : UInt<2> CmdPtr0 <= UInt<1>("h00") - node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 134:46] - node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 135:46] - node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] - node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] - node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 137:74] - node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 137:109] - node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 137:98] - node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] - node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 137:113] - node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] - node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] - node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] - node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 137:74] - node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 137:109] - node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 137:98] - node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] - node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 137:113] - node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] - node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] - node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] - node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 137:74] - node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 137:109] - node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 137:98] - node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] - node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 137:113] - node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] - node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] - node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] - node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 137:74] - node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 137:109] - node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 137:98] - node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] - node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 137:113] - node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] - node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] - node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] - node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 138:74] - node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 138:109] - node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 138:98] - node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] - node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 138:113] - node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] - node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] - node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] - node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 138:74] - node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 138:109] - node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 138:98] - node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] - node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 138:113] - node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] - node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] - node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] - node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 138:74] - node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 138:109] - node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 138:98] - node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] - node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 138:113] - node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] - node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] - node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] - node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 138:74] - node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 138:109] - node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 138:98] - node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] - node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 138:113] - node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] - wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 139:33] + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 135:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 136:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] + node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 138:74] + node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 138:109] + node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 138:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] + node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 138:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] + node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 138:74] + node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 138:109] + node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 138:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] + node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 138:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] + node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 138:74] + node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 138:109] + node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 138:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] + node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 138:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] + node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 138:74] + node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 138:109] + node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 138:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] + node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 138:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 139:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 139:89] + node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 139:74] + node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 139:109] + node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 139:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 139:129] + node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 139:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 139:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 139:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 139:89] + node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 139:74] + node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 139:109] + node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 139:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 139:129] + node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 139:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 139:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 139:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 139:89] + node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 139:74] + node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 139:109] + node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 139:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 139:129] + node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 139:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 139:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 139:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 139:89] + node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 139:74] + node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 139:109] + node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 139:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 139:129] + node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 139:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 139:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 140:33] wire ld_byte_ibuf_hit_lo : UInt<4> ld_byte_ibuf_hit_lo <= UInt<1>("h00") - wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 141:33] + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 142:33] wire ld_byte_ibuf_hit_hi : UInt<4> ld_byte_ibuf_hit_hi <= UInt<1>("h00") - wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 143:24] - buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:14] - buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:14] - buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:14] - buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:14] - wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 145:26] - buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:16] - buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:16] - buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:16] - buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:16] - wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 147:23] - buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:13] - buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:13] - buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:13] - buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:13] - wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 149:25] - buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:15] - buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:15] - buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:15] - buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:15] - wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 151:30] - buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:20] - buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:20] - buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:20] - buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:20] - wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 153:26] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:16] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:16] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:16] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:16] - wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 155:26] - buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] - buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] - buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] - buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] - wire buf_data_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 157:25] - buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] - buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] - buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] - buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] - wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 159:29] - buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:19] - buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:19] - buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:19] - buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:19] - wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 161:26] - buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 162:16] - buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 162:16] - buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 162:16] - buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 162:16] + wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 144:24] + buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:14] + buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:14] + buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:14] + buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:14] + wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 146:26] + buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] + buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] + buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] + buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] + wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 148:23] + buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:13] + buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:13] + buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:13] + buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:13] + wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 150:25] + buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] + buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] + buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] + buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] + wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 152:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:20] + wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 154:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] + wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 156:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:16] + wire buf_data_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 158:25] + buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:15] + buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:15] + buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:15] + buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 160:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:19] + wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 162:26] + buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:16] + buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:16] + buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:16] + buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:16] wire bus_rsp_read_error : UInt<1> bus_rsp_read_error <= UInt<1>("h00") wire bus_rsp_rdata : UInt<64> bus_rsp_rdata <= UInt<1>("h00") wire bus_rsp_write_error : UInt<1> bus_rsp_write_error <= UInt<1>("h00") - wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 166:25] - buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:15] - buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:15] - buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:15] - buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:15] + wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 167:25] + buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:15] + buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:15] + buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:15] + buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:15] wire buf_ldfwd : UInt<4> buf_ldfwd <= UInt<1>("h00") - wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 169:35] - buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:25] - buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:25] - buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:25] - buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:25] + wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 170:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:25] wire any_done_wait_state : UInt<1> any_done_wait_state <= UInt<1>("h00") wire bus_rsp_write : UInt<1> bus_rsp_write <= UInt<1>("h00") wire bus_rsp_write_tag : UInt<3> bus_rsp_write_tag <= UInt<1>("h00") - wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 174:26] - buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:16] - buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:16] - buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:16] - buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:16] - wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 176:21] - buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:11] - buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:11] - buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:11] - buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:11] + wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 175:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:16] + wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 177:21] + buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 178:11] + buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 178:11] + buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 178:11] + buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 178:11] wire ibuf_drainvec_vld : UInt<4> ibuf_drainvec_vld <= UInt<1>("h00") - wire buf_byteen_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 179:27] - buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 180:17] - buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 180:17] - buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 180:17] - buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 180:17] - wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 181:25] - buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:15] - buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:15] - buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:15] - buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:15] + wire buf_byteen_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 180:27] + buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:17] + buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:17] + buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:17] + buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:17] + wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 182:25] + buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:15] + buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:15] + buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:15] + buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:15] wire buf_dual_in : UInt<4> buf_dual_in <= UInt<1>("h00") wire buf_samedw_in : UInt<4> @@ -475,763 +475,763 @@ circuit el2_lsu_bus_buffer : buf_nomerge_in <= UInt<1>("h00") wire buf_dualhi_in : UInt<4> buf_dualhi_in <= UInt<1>("h00") - wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 187:28] - buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 188:18] - buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 188:18] - buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 188:18] - buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 188:18] + wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 188:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:18] wire buf_sideeffect_in : UInt<4> buf_sideeffect_in <= UInt<1>("h00") wire buf_unsign_in : UInt<4> buf_unsign_in <= UInt<1>("h00") - wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 191:23] - buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 192:13] - buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 192:13] - buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 192:13] - buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 192:13] + wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 192:23] + buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 193:13] + buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 193:13] + buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 193:13] + buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 193:13] wire buf_write_in : UInt<4> buf_write_in <= UInt<1>("h00") wire buf_unsign : UInt<4> buf_unsign <= UInt<1>("h00") wire buf_error : UInt<4> buf_error <= UInt<1>("h00") - node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 197:73] - node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 197:98] - node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 197:77] - node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 197:73] - node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 197:98] - node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 197:77] - node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 197:73] - node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 197:98] - node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 197:77] - node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 197:73] - node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 197:98] - node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 197:77] + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 198:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 198:98] + node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 198:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 198:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 198:98] + node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 198:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 198:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 198:98] + node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 198:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 198:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 198:98] + node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 198:77] node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] - io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 197:25] - node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 198:73] - node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 198:98] - node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 198:77] - node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 198:73] - node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 198:98] - node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 198:77] - node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 198:73] - node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 198:98] - node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 198:77] - node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 198:73] - node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 198:98] - node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 198:77] + io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 198:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 199:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 199:98] + node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 199:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 199:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 199:98] + node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 199:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 199:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 199:98] + node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 199:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 199:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 199:98] + node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 199:77] node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] - io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 198:25] - node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] - node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 200:95] - node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] - node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 200:114] - node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] - node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 200:95] - node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] - node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 200:114] - node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] - node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 200:95] - node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] - node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 200:114] - node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] - node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 200:95] - node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] - node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 200:114] + io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 199:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 201:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 201:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 201:132] + node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 201:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 201:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 201:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 201:132] + node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 201:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 201:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 201:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 201:132] + node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 201:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 201:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 201:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 201:132] + node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 201:114] node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] - node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] - node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 200:95] - node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] - node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 200:114] - node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] - node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 200:95] - node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] - node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 200:114] - node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] - node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 200:95] - node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] - node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 200:114] - node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] - node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 200:95] - node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] - node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 200:114] + node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 201:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 201:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 201:132] + node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 201:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 201:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 201:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 201:132] + node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 201:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 201:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 201:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 201:132] + node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 201:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 201:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 201:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 201:132] + node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 201:114] node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] - node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] - node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 200:95] - node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] - node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 200:114] - node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] - node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 200:95] - node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] - node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 200:114] - node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] - node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 200:95] - node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] - node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 200:114] - node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] - node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 200:95] - node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] - node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 200:114] + node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 201:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 201:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 201:132] + node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 201:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 201:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 201:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 201:132] + node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 201:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 201:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 201:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 201:132] + node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 201:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 201:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 201:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 201:132] + node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 201:114] node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] - node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] - node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 200:95] - node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] - node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 200:114] - node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] - node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 200:95] - node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] - node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 200:114] - node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] - node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 200:95] - node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] - node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 200:114] - node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] - node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 200:95] - node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] - node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 200:114] + node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 201:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 201:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 201:132] + node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 201:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 201:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 201:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 201:132] + node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 201:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 201:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 201:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 201:132] + node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 201:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 201:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 201:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 201:132] + node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 201:114] node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] - node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 201:110] - node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 201:95] - node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 201:132] - node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 201:114] - node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 201:110] - node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 201:95] - node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 201:132] - node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 201:114] - node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 201:110] - node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 201:95] - node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 201:132] - node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 201:114] - node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 201:110] - node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 201:95] - node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 201:132] - node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 201:114] + node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 202:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 202:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 202:132] + node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 202:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 202:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 202:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 202:132] + node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 202:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 202:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 202:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 202:132] + node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 202:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 202:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 202:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 202:132] + node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 202:114] node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] - node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 201:110] - node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 201:95] - node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 201:132] - node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 201:114] - node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 201:110] - node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 201:95] - node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 201:132] - node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 201:114] - node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 201:110] - node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 201:95] - node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 201:132] - node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 201:114] - node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 201:110] - node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 201:95] - node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 201:132] - node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 201:114] + node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 202:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 202:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 202:132] + node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 202:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 202:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 202:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 202:132] + node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 202:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 202:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 202:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 202:132] + node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 202:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 202:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 202:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 202:132] + node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 202:114] node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] - node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 201:110] - node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 201:95] - node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 201:132] - node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 201:114] - node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 201:110] - node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 201:95] - node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 201:132] - node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 201:114] - node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 201:110] - node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 201:95] - node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 201:132] - node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 201:114] - node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 201:110] - node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 201:95] - node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 201:132] - node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 201:114] + node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 202:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 202:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 202:132] + node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 202:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 202:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 202:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 202:132] + node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 202:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 202:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 202:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 202:132] + node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 202:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 202:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 202:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 202:132] + node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 202:114] node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] - node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 201:110] - node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 201:95] - node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 201:132] - node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 201:114] - node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 201:110] - node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 201:95] - node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 201:132] - node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 201:114] - node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 201:110] - node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 201:95] - node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 201:132] - node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 201:114] - node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 201:110] - node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 201:95] - node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 201:132] - node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 201:114] + node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 202:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 202:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 202:132] + node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 202:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 202:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 202:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 202:132] + node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 202:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 202:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 202:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 202:132] + node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 202:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 202:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 202:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 202:132] + node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 202:114] node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] - wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 203:29] - buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 204:19] - buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 204:19] - buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 204:19] - buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 204:19] - node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] - node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 205:144] - node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 205:97] - node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] - node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 205:148] - node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] - node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 205:144] - node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 205:97] - node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] - node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 205:148] - node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] - node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 205:144] - node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 205:97] - node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 205:148] - node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] - node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 205:144] - node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 205:97] - node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] - node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 205:148] + wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 204:29] + buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 205:19] + buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 205:19] + buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 205:19] + buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 205:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 206:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 206:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 206:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 206:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 206:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 206:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 206:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 206:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 206:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 206:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 206:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 206:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 206:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 206:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 206:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 206:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 206:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 206:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 206:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 206:148] node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] - node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] - node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 205:144] - node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 205:97] - node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] - node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 205:148] - node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] - node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 205:144] - node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 205:97] - node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] - node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 205:148] - node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] - node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 205:144] - node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 205:97] - node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] - node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 205:148] - node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] - node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 205:144] - node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 205:97] - node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] - node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 205:148] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 206:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 206:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 206:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 206:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 206:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 206:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 206:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 206:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 206:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 206:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 206:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 206:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 206:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 206:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 206:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 206:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 206:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 206:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 206:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 206:148] node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] - node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] - node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 205:144] - node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 205:97] - node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 205:148] - node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] - node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 205:144] - node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 205:97] - node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 205:148] - node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] - node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 205:144] - node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 205:97] - node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] - node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 205:148] - node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] - node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 205:144] - node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 205:97] - node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] - node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 205:148] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 206:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 206:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 206:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 206:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 206:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 206:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 206:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 206:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 206:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 206:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 206:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 206:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 206:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 206:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 206:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 206:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 206:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 206:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 206:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 206:148] node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] - node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] - node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 205:144] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 205:97] - node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] - node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 205:148] - node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] - node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 205:144] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 205:97] - node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] - node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 205:148] - node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] - node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 205:144] - node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 205:97] - node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 205:148] - node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] - node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 205:144] - node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 205:97] - node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 205:148] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 206:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 206:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 206:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 206:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 206:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 206:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 206:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 206:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 206:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 206:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 206:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 206:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 206:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 206:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 206:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 206:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 206:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 206:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 206:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 206:148] node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] - ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 205:23] - ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 205:23] - ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 205:23] - ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 205:23] - node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 206:93] - node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 206:144] - node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 206:97] - node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 206:170] - node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 206:148] - node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 206:93] - node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 206:144] - node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 206:97] - node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 206:170] - node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 206:148] - node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 206:93] - node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 206:144] - node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 206:97] - node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 206:170] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 206:148] - node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 206:93] - node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 206:144] - node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 206:97] - node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 206:170] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 206:148] + ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 206:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 206:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 206:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 206:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 207:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 207:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 207:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 207:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 207:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 207:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 207:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 207:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 207:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 207:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 207:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 207:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 207:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 207:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 207:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 207:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 207:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 207:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 207:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 207:148] node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] - node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 206:93] - node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 206:144] - node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 206:97] - node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 206:170] - node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 206:148] - node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 206:93] - node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 206:144] - node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 206:97] - node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 206:170] - node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 206:148] - node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 206:93] - node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 206:144] - node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 206:97] - node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 206:170] - node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 206:148] - node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 206:93] - node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 206:144] - node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 206:97] - node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 206:170] - node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 206:148] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 207:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 207:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 207:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 207:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 207:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 207:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 207:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 207:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 207:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 207:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 207:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 207:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 207:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 207:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 207:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 207:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 207:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 207:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 207:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 207:148] node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] - node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 206:93] - node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 206:144] - node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 206:97] - node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 206:170] - node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 206:148] - node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 206:93] - node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 206:144] - node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 206:97] - node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 206:170] - node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 206:148] - node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 206:93] - node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 206:144] - node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 206:97] - node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 206:170] - node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 206:148] - node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 206:93] - node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 206:144] - node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 206:97] - node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 206:170] - node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 206:148] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 207:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 207:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 207:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 207:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 207:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 207:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 207:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 207:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 207:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 207:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 207:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 207:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 207:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 207:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 207:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 207:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 207:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 207:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 207:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 207:148] node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] - node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 206:93] - node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 206:144] - node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 206:97] - node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 206:170] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 206:148] - node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 206:93] - node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 206:144] - node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 206:97] - node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 206:170] - node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 206:148] - node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 206:93] - node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 206:144] - node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 206:97] - node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 206:170] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 206:148] - node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 206:93] - node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 206:122] - node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 206:144] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] - node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 206:97] - node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 206:170] - node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] - node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 206:148] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 207:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 207:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 207:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 207:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 207:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 207:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 207:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 207:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 207:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 207:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 207:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 207:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 207:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 207:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 207:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 207:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 207:122] + node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 207:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] + node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 207:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 207:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] + node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 207:148] node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] - ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 206:23] - ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 206:23] - ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 206:23] - ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 206:23] + ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 207:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 207:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 207:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 207:23] wire ibuf_addr : UInt<32> ibuf_addr <= UInt<1>("h00") wire ibuf_write : UInt<1> ibuf_write <= UInt<1>("h00") wire ibuf_valid : UInt<1> ibuf_valid <= UInt<1>("h00") - node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 211:43] - node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 211:64] - node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 211:51] - node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 211:73] - node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 211:86] - node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 211:99] - node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 212:43] - node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 212:64] - node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 212:51] - node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 212:73] - node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 212:86] - node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 212:99] + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 212:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 212:64] + node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 212:51] + node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 212:73] + node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 212:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 212:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 213:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 213:64] + node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 213:51] + node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 213:73] + node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 213:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 213:99] wire ibuf_byteen : UInt<4> ibuf_byteen <= UInt<1>("h00") - node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 216:61] - node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 216:48] - node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 216:83] - node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 216:65] - ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 216:25] - node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 217:61] - node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 217:48] - node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 217:83] - node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 217:65] - ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 217:25] - node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 216:61] - node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 216:48] - node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 216:83] - node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 216:65] - ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 216:25] - node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 217:61] - node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 217:48] - node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 217:83] - node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 217:65] - ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 217:25] - node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 216:61] - node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 216:48] - node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 216:83] - node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 216:65] - ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 216:25] - node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 217:61] - node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 217:48] - node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 217:83] - node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 217:65] - ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 217:25] - node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 216:61] - node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 216:48] - node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 216:83] - node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 216:65] - ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 216:25] - node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 217:61] - node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 217:48] - node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 217:83] - node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 217:65] - ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 217:25] - wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 219:22] - buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 220:12] - buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 220:12] - buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 220:12] - buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 220:12] + node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 217:61] + node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 217:48] + node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 217:83] + node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 217:65] + ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 217:25] + node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 218:61] + node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 218:48] + node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 218:83] + node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 218:65] + ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 218:25] + node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 217:61] + node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 217:48] + node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 217:83] + node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 217:65] + ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 217:25] + node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 218:61] + node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 218:48] + node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 218:83] + node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 218:65] + ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 218:25] + node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 217:61] + node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 217:48] + node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 217:83] + node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 217:65] + ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 217:25] + node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 218:61] + node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 218:48] + node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 218:83] + node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 218:65] + ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 218:25] + node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 217:61] + node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 217:48] + node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 217:83] + node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 217:65] + ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 217:25] + node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 218:61] + node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 218:48] + node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 218:83] + node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 218:65] + ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 218:25] + wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 220:22] + buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 221:12] + buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 221:12] + buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 221:12] + buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 221:12] wire fwd_data : UInt<32> fwd_data <= UInt<1>("h00") - node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 223:86] + node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 224:86] node _T_553 = bits(_T_552, 0, 0) @[Bitwise.scala 72:15] node _T_554 = mux(_T_553, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 223:104] - node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 223:91] - node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 223:86] + node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 224:104] + node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 224:91] + node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 224:86] node _T_558 = bits(_T_557, 0, 0) @[Bitwise.scala 72:15] node _T_559 = mux(_T_558, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 223:104] - node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 223:91] - node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 223:86] + node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 224:104] + node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 224:91] + node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 224:86] node _T_563 = bits(_T_562, 0, 0) @[Bitwise.scala 72:15] node _T_564 = mux(_T_563, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 223:104] - node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 223:91] - node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 223:86] + node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 224:104] + node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 224:91] + node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 224:86] node _T_568 = bits(_T_567, 0, 0) @[Bitwise.scala 72:15] node _T_569 = mux(_T_568, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 223:104] - node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 223:91] - node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 223:123] - node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 223:123] - node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 223:123] - node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 224:60] + node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 224:104] + node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 224:91] + node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 224:123] + node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 224:123] + node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 224:123] + node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 225:60] node _T_576 = bits(_T_575, 0, 0) @[Bitwise.scala 72:15] node _T_577 = mux(_T_576, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 224:78] - node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 224:65] - node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 224:60] + node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 225:78] + node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 225:65] + node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 225:60] node _T_581 = bits(_T_580, 0, 0) @[Bitwise.scala 72:15] node _T_582 = mux(_T_581, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 224:78] - node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 224:65] - node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 224:60] + node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 225:78] + node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 225:65] + node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 225:60] node _T_586 = bits(_T_585, 0, 0) @[Bitwise.scala 72:15] node _T_587 = mux(_T_586, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 224:78] - node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 224:65] - node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 224:60] + node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 225:78] + node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 225:65] + node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 225:60] node _T_591 = bits(_T_590, 0, 0) @[Bitwise.scala 72:15] node _T_592 = mux(_T_591, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 224:78] - node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 224:65] - node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 224:97] - node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 224:97] - node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 224:97] - node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 225:60] + node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 225:78] + node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 225:65] + node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 225:97] + node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 225:97] + node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 225:97] + node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 226:60] node _T_599 = bits(_T_598, 0, 0) @[Bitwise.scala 72:15] node _T_600 = mux(_T_599, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 225:78] - node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 225:65] - node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 225:60] + node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 226:78] + node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 226:65] + node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 226:60] node _T_604 = bits(_T_603, 0, 0) @[Bitwise.scala 72:15] node _T_605 = mux(_T_604, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 225:78] - node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 225:65] - node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 225:60] + node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 226:78] + node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 226:65] + node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 226:60] node _T_609 = bits(_T_608, 0, 0) @[Bitwise.scala 72:15] node _T_610 = mux(_T_609, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 225:78] - node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 225:65] - node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 225:60] + node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 226:78] + node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 226:65] + node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 226:60] node _T_614 = bits(_T_613, 0, 0) @[Bitwise.scala 72:15] node _T_615 = mux(_T_614, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 225:78] - node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 225:65] - node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 225:96] - node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 225:96] - node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 225:96] - node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 226:60] + node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 226:78] + node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 226:65] + node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 226:96] + node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 226:96] + node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 226:96] + node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 227:60] node _T_622 = bits(_T_621, 0, 0) @[Bitwise.scala 72:15] node _T_623 = mux(_T_622, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 226:78] - node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 226:65] - node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 226:60] + node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 227:78] + node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 227:65] + node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 227:60] node _T_627 = bits(_T_626, 0, 0) @[Bitwise.scala 72:15] node _T_628 = mux(_T_627, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 226:78] - node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 226:65] - node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 226:60] + node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 227:78] + node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 227:65] + node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 227:60] node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] node _T_633 = mux(_T_632, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 226:78] - node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 226:65] - node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 226:60] + node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 227:78] + node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 227:65] + node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 227:60] node _T_637 = bits(_T_636, 0, 0) @[Bitwise.scala 72:15] node _T_638 = mux(_T_637, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 226:78] - node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 226:65] - node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 226:95] - node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 226:95] - node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 226:95] + node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 227:78] + node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 227:65] + node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 227:95] + node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 227:95] + node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 227:95] node _T_644 = cat(_T_620, _T_643) @[Cat.scala 29:58] node _T_645 = cat(_T_574, _T_597) @[Cat.scala 29:58] node _T_646 = cat(_T_645, _T_644) @[Cat.scala 29:58] - io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 223:24] - node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 228:86] + io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 224:24] + node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 229:86] node _T_648 = bits(_T_647, 0, 0) @[Bitwise.scala 72:15] node _T_649 = mux(_T_648, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 228:104] - node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 228:91] - node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 228:86] + node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 229:104] + node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 229:91] + node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 229:86] node _T_653 = bits(_T_652, 0, 0) @[Bitwise.scala 72:15] node _T_654 = mux(_T_653, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 228:104] - node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 228:91] - node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 228:86] + node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 229:104] + node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 229:91] + node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 229:86] node _T_658 = bits(_T_657, 0, 0) @[Bitwise.scala 72:15] node _T_659 = mux(_T_658, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 228:104] - node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 228:91] - node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 228:86] + node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 229:104] + node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 229:91] + node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 229:86] node _T_663 = bits(_T_662, 0, 0) @[Bitwise.scala 72:15] node _T_664 = mux(_T_663, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 228:104] - node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 228:91] - node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 228:123] - node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 228:123] - node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 228:123] - node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 229:60] + node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 229:104] + node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 229:91] + node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 229:123] + node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 229:123] + node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 229:123] + node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 230:60] node _T_671 = bits(_T_670, 0, 0) @[Bitwise.scala 72:15] node _T_672 = mux(_T_671, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 229:78] - node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 229:65] - node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 229:60] + node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 230:78] + node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 230:65] + node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 230:60] node _T_676 = bits(_T_675, 0, 0) @[Bitwise.scala 72:15] node _T_677 = mux(_T_676, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 229:78] - node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 229:65] - node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 229:60] + node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 230:78] + node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 230:65] + node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 230:60] node _T_681 = bits(_T_680, 0, 0) @[Bitwise.scala 72:15] node _T_682 = mux(_T_681, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 229:78] - node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 229:65] - node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 229:60] + node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 230:78] + node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 230:65] + node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 230:60] node _T_686 = bits(_T_685, 0, 0) @[Bitwise.scala 72:15] node _T_687 = mux(_T_686, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 229:78] - node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 229:65] - node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 229:97] - node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 229:97] - node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 229:97] - node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 230:60] + node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 230:78] + node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 230:65] + node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 230:97] + node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 230:97] + node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 230:97] + node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 231:60] node _T_694 = bits(_T_693, 0, 0) @[Bitwise.scala 72:15] node _T_695 = mux(_T_694, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 230:78] - node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 230:65] - node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 230:60] + node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 231:78] + node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 231:65] + node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 231:60] node _T_699 = bits(_T_698, 0, 0) @[Bitwise.scala 72:15] node _T_700 = mux(_T_699, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 230:78] - node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 230:65] - node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 230:60] + node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 231:78] + node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 231:65] + node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 231:60] node _T_704 = bits(_T_703, 0, 0) @[Bitwise.scala 72:15] node _T_705 = mux(_T_704, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 230:78] - node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 230:65] - node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 230:60] + node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 231:78] + node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 231:65] + node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 231:60] node _T_709 = bits(_T_708, 0, 0) @[Bitwise.scala 72:15] node _T_710 = mux(_T_709, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 230:78] - node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 230:65] - node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 230:96] - node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 230:96] - node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 230:96] - node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 231:60] + node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 231:78] + node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 231:65] + node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 231:96] + node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 231:96] + node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 231:96] + node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 232:60] node _T_717 = bits(_T_716, 0, 0) @[Bitwise.scala 72:15] node _T_718 = mux(_T_717, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 231:78] - node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 231:65] - node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 231:60] + node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 232:78] + node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 232:65] + node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 232:60] node _T_722 = bits(_T_721, 0, 0) @[Bitwise.scala 72:15] node _T_723 = mux(_T_722, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 231:78] - node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 231:65] - node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 231:60] + node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 232:78] + node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 232:65] + node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 232:60] node _T_727 = bits(_T_726, 0, 0) @[Bitwise.scala 72:15] node _T_728 = mux(_T_727, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 231:78] - node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 231:65] - node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 231:60] + node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 232:78] + node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 232:65] + node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 232:60] node _T_732 = bits(_T_731, 0, 0) @[Bitwise.scala 72:15] node _T_733 = mux(_T_732, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 231:78] - node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 231:65] - node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 231:95] - node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 231:95] - node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 231:95] + node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 232:78] + node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 232:65] + node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 232:95] + node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 232:95] + node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 232:95] node _T_739 = cat(_T_715, _T_738) @[Cat.scala 29:58] node _T_740 = cat(_T_669, _T_692) @[Cat.scala 29:58] node _T_741 = cat(_T_740, _T_739) @[Cat.scala 29:58] - io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 228:24] - node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 233:65] + io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 229:24] + node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 234:65] node _T_742 = mux(io.lsu_pkt_r.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_743 = mux(io.lsu_pkt_r.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_744 = mux(io.lsu_pkt_r.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1239,19 +1239,19 @@ circuit el2_lsu_bus_buffer : node _T_746 = or(_T_745, _T_744) @[Mux.scala 27:72] wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_r <= _T_746 @[Mux.scala 27:72] - node _T_747 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 238:50] - node _T_748 = eq(_T_747, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 238:55] - node _T_749 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 239:50] - node _T_750 = eq(_T_749, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 239:55] - node _T_751 = bits(ldst_byteen_r, 3, 3) @[el2_lsu_bus_buffer.scala 239:91] + node _T_747 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 239:50] + node _T_748 = eq(_T_747, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 239:55] + node _T_749 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 240:50] + node _T_750 = eq(_T_749, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 240:55] + node _T_751 = bits(ldst_byteen_r, 3, 3) @[el2_lsu_bus_buffer.scala 240:91] node _T_752 = cat(UInt<3>("h00"), _T_751) @[Cat.scala 29:58] - node _T_753 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 240:50] - node _T_754 = eq(_T_753, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 240:55] - node _T_755 = bits(ldst_byteen_r, 3, 2) @[el2_lsu_bus_buffer.scala 240:91] + node _T_753 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:50] + node _T_754 = eq(_T_753, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 241:55] + node _T_755 = bits(ldst_byteen_r, 3, 2) @[el2_lsu_bus_buffer.scala 241:91] node _T_756 = cat(UInt<2>("h00"), _T_755) @[Cat.scala 29:58] - node _T_757 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:50] - node _T_758 = eq(_T_757, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 241:55] - node _T_759 = bits(ldst_byteen_r, 3, 1) @[el2_lsu_bus_buffer.scala 241:91] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 242:50] + node _T_758 = eq(_T_757, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 242:55] + node _T_759 = bits(ldst_byteen_r, 3, 1) @[el2_lsu_bus_buffer.scala 242:91] node _T_760 = cat(UInt<1>("h00"), _T_759) @[Cat.scala 29:58] node _T_761 = mux(_T_748, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_762 = mux(_T_750, _T_752, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1262,19 +1262,19 @@ circuit el2_lsu_bus_buffer : node _T_767 = or(_T_766, _T_764) @[Mux.scala 27:72] wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_hi_r <= _T_767 @[Mux.scala 27:72] - node _T_768 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 242:50] - node _T_769 = eq(_T_768, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 242:55] - node _T_770 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:50] - node _T_771 = eq(_T_770, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 243:55] - node _T_772 = bits(ldst_byteen_r, 2, 0) @[el2_lsu_bus_buffer.scala 243:81] + node _T_768 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:50] + node _T_769 = eq(_T_768, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 243:55] + node _T_770 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 244:50] + node _T_771 = eq(_T_770, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 244:55] + node _T_772 = bits(ldst_byteen_r, 2, 0) @[el2_lsu_bus_buffer.scala 244:81] node _T_773 = cat(_T_772, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_774 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 244:50] - node _T_775 = eq(_T_774, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 244:55] - node _T_776 = bits(ldst_byteen_r, 1, 0) @[el2_lsu_bus_buffer.scala 244:81] + node _T_774 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 245:50] + node _T_775 = eq(_T_774, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 245:55] + node _T_776 = bits(ldst_byteen_r, 1, 0) @[el2_lsu_bus_buffer.scala 245:81] node _T_777 = cat(_T_776, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_778 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 245:50] - node _T_779 = eq(_T_778, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 245:55] - node _T_780 = bits(ldst_byteen_r, 0, 0) @[el2_lsu_bus_buffer.scala 245:81] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 246:50] + node _T_779 = eq(_T_778, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 246:55] + node _T_780 = bits(ldst_byteen_r, 0, 0) @[el2_lsu_bus_buffer.scala 246:81] node _T_781 = cat(_T_780, UInt<3>("h00")) @[Cat.scala 29:58] node _T_782 = mux(_T_769, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_783 = mux(_T_771, _T_773, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1285,19 +1285,19 @@ circuit el2_lsu_bus_buffer : node _T_788 = or(_T_787, _T_785) @[Mux.scala 27:72] wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_lo_r <= _T_788 @[Mux.scala 27:72] - node _T_789 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 247:49] - node _T_790 = eq(_T_789, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 247:54] - node _T_791 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 248:49] - node _T_792 = eq(_T_791, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 248:54] - node _T_793 = bits(io.store_data_r, 31, 8) @[el2_lsu_bus_buffer.scala 248:93] + node _T_789 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 248:49] + node _T_790 = eq(_T_789, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 248:54] + node _T_791 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 249:49] + node _T_792 = eq(_T_791, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 249:54] + node _T_793 = bits(io.store_data_r, 31, 8) @[el2_lsu_bus_buffer.scala 249:93] node _T_794 = cat(UInt<8>("h00"), _T_793) @[Cat.scala 29:58] - node _T_795 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 249:49] - node _T_796 = eq(_T_795, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 249:54] - node _T_797 = bits(io.store_data_r, 31, 16) @[el2_lsu_bus_buffer.scala 249:93] + node _T_795 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 250:49] + node _T_796 = eq(_T_795, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 250:54] + node _T_797 = bits(io.store_data_r, 31, 16) @[el2_lsu_bus_buffer.scala 250:93] node _T_798 = cat(UInt<16>("h00"), _T_797) @[Cat.scala 29:58] - node _T_799 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 250:49] - node _T_800 = eq(_T_799, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 250:54] - node _T_801 = bits(io.store_data_r, 31, 24) @[el2_lsu_bus_buffer.scala 250:93] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 251:49] + node _T_800 = eq(_T_799, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 251:54] + node _T_801 = bits(io.store_data_r, 31, 24) @[el2_lsu_bus_buffer.scala 251:93] node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] node _T_803 = mux(_T_790, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_804 = mux(_T_792, _T_794, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1308,19 +1308,19 @@ circuit el2_lsu_bus_buffer : node _T_809 = or(_T_808, _T_806) @[Mux.scala 27:72] wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] store_data_hi_r <= _T_809 @[Mux.scala 27:72] - node _T_810 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 252:49] - node _T_811 = eq(_T_810, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 252:54] - node _T_812 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 253:49] - node _T_813 = eq(_T_812, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 253:54] - node _T_814 = bits(io.store_data_r, 23, 0) @[el2_lsu_bus_buffer.scala 253:82] + node _T_810 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 253:49] + node _T_811 = eq(_T_810, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 253:54] + node _T_812 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 254:49] + node _T_813 = eq(_T_812, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 254:54] + node _T_814 = bits(io.store_data_r, 23, 0) @[el2_lsu_bus_buffer.scala 254:82] node _T_815 = cat(_T_814, UInt<8>("h00")) @[Cat.scala 29:58] - node _T_816 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 254:49] - node _T_817 = eq(_T_816, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 254:54] - node _T_818 = bits(io.store_data_r, 15, 0) @[el2_lsu_bus_buffer.scala 254:82] + node _T_816 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 255:49] + node _T_817 = eq(_T_816, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 255:54] + node _T_818 = bits(io.store_data_r, 15, 0) @[el2_lsu_bus_buffer.scala 255:82] node _T_819 = cat(_T_818, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_820 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 255:49] - node _T_821 = eq(_T_820, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 255:54] - node _T_822 = bits(io.store_data_r, 7, 0) @[el2_lsu_bus_buffer.scala 255:82] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 256:49] + node _T_821 = eq(_T_820, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 256:54] + node _T_822 = bits(io.store_data_r, 7, 0) @[el2_lsu_bus_buffer.scala 256:82] node _T_823 = cat(_T_822, UInt<24>("h00")) @[Cat.scala 29:58] node _T_824 = mux(_T_811, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_825 = mux(_T_813, _T_815, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1331,14 +1331,14 @@ circuit el2_lsu_bus_buffer : node _T_830 = or(_T_829, _T_827) @[Mux.scala 27:72] wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] store_data_lo_r <= _T_830 @[Mux.scala 27:72] - io.test <= ldst_byteen_r @[el2_lsu_bus_buffer.scala 257:11] - node _T_831 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 259:36] - node _T_832 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 259:57] - node ldst_samedw_r = eq(_T_831, _T_832) @[el2_lsu_bus_buffer.scala 259:40] - node _T_833 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 260:67] - node _T_834 = eq(_T_833, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 260:74] - node _T_835 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 261:40] - node _T_836 = eq(_T_835, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 261:26] + io.test <= ldst_byteen_r @[el2_lsu_bus_buffer.scala 258:11] + node _T_831 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 260:36] + node _T_832 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 260:57] + node ldst_samedw_r = eq(_T_831, _T_832) @[el2_lsu_bus_buffer.scala 260:40] + node _T_833 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 261:67] + node _T_834 = eq(_T_833, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 261:74] + node _T_835 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 262:40] + node _T_836 = eq(_T_835, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 262:26] node _T_837 = mux(io.lsu_pkt_r.word, _T_834, UInt<1>("h00")) @[Mux.scala 27:72] node _T_838 = mux(io.lsu_pkt_r.half, _T_836, UInt<1>("h00")) @[Mux.scala 27:72] node _T_839 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1346,26 +1346,26 @@ circuit el2_lsu_bus_buffer : node _T_841 = or(_T_840, _T_839) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] is_aligned_r <= _T_841 @[Mux.scala 27:72] - node _T_842 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 263:55] - node _T_843 = and(io.lsu_busreq_r, _T_842) @[el2_lsu_bus_buffer.scala 263:34] - node _T_844 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 263:79] - node ibuf_byp = and(_T_843, _T_844) @[el2_lsu_bus_buffer.scala 263:77] - node _T_845 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 264:36] - node _T_846 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 264:56] - node ibuf_wr_en = and(_T_845, _T_846) @[el2_lsu_bus_buffer.scala 264:54] + node _T_842 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 264:55] + node _T_843 = and(io.lsu_busreq_r, _T_842) @[el2_lsu_bus_buffer.scala 264:34] + node _T_844 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 264:79] + node ibuf_byp = and(_T_843, _T_844) @[el2_lsu_bus_buffer.scala 264:77] + node _T_845 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 265:36] + node _T_846 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 265:56] + node ibuf_wr_en = and(_T_845, _T_846) @[el2_lsu_bus_buffer.scala 265:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_847 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 266:36] - node _T_848 = and(ibuf_drain_vld, _T_847) @[el2_lsu_bus_buffer.scala 266:34] - node ibuf_rst = or(_T_848, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 266:49] - node _T_849 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:44] - node _T_850 = and(io.lsu_busreq_m, _T_849) @[el2_lsu_bus_buffer.scala 267:42] - node _T_851 = and(_T_850, ibuf_valid) @[el2_lsu_bus_buffer.scala 267:61] - node _T_852 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 267:107] - node _T_853 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 267:132] - node _T_854 = neq(_T_852, _T_853) @[el2_lsu_bus_buffer.scala 267:115] - node _T_855 = or(io.lsu_pkt_m.load, _T_854) @[el2_lsu_bus_buffer.scala 267:95] - node ibuf_force_drain = and(_T_851, _T_855) @[el2_lsu_bus_buffer.scala 267:74] + node _T_847 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:36] + node _T_848 = and(ibuf_drain_vld, _T_847) @[el2_lsu_bus_buffer.scala 267:34] + node ibuf_rst = or(_T_848, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 267:49] + node _T_849 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:44] + node _T_850 = and(io.lsu_busreq_m, _T_849) @[el2_lsu_bus_buffer.scala 268:42] + node _T_851 = and(_T_850, ibuf_valid) @[el2_lsu_bus_buffer.scala 268:61] + node _T_852 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 268:107] + node _T_853 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 268:132] + node _T_854 = neq(_T_852, _T_853) @[el2_lsu_bus_buffer.scala 268:115] + node _T_855 = or(io.lsu_pkt_m.load, _T_854) @[el2_lsu_bus_buffer.scala 268:95] + node ibuf_force_drain = and(_T_851, _T_855) @[el2_lsu_bus_buffer.scala 268:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -1374,220 +1374,220 @@ circuit el2_lsu_bus_buffer : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_856 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 272:62] - node _T_857 = or(ibuf_wr_en, _T_856) @[el2_lsu_bus_buffer.scala 272:48] - node _T_858 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 272:98] - node _T_859 = eq(_T_858, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 272:82] - node _T_860 = and(_T_857, _T_859) @[el2_lsu_bus_buffer.scala 272:80] - node _T_861 = or(_T_860, ibuf_byp) @[el2_lsu_bus_buffer.scala 273:5] - node _T_862 = or(_T_861, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 273:16] - node _T_863 = or(_T_862, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 273:35] - node _T_864 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:55] - node _T_865 = or(_T_863, _T_864) @[el2_lsu_bus_buffer.scala 273:53] - node _T_866 = or(_T_865, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 273:67] - node _T_867 = and(ibuf_valid, _T_866) @[el2_lsu_bus_buffer.scala 272:32] - ibuf_drain_vld <= _T_867 @[el2_lsu_bus_buffer.scala 272:18] + node _T_856 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 273:62] + node _T_857 = or(ibuf_wr_en, _T_856) @[el2_lsu_bus_buffer.scala 273:48] + node _T_858 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 273:98] + node _T_859 = eq(_T_858, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:82] + node _T_860 = and(_T_857, _T_859) @[el2_lsu_bus_buffer.scala 273:80] + node _T_861 = or(_T_860, ibuf_byp) @[el2_lsu_bus_buffer.scala 274:5] + node _T_862 = or(_T_861, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 274:16] + node _T_863 = or(_T_862, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 274:35] + node _T_864 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:55] + node _T_865 = or(_T_863, _T_864) @[el2_lsu_bus_buffer.scala 274:53] + node _T_866 = or(_T_865, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 274:67] + node _T_867 = and(ibuf_valid, _T_866) @[el2_lsu_bus_buffer.scala 273:32] + ibuf_drain_vld <= _T_867 @[el2_lsu_bus_buffer.scala 273:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 277:15] - node _T_868 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 278:39] - node _T_869 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 278:69] - node ibuf_tag_in = mux(_T_868, ibuf_tag, _T_869) @[el2_lsu_bus_buffer.scala 278:24] + io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 278:15] + node _T_868 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 279:39] + node _T_869 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 279:69] + node ibuf_tag_in = mux(_T_868, ibuf_tag, _T_869) @[el2_lsu_bus_buffer.scala 279:24] node ibuf_sz_in = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 281:25] - node _T_870 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 282:42] - node _T_871 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 282:70] - node _T_872 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 282:95] - node _T_873 = or(_T_871, _T_872) @[el2_lsu_bus_buffer.scala 282:77] - node _T_874 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 283:41] - node _T_875 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 283:65] - node _T_876 = mux(io.ldst_dual_r, _T_874, _T_875) @[el2_lsu_bus_buffer.scala 283:8] - node ibuf_byteen_in = mux(_T_870, _T_873, _T_876) @[el2_lsu_bus_buffer.scala 282:27] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 282:25] + node _T_870 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 283:42] + node _T_871 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 283:70] + node _T_872 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 283:95] + node _T_873 = or(_T_871, _T_872) @[el2_lsu_bus_buffer.scala 283:77] + node _T_874 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 284:41] + node _T_875 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 284:65] + node _T_876 = mux(io.ldst_dual_r, _T_874, _T_875) @[el2_lsu_bus_buffer.scala 284:8] + node ibuf_byteen_in = mux(_T_870, _T_873, _T_876) @[el2_lsu_bus_buffer.scala 283:27] wire ibuf_data : UInt<32> ibuf_data <= UInt<1>("h00") - node _T_877 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 286:61] - node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 287:25] - node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 287:45] - node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 287:76] - node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 287:8] - node _T_882 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 288:40] - node _T_883 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 288:77] - node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[el2_lsu_bus_buffer.scala 288:8] - node _T_885 = mux(_T_877, _T_881, _T_884) @[el2_lsu_bus_buffer.scala 286:46] - node _T_886 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 286:61] - node _T_887 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 287:25] - node _T_888 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 287:45] - node _T_889 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 287:76] - node _T_890 = mux(_T_887, _T_888, _T_889) @[el2_lsu_bus_buffer.scala 287:8] - node _T_891 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 288:40] - node _T_892 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 288:77] - node _T_893 = mux(io.ldst_dual_r, _T_891, _T_892) @[el2_lsu_bus_buffer.scala 288:8] - node _T_894 = mux(_T_886, _T_890, _T_893) @[el2_lsu_bus_buffer.scala 286:46] - node _T_895 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 286:61] - node _T_896 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 287:25] - node _T_897 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 287:45] - node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 287:76] - node _T_899 = mux(_T_896, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 287:8] - node _T_900 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 288:40] - node _T_901 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 288:77] - node _T_902 = mux(io.ldst_dual_r, _T_900, _T_901) @[el2_lsu_bus_buffer.scala 288:8] - node _T_903 = mux(_T_895, _T_899, _T_902) @[el2_lsu_bus_buffer.scala 286:46] - node _T_904 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 286:61] - node _T_905 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 287:25] - node _T_906 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 287:45] - node _T_907 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 287:76] - node _T_908 = mux(_T_905, _T_906, _T_907) @[el2_lsu_bus_buffer.scala 287:8] - node _T_909 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 288:40] - node _T_910 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 288:77] - node _T_911 = mux(io.ldst_dual_r, _T_909, _T_910) @[el2_lsu_bus_buffer.scala 288:8] - node _T_912 = mux(_T_904, _T_908, _T_911) @[el2_lsu_bus_buffer.scala 286:46] + node _T_877 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 287:61] + node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 288:25] + node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 288:45] + node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 288:76] + node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 288:8] + node _T_882 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 289:40] + node _T_883 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 289:77] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[el2_lsu_bus_buffer.scala 289:8] + node _T_885 = mux(_T_877, _T_881, _T_884) @[el2_lsu_bus_buffer.scala 287:46] + node _T_886 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 287:61] + node _T_887 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 288:25] + node _T_888 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 288:45] + node _T_889 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 288:76] + node _T_890 = mux(_T_887, _T_888, _T_889) @[el2_lsu_bus_buffer.scala 288:8] + node _T_891 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 289:40] + node _T_892 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 289:77] + node _T_893 = mux(io.ldst_dual_r, _T_891, _T_892) @[el2_lsu_bus_buffer.scala 289:8] + node _T_894 = mux(_T_886, _T_890, _T_893) @[el2_lsu_bus_buffer.scala 287:46] + node _T_895 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 287:61] + node _T_896 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 288:25] + node _T_897 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 288:45] + node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 288:76] + node _T_899 = mux(_T_896, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 288:8] + node _T_900 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 289:40] + node _T_901 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 289:77] + node _T_902 = mux(io.ldst_dual_r, _T_900, _T_901) @[el2_lsu_bus_buffer.scala 289:8] + node _T_903 = mux(_T_895, _T_899, _T_902) @[el2_lsu_bus_buffer.scala 287:46] + node _T_904 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 287:61] + node _T_905 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 288:25] + node _T_906 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 288:45] + node _T_907 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 288:76] + node _T_908 = mux(_T_905, _T_906, _T_907) @[el2_lsu_bus_buffer.scala 288:8] + node _T_909 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 289:40] + node _T_910 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 289:77] + node _T_911 = mux(io.ldst_dual_r, _T_909, _T_910) @[el2_lsu_bus_buffer.scala 289:8] + node _T_912 = mux(_T_904, _T_908, _T_911) @[el2_lsu_bus_buffer.scala 287:46] node _T_913 = cat(_T_912, _T_903) @[Cat.scala 29:58] node _T_914 = cat(_T_913, _T_894) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_914, _T_885) @[Cat.scala 29:58] - node _T_915 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 289:59] - node _T_916 = bits(_T_915, 0, 0) @[el2_lsu_bus_buffer.scala 289:79] - node _T_917 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 289:93] - node _T_918 = tail(_T_917, 1) @[el2_lsu_bus_buffer.scala 289:93] - node _T_919 = mux(_T_916, _T_918, ibuf_timer) @[el2_lsu_bus_buffer.scala 289:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_919) @[el2_lsu_bus_buffer.scala 289:26] - node _T_920 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 291:36] - node _T_921 = and(_T_920, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 291:54] - node _T_922 = and(_T_921, ibuf_valid) @[el2_lsu_bus_buffer.scala 291:75] - node _T_923 = and(_T_922, ibuf_write) @[el2_lsu_bus_buffer.scala 291:88] - node _T_924 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 291:117] - node _T_925 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 291:137] - node _T_926 = eq(_T_924, _T_925) @[el2_lsu_bus_buffer.scala 291:124] - node _T_927 = and(_T_923, _T_926) @[el2_lsu_bus_buffer.scala 291:101] - node _T_928 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:147] - node _T_929 = and(_T_927, _T_928) @[el2_lsu_bus_buffer.scala 291:145] - node _T_930 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:170] - node _T_931 = and(_T_929, _T_930) @[el2_lsu_bus_buffer.scala 291:168] - ibuf_merge_en <= _T_931 @[el2_lsu_bus_buffer.scala 291:17] - node _T_932 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:20] - ibuf_merge_in <= _T_932 @[el2_lsu_bus_buffer.scala 292:17] - node _T_933 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:65] - node _T_934 = and(ibuf_merge_en, _T_933) @[el2_lsu_bus_buffer.scala 293:63] - node _T_935 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 293:92] - node _T_936 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 293:114] - node _T_937 = or(_T_935, _T_936) @[el2_lsu_bus_buffer.scala 293:96] - node _T_938 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 293:130] - node _T_939 = mux(_T_934, _T_937, _T_938) @[el2_lsu_bus_buffer.scala 293:48] - node _T_940 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:65] - node _T_941 = and(ibuf_merge_en, _T_940) @[el2_lsu_bus_buffer.scala 293:63] - node _T_942 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 293:92] - node _T_943 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 293:114] - node _T_944 = or(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 293:96] - node _T_945 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 293:130] - node _T_946 = mux(_T_941, _T_944, _T_945) @[el2_lsu_bus_buffer.scala 293:48] - node _T_947 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:65] - node _T_948 = and(ibuf_merge_en, _T_947) @[el2_lsu_bus_buffer.scala 293:63] - node _T_949 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 293:92] - node _T_950 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 293:114] - node _T_951 = or(_T_949, _T_950) @[el2_lsu_bus_buffer.scala 293:96] - node _T_952 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 293:130] - node _T_953 = mux(_T_948, _T_951, _T_952) @[el2_lsu_bus_buffer.scala 293:48] - node _T_954 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:65] - node _T_955 = and(ibuf_merge_en, _T_954) @[el2_lsu_bus_buffer.scala 293:63] - node _T_956 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 293:92] - node _T_957 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 293:114] - node _T_958 = or(_T_956, _T_957) @[el2_lsu_bus_buffer.scala 293:96] - node _T_959 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 293:130] - node _T_960 = mux(_T_955, _T_958, _T_959) @[el2_lsu_bus_buffer.scala 293:48] + node _T_915 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 290:59] + node _T_916 = bits(_T_915, 0, 0) @[el2_lsu_bus_buffer.scala 290:79] + node _T_917 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 290:93] + node _T_918 = tail(_T_917, 1) @[el2_lsu_bus_buffer.scala 290:93] + node _T_919 = mux(_T_916, _T_918, ibuf_timer) @[el2_lsu_bus_buffer.scala 290:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_919) @[el2_lsu_bus_buffer.scala 290:26] + node _T_920 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 292:36] + node _T_921 = and(_T_920, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 292:54] + node _T_922 = and(_T_921, ibuf_valid) @[el2_lsu_bus_buffer.scala 292:75] + node _T_923 = and(_T_922, ibuf_write) @[el2_lsu_bus_buffer.scala 292:88] + node _T_924 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 292:117] + node _T_925 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 292:137] + node _T_926 = eq(_T_924, _T_925) @[el2_lsu_bus_buffer.scala 292:124] + node _T_927 = and(_T_923, _T_926) @[el2_lsu_bus_buffer.scala 292:101] + node _T_928 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:147] + node _T_929 = and(_T_927, _T_928) @[el2_lsu_bus_buffer.scala 292:145] + node _T_930 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:170] + node _T_931 = and(_T_929, _T_930) @[el2_lsu_bus_buffer.scala 292:168] + ibuf_merge_en <= _T_931 @[el2_lsu_bus_buffer.scala 292:17] + node _T_932 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:20] + ibuf_merge_in <= _T_932 @[el2_lsu_bus_buffer.scala 293:17] + node _T_933 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:65] + node _T_934 = and(ibuf_merge_en, _T_933) @[el2_lsu_bus_buffer.scala 294:63] + node _T_935 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 294:92] + node _T_936 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 294:114] + node _T_937 = or(_T_935, _T_936) @[el2_lsu_bus_buffer.scala 294:96] + node _T_938 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 294:130] + node _T_939 = mux(_T_934, _T_937, _T_938) @[el2_lsu_bus_buffer.scala 294:48] + node _T_940 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:65] + node _T_941 = and(ibuf_merge_en, _T_940) @[el2_lsu_bus_buffer.scala 294:63] + node _T_942 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 294:92] + node _T_943 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 294:114] + node _T_944 = or(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 294:96] + node _T_945 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 294:130] + node _T_946 = mux(_T_941, _T_944, _T_945) @[el2_lsu_bus_buffer.scala 294:48] + node _T_947 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:65] + node _T_948 = and(ibuf_merge_en, _T_947) @[el2_lsu_bus_buffer.scala 294:63] + node _T_949 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 294:92] + node _T_950 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 294:114] + node _T_951 = or(_T_949, _T_950) @[el2_lsu_bus_buffer.scala 294:96] + node _T_952 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 294:130] + node _T_953 = mux(_T_948, _T_951, _T_952) @[el2_lsu_bus_buffer.scala 294:48] + node _T_954 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:65] + node _T_955 = and(ibuf_merge_en, _T_954) @[el2_lsu_bus_buffer.scala 294:63] + node _T_956 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 294:92] + node _T_957 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 294:114] + node _T_958 = or(_T_956, _T_957) @[el2_lsu_bus_buffer.scala 294:96] + node _T_959 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 294:130] + node _T_960 = mux(_T_955, _T_958, _T_959) @[el2_lsu_bus_buffer.scala 294:48] node _T_961 = cat(_T_960, _T_953) @[Cat.scala 29:58] node _T_962 = cat(_T_961, _T_946) @[Cat.scala 29:58] node ibuf_byteen_out = cat(_T_962, _T_939) @[Cat.scala 29:58] - node _T_963 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:62] - node _T_964 = and(ibuf_merge_en, _T_963) @[el2_lsu_bus_buffer.scala 294:60] - node _T_965 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 294:98] - node _T_966 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 294:118] - node _T_967 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 294:143] - node _T_968 = mux(_T_965, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 294:81] - node _T_969 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 294:169] - node _T_970 = mux(_T_964, _T_968, _T_969) @[el2_lsu_bus_buffer.scala 294:45] - node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:62] - node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 294:60] - node _T_973 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 294:98] - node _T_974 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 294:118] - node _T_975 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 294:143] - node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 294:81] - node _T_977 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 294:169] - node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 294:45] - node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:62] - node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 294:60] - node _T_981 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 294:98] - node _T_982 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 294:118] - node _T_983 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 294:143] - node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 294:81] - node _T_985 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 294:169] - node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 294:45] - node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:62] - node _T_988 = and(ibuf_merge_en, _T_987) @[el2_lsu_bus_buffer.scala 294:60] - node _T_989 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 294:98] - node _T_990 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 294:118] - node _T_991 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 294:143] - node _T_992 = mux(_T_989, _T_990, _T_991) @[el2_lsu_bus_buffer.scala 294:81] - node _T_993 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 294:169] - node _T_994 = mux(_T_988, _T_992, _T_993) @[el2_lsu_bus_buffer.scala 294:45] + node _T_963 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:62] + node _T_964 = and(ibuf_merge_en, _T_963) @[el2_lsu_bus_buffer.scala 295:60] + node _T_965 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 295:98] + node _T_966 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 295:118] + node _T_967 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 295:143] + node _T_968 = mux(_T_965, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 295:81] + node _T_969 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 295:169] + node _T_970 = mux(_T_964, _T_968, _T_969) @[el2_lsu_bus_buffer.scala 295:45] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 295:60] + node _T_973 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 295:98] + node _T_974 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 295:118] + node _T_975 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 295:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 295:81] + node _T_977 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 295:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 295:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 295:60] + node _T_981 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 295:98] + node _T_982 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 295:118] + node _T_983 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 295:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 295:81] + node _T_985 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 295:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 295:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[el2_lsu_bus_buffer.scala 295:60] + node _T_989 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 295:98] + node _T_990 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 295:118] + node _T_991 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 295:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[el2_lsu_bus_buffer.scala 295:81] + node _T_993 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 295:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[el2_lsu_bus_buffer.scala 295:45] node _T_995 = cat(_T_994, _T_986) @[Cat.scala 29:58] node _T_996 = cat(_T_995, _T_978) @[Cat.scala 29:58] node ibuf_data_out = cat(_T_996, _T_970) @[Cat.scala 29:58] - node _T_997 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 296:28] - node _T_998 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:63] - node _T_999 = and(_T_997, _T_998) @[el2_lsu_bus_buffer.scala 296:61] - reg _T_1000 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 296:24] - _T_1000 <= _T_999 @[el2_lsu_bus_buffer.scala 296:24] - ibuf_valid <= _T_1000 @[el2_lsu_bus_buffer.scala 296:14] - node _T_1001 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 297:120] - node _T_1002 = bits(_T_1001, 0, 0) @[el2_lsu_bus_buffer.scala 297:120] - node _T_1003 = and(ibuf_wr_en, _T_1002) @[el2_lsu_bus_buffer.scala 297:89] + node _T_997 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 297:28] + node _T_998 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:63] + node _T_999 = and(_T_997, _T_998) @[el2_lsu_bus_buffer.scala 297:61] + reg _T_1000 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 297:24] + _T_1000 <= _T_999 @[el2_lsu_bus_buffer.scala 297:24] + ibuf_valid <= _T_1000 @[el2_lsu_bus_buffer.scala 297:14] + node _T_1001 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 298:120] + node _T_1002 = bits(_T_1001, 0, 0) @[el2_lsu_bus_buffer.scala 298:120] + node _T_1003 = and(ibuf_wr_en, _T_1002) @[el2_lsu_bus_buffer.scala 298:89] reg _T_1004 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1003 : @[Reg.scala 28:19] _T_1004 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_1004 @[el2_lsu_bus_buffer.scala 297:12] - node _T_1005 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 298:131] - node _T_1006 = bits(_T_1005, 0, 0) @[el2_lsu_bus_buffer.scala 298:131] - node _T_1007 = and(ibuf_wr_en, _T_1006) @[el2_lsu_bus_buffer.scala 298:100] + ibuf_tag <= _T_1004 @[el2_lsu_bus_buffer.scala 298:12] + node _T_1005 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 299:131] + node _T_1006 = bits(_T_1005, 0, 0) @[el2_lsu_bus_buffer.scala 299:131] + node _T_1007 = and(ibuf_wr_en, _T_1006) @[el2_lsu_bus_buffer.scala 299:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1007 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1008 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 299:127] - node _T_1009 = bits(_T_1008, 0, 0) @[el2_lsu_bus_buffer.scala 299:127] - node _T_1010 = and(ibuf_wr_en, _T_1009) @[el2_lsu_bus_buffer.scala 299:96] + node _T_1008 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:127] + node _T_1009 = bits(_T_1008, 0, 0) @[el2_lsu_bus_buffer.scala 300:127] + node _T_1010 = and(ibuf_wr_en, _T_1009) @[el2_lsu_bus_buffer.scala 300:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1010 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1011 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:128] - node _T_1012 = bits(_T_1011, 0, 0) @[el2_lsu_bus_buffer.scala 300:128] - node _T_1013 = and(ibuf_wr_en, _T_1012) @[el2_lsu_bus_buffer.scala 300:97] + node _T_1011 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:128] + node _T_1012 = bits(_T_1011, 0, 0) @[el2_lsu_bus_buffer.scala 301:128] + node _T_1013 = and(ibuf_wr_en, _T_1012) @[el2_lsu_bus_buffer.scala 301:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1013 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1014 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:135] - node _T_1015 = bits(_T_1014, 0, 0) @[el2_lsu_bus_buffer.scala 301:135] - node _T_1016 = and(ibuf_wr_en, _T_1015) @[el2_lsu_bus_buffer.scala 301:104] + node _T_1014 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 302:135] + node _T_1015 = bits(_T_1014, 0, 0) @[el2_lsu_bus_buffer.scala 302:135] + node _T_1016 = and(ibuf_wr_en, _T_1015) @[el2_lsu_bus_buffer.scala 302:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1016 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1017 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 302:135] - node _T_1018 = bits(_T_1017, 0, 0) @[el2_lsu_bus_buffer.scala 302:135] - node _T_1019 = and(ibuf_wr_en, _T_1018) @[el2_lsu_bus_buffer.scala 302:104] + node _T_1017 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 303:135] + node _T_1018 = bits(_T_1017, 0, 0) @[el2_lsu_bus_buffer.scala 303:135] + node _T_1019 = and(ibuf_wr_en, _T_1018) @[el2_lsu_bus_buffer.scala 303:104] reg _T_1020 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1019 : @[Reg.scala 28:19] _T_1020 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_1020 @[el2_lsu_bus_buffer.scala 302:19] - node _T_1021 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 303:134] - node _T_1022 = bits(_T_1021, 0, 0) @[el2_lsu_bus_buffer.scala 303:134] - node _T_1023 = and(ibuf_wr_en, _T_1022) @[el2_lsu_bus_buffer.scala 303:103] + ibuf_sideeffect <= _T_1020 @[el2_lsu_bus_buffer.scala 303:19] + node _T_1021 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 304:134] + node _T_1022 = bits(_T_1021, 0, 0) @[el2_lsu_bus_buffer.scala 304:134] + node _T_1023 = and(ibuf_wr_en, _T_1022) @[el2_lsu_bus_buffer.scala 304:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1023 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] @@ -1596,7 +1596,7 @@ circuit el2_lsu_bus_buffer : when ibuf_wr_en : @[Reg.scala 28:19] _T_1024 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_1024 @[el2_lsu_bus_buffer.scala 304:14] + ibuf_write <= _T_1024 @[el2_lsu_bus_buffer.scala 305:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1609,12 +1609,12 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1025 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1025 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_1025 @[el2_lsu_bus_buffer.scala 306:13] + ibuf_addr <= _T_1025 @[el2_lsu_bus_buffer.scala 307:13] reg _T_1026 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_1026 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_1026 @[el2_lsu_bus_buffer.scala 307:15] + ibuf_byteen <= _T_1026 @[el2_lsu_bus_buffer.scala 308:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -1623,38 +1623,38 @@ circuit el2_lsu_bus_buffer : rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1027 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1027 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_1027 @[el2_lsu_bus_buffer.scala 308:13] - reg _T_1028 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 309:59] - _T_1028 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 309:59] - ibuf_timer <= _T_1028 @[el2_lsu_bus_buffer.scala 309:14] + ibuf_data <= _T_1027 @[el2_lsu_bus_buffer.scala 309:13] + reg _T_1028 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 310:59] + _T_1028 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 310:59] + ibuf_timer <= _T_1028 @[el2_lsu_bus_buffer.scala 310:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 313:25] - buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:15] - buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:15] - buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:15] - buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:15] + wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 314:25] + buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 315:15] + buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 315:15] + buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 315:15] + buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 315:15] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_1029 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 319:43] - node _T_1030 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 319:72] - node _T_1031 = and(_T_1029, _T_1030) @[el2_lsu_bus_buffer.scala 319:51] - node _T_1032 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 319:97] - node _T_1033 = and(_T_1031, _T_1032) @[el2_lsu_bus_buffer.scala 319:80] - node _T_1034 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:5] - node _T_1035 = and(_T_1033, _T_1034) @[el2_lsu_bus_buffer.scala 319:114] - node _T_1036 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:114] - node _T_1037 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 320:114] - node _T_1038 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 320:114] - node _T_1039 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1029 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 320:43] + node _T_1030 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 320:72] + node _T_1031 = and(_T_1029, _T_1030) @[el2_lsu_bus_buffer.scala 320:51] + node _T_1032 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 320:97] + node _T_1033 = and(_T_1031, _T_1032) @[el2_lsu_bus_buffer.scala 320:80] + node _T_1034 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:5] + node _T_1035 = and(_T_1033, _T_1034) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1036 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:114] + node _T_1037 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:114] + node _T_1038 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 321:114] + node _T_1039 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 321:114] node _T_1040 = mux(_T_1036, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1041 = mux(_T_1037, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1042 = mux(_T_1038, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1664,16 +1664,16 @@ circuit el2_lsu_bus_buffer : node _T_1046 = or(_T_1045, _T_1043) @[Mux.scala 27:72] wire _T_1047 : UInt<1> @[Mux.scala 27:72] _T_1047 <= _T_1046 @[Mux.scala 27:72] - node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:31] - node _T_1049 = and(_T_1035, _T_1048) @[el2_lsu_bus_buffer.scala 320:29] - node _T_1050 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:88] - node _T_1051 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 321:111] - node _T_1052 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:88] - node _T_1053 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 321:111] - node _T_1054 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 321:88] - node _T_1055 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 321:111] - node _T_1056 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 321:88] - node _T_1057 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 321:111] + node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:31] + node _T_1049 = and(_T_1035, _T_1048) @[el2_lsu_bus_buffer.scala 321:29] + node _T_1050 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:88] + node _T_1051 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 322:111] + node _T_1052 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:88] + node _T_1053 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 322:111] + node _T_1054 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 322:88] + node _T_1055 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 322:111] + node _T_1056 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 322:88] + node _T_1057 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 322:111] node _T_1058 = mux(_T_1050, _T_1051, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1059 = mux(_T_1052, _T_1053, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1060 = mux(_T_1054, _T_1055, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1683,32 +1683,32 @@ circuit el2_lsu_bus_buffer : node _T_1064 = or(_T_1063, _T_1061) @[Mux.scala 27:72] wire _T_1065 : UInt<1> @[Mux.scala 27:72] _T_1065 <= _T_1064 @[Mux.scala 27:72] - node _T_1066 = eq(_T_1065, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:5] - node _T_1067 = and(_T_1049, _T_1066) @[el2_lsu_bus_buffer.scala 320:140] - node _T_1068 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:119] - node obuf_wr_wait = and(_T_1067, _T_1068) @[el2_lsu_bus_buffer.scala 321:117] - node _T_1069 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 322:75] - node _T_1070 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 322:95] - node _T_1071 = and(_T_1069, _T_1070) @[el2_lsu_bus_buffer.scala 322:79] - node _T_1072 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:121] - node _T_1073 = tail(_T_1072, 1) @[el2_lsu_bus_buffer.scala 322:121] - node _T_1074 = mux(_T_1071, _T_1073, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 322:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1074) @[el2_lsu_bus_buffer.scala 322:29] - node _T_1075 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:41] - node _T_1076 = and(io.lsu_busreq_m, _T_1075) @[el2_lsu_bus_buffer.scala 323:39] - node _T_1077 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:60] - node _T_1078 = and(_T_1076, _T_1077) @[el2_lsu_bus_buffer.scala 323:58] - node _T_1079 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:93] - node _T_1080 = and(_T_1078, _T_1079) @[el2_lsu_bus_buffer.scala 323:72] - node _T_1081 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 323:117] - node _T_1082 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:208] - node _T_1083 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] - node _T_1084 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:208] - node _T_1085 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] - node _T_1086 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 323:208] - node _T_1087 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] - node _T_1088 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 323:208] - node _T_1089 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] + node _T_1066 = eq(_T_1065, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:5] + node _T_1067 = and(_T_1049, _T_1066) @[el2_lsu_bus_buffer.scala 321:140] + node _T_1068 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:119] + node obuf_wr_wait = and(_T_1067, _T_1068) @[el2_lsu_bus_buffer.scala 322:117] + node _T_1069 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 323:75] + node _T_1070 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 323:95] + node _T_1071 = and(_T_1069, _T_1070) @[el2_lsu_bus_buffer.scala 323:79] + node _T_1072 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:121] + node _T_1073 = tail(_T_1072, 1) @[el2_lsu_bus_buffer.scala 323:121] + node _T_1074 = mux(_T_1071, _T_1073, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 323:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1074) @[el2_lsu_bus_buffer.scala 323:29] + node _T_1075 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:41] + node _T_1076 = and(io.lsu_busreq_m, _T_1075) @[el2_lsu_bus_buffer.scala 324:39] + node _T_1077 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:60] + node _T_1078 = and(_T_1076, _T_1077) @[el2_lsu_bus_buffer.scala 324:58] + node _T_1079 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 324:93] + node _T_1080 = and(_T_1078, _T_1079) @[el2_lsu_bus_buffer.scala 324:72] + node _T_1081 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 324:117] + node _T_1082 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:208] + node _T_1083 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 324:228] + node _T_1084 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 324:208] + node _T_1085 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 324:228] + node _T_1086 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 324:208] + node _T_1087 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 324:228] + node _T_1088 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 324:208] + node _T_1089 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 324:228] node _T_1090 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1091 = mux(_T_1084, _T_1085, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1092 = mux(_T_1086, _T_1087, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1718,35 +1718,35 @@ circuit el2_lsu_bus_buffer : node _T_1096 = or(_T_1095, _T_1093) @[Mux.scala 27:72] wire _T_1097 : UInt<30> @[Mux.scala 27:72] _T_1097 <= _T_1096 @[Mux.scala 27:72] - node _T_1098 = neq(_T_1081, _T_1097) @[el2_lsu_bus_buffer.scala 323:123] - node _T_1099 = and(_T_1080, _T_1098) @[el2_lsu_bus_buffer.scala 323:101] - obuf_force_wr_en <= _T_1099 @[el2_lsu_bus_buffer.scala 323:20] + node _T_1098 = neq(_T_1081, _T_1097) @[el2_lsu_bus_buffer.scala 324:123] + node _T_1099 = and(_T_1080, _T_1098) @[el2_lsu_bus_buffer.scala 324:101] + obuf_force_wr_en <= _T_1099 @[el2_lsu_bus_buffer.scala 324:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1100 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:53] - node _T_1101 = and(ibuf_byp, _T_1100) @[el2_lsu_bus_buffer.scala 325:31] - node _T_1102 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:64] - node _T_1103 = or(_T_1102, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 325:84] - node ibuf_buf_byp = and(_T_1101, _T_1103) @[el2_lsu_bus_buffer.scala 325:61] + node _T_1100 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 326:53] + node _T_1101 = and(ibuf_byp, _T_1100) @[el2_lsu_bus_buffer.scala 326:31] + node _T_1102 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 326:64] + node _T_1103 = or(_T_1102, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 326:84] + node ibuf_buf_byp = and(_T_1101, _T_1103) @[el2_lsu_bus_buffer.scala 326:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 328:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 329:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 329:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 329:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 329:24] - wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 330:22] - buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:12] - buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:12] - buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:12] - buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:12] - wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 332:24] - buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:14] - buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:14] - buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:14] - buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 329:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:24] + wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 331:22] + buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:12] + buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:12] + buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:12] + buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:12] + wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 333:24] + buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:14] + buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:14] + buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:14] + buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -1759,14 +1759,14 @@ circuit el2_lsu_bus_buffer : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1104 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 340:32] - node _T_1105 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 340:74] - node _T_1106 = eq(_T_1105, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:52] - node _T_1107 = and(_T_1104, _T_1106) @[el2_lsu_bus_buffer.scala 340:50] - node _T_1108 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1109 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1110 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1111 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1104 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 341:32] + node _T_1105 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 341:74] + node _T_1106 = eq(_T_1105, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:52] + node _T_1107 = and(_T_1104, _T_1106) @[el2_lsu_bus_buffer.scala 341:50] + node _T_1108 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1109 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1110 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1111 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1112 = mux(_T_1108, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1113 = mux(_T_1109, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1114 = mux(_T_1110, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1776,19 +1776,19 @@ circuit el2_lsu_bus_buffer : node _T_1118 = or(_T_1117, _T_1115) @[Mux.scala 27:72] wire _T_1119 : UInt<3> @[Mux.scala 27:72] _T_1119 <= _T_1118 @[Mux.scala 27:72] - node _T_1120 = eq(_T_1119, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 341:36] - node _T_1121 = and(_T_1120, found_cmdptr0) @[el2_lsu_bus_buffer.scala 341:47] + node _T_1120 = eq(_T_1119, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 342:36] + node _T_1121 = and(_T_1120, found_cmdptr0) @[el2_lsu_bus_buffer.scala 342:47] node _T_1122 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1123 = cat(_T_1122, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1124 = cat(_T_1123, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1125 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1126 = bits(_T_1124, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1127 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1128 = bits(_T_1124, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1129 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1130 = bits(_T_1124, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1131 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1132 = bits(_T_1124, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1125 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1126 = bits(_T_1124, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1127 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1128 = bits(_T_1124, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1129 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1130 = bits(_T_1124, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1131 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1132 = bits(_T_1124, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1133 = mux(_T_1125, _T_1126, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1134 = mux(_T_1127, _T_1128, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1135 = mux(_T_1129, _T_1130, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1798,16 +1798,16 @@ circuit el2_lsu_bus_buffer : node _T_1139 = or(_T_1138, _T_1136) @[Mux.scala 27:72] wire _T_1140 : UInt<1> @[Mux.scala 27:72] _T_1140 <= _T_1139 @[Mux.scala 27:72] - node _T_1141 = eq(_T_1140, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:23] - node _T_1142 = and(_T_1121, _T_1141) @[el2_lsu_bus_buffer.scala 342:21] - node _T_1143 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1144 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1145 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1146 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1147 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1148 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1149 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1150 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1141 = eq(_T_1140, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:23] + node _T_1142 = and(_T_1121, _T_1141) @[el2_lsu_bus_buffer.scala 343:21] + node _T_1143 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1144 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1145 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1146 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1147 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1148 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1149 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1150 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1151 = mux(_T_1143, _T_1144, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1152 = mux(_T_1145, _T_1146, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1153 = mux(_T_1147, _T_1148, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1817,20 +1817,20 @@ circuit el2_lsu_bus_buffer : node _T_1157 = or(_T_1156, _T_1154) @[Mux.scala 27:72] wire _T_1158 : UInt<1> @[Mux.scala 27:72] _T_1158 <= _T_1157 @[Mux.scala 27:72] - node _T_1159 = and(_T_1158, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 342:141] - node _T_1160 = eq(_T_1159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:105] - node _T_1161 = and(_T_1142, _T_1160) @[el2_lsu_bus_buffer.scala 342:103] + node _T_1159 = and(_T_1158, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 343:141] + node _T_1160 = eq(_T_1159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:105] + node _T_1161 = and(_T_1142, _T_1160) @[el2_lsu_bus_buffer.scala 343:103] node _T_1162 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1163 = cat(_T_1162, buf_dual[1]) @[Cat.scala 29:58] node _T_1164 = cat(_T_1163, buf_dual[0]) @[Cat.scala 29:58] - node _T_1165 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1166 = bits(_T_1164, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1167 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1168 = bits(_T_1164, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1169 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1170 = bits(_T_1164, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1171 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1172 = bits(_T_1164, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1165 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1166 = bits(_T_1164, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1167 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1168 = bits(_T_1164, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1169 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1170 = bits(_T_1164, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1171 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1172 = bits(_T_1164, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1173 = mux(_T_1165, _T_1166, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1174 = mux(_T_1167, _T_1168, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1175 = mux(_T_1169, _T_1170, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1843,14 +1843,14 @@ circuit el2_lsu_bus_buffer : node _T_1181 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1182 = cat(_T_1181, buf_samedw[1]) @[Cat.scala 29:58] node _T_1183 = cat(_T_1182, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1184 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1185 = bits(_T_1183, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1186 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1187 = bits(_T_1183, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1188 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1189 = bits(_T_1183, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1190 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1191 = bits(_T_1183, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1184 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1185 = bits(_T_1183, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1186 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1187 = bits(_T_1183, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1188 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1189 = bits(_T_1183, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1190 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1191 = bits(_T_1183, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1192 = mux(_T_1184, _T_1185, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1193 = mux(_T_1186, _T_1187, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1194 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1860,15 +1860,15 @@ circuit el2_lsu_bus_buffer : node _T_1198 = or(_T_1197, _T_1195) @[Mux.scala 27:72] wire _T_1199 : UInt<1> @[Mux.scala 27:72] _T_1199 <= _T_1198 @[Mux.scala 27:72] - node _T_1200 = and(_T_1180, _T_1199) @[el2_lsu_bus_buffer.scala 343:77] - node _T_1201 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1202 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1203 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1204 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1205 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1206 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1207 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1208 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1200 = and(_T_1180, _T_1199) @[el2_lsu_bus_buffer.scala 344:77] + node _T_1201 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1202 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1203 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1204 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1205 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1206 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1207 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1208 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1209 = mux(_T_1201, _T_1202, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1210 = mux(_T_1203, _T_1204, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1211 = mux(_T_1205, _T_1206, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1878,21 +1878,21 @@ circuit el2_lsu_bus_buffer : node _T_1215 = or(_T_1214, _T_1212) @[Mux.scala 27:72] wire _T_1216 : UInt<1> @[Mux.scala 27:72] _T_1216 <= _T_1215 @[Mux.scala 27:72] - node _T_1217 = eq(_T_1216, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:150] - node _T_1218 = and(_T_1200, _T_1217) @[el2_lsu_bus_buffer.scala 343:148] - node _T_1219 = eq(_T_1218, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:8] - node _T_1220 = or(_T_1219, found_cmdptr1) @[el2_lsu_bus_buffer.scala 343:181] + node _T_1217 = eq(_T_1216, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:150] + node _T_1218 = and(_T_1200, _T_1217) @[el2_lsu_bus_buffer.scala 344:148] + node _T_1219 = eq(_T_1218, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:8] + node _T_1220 = or(_T_1219, found_cmdptr1) @[el2_lsu_bus_buffer.scala 344:181] node _T_1221 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] node _T_1222 = cat(_T_1221, buf_nomerge[1]) @[Cat.scala 29:58] node _T_1223 = cat(_T_1222, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1224 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1225 = bits(_T_1223, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1226 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1227 = bits(_T_1223, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1228 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1229 = bits(_T_1223, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1230 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1231 = bits(_T_1223, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1224 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1225 = bits(_T_1223, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1226 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1227 = bits(_T_1223, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1228 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1229 = bits(_T_1223, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1230 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1231 = bits(_T_1223, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1232 = mux(_T_1224, _T_1225, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1233 = mux(_T_1226, _T_1227, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1234 = mux(_T_1228, _T_1229, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1902,38 +1902,38 @@ circuit el2_lsu_bus_buffer : node _T_1238 = or(_T_1237, _T_1235) @[Mux.scala 27:72] wire _T_1239 : UInt<1> @[Mux.scala 27:72] _T_1239 <= _T_1238 @[Mux.scala 27:72] - node _T_1240 = or(_T_1220, _T_1239) @[el2_lsu_bus_buffer.scala 343:197] - node _T_1241 = or(_T_1240, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 343:269] - node _T_1242 = and(_T_1161, _T_1241) @[el2_lsu_bus_buffer.scala 342:164] - node _T_1243 = or(_T_1107, _T_1242) @[el2_lsu_bus_buffer.scala 340:98] - node _T_1244 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:48] - node _T_1245 = or(bus_cmd_ready, _T_1244) @[el2_lsu_bus_buffer.scala 344:46] - node _T_1246 = or(_T_1245, obuf_nosend) @[el2_lsu_bus_buffer.scala 344:60] - node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 344:29] - node _T_1248 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:77] - node _T_1249 = and(_T_1247, _T_1248) @[el2_lsu_bus_buffer.scala 344:75] - node _T_1250 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:93] - node _T_1251 = and(_T_1249, _T_1250) @[el2_lsu_bus_buffer.scala 344:91] - node _T_1252 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:118] - node _T_1253 = and(_T_1251, _T_1252) @[el2_lsu_bus_buffer.scala 344:116] - node _T_1254 = and(_T_1253, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 344:142] - obuf_wr_en <= _T_1254 @[el2_lsu_bus_buffer.scala 340:14] + node _T_1240 = or(_T_1220, _T_1239) @[el2_lsu_bus_buffer.scala 344:197] + node _T_1241 = or(_T_1240, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 344:269] + node _T_1242 = and(_T_1161, _T_1241) @[el2_lsu_bus_buffer.scala 343:164] + node _T_1243 = or(_T_1107, _T_1242) @[el2_lsu_bus_buffer.scala 341:98] + node _T_1244 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:48] + node _T_1245 = or(bus_cmd_ready, _T_1244) @[el2_lsu_bus_buffer.scala 345:46] + node _T_1246 = or(_T_1245, obuf_nosend) @[el2_lsu_bus_buffer.scala 345:60] + node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 345:29] + node _T_1248 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:77] + node _T_1249 = and(_T_1247, _T_1248) @[el2_lsu_bus_buffer.scala 345:75] + node _T_1250 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:93] + node _T_1251 = and(_T_1249, _T_1250) @[el2_lsu_bus_buffer.scala 345:91] + node _T_1252 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:118] + node _T_1253 = and(_T_1251, _T_1252) @[el2_lsu_bus_buffer.scala 345:116] + node _T_1254 = and(_T_1253, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 345:142] + obuf_wr_en <= _T_1254 @[el2_lsu_bus_buffer.scala 341:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1255 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 346:47] - node _T_1256 = or(bus_cmd_sent, _T_1255) @[el2_lsu_bus_buffer.scala 346:33] - node _T_1257 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:65] - node _T_1258 = and(_T_1256, _T_1257) @[el2_lsu_bus_buffer.scala 346:63] - node _T_1259 = and(_T_1258, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 346:77] - node obuf_rst = or(_T_1259, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 346:98] - node _T_1260 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1261 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1262 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1263 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1264 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1265 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1266 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1267 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1255 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 347:47] + node _T_1256 = or(bus_cmd_sent, _T_1255) @[el2_lsu_bus_buffer.scala 347:33] + node _T_1257 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 347:65] + node _T_1258 = and(_T_1256, _T_1257) @[el2_lsu_bus_buffer.scala 347:63] + node _T_1259 = and(_T_1258, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 347:77] + node obuf_rst = or(_T_1259, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 347:98] + node _T_1260 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1261 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1262 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1263 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1264 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1265 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1266 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1267 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1268 = mux(_T_1260, _T_1261, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1269 = mux(_T_1262, _T_1263, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1270 = mux(_T_1264, _T_1265, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1943,15 +1943,15 @@ circuit el2_lsu_bus_buffer : node _T_1274 = or(_T_1273, _T_1271) @[Mux.scala 27:72] wire _T_1275 : UInt<1> @[Mux.scala 27:72] _T_1275 <= _T_1274 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1275) @[el2_lsu_bus_buffer.scala 347:26] - node _T_1276 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1277 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1278 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1279 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1280 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1281 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1282 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1283 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1275) @[el2_lsu_bus_buffer.scala 348:26] + node _T_1276 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1277 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1278 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1279 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1280 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1281 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1282 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1283 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1284 = mux(_T_1276, _T_1277, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1285 = mux(_T_1278, _T_1279, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1286 = mux(_T_1280, _T_1281, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1961,11 +1961,11 @@ circuit el2_lsu_bus_buffer : node _T_1290 = or(_T_1289, _T_1287) @[Mux.scala 27:72] wire _T_1291 : UInt<1> @[Mux.scala 27:72] _T_1291 <= _T_1290 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1291) @[el2_lsu_bus_buffer.scala 348:31] - node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1295 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1291) @[el2_lsu_bus_buffer.scala 349:31] + node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1295 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1296 = mux(_T_1292, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1297 = mux(_T_1293, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1298 = mux(_T_1294, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1975,17 +1975,17 @@ circuit el2_lsu_bus_buffer : node _T_1302 = or(_T_1301, _T_1299) @[Mux.scala 27:72] wire _T_1303 : UInt<32> @[Mux.scala 27:72] _T_1303 <= _T_1302 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1303) @[el2_lsu_bus_buffer.scala 349:25] - wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 350:20] - buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] - buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] - buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] - buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1303) @[el2_lsu_bus_buffer.scala 350:25] + wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 351:20] + buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 352:10] + buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 352:10] + buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 352:10] + buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 352:10] node _T_1304 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_1305 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1306 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1307 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1308 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1305 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1306 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1307 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1308 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1309 = mux(_T_1305, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1310 = mux(_T_1306, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1311 = mux(_T_1307, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1995,44 +1995,44 @@ circuit el2_lsu_bus_buffer : node _T_1315 = or(_T_1314, _T_1312) @[Mux.scala 27:72] wire _T_1316 : UInt<2> @[Mux.scala 27:72] _T_1316 <= _T_1315 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1304, _T_1316) @[el2_lsu_bus_buffer.scala 352:23] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1304, _T_1316) @[el2_lsu_bus_buffer.scala 353:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[el2_lsu_bus_buffer.scala 355:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[el2_lsu_bus_buffer.scala 356:25] wire Cmdptr1 : UInt<2> Cmdptr1 <= UInt<1>("h00") - io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 357:14] - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 358:25] + io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 358:14] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 359:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1317 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 361:39] - node _T_1318 = eq(_T_1317, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 361:26] - node _T_1319 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 361:68] - node obuf_cmd_done_in = and(_T_1318, _T_1319) @[el2_lsu_bus_buffer.scala 361:51] + node _T_1317 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 362:39] + node _T_1318 = eq(_T_1317, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 362:26] + node _T_1319 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 362:68] + node obuf_cmd_done_in = and(_T_1318, _T_1319) @[el2_lsu_bus_buffer.scala 362:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1320 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 364:40] - node _T_1321 = eq(_T_1320, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 364:27] - node _T_1322 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 364:70] - node obuf_data_done_in = and(_T_1321, _T_1322) @[el2_lsu_bus_buffer.scala 364:52] - node _T_1323 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 365:67] - node _T_1324 = eq(_T_1323, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:72] - node _T_1325 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 365:92] - node _T_1326 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 365:111] - node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:98] - node _T_1328 = and(_T_1325, _T_1327) @[el2_lsu_bus_buffer.scala 365:96] - node _T_1329 = or(_T_1324, _T_1328) @[el2_lsu_bus_buffer.scala 365:79] - node _T_1330 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 365:129] - node _T_1331 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 365:147] - node _T_1332 = orr(_T_1331) @[el2_lsu_bus_buffer.scala 365:153] - node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:134] - node _T_1334 = and(_T_1330, _T_1333) @[el2_lsu_bus_buffer.scala 365:132] - node _T_1335 = or(_T_1329, _T_1334) @[el2_lsu_bus_buffer.scala 365:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1335) @[el2_lsu_bus_buffer.scala 365:28] + node _T_1320 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 365:40] + node _T_1321 = eq(_T_1320, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:27] + node _T_1322 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 365:70] + node obuf_data_done_in = and(_T_1321, _T_1322) @[el2_lsu_bus_buffer.scala 365:52] + node _T_1323 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 366:67] + node _T_1324 = eq(_T_1323, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 366:72] + node _T_1325 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 366:92] + node _T_1326 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 366:111] + node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 366:98] + node _T_1328 = and(_T_1325, _T_1327) @[el2_lsu_bus_buffer.scala 366:96] + node _T_1329 = or(_T_1324, _T_1328) @[el2_lsu_bus_buffer.scala 366:79] + node _T_1330 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 366:129] + node _T_1331 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 366:147] + node _T_1332 = orr(_T_1331) @[el2_lsu_bus_buffer.scala 366:153] + node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 366:134] + node _T_1334 = and(_T_1330, _T_1333) @[el2_lsu_bus_buffer.scala 366:132] + node _T_1335 = or(_T_1329, _T_1334) @[el2_lsu_bus_buffer.scala 366:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1335) @[el2_lsu_bus_buffer.scala 366:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -2045,57 +2045,57 @@ circuit el2_lsu_bus_buffer : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1336 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:44] - node _T_1337 = and(obuf_wr_en, _T_1336) @[el2_lsu_bus_buffer.scala 373:42] - node _T_1338 = eq(_T_1337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:29] - node _T_1339 = and(_T_1338, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 373:61] - node _T_1340 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 373:116] - node _T_1341 = and(bus_rsp_read, _T_1340) @[el2_lsu_bus_buffer.scala 373:96] - node _T_1342 = eq(_T_1341, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:81] - node _T_1343 = and(_T_1339, _T_1342) @[el2_lsu_bus_buffer.scala 373:79] - node _T_1344 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:22] - node _T_1345 = and(bus_cmd_sent, _T_1344) @[el2_lsu_bus_buffer.scala 374:20] - node _T_1346 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:37] - node _T_1347 = and(_T_1345, _T_1346) @[el2_lsu_bus_buffer.scala 374:35] - node obuf_rdrsp_pend_in = or(_T_1343, _T_1347) @[el2_lsu_bus_buffer.scala 373:138] + node _T_1336 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:44] + node _T_1337 = and(obuf_wr_en, _T_1336) @[el2_lsu_bus_buffer.scala 374:42] + node _T_1338 = eq(_T_1337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:29] + node _T_1339 = and(_T_1338, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 374:61] + node _T_1340 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 374:116] + node _T_1341 = and(bus_rsp_read, _T_1340) @[el2_lsu_bus_buffer.scala 374:96] + node _T_1342 = eq(_T_1341, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:81] + node _T_1343 = and(_T_1339, _T_1342) @[el2_lsu_bus_buffer.scala 374:79] + node _T_1344 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:22] + node _T_1345 = and(bus_cmd_sent, _T_1344) @[el2_lsu_bus_buffer.scala 375:20] + node _T_1346 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:37] + node _T_1347 = and(_T_1345, _T_1346) @[el2_lsu_bus_buffer.scala 375:35] + node obuf_rdrsp_pend_in = or(_T_1343, _T_1347) @[el2_lsu_bus_buffer.scala 374:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1348 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:46] - node _T_1349 = or(bus_cmd_sent, _T_1348) @[el2_lsu_bus_buffer.scala 376:44] - node obuf_rdrsp_tag_in = mux(_T_1349, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 376:30] + node _T_1348 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 377:46] + node _T_1349 = or(bus_cmd_sent, _T_1348) @[el2_lsu_bus_buffer.scala 377:44] + node obuf_rdrsp_tag_in = mux(_T_1349, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 377:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1350 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 379:34] - node _T_1351 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 379:52] - node _T_1352 = eq(_T_1350, _T_1351) @[el2_lsu_bus_buffer.scala 379:40] - node _T_1353 = and(_T_1352, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 379:60] - node _T_1354 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:80] - node _T_1355 = and(_T_1353, _T_1354) @[el2_lsu_bus_buffer.scala 379:78] - node _T_1356 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:99] - node _T_1357 = and(_T_1355, _T_1356) @[el2_lsu_bus_buffer.scala 379:97] - node _T_1358 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:113] - node _T_1359 = and(_T_1357, _T_1358) @[el2_lsu_bus_buffer.scala 379:111] - node _T_1360 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:130] - node _T_1361 = and(_T_1359, _T_1360) @[el2_lsu_bus_buffer.scala 379:128] - node _T_1362 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:20] - node _T_1363 = and(obuf_valid, _T_1362) @[el2_lsu_bus_buffer.scala 380:18] - node _T_1364 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 380:90] - node _T_1365 = and(bus_rsp_read, _T_1364) @[el2_lsu_bus_buffer.scala 380:70] - node _T_1366 = eq(_T_1365, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:55] - node _T_1367 = and(obuf_rdrsp_pend, _T_1366) @[el2_lsu_bus_buffer.scala 380:53] - node _T_1368 = or(_T_1363, _T_1367) @[el2_lsu_bus_buffer.scala 380:34] - node _T_1369 = and(_T_1361, _T_1368) @[el2_lsu_bus_buffer.scala 379:165] - obuf_nosend_in <= _T_1369 @[el2_lsu_bus_buffer.scala 379:18] - node _T_1370 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 381:60] + node _T_1350 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 380:34] + node _T_1351 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 380:52] + node _T_1352 = eq(_T_1350, _T_1351) @[el2_lsu_bus_buffer.scala 380:40] + node _T_1353 = and(_T_1352, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 380:60] + node _T_1354 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:80] + node _T_1355 = and(_T_1353, _T_1354) @[el2_lsu_bus_buffer.scala 380:78] + node _T_1356 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:99] + node _T_1357 = and(_T_1355, _T_1356) @[el2_lsu_bus_buffer.scala 380:97] + node _T_1358 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:113] + node _T_1359 = and(_T_1357, _T_1358) @[el2_lsu_bus_buffer.scala 380:111] + node _T_1360 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:130] + node _T_1361 = and(_T_1359, _T_1360) @[el2_lsu_bus_buffer.scala 380:128] + node _T_1362 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:20] + node _T_1363 = and(obuf_valid, _T_1362) @[el2_lsu_bus_buffer.scala 381:18] + node _T_1364 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 381:90] + node _T_1365 = and(bus_rsp_read, _T_1364) @[el2_lsu_bus_buffer.scala 381:70] + node _T_1366 = eq(_T_1365, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:55] + node _T_1367 = and(obuf_rdrsp_pend, _T_1366) @[el2_lsu_bus_buffer.scala 381:53] + node _T_1368 = or(_T_1363, _T_1367) @[el2_lsu_bus_buffer.scala 381:34] + node _T_1369 = and(_T_1361, _T_1368) @[el2_lsu_bus_buffer.scala 380:165] + obuf_nosend_in <= _T_1369 @[el2_lsu_bus_buffer.scala 380:18] + node _T_1370 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 382:60] node _T_1371 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1372 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1373 = mux(_T_1370, _T_1371, _T_1372) @[el2_lsu_bus_buffer.scala 381:46] - node _T_1374 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1375 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1376 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1377 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1373 = mux(_T_1370, _T_1371, _T_1372) @[el2_lsu_bus_buffer.scala 382:46] + node _T_1374 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1375 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1376 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1377 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1378 = mux(_T_1374, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1379 = mux(_T_1375, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1380 = mux(_T_1376, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2105,12 +2105,12 @@ circuit el2_lsu_bus_buffer : node _T_1384 = or(_T_1383, _T_1381) @[Mux.scala 27:72] wire _T_1385 : UInt<32> @[Mux.scala 27:72] _T_1385 <= _T_1384 @[Mux.scala 27:72] - node _T_1386 = bits(_T_1385, 2, 2) @[el2_lsu_bus_buffer.scala 382:36] - node _T_1387 = bits(_T_1386, 0, 0) @[el2_lsu_bus_buffer.scala 382:46] - node _T_1388 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1389 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1390 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1391 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1386 = bits(_T_1385, 2, 2) @[el2_lsu_bus_buffer.scala 383:36] + node _T_1387 = bits(_T_1386, 0, 0) @[el2_lsu_bus_buffer.scala 383:46] + node _T_1388 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1389 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1390 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1391 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1392 = mux(_T_1388, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1393 = mux(_T_1389, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1394 = mux(_T_1390, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2121,10 +2121,10 @@ circuit el2_lsu_bus_buffer : wire _T_1399 : UInt<4> @[Mux.scala 27:72] _T_1399 <= _T_1398 @[Mux.scala 27:72] node _T_1400 = cat(_T_1399, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1401 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1402 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1403 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1404 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1401 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1402 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1403 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1404 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1405 = mux(_T_1401, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1406 = mux(_T_1402, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1407 = mux(_T_1403, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2135,16 +2135,16 @@ circuit el2_lsu_bus_buffer : wire _T_1412 : UInt<4> @[Mux.scala 27:72] _T_1412 <= _T_1411 @[Mux.scala 27:72] node _T_1413 = cat(UInt<4>("h00"), _T_1412) @[Cat.scala 29:58] - node _T_1414 = mux(_T_1387, _T_1400, _T_1413) @[el2_lsu_bus_buffer.scala 382:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1373, _T_1414) @[el2_lsu_bus_buffer.scala 381:28] - node _T_1415 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 383:60] + node _T_1414 = mux(_T_1387, _T_1400, _T_1413) @[el2_lsu_bus_buffer.scala 383:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1373, _T_1414) @[el2_lsu_bus_buffer.scala 382:28] + node _T_1415 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 384:60] node _T_1416 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1417 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1418 = mux(_T_1415, _T_1416, _T_1417) @[el2_lsu_bus_buffer.scala 383:46] - node _T_1419 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1420 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1421 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1422 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1418 = mux(_T_1415, _T_1416, _T_1417) @[el2_lsu_bus_buffer.scala 384:46] + node _T_1419 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1420 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1421 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1422 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1423 = mux(_T_1419, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1424 = mux(_T_1420, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1425 = mux(_T_1421, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2154,12 +2154,12 @@ circuit el2_lsu_bus_buffer : node _T_1429 = or(_T_1428, _T_1426) @[Mux.scala 27:72] wire _T_1430 : UInt<32> @[Mux.scala 27:72] _T_1430 <= _T_1429 @[Mux.scala 27:72] - node _T_1431 = bits(_T_1430, 2, 2) @[el2_lsu_bus_buffer.scala 384:36] - node _T_1432 = bits(_T_1431, 0, 0) @[el2_lsu_bus_buffer.scala 384:46] - node _T_1433 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1434 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1435 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1436 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1431 = bits(_T_1430, 2, 2) @[el2_lsu_bus_buffer.scala 385:36] + node _T_1432 = bits(_T_1431, 0, 0) @[el2_lsu_bus_buffer.scala 385:46] + node _T_1433 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1434 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1435 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1436 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1437 = mux(_T_1433, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1438 = mux(_T_1434, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1439 = mux(_T_1435, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2170,10 +2170,10 @@ circuit el2_lsu_bus_buffer : wire _T_1444 : UInt<4> @[Mux.scala 27:72] _T_1444 <= _T_1443 @[Mux.scala 27:72] node _T_1445 = cat(_T_1444, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1446 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1447 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1448 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1449 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1446 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1447 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1448 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1449 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1450 = mux(_T_1446, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1451 = mux(_T_1447, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1452 = mux(_T_1448, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2184,16 +2184,16 @@ circuit el2_lsu_bus_buffer : wire _T_1457 : UInt<4> @[Mux.scala 27:72] _T_1457 <= _T_1456 @[Mux.scala 27:72] node _T_1458 = cat(UInt<4>("h00"), _T_1457) @[Cat.scala 29:58] - node _T_1459 = mux(_T_1432, _T_1445, _T_1458) @[el2_lsu_bus_buffer.scala 384:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1418, _T_1459) @[el2_lsu_bus_buffer.scala 383:28] - node _T_1460 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 386:58] + node _T_1459 = mux(_T_1432, _T_1445, _T_1458) @[el2_lsu_bus_buffer.scala 385:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1418, _T_1459) @[el2_lsu_bus_buffer.scala 384:28] + node _T_1460 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 387:58] node _T_1461 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1462 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1463 = mux(_T_1460, _T_1461, _T_1462) @[el2_lsu_bus_buffer.scala 386:44] - node _T_1464 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1465 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1466 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1467 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1463 = mux(_T_1460, _T_1461, _T_1462) @[el2_lsu_bus_buffer.scala 387:44] + node _T_1464 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1465 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1466 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1467 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1468 = mux(_T_1464, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1469 = mux(_T_1465, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1470 = mux(_T_1466, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2203,12 +2203,12 @@ circuit el2_lsu_bus_buffer : node _T_1474 = or(_T_1473, _T_1471) @[Mux.scala 27:72] wire _T_1475 : UInt<32> @[Mux.scala 27:72] _T_1475 <= _T_1474 @[Mux.scala 27:72] - node _T_1476 = bits(_T_1475, 2, 2) @[el2_lsu_bus_buffer.scala 387:36] - node _T_1477 = bits(_T_1476, 0, 0) @[el2_lsu_bus_buffer.scala 387:46] - node _T_1478 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1479 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1480 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1481 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1476 = bits(_T_1475, 2, 2) @[el2_lsu_bus_buffer.scala 388:36] + node _T_1477 = bits(_T_1476, 0, 0) @[el2_lsu_bus_buffer.scala 388:46] + node _T_1478 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1479 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1480 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1481 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1482 = mux(_T_1478, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1483 = mux(_T_1479, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1484 = mux(_T_1480, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2219,10 +2219,10 @@ circuit el2_lsu_bus_buffer : wire _T_1489 : UInt<32> @[Mux.scala 27:72] _T_1489 <= _T_1488 @[Mux.scala 27:72] node _T_1490 = cat(_T_1489, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1491 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1492 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1493 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1494 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1491 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1492 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1493 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1494 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1495 = mux(_T_1491, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1496 = mux(_T_1492, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1497 = mux(_T_1493, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2233,16 +2233,16 @@ circuit el2_lsu_bus_buffer : wire _T_1502 : UInt<32> @[Mux.scala 27:72] _T_1502 <= _T_1501 @[Mux.scala 27:72] node _T_1503 = cat(UInt<32>("h00"), _T_1502) @[Cat.scala 29:58] - node _T_1504 = mux(_T_1477, _T_1490, _T_1503) @[el2_lsu_bus_buffer.scala 387:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1463, _T_1504) @[el2_lsu_bus_buffer.scala 386:26] - node _T_1505 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 388:58] + node _T_1504 = mux(_T_1477, _T_1490, _T_1503) @[el2_lsu_bus_buffer.scala 388:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1463, _T_1504) @[el2_lsu_bus_buffer.scala 387:26] + node _T_1505 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 389:58] node _T_1506 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1507 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1508 = mux(_T_1505, _T_1506, _T_1507) @[el2_lsu_bus_buffer.scala 388:44] - node _T_1509 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1510 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1511 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1512 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1508 = mux(_T_1505, _T_1506, _T_1507) @[el2_lsu_bus_buffer.scala 389:44] + node _T_1509 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1510 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1511 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1512 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1513 = mux(_T_1509, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1514 = mux(_T_1510, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1515 = mux(_T_1511, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2252,12 +2252,12 @@ circuit el2_lsu_bus_buffer : node _T_1519 = or(_T_1518, _T_1516) @[Mux.scala 27:72] wire _T_1520 : UInt<32> @[Mux.scala 27:72] _T_1520 <= _T_1519 @[Mux.scala 27:72] - node _T_1521 = bits(_T_1520, 2, 2) @[el2_lsu_bus_buffer.scala 389:36] - node _T_1522 = bits(_T_1521, 0, 0) @[el2_lsu_bus_buffer.scala 389:46] - node _T_1523 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1524 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1525 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1526 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1521 = bits(_T_1520, 2, 2) @[el2_lsu_bus_buffer.scala 390:36] + node _T_1522 = bits(_T_1521, 0, 0) @[el2_lsu_bus_buffer.scala 390:46] + node _T_1523 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1524 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1525 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1526 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1527 = mux(_T_1523, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1528 = mux(_T_1524, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1529 = mux(_T_1525, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2268,10 +2268,10 @@ circuit el2_lsu_bus_buffer : wire _T_1534 : UInt<32> @[Mux.scala 27:72] _T_1534 <= _T_1533 @[Mux.scala 27:72] node _T_1535 = cat(_T_1534, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1536 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1537 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1538 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1539 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1536 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1537 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1538 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1539 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1540 = mux(_T_1536, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1541 = mux(_T_1537, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1542 = mux(_T_1538, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2282,40 +2282,40 @@ circuit el2_lsu_bus_buffer : wire _T_1547 : UInt<32> @[Mux.scala 27:72] _T_1547 <= _T_1546 @[Mux.scala 27:72] node _T_1548 = cat(UInt<32>("h00"), _T_1547) @[Cat.scala 29:58] - node _T_1549 = mux(_T_1522, _T_1535, _T_1548) @[el2_lsu_bus_buffer.scala 389:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1508, _T_1549) @[el2_lsu_bus_buffer.scala 388:26] - node _T_1550 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1551 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 390:97] - node _T_1552 = and(obuf_merge_en, _T_1551) @[el2_lsu_bus_buffer.scala 390:80] - node _T_1553 = or(_T_1550, _T_1552) @[el2_lsu_bus_buffer.scala 390:63] - node _T_1554 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1555 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 390:97] - node _T_1556 = and(obuf_merge_en, _T_1555) @[el2_lsu_bus_buffer.scala 390:80] - node _T_1557 = or(_T_1554, _T_1556) @[el2_lsu_bus_buffer.scala 390:63] - node _T_1558 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1559 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 390:97] - node _T_1560 = and(obuf_merge_en, _T_1559) @[el2_lsu_bus_buffer.scala 390:80] - node _T_1561 = or(_T_1558, _T_1560) @[el2_lsu_bus_buffer.scala 390:63] - node _T_1562 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1563 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 390:97] - node _T_1564 = and(obuf_merge_en, _T_1563) @[el2_lsu_bus_buffer.scala 390:80] - node _T_1565 = or(_T_1562, _T_1564) @[el2_lsu_bus_buffer.scala 390:63] - node _T_1566 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1567 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 390:97] - node _T_1568 = and(obuf_merge_en, _T_1567) @[el2_lsu_bus_buffer.scala 390:80] - node _T_1569 = or(_T_1566, _T_1568) @[el2_lsu_bus_buffer.scala 390:63] - node _T_1570 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1571 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 390:97] - node _T_1572 = and(obuf_merge_en, _T_1571) @[el2_lsu_bus_buffer.scala 390:80] - node _T_1573 = or(_T_1570, _T_1572) @[el2_lsu_bus_buffer.scala 390:63] - node _T_1574 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1575 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 390:97] - node _T_1576 = and(obuf_merge_en, _T_1575) @[el2_lsu_bus_buffer.scala 390:80] - node _T_1577 = or(_T_1574, _T_1576) @[el2_lsu_bus_buffer.scala 390:63] - node _T_1578 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1579 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 390:97] - node _T_1580 = and(obuf_merge_en, _T_1579) @[el2_lsu_bus_buffer.scala 390:80] - node _T_1581 = or(_T_1578, _T_1580) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1549 = mux(_T_1522, _T_1535, _T_1548) @[el2_lsu_bus_buffer.scala 390:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1508, _T_1549) @[el2_lsu_bus_buffer.scala 389:26] + node _T_1550 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1551 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 391:97] + node _T_1552 = and(obuf_merge_en, _T_1551) @[el2_lsu_bus_buffer.scala 391:80] + node _T_1553 = or(_T_1550, _T_1552) @[el2_lsu_bus_buffer.scala 391:63] + node _T_1554 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1555 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 391:97] + node _T_1556 = and(obuf_merge_en, _T_1555) @[el2_lsu_bus_buffer.scala 391:80] + node _T_1557 = or(_T_1554, _T_1556) @[el2_lsu_bus_buffer.scala 391:63] + node _T_1558 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1559 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 391:97] + node _T_1560 = and(obuf_merge_en, _T_1559) @[el2_lsu_bus_buffer.scala 391:80] + node _T_1561 = or(_T_1558, _T_1560) @[el2_lsu_bus_buffer.scala 391:63] + node _T_1562 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1563 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 391:97] + node _T_1564 = and(obuf_merge_en, _T_1563) @[el2_lsu_bus_buffer.scala 391:80] + node _T_1565 = or(_T_1562, _T_1564) @[el2_lsu_bus_buffer.scala 391:63] + node _T_1566 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1567 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 391:97] + node _T_1568 = and(obuf_merge_en, _T_1567) @[el2_lsu_bus_buffer.scala 391:80] + node _T_1569 = or(_T_1566, _T_1568) @[el2_lsu_bus_buffer.scala 391:63] + node _T_1570 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1571 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 391:97] + node _T_1572 = and(obuf_merge_en, _T_1571) @[el2_lsu_bus_buffer.scala 391:80] + node _T_1573 = or(_T_1570, _T_1572) @[el2_lsu_bus_buffer.scala 391:63] + node _T_1574 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1575 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 391:97] + node _T_1576 = and(obuf_merge_en, _T_1575) @[el2_lsu_bus_buffer.scala 391:80] + node _T_1577 = or(_T_1574, _T_1576) @[el2_lsu_bus_buffer.scala 391:63] + node _T_1578 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1579 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 391:97] + node _T_1580 = and(obuf_merge_en, _T_1579) @[el2_lsu_bus_buffer.scala 391:80] + node _T_1581 = or(_T_1578, _T_1580) @[el2_lsu_bus_buffer.scala 391:63] node _T_1582 = cat(_T_1581, _T_1577) @[Cat.scala 29:58] node _T_1583 = cat(_T_1582, _T_1573) @[Cat.scala 29:58] node _T_1584 = cat(_T_1583, _T_1569) @[Cat.scala 29:58] @@ -2323,46 +2323,46 @@ circuit el2_lsu_bus_buffer : node _T_1586 = cat(_T_1585, _T_1561) @[Cat.scala 29:58] node _T_1587 = cat(_T_1586, _T_1557) @[Cat.scala 29:58] node obuf_byteen_in = cat(_T_1587, _T_1553) @[Cat.scala 29:58] - node _T_1588 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 391:76] - node _T_1589 = and(obuf_merge_en, _T_1588) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1590 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 391:94] - node _T_1591 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 391:123] - node _T_1592 = mux(_T_1589, _T_1590, _T_1591) @[el2_lsu_bus_buffer.scala 391:44] - node _T_1593 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 391:76] - node _T_1594 = and(obuf_merge_en, _T_1593) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1595 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 391:94] - node _T_1596 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 391:123] - node _T_1597 = mux(_T_1594, _T_1595, _T_1596) @[el2_lsu_bus_buffer.scala 391:44] - node _T_1598 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 391:76] - node _T_1599 = and(obuf_merge_en, _T_1598) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1600 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 391:94] - node _T_1601 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 391:123] - node _T_1602 = mux(_T_1599, _T_1600, _T_1601) @[el2_lsu_bus_buffer.scala 391:44] - node _T_1603 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 391:76] - node _T_1604 = and(obuf_merge_en, _T_1603) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1605 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 391:94] - node _T_1606 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 391:123] - node _T_1607 = mux(_T_1604, _T_1605, _T_1606) @[el2_lsu_bus_buffer.scala 391:44] - node _T_1608 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 391:76] - node _T_1609 = and(obuf_merge_en, _T_1608) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1610 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 391:94] - node _T_1611 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 391:123] - node _T_1612 = mux(_T_1609, _T_1610, _T_1611) @[el2_lsu_bus_buffer.scala 391:44] - node _T_1613 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 391:76] - node _T_1614 = and(obuf_merge_en, _T_1613) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1615 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 391:94] - node _T_1616 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 391:123] - node _T_1617 = mux(_T_1614, _T_1615, _T_1616) @[el2_lsu_bus_buffer.scala 391:44] - node _T_1618 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 391:76] - node _T_1619 = and(obuf_merge_en, _T_1618) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1620 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 391:94] - node _T_1621 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 391:123] - node _T_1622 = mux(_T_1619, _T_1620, _T_1621) @[el2_lsu_bus_buffer.scala 391:44] - node _T_1623 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 391:76] - node _T_1624 = and(obuf_merge_en, _T_1623) @[el2_lsu_bus_buffer.scala 391:59] - node _T_1625 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 391:94] - node _T_1626 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 391:123] - node _T_1627 = mux(_T_1624, _T_1625, _T_1626) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1588 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 392:76] + node _T_1589 = and(obuf_merge_en, _T_1588) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1590 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 392:94] + node _T_1591 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 392:123] + node _T_1592 = mux(_T_1589, _T_1590, _T_1591) @[el2_lsu_bus_buffer.scala 392:44] + node _T_1593 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 392:76] + node _T_1594 = and(obuf_merge_en, _T_1593) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1595 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 392:94] + node _T_1596 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 392:123] + node _T_1597 = mux(_T_1594, _T_1595, _T_1596) @[el2_lsu_bus_buffer.scala 392:44] + node _T_1598 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 392:76] + node _T_1599 = and(obuf_merge_en, _T_1598) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1600 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 392:94] + node _T_1601 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 392:123] + node _T_1602 = mux(_T_1599, _T_1600, _T_1601) @[el2_lsu_bus_buffer.scala 392:44] + node _T_1603 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 392:76] + node _T_1604 = and(obuf_merge_en, _T_1603) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1605 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 392:94] + node _T_1606 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 392:123] + node _T_1607 = mux(_T_1604, _T_1605, _T_1606) @[el2_lsu_bus_buffer.scala 392:44] + node _T_1608 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 392:76] + node _T_1609 = and(obuf_merge_en, _T_1608) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1610 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 392:94] + node _T_1611 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 392:123] + node _T_1612 = mux(_T_1609, _T_1610, _T_1611) @[el2_lsu_bus_buffer.scala 392:44] + node _T_1613 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 392:76] + node _T_1614 = and(obuf_merge_en, _T_1613) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1615 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 392:94] + node _T_1616 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 392:123] + node _T_1617 = mux(_T_1614, _T_1615, _T_1616) @[el2_lsu_bus_buffer.scala 392:44] + node _T_1618 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 392:76] + node _T_1619 = and(obuf_merge_en, _T_1618) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1620 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 392:94] + node _T_1621 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 392:123] + node _T_1622 = mux(_T_1619, _T_1620, _T_1621) @[el2_lsu_bus_buffer.scala 392:44] + node _T_1623 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 392:76] + node _T_1624 = and(obuf_merge_en, _T_1623) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1625 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 392:94] + node _T_1626 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 392:123] + node _T_1627 = mux(_T_1624, _T_1625, _T_1626) @[el2_lsu_bus_buffer.scala 392:44] node _T_1628 = cat(_T_1627, _T_1622) @[Cat.scala 29:58] node _T_1629 = cat(_T_1628, _T_1617) @[Cat.scala 29:58] node _T_1630 = cat(_T_1629, _T_1612) @[Cat.scala 29:58] @@ -2370,19 +2370,19 @@ circuit el2_lsu_bus_buffer : node _T_1632 = cat(_T_1631, _T_1602) @[Cat.scala 29:58] node _T_1633 = cat(_T_1632, _T_1597) @[Cat.scala 29:58] node obuf_data_in = cat(_T_1633, _T_1592) @[Cat.scala 29:58] - io.wdata_in <= obuf_data_in @[el2_lsu_bus_buffer.scala 392:15] - wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 393:24] - buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] - buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] - buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] - buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] - node _T_1634 = neq(CmdPtr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 395:30] - node _T_1635 = and(_T_1634, found_cmdptr0) @[el2_lsu_bus_buffer.scala 395:43] - node _T_1636 = and(_T_1635, found_cmdptr1) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1637 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1638 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1639 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1640 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + io.wdata_in <= obuf_data_in @[el2_lsu_bus_buffer.scala 393:15] + wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 394:24] + buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 395:14] + buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 395:14] + buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 395:14] + buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 395:14] + node _T_1634 = neq(CmdPtr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 396:30] + node _T_1635 = and(_T_1634, found_cmdptr0) @[el2_lsu_bus_buffer.scala 396:43] + node _T_1636 = and(_T_1635, found_cmdptr1) @[el2_lsu_bus_buffer.scala 396:59] + node _T_1637 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1638 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1639 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1640 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1641 = mux(_T_1637, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1642 = mux(_T_1638, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1643 = mux(_T_1639, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2392,12 +2392,12 @@ circuit el2_lsu_bus_buffer : node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] wire _T_1648 : UInt<3> @[Mux.scala 27:72] _T_1648 <= _T_1647 @[Mux.scala 27:72] - node _T_1649 = eq(_T_1648, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 395:107] - node _T_1650 = and(_T_1636, _T_1649) @[el2_lsu_bus_buffer.scala 395:75] - node _T_1651 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1652 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1653 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1654 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1649 = eq(_T_1648, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 396:107] + node _T_1650 = and(_T_1636, _T_1649) @[el2_lsu_bus_buffer.scala 396:75] + node _T_1651 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1652 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1653 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1654 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1655 = mux(_T_1651, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1656 = mux(_T_1652, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1657 = mux(_T_1653, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2407,19 +2407,19 @@ circuit el2_lsu_bus_buffer : node _T_1661 = or(_T_1660, _T_1658) @[Mux.scala 27:72] wire _T_1662 : UInt<3> @[Mux.scala 27:72] _T_1662 <= _T_1661 @[Mux.scala 27:72] - node _T_1663 = eq(_T_1662, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 395:150] - node _T_1664 = and(_T_1650, _T_1663) @[el2_lsu_bus_buffer.scala 395:118] + node _T_1663 = eq(_T_1662, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 396:150] + node _T_1664 = and(_T_1650, _T_1663) @[el2_lsu_bus_buffer.scala 396:118] node _T_1665 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1666 = cat(_T_1665, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1667 = cat(_T_1666, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1668 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1669 = bits(_T_1667, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1670 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1671 = bits(_T_1667, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1672 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1673 = bits(_T_1667, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1674 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1675 = bits(_T_1667, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1668 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1669 = bits(_T_1667, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1670 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1671 = bits(_T_1667, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1672 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1673 = bits(_T_1667, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1674 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1675 = bits(_T_1667, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1676 = mux(_T_1668, _T_1669, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1677 = mux(_T_1670, _T_1671, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1678 = mux(_T_1672, _T_1673, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2429,16 +2429,16 @@ circuit el2_lsu_bus_buffer : node _T_1682 = or(_T_1681, _T_1679) @[Mux.scala 27:72] wire _T_1683 : UInt<1> @[Mux.scala 27:72] _T_1683 <= _T_1682 @[Mux.scala 27:72] - node _T_1684 = eq(_T_1683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:3] - node _T_1685 = and(_T_1664, _T_1684) @[el2_lsu_bus_buffer.scala 395:161] - node _T_1686 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1687 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1688 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1689 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1690 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1691 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1692 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1693 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1684 = eq(_T_1683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:3] + node _T_1685 = and(_T_1664, _T_1684) @[el2_lsu_bus_buffer.scala 396:161] + node _T_1686 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1687 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1688 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1689 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1690 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1691 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1692 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1693 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1694 = mux(_T_1686, _T_1687, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1695 = mux(_T_1688, _T_1689, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1696 = mux(_T_1690, _T_1691, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2448,16 +2448,16 @@ circuit el2_lsu_bus_buffer : node _T_1700 = or(_T_1699, _T_1697) @[Mux.scala 27:72] wire _T_1701 : UInt<1> @[Mux.scala 27:72] _T_1701 <= _T_1700 @[Mux.scala 27:72] - node _T_1702 = eq(_T_1701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:85] - node _T_1703 = and(_T_1685, _T_1702) @[el2_lsu_bus_buffer.scala 396:83] - node _T_1704 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1705 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1706 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1707 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1708 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1709 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1710 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1711 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1702 = eq(_T_1701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:85] + node _T_1703 = and(_T_1685, _T_1702) @[el2_lsu_bus_buffer.scala 397:83] + node _T_1704 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1705 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1706 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1707 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1708 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1709 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1710 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1711 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1712 = mux(_T_1704, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1713 = mux(_T_1706, _T_1707, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1714 = mux(_T_1708, _T_1709, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2467,14 +2467,14 @@ circuit el2_lsu_bus_buffer : node _T_1718 = or(_T_1717, _T_1715) @[Mux.scala 27:72] wire _T_1719 : UInt<1> @[Mux.scala 27:72] _T_1719 <= _T_1718 @[Mux.scala 27:72] - node _T_1720 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1721 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1722 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1723 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1724 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1725 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1726 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1727 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1720 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1721 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1722 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1723 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1724 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1725 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1726 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1727 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1728 = mux(_T_1720, _T_1721, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1729 = mux(_T_1722, _T_1723, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1730 = mux(_T_1724, _T_1725, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2484,11 +2484,11 @@ circuit el2_lsu_bus_buffer : node _T_1734 = or(_T_1733, _T_1731) @[Mux.scala 27:72] wire _T_1735 : UInt<1> @[Mux.scala 27:72] _T_1735 <= _T_1734 @[Mux.scala 27:72] - node _T_1736 = and(_T_1719, _T_1735) @[el2_lsu_bus_buffer.scala 397:36] - node _T_1737 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1738 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1739 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1740 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1736 = and(_T_1719, _T_1735) @[el2_lsu_bus_buffer.scala 398:36] + node _T_1737 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1738 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1739 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1740 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1741 = mux(_T_1737, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1742 = mux(_T_1738, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1743 = mux(_T_1739, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2498,11 +2498,11 @@ circuit el2_lsu_bus_buffer : node _T_1747 = or(_T_1746, _T_1744) @[Mux.scala 27:72] wire _T_1748 : UInt<32> @[Mux.scala 27:72] _T_1748 <= _T_1747 @[Mux.scala 27:72] - node _T_1749 = bits(_T_1748, 31, 3) @[el2_lsu_bus_buffer.scala 398:33] - node _T_1750 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1751 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1752 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1753 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1749 = bits(_T_1748, 31, 3) @[el2_lsu_bus_buffer.scala 399:33] + node _T_1750 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1751 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1752 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1753 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] node _T_1754 = mux(_T_1750, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1755 = mux(_T_1751, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1756 = mux(_T_1752, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2512,21 +2512,21 @@ circuit el2_lsu_bus_buffer : node _T_1760 = or(_T_1759, _T_1757) @[Mux.scala 27:72] wire _T_1761 : UInt<32> @[Mux.scala 27:72] _T_1761 <= _T_1760 @[Mux.scala 27:72] - node _T_1762 = bits(_T_1761, 31, 3) @[el2_lsu_bus_buffer.scala 398:69] - node _T_1763 = eq(_T_1749, _T_1762) @[el2_lsu_bus_buffer.scala 398:39] - node _T_1764 = and(_T_1736, _T_1763) @[el2_lsu_bus_buffer.scala 397:67] - node _T_1765 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:79] - node _T_1766 = and(_T_1764, _T_1765) @[el2_lsu_bus_buffer.scala 398:77] - node _T_1767 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:105] - node _T_1768 = and(_T_1766, _T_1767) @[el2_lsu_bus_buffer.scala 398:103] - node _T_1769 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1770 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1771 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1772 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1773 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1774 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1775 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1776 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1762 = bits(_T_1761, 31, 3) @[el2_lsu_bus_buffer.scala 399:69] + node _T_1763 = eq(_T_1749, _T_1762) @[el2_lsu_bus_buffer.scala 399:39] + node _T_1764 = and(_T_1736, _T_1763) @[el2_lsu_bus_buffer.scala 398:67] + node _T_1765 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:79] + node _T_1766 = and(_T_1764, _T_1765) @[el2_lsu_bus_buffer.scala 399:77] + node _T_1767 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:105] + node _T_1768 = and(_T_1766, _T_1767) @[el2_lsu_bus_buffer.scala 399:103] + node _T_1769 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1770 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1771 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1772 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1773 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1774 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1775 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1776 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1777 = mux(_T_1769, _T_1770, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1778 = mux(_T_1771, _T_1772, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1779 = mux(_T_1773, _T_1774, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2536,18 +2536,18 @@ circuit el2_lsu_bus_buffer : node _T_1783 = or(_T_1782, _T_1780) @[Mux.scala 27:72] wire _T_1784 : UInt<1> @[Mux.scala 27:72] _T_1784 <= _T_1783 @[Mux.scala 27:72] - node _T_1785 = eq(_T_1784, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:6] + node _T_1785 = eq(_T_1784, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:6] node _T_1786 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1787 = cat(_T_1786, buf_dual[1]) @[Cat.scala 29:58] node _T_1788 = cat(_T_1787, buf_dual[0]) @[Cat.scala 29:58] - node _T_1789 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1790 = bits(_T_1788, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1791 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1792 = bits(_T_1788, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1793 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1794 = bits(_T_1788, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1795 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1796 = bits(_T_1788, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1789 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1790 = bits(_T_1788, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1791 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1792 = bits(_T_1788, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1793 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1794 = bits(_T_1788, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1795 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1796 = bits(_T_1788, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1797 = mux(_T_1789, _T_1790, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1798 = mux(_T_1791, _T_1792, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1799 = mux(_T_1793, _T_1794, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2557,18 +2557,18 @@ circuit el2_lsu_bus_buffer : node _T_1803 = or(_T_1802, _T_1800) @[Mux.scala 27:72] wire _T_1804 : UInt<1> @[Mux.scala 27:72] _T_1804 <= _T_1803 @[Mux.scala 27:72] - node _T_1805 = and(_T_1785, _T_1804) @[el2_lsu_bus_buffer.scala 399:36] + node _T_1805 = and(_T_1785, _T_1804) @[el2_lsu_bus_buffer.scala 400:36] node _T_1806 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1807 = cat(_T_1806, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1808 = cat(_T_1807, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1809 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1810 = bits(_T_1808, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1811 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1812 = bits(_T_1808, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1813 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1814 = bits(_T_1808, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1815 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1816 = bits(_T_1808, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1809 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1810 = bits(_T_1808, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1811 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1812 = bits(_T_1808, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1813 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1814 = bits(_T_1808, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1815 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1816 = bits(_T_1808, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1817 = mux(_T_1809, _T_1810, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1818 = mux(_T_1811, _T_1812, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1819 = mux(_T_1813, _T_1814, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2578,19 +2578,19 @@ circuit el2_lsu_bus_buffer : node _T_1823 = or(_T_1822, _T_1820) @[Mux.scala 27:72] wire _T_1824 : UInt<1> @[Mux.scala 27:72] _T_1824 <= _T_1823 @[Mux.scala 27:72] - node _T_1825 = eq(_T_1824, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:107] - node _T_1826 = and(_T_1805, _T_1825) @[el2_lsu_bus_buffer.scala 399:105] + node _T_1825 = eq(_T_1824, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:107] + node _T_1826 = and(_T_1805, _T_1825) @[el2_lsu_bus_buffer.scala 400:105] node _T_1827 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1828 = cat(_T_1827, buf_samedw[1]) @[Cat.scala 29:58] node _T_1829 = cat(_T_1828, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1830 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1831 = bits(_T_1829, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1832 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1833 = bits(_T_1829, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1834 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1835 = bits(_T_1829, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1836 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1837 = bits(_T_1829, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1830 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1831 = bits(_T_1829, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1832 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1833 = bits(_T_1829, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1834 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1835 = bits(_T_1829, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1836 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_1837 = bits(_T_1829, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] node _T_1838 = mux(_T_1830, _T_1831, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1839 = mux(_T_1832, _T_1833, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1840 = mux(_T_1834, _T_1835, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2600,43 +2600,43 @@ circuit el2_lsu_bus_buffer : node _T_1844 = or(_T_1843, _T_1841) @[Mux.scala 27:72] wire _T_1845 : UInt<1> @[Mux.scala 27:72] _T_1845 <= _T_1844 @[Mux.scala 27:72] - node _T_1846 = and(_T_1826, _T_1845) @[el2_lsu_bus_buffer.scala 399:177] - node _T_1847 = or(_T_1768, _T_1846) @[el2_lsu_bus_buffer.scala 398:126] - node _T_1848 = and(_T_1703, _T_1847) @[el2_lsu_bus_buffer.scala 396:120] - node _T_1849 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 400:19] - node _T_1850 = and(_T_1849, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 400:35] - node _T_1851 = or(_T_1848, _T_1850) @[el2_lsu_bus_buffer.scala 399:251] - obuf_merge_en <= _T_1851 @[el2_lsu_bus_buffer.scala 395:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 402:55] - obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 402:55] - node _T_1852 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 403:58] - node _T_1853 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:93] - node _T_1854 = and(_T_1852, _T_1853) @[el2_lsu_bus_buffer.scala 403:91] - reg _T_1855 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 403:54] - _T_1855 <= _T_1854 @[el2_lsu_bus_buffer.scala 403:54] - obuf_valid <= _T_1855 @[el2_lsu_bus_buffer.scala 403:14] + node _T_1846 = and(_T_1826, _T_1845) @[el2_lsu_bus_buffer.scala 400:177] + node _T_1847 = or(_T_1768, _T_1846) @[el2_lsu_bus_buffer.scala 399:126] + node _T_1848 = and(_T_1703, _T_1847) @[el2_lsu_bus_buffer.scala 397:120] + node _T_1849 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 401:19] + node _T_1850 = and(_T_1849, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 401:35] + node _T_1851 = or(_T_1848, _T_1850) @[el2_lsu_bus_buffer.scala 400:251] + obuf_merge_en <= _T_1851 @[el2_lsu_bus_buffer.scala 396:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 403:55] + obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 403:55] + node _T_1852 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 404:58] + node _T_1853 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:93] + node _T_1854 = and(_T_1852, _T_1853) @[el2_lsu_bus_buffer.scala 404:91] + reg _T_1855 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 404:54] + _T_1855 <= _T_1854 @[el2_lsu_bus_buffer.scala 404:54] + obuf_valid <= _T_1855 @[el2_lsu_bus_buffer.scala 404:14] reg _T_1856 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1856 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1856 @[el2_lsu_bus_buffer.scala 404:15] - reg _T_1857 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 405:54] - _T_1857 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 405:54] - obuf_cmd_done <= _T_1857 @[el2_lsu_bus_buffer.scala 405:17] - reg _T_1858 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 406:55] - _T_1858 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 406:55] - obuf_data_done <= _T_1858 @[el2_lsu_bus_buffer.scala 406:18] - reg _T_1859 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 407:56] - _T_1859 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 407:56] - obuf_rdrsp_pend <= _T_1859 @[el2_lsu_bus_buffer.scala 407:19] - reg _T_1860 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 408:55] - _T_1860 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 408:55] - obuf_rdrsp_tag <= _T_1860 @[el2_lsu_bus_buffer.scala 408:18] + obuf_nosend <= _T_1856 @[el2_lsu_bus_buffer.scala 405:15] + reg _T_1857 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 406:54] + _T_1857 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 406:54] + obuf_cmd_done <= _T_1857 @[el2_lsu_bus_buffer.scala 406:17] + reg _T_1858 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 407:55] + _T_1858 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 407:55] + obuf_data_done <= _T_1858 @[el2_lsu_bus_buffer.scala 407:18] + reg _T_1859 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 408:56] + _T_1859 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 408:56] + obuf_rdrsp_pend <= _T_1859 @[el2_lsu_bus_buffer.scala 408:19] + reg _T_1860 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 409:55] + _T_1860 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 409:55] + obuf_rdrsp_tag <= _T_1860 @[el2_lsu_bus_buffer.scala 409:18] reg _T_1861 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1861 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1861 @[el2_lsu_bus_buffer.scala 409:13] + obuf_tag0 <= _T_1861 @[el2_lsu_bus_buffer.scala 410:13] reg obuf_tag1 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -2649,12 +2649,12 @@ circuit el2_lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] _T_1862 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1862 @[el2_lsu_bus_buffer.scala 412:14] + obuf_write <= _T_1862 @[el2_lsu_bus_buffer.scala 413:14] reg _T_1863 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1863 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1863 @[el2_lsu_bus_buffer.scala 413:19] + obuf_sideeffect <= _T_1863 @[el2_lsu_bus_buffer.scala 414:19] reg obuf_sz : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -2667,7 +2667,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1864 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1864 <= obuf_addr_in @[el2_lib.scala 491:16] - obuf_addr <= _T_1864 @[el2_lsu_bus_buffer.scala 415:13] + obuf_addr <= _T_1864 @[el2_lsu_bus_buffer.scala 416:13] reg obuf_byteen : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -2680,3852 +2680,3856 @@ circuit el2_lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] obuf_data <= obuf_data_in @[el2_lib.scala 491:16] - reg _T_1865 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 418:54] - _T_1865 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 418:54] - obuf_wr_timer <= _T_1865 @[el2_lsu_bus_buffer.scala 418:17] + reg _T_1865 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 419:54] + _T_1865 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 419:54] + obuf_wr_timer <= _T_1865 @[el2_lsu_bus_buffer.scala 419:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1866 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 420:59] - node _T_1867 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:97] - node _T_1868 = and(ibuf_valid, _T_1867) @[el2_lsu_bus_buffer.scala 420:86] - node _T_1869 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 421:33] - node _T_1870 = and(io.lsu_busreq_r, _T_1869) @[el2_lsu_bus_buffer.scala 421:22] - node _T_1871 = or(_T_1868, _T_1870) @[el2_lsu_bus_buffer.scala 420:106] - node _T_1872 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 421:72] - node _T_1873 = and(io.ldst_dual_r, _T_1872) @[el2_lsu_bus_buffer.scala 421:60] - node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 421:42] - node _T_1875 = eq(_T_1874, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] - node _T_1876 = and(_T_1866, _T_1875) @[el2_lsu_bus_buffer.scala 420:70] - node _T_1877 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 420:59] - node _T_1878 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 420:97] - node _T_1879 = and(ibuf_valid, _T_1878) @[el2_lsu_bus_buffer.scala 420:86] - node _T_1880 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 421:33] - node _T_1881 = and(io.lsu_busreq_r, _T_1880) @[el2_lsu_bus_buffer.scala 421:22] - node _T_1882 = or(_T_1879, _T_1881) @[el2_lsu_bus_buffer.scala 420:106] - node _T_1883 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 421:72] - node _T_1884 = and(io.ldst_dual_r, _T_1883) @[el2_lsu_bus_buffer.scala 421:60] - node _T_1885 = or(_T_1882, _T_1884) @[el2_lsu_bus_buffer.scala 421:42] - node _T_1886 = eq(_T_1885, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] - node _T_1887 = and(_T_1877, _T_1886) @[el2_lsu_bus_buffer.scala 420:70] - node _T_1888 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 420:59] - node _T_1889 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 420:97] - node _T_1890 = and(ibuf_valid, _T_1889) @[el2_lsu_bus_buffer.scala 420:86] - node _T_1891 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 421:33] - node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[el2_lsu_bus_buffer.scala 421:22] - node _T_1893 = or(_T_1890, _T_1892) @[el2_lsu_bus_buffer.scala 420:106] - node _T_1894 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 421:72] - node _T_1895 = and(io.ldst_dual_r, _T_1894) @[el2_lsu_bus_buffer.scala 421:60] - node _T_1896 = or(_T_1893, _T_1895) @[el2_lsu_bus_buffer.scala 421:42] - node _T_1897 = eq(_T_1896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] - node _T_1898 = and(_T_1888, _T_1897) @[el2_lsu_bus_buffer.scala 420:70] - node _T_1899 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 420:59] - node _T_1900 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 420:97] - node _T_1901 = and(ibuf_valid, _T_1900) @[el2_lsu_bus_buffer.scala 420:86] - node _T_1902 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 421:33] - node _T_1903 = and(io.lsu_busreq_r, _T_1902) @[el2_lsu_bus_buffer.scala 421:22] - node _T_1904 = or(_T_1901, _T_1903) @[el2_lsu_bus_buffer.scala 420:106] - node _T_1905 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 421:72] - node _T_1906 = and(io.ldst_dual_r, _T_1905) @[el2_lsu_bus_buffer.scala 421:60] - node _T_1907 = or(_T_1904, _T_1906) @[el2_lsu_bus_buffer.scala 421:42] - node _T_1908 = eq(_T_1907, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] - node _T_1909 = and(_T_1899, _T_1908) @[el2_lsu_bus_buffer.scala 420:70] + node _T_1866 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] + node _T_1867 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:97] + node _T_1868 = and(ibuf_valid, _T_1867) @[el2_lsu_bus_buffer.scala 422:86] + node _T_1869 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:34] + node _T_1870 = and(io.lsu_busreq_r, _T_1869) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1871 = or(_T_1868, _T_1870) @[el2_lsu_bus_buffer.scala 422:106] + node _T_1872 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1873 = and(io.ldst_dual_r, _T_1872) @[el2_lsu_bus_buffer.scala 424:21] + node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 423:44] + node _T_1875 = eq(_T_1874, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] + node _T_1876 = and(_T_1866, _T_1875) @[el2_lsu_bus_buffer.scala 422:70] + node _T_1877 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] + node _T_1878 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 422:97] + node _T_1879 = and(ibuf_valid, _T_1878) @[el2_lsu_bus_buffer.scala 422:86] + node _T_1880 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:34] + node _T_1881 = and(io.lsu_busreq_r, _T_1880) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1882 = or(_T_1879, _T_1881) @[el2_lsu_bus_buffer.scala 422:106] + node _T_1883 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1884 = and(io.ldst_dual_r, _T_1883) @[el2_lsu_bus_buffer.scala 424:21] + node _T_1885 = or(_T_1882, _T_1884) @[el2_lsu_bus_buffer.scala 423:44] + node _T_1886 = eq(_T_1885, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] + node _T_1887 = and(_T_1877, _T_1886) @[el2_lsu_bus_buffer.scala 422:70] + node _T_1888 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] + node _T_1889 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 422:97] + node _T_1890 = and(ibuf_valid, _T_1889) @[el2_lsu_bus_buffer.scala 422:86] + node _T_1891 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:34] + node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1893 = or(_T_1890, _T_1892) @[el2_lsu_bus_buffer.scala 422:106] + node _T_1894 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1895 = and(io.ldst_dual_r, _T_1894) @[el2_lsu_bus_buffer.scala 424:21] + node _T_1896 = or(_T_1893, _T_1895) @[el2_lsu_bus_buffer.scala 423:44] + node _T_1897 = eq(_T_1896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] + node _T_1898 = and(_T_1888, _T_1897) @[el2_lsu_bus_buffer.scala 422:70] + node _T_1899 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] + node _T_1900 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 422:97] + node _T_1901 = and(ibuf_valid, _T_1900) @[el2_lsu_bus_buffer.scala 422:86] + node _T_1902 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:34] + node _T_1903 = and(io.lsu_busreq_r, _T_1902) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1904 = or(_T_1901, _T_1903) @[el2_lsu_bus_buffer.scala 422:106] + node _T_1905 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1906 = and(io.ldst_dual_r, _T_1905) @[el2_lsu_bus_buffer.scala 424:21] + node _T_1907 = or(_T_1904, _T_1906) @[el2_lsu_bus_buffer.scala 423:44] + node _T_1908 = eq(_T_1907, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] + node _T_1909 = and(_T_1899, _T_1908) @[el2_lsu_bus_buffer.scala 422:70] node _T_1910 = mux(_T_1909, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1911 = mux(_T_1898, UInt<2>("h02"), _T_1910) @[Mux.scala 98:16] node _T_1912 = mux(_T_1887, UInt<1>("h01"), _T_1911) @[Mux.scala 98:16] node _T_1913 = mux(_T_1876, UInt<1>("h00"), _T_1912) @[Mux.scala 98:16] - WrPtr0_m <= _T_1913 @[el2_lsu_bus_buffer.scala 422:12] - node _T_1914 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_1915 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:97] - node _T_1916 = and(ibuf_valid, _T_1915) @[el2_lsu_bus_buffer.scala 423:86] - node _T_1917 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1918 = and(io.lsu_busreq_m, _T_1917) @[el2_lsu_bus_buffer.scala 424:22] - node _T_1919 = or(_T_1916, _T_1918) @[el2_lsu_bus_buffer.scala 423:106] - node _T_1920 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:73] - node _T_1921 = and(io.lsu_busreq_r, _T_1920) @[el2_lsu_bus_buffer.scala 424:61] - node _T_1922 = or(_T_1919, _T_1921) @[el2_lsu_bus_buffer.scala 424:42] - node _T_1923 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:112] - node _T_1924 = and(io.ldst_dual_r, _T_1923) @[el2_lsu_bus_buffer.scala 424:101] - node _T_1925 = or(_T_1922, _T_1924) @[el2_lsu_bus_buffer.scala 424:83] - node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:72] - node _T_1927 = and(_T_1914, _T_1926) @[el2_lsu_bus_buffer.scala 423:70] - node _T_1928 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_1929 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:97] - node _T_1930 = and(ibuf_valid, _T_1929) @[el2_lsu_bus_buffer.scala 423:86] - node _T_1931 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1932 = and(io.lsu_busreq_m, _T_1931) @[el2_lsu_bus_buffer.scala 424:22] - node _T_1933 = or(_T_1930, _T_1932) @[el2_lsu_bus_buffer.scala 423:106] - node _T_1934 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:73] - node _T_1935 = and(io.lsu_busreq_r, _T_1934) @[el2_lsu_bus_buffer.scala 424:61] - node _T_1936 = or(_T_1933, _T_1935) @[el2_lsu_bus_buffer.scala 424:42] - node _T_1937 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:112] - node _T_1938 = and(io.ldst_dual_r, _T_1937) @[el2_lsu_bus_buffer.scala 424:101] - node _T_1939 = or(_T_1936, _T_1938) @[el2_lsu_bus_buffer.scala 424:83] - node _T_1940 = eq(_T_1939, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:72] - node _T_1941 = and(_T_1928, _T_1940) @[el2_lsu_bus_buffer.scala 423:70] - node _T_1942 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_1943 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:97] - node _T_1944 = and(ibuf_valid, _T_1943) @[el2_lsu_bus_buffer.scala 423:86] - node _T_1945 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1946 = and(io.lsu_busreq_m, _T_1945) @[el2_lsu_bus_buffer.scala 424:22] - node _T_1947 = or(_T_1944, _T_1946) @[el2_lsu_bus_buffer.scala 423:106] - node _T_1948 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:73] - node _T_1949 = and(io.lsu_busreq_r, _T_1948) @[el2_lsu_bus_buffer.scala 424:61] - node _T_1950 = or(_T_1947, _T_1949) @[el2_lsu_bus_buffer.scala 424:42] - node _T_1951 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:112] - node _T_1952 = and(io.ldst_dual_r, _T_1951) @[el2_lsu_bus_buffer.scala 424:101] - node _T_1953 = or(_T_1950, _T_1952) @[el2_lsu_bus_buffer.scala 424:83] - node _T_1954 = eq(_T_1953, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:72] - node _T_1955 = and(_T_1942, _T_1954) @[el2_lsu_bus_buffer.scala 423:70] - node _T_1956 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_1957 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:97] - node _T_1958 = and(ibuf_valid, _T_1957) @[el2_lsu_bus_buffer.scala 423:86] - node _T_1959 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1960 = and(io.lsu_busreq_m, _T_1959) @[el2_lsu_bus_buffer.scala 424:22] - node _T_1961 = or(_T_1958, _T_1960) @[el2_lsu_bus_buffer.scala 423:106] - node _T_1962 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:73] - node _T_1963 = and(io.lsu_busreq_r, _T_1962) @[el2_lsu_bus_buffer.scala 424:61] - node _T_1964 = or(_T_1961, _T_1963) @[el2_lsu_bus_buffer.scala 424:42] - node _T_1965 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:112] - node _T_1966 = and(io.ldst_dual_r, _T_1965) @[el2_lsu_bus_buffer.scala 424:101] - node _T_1967 = or(_T_1964, _T_1966) @[el2_lsu_bus_buffer.scala 424:83] - node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:72] - node _T_1969 = and(_T_1956, _T_1968) @[el2_lsu_bus_buffer.scala 423:70] - node _T_1970 = mux(_T_1969, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] - node _T_1971 = mux(_T_1955, UInt<2>("h02"), _T_1970) @[Mux.scala 98:16] - node _T_1972 = mux(_T_1941, UInt<1>("h01"), _T_1971) @[Mux.scala 98:16] - node WrPtr1_m = mux(_T_1927, UInt<1>("h00"), _T_1972) @[Mux.scala 98:16] - io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 426:15] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 427:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:11] - node _T_1973 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 430:58] - node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] - node _T_1975 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_1976 = and(_T_1974, _T_1975) @[el2_lsu_bus_buffer.scala 430:63] - node _T_1977 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:90] - node _T_1978 = and(_T_1976, _T_1977) @[el2_lsu_bus_buffer.scala 430:88] - node _T_1979 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 430:58] - node _T_1980 = eq(_T_1979, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] - node _T_1981 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_1982 = and(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 430:63] - node _T_1983 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:90] - node _T_1984 = and(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 430:88] - node _T_1985 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 430:58] - node _T_1986 = eq(_T_1985, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] - node _T_1987 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_1988 = and(_T_1986, _T_1987) @[el2_lsu_bus_buffer.scala 430:63] - node _T_1989 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:90] - node _T_1990 = and(_T_1988, _T_1989) @[el2_lsu_bus_buffer.scala 430:88] - node _T_1991 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 430:58] - node _T_1992 = eq(_T_1991, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] - node _T_1993 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_1994 = and(_T_1992, _T_1993) @[el2_lsu_bus_buffer.scala 430:63] - node _T_1995 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:90] - node _T_1996 = and(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 430:88] - node _T_1997 = cat(_T_1996, _T_1990) @[Cat.scala 29:58] - node _T_1998 = cat(_T_1997, _T_1984) @[Cat.scala 29:58] - node CmdPtr0Dec = cat(_T_1998, _T_1978) @[Cat.scala 29:58] - node _T_1999 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 431:62] - node _T_2000 = and(buf_age[0], _T_1999) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2001 = orr(_T_2000) @[el2_lsu_bus_buffer.scala 431:76] - node _T_2002 = eq(_T_2001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:45] - node _T_2003 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 431:94] - node _T_2004 = eq(_T_2003, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] - node _T_2005 = and(_T_2002, _T_2004) @[el2_lsu_bus_buffer.scala 431:81] - node _T_2006 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:113] - node _T_2007 = and(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 431:98] - node _T_2008 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:125] - node _T_2009 = and(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 431:123] - node _T_2010 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 431:62] - node _T_2011 = and(buf_age[1], _T_2010) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2012 = orr(_T_2011) @[el2_lsu_bus_buffer.scala 431:76] - node _T_2013 = eq(_T_2012, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:45] - node _T_2014 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 431:94] - node _T_2015 = eq(_T_2014, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] - node _T_2016 = and(_T_2013, _T_2015) @[el2_lsu_bus_buffer.scala 431:81] - node _T_2017 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:113] - node _T_2018 = and(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 431:98] - node _T_2019 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:125] - node _T_2020 = and(_T_2018, _T_2019) @[el2_lsu_bus_buffer.scala 431:123] - node _T_2021 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 431:62] - node _T_2022 = and(buf_age[2], _T_2021) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2023 = orr(_T_2022) @[el2_lsu_bus_buffer.scala 431:76] - node _T_2024 = eq(_T_2023, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:45] - node _T_2025 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 431:94] - node _T_2026 = eq(_T_2025, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] - node _T_2027 = and(_T_2024, _T_2026) @[el2_lsu_bus_buffer.scala 431:81] - node _T_2028 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:113] - node _T_2029 = and(_T_2027, _T_2028) @[el2_lsu_bus_buffer.scala 431:98] - node _T_2030 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:125] - node _T_2031 = and(_T_2029, _T_2030) @[el2_lsu_bus_buffer.scala 431:123] - node _T_2032 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 431:62] - node _T_2033 = and(buf_age[3], _T_2032) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2034 = orr(_T_2033) @[el2_lsu_bus_buffer.scala 431:76] - node _T_2035 = eq(_T_2034, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:45] - node _T_2036 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 431:94] - node _T_2037 = eq(_T_2036, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] - node _T_2038 = and(_T_2035, _T_2037) @[el2_lsu_bus_buffer.scala 431:81] - node _T_2039 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:113] - node _T_2040 = and(_T_2038, _T_2039) @[el2_lsu_bus_buffer.scala 431:98] - node _T_2041 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:125] - node _T_2042 = and(_T_2040, _T_2041) @[el2_lsu_bus_buffer.scala 431:123] - node _T_2043 = cat(_T_2042, _T_2031) @[Cat.scala 29:58] - node _T_2044 = cat(_T_2043, _T_2020) @[Cat.scala 29:58] - node CmdPtr1Dec = cat(_T_2044, _T_2009) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 432:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:19] - node _T_2045 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 434:65] - node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:44] - node _T_2047 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 434:85] - node _T_2048 = and(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 434:70] - node _T_2049 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 434:65] - node _T_2050 = eq(_T_2049, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:44] - node _T_2051 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 434:85] - node _T_2052 = and(_T_2050, _T_2051) @[el2_lsu_bus_buffer.scala 434:70] - node _T_2053 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 434:65] - node _T_2054 = eq(_T_2053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:44] - node _T_2055 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 434:85] - node _T_2056 = and(_T_2054, _T_2055) @[el2_lsu_bus_buffer.scala 434:70] - node _T_2057 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 434:65] - node _T_2058 = eq(_T_2057, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:44] - node _T_2059 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 434:85] - node _T_2060 = and(_T_2058, _T_2059) @[el2_lsu_bus_buffer.scala 434:70] - node _T_2061 = cat(_T_2060, _T_2056) @[Cat.scala 29:58] - node _T_2062 = cat(_T_2061, _T_2052) @[Cat.scala 29:58] - node RspPtrDec = cat(_T_2062, _T_2048) @[Cat.scala 29:58] - node _T_2063 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 435:31] - found_cmdptr0 <= _T_2063 @[el2_lsu_bus_buffer.scala 435:17] - node _T_2064 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 436:31] - found_cmdptr1 <= _T_2064 @[el2_lsu_bus_buffer.scala 436:17] - io.Cmdptr0 <= CmdPtr0 @[el2_lsu_bus_buffer.scala 441:14] + WrPtr0_m <= _T_1913 @[el2_lsu_bus_buffer.scala 426:12] + node _T_1914 = cat(buf_state[0], buf_state[1]) @[Cat.scala 29:58] + node _T_1915 = cat(_T_1914, buf_state[2]) @[Cat.scala 29:58] + node _T_1916 = cat(_T_1915, buf_state[3]) @[Cat.scala 29:58] + io.buf_state <= _T_1916 @[el2_lsu_bus_buffer.scala 427:16] + node _T_1917 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:68] + node _T_1918 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:106] + node _T_1919 = and(ibuf_valid, _T_1918) @[el2_lsu_bus_buffer.scala 429:95] + node _T_1920 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:33] + node _T_1921 = and(io.lsu_busreq_m, _T_1920) @[el2_lsu_bus_buffer.scala 430:22] + node _T_1922 = or(_T_1919, _T_1921) @[el2_lsu_bus_buffer.scala 429:115] + node _T_1923 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:36] + node _T_1924 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:34] + node _T_1925 = and(io.ldst_dual_r, _T_1924) @[el2_lsu_bus_buffer.scala 432:23] + node _T_1926 = or(_T_1923, _T_1925) @[el2_lsu_bus_buffer.scala 431:46] + node _T_1927 = and(io.lsu_busreq_r, _T_1926) @[el2_lsu_bus_buffer.scala 431:22] + node _T_1928 = or(_T_1922, _T_1927) @[el2_lsu_bus_buffer.scala 430:42] + node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:81] + node _T_1930 = and(_T_1917, _T_1929) @[el2_lsu_bus_buffer.scala 429:79] + node _T_1931 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:68] + node _T_1932 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:106] + node _T_1933 = and(ibuf_valid, _T_1932) @[el2_lsu_bus_buffer.scala 429:95] + node _T_1934 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:33] + node _T_1935 = and(io.lsu_busreq_m, _T_1934) @[el2_lsu_bus_buffer.scala 430:22] + node _T_1936 = or(_T_1933, _T_1935) @[el2_lsu_bus_buffer.scala 429:115] + node _T_1937 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:36] + node _T_1938 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:34] + node _T_1939 = and(io.ldst_dual_r, _T_1938) @[el2_lsu_bus_buffer.scala 432:23] + node _T_1940 = or(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 431:46] + node _T_1941 = and(io.lsu_busreq_r, _T_1940) @[el2_lsu_bus_buffer.scala 431:22] + node _T_1942 = or(_T_1936, _T_1941) @[el2_lsu_bus_buffer.scala 430:42] + node _T_1943 = eq(_T_1942, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:81] + node _T_1944 = and(_T_1931, _T_1943) @[el2_lsu_bus_buffer.scala 429:79] + node _T_1945 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:68] + node _T_1946 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:106] + node _T_1947 = and(ibuf_valid, _T_1946) @[el2_lsu_bus_buffer.scala 429:95] + node _T_1948 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:33] + node _T_1949 = and(io.lsu_busreq_m, _T_1948) @[el2_lsu_bus_buffer.scala 430:22] + node _T_1950 = or(_T_1947, _T_1949) @[el2_lsu_bus_buffer.scala 429:115] + node _T_1951 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:36] + node _T_1952 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:34] + node _T_1953 = and(io.ldst_dual_r, _T_1952) @[el2_lsu_bus_buffer.scala 432:23] + node _T_1954 = or(_T_1951, _T_1953) @[el2_lsu_bus_buffer.scala 431:46] + node _T_1955 = and(io.lsu_busreq_r, _T_1954) @[el2_lsu_bus_buffer.scala 431:22] + node _T_1956 = or(_T_1950, _T_1955) @[el2_lsu_bus_buffer.scala 430:42] + node _T_1957 = eq(_T_1956, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:81] + node _T_1958 = and(_T_1945, _T_1957) @[el2_lsu_bus_buffer.scala 429:79] + node _T_1959 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:68] + node _T_1960 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:106] + node _T_1961 = and(ibuf_valid, _T_1960) @[el2_lsu_bus_buffer.scala 429:95] + node _T_1962 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:33] + node _T_1963 = and(io.lsu_busreq_m, _T_1962) @[el2_lsu_bus_buffer.scala 430:22] + node _T_1964 = or(_T_1961, _T_1963) @[el2_lsu_bus_buffer.scala 429:115] + node _T_1965 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:36] + node _T_1966 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:34] + node _T_1967 = and(io.ldst_dual_r, _T_1966) @[el2_lsu_bus_buffer.scala 432:23] + node _T_1968 = or(_T_1965, _T_1967) @[el2_lsu_bus_buffer.scala 431:46] + node _T_1969 = and(io.lsu_busreq_r, _T_1968) @[el2_lsu_bus_buffer.scala 431:22] + node _T_1970 = or(_T_1964, _T_1969) @[el2_lsu_bus_buffer.scala 430:42] + node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:81] + node _T_1972 = and(_T_1959, _T_1971) @[el2_lsu_bus_buffer.scala 429:79] + node _T_1973 = mux(_T_1972, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1974 = mux(_T_1958, UInt<2>("h02"), _T_1973) @[Mux.scala 98:16] + node _T_1975 = mux(_T_1944, UInt<1>("h01"), _T_1974) @[Mux.scala 98:16] + node WrPtr1_m = mux(_T_1930, UInt<1>("h00"), _T_1975) @[Mux.scala 98:16] + io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 434:15] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 435:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:11] + node _T_1976 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 438:58] + node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] + node _T_1978 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:78] + node _T_1979 = and(_T_1977, _T_1978) @[el2_lsu_bus_buffer.scala 438:63] + node _T_1980 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:90] + node _T_1981 = and(_T_1979, _T_1980) @[el2_lsu_bus_buffer.scala 438:88] + node _T_1982 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 438:58] + node _T_1983 = eq(_T_1982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] + node _T_1984 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:78] + node _T_1985 = and(_T_1983, _T_1984) @[el2_lsu_bus_buffer.scala 438:63] + node _T_1986 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:90] + node _T_1987 = and(_T_1985, _T_1986) @[el2_lsu_bus_buffer.scala 438:88] + node _T_1988 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 438:58] + node _T_1989 = eq(_T_1988, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] + node _T_1990 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:78] + node _T_1991 = and(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 438:63] + node _T_1992 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:90] + node _T_1993 = and(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 438:88] + node _T_1994 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 438:58] + node _T_1995 = eq(_T_1994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] + node _T_1996 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:78] + node _T_1997 = and(_T_1995, _T_1996) @[el2_lsu_bus_buffer.scala 438:63] + node _T_1998 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:90] + node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 438:88] + node _T_2000 = cat(_T_1999, _T_1993) @[Cat.scala 29:58] + node _T_2001 = cat(_T_2000, _T_1987) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_2001, _T_1981) @[Cat.scala 29:58] + node _T_2002 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 439:62] + node _T_2003 = and(buf_age[0], _T_2002) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2004 = orr(_T_2003) @[el2_lsu_bus_buffer.scala 439:76] + node _T_2005 = eq(_T_2004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] + node _T_2006 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2007 = eq(_T_2006, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:83] + node _T_2008 = and(_T_2005, _T_2007) @[el2_lsu_bus_buffer.scala 439:81] + node _T_2009 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:113] + node _T_2010 = and(_T_2008, _T_2009) @[el2_lsu_bus_buffer.scala 439:98] + node _T_2011 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:125] + node _T_2012 = and(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 439:123] + node _T_2013 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 439:62] + node _T_2014 = and(buf_age[1], _T_2013) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2015 = orr(_T_2014) @[el2_lsu_bus_buffer.scala 439:76] + node _T_2016 = eq(_T_2015, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] + node _T_2017 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2018 = eq(_T_2017, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:83] + node _T_2019 = and(_T_2016, _T_2018) @[el2_lsu_bus_buffer.scala 439:81] + node _T_2020 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:113] + node _T_2021 = and(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 439:98] + node _T_2022 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:125] + node _T_2023 = and(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 439:123] + node _T_2024 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 439:62] + node _T_2025 = and(buf_age[2], _T_2024) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2026 = orr(_T_2025) @[el2_lsu_bus_buffer.scala 439:76] + node _T_2027 = eq(_T_2026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] + node _T_2028 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2029 = eq(_T_2028, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:83] + node _T_2030 = and(_T_2027, _T_2029) @[el2_lsu_bus_buffer.scala 439:81] + node _T_2031 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:113] + node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 439:98] + node _T_2033 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:125] + node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 439:123] + node _T_2035 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 439:62] + node _T_2036 = and(buf_age[3], _T_2035) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2037 = orr(_T_2036) @[el2_lsu_bus_buffer.scala 439:76] + node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] + node _T_2039 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 439:94] + node _T_2040 = eq(_T_2039, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:83] + node _T_2041 = and(_T_2038, _T_2040) @[el2_lsu_bus_buffer.scala 439:81] + node _T_2042 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:113] + node _T_2043 = and(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 439:98] + node _T_2044 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:125] + node _T_2045 = and(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 439:123] + node _T_2046 = cat(_T_2045, _T_2034) @[Cat.scala 29:58] + node _T_2047 = cat(_T_2046, _T_2023) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_2047, _T_2012) @[Cat.scala 29:58] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 440:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 441:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 441:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 441:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 441:19] + node _T_2048 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2049 = eq(_T_2048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:44] + node _T_2050 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 442:85] + node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 442:70] + node _T_2052 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2053 = eq(_T_2052, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:44] + node _T_2054 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 442:85] + node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 442:70] + node _T_2056 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2057 = eq(_T_2056, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:44] + node _T_2058 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 442:85] + node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 442:70] + node _T_2060 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 442:65] + node _T_2061 = eq(_T_2060, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:44] + node _T_2062 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 442:85] + node _T_2063 = and(_T_2061, _T_2062) @[el2_lsu_bus_buffer.scala 442:70] + node _T_2064 = cat(_T_2063, _T_2059) @[Cat.scala 29:58] + node _T_2065 = cat(_T_2064, _T_2055) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_2065, _T_2051) @[Cat.scala 29:58] + node _T_2066 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 443:31] + found_cmdptr0 <= _T_2066 @[el2_lsu_bus_buffer.scala 443:17] + node _T_2067 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 444:31] + found_cmdptr1 <= _T_2067 @[el2_lsu_bus_buffer.scala 444:17] + io.Cmdptr0 <= CmdPtr0 @[el2_lsu_bus_buffer.scala 449:14] wire CmdPtr1 : UInt<2> CmdPtr1 <= UInt<1>("h00") wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") - node _T_2065 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2066 = cat(_T_2065, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_2067 = bits(_T_2066, 4, 4) @[el2_lsu_bus_buffer.scala 438:39] - node _T_2068 = bits(_T_2066, 5, 5) @[el2_lsu_bus_buffer.scala 438:45] - node _T_2069 = or(_T_2067, _T_2068) @[el2_lsu_bus_buffer.scala 438:42] - node _T_2070 = bits(_T_2066, 6, 6) @[el2_lsu_bus_buffer.scala 438:51] - node _T_2071 = or(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 438:48] - node _T_2072 = bits(_T_2066, 7, 7) @[el2_lsu_bus_buffer.scala 438:57] - node _T_2073 = or(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 438:54] - node _T_2074 = bits(_T_2066, 2, 2) @[el2_lsu_bus_buffer.scala 438:64] - node _T_2075 = bits(_T_2066, 3, 3) @[el2_lsu_bus_buffer.scala 438:70] - node _T_2076 = or(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 438:67] - node _T_2077 = bits(_T_2066, 6, 6) @[el2_lsu_bus_buffer.scala 438:76] - node _T_2078 = or(_T_2076, _T_2077) @[el2_lsu_bus_buffer.scala 438:73] - node _T_2079 = bits(_T_2066, 7, 7) @[el2_lsu_bus_buffer.scala 438:82] - node _T_2080 = or(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 438:79] - node _T_2081 = bits(_T_2066, 1, 1) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2082 = bits(_T_2066, 3, 3) @[el2_lsu_bus_buffer.scala 438:95] - node _T_2083 = or(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 438:92] - node _T_2084 = bits(_T_2066, 5, 5) @[el2_lsu_bus_buffer.scala 438:101] - node _T_2085 = or(_T_2083, _T_2084) @[el2_lsu_bus_buffer.scala 438:98] - node _T_2086 = bits(_T_2066, 7, 7) @[el2_lsu_bus_buffer.scala 438:107] - node _T_2087 = or(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2088 = cat(_T_2073, _T_2080) @[Cat.scala 29:58] - node _T_2089 = cat(_T_2088, _T_2087) @[Cat.scala 29:58] - CmdPtr0 <= _T_2089 @[el2_lsu_bus_buffer.scala 444:11] - node _T_2090 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2091 = cat(_T_2090, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2092 = bits(_T_2091, 4, 4) @[el2_lsu_bus_buffer.scala 438:39] - node _T_2093 = bits(_T_2091, 5, 5) @[el2_lsu_bus_buffer.scala 438:45] - node _T_2094 = or(_T_2092, _T_2093) @[el2_lsu_bus_buffer.scala 438:42] - node _T_2095 = bits(_T_2091, 6, 6) @[el2_lsu_bus_buffer.scala 438:51] - node _T_2096 = or(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 438:48] - node _T_2097 = bits(_T_2091, 7, 7) @[el2_lsu_bus_buffer.scala 438:57] - node _T_2098 = or(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 438:54] - node _T_2099 = bits(_T_2091, 2, 2) @[el2_lsu_bus_buffer.scala 438:64] - node _T_2100 = bits(_T_2091, 3, 3) @[el2_lsu_bus_buffer.scala 438:70] - node _T_2101 = or(_T_2099, _T_2100) @[el2_lsu_bus_buffer.scala 438:67] - node _T_2102 = bits(_T_2091, 6, 6) @[el2_lsu_bus_buffer.scala 438:76] - node _T_2103 = or(_T_2101, _T_2102) @[el2_lsu_bus_buffer.scala 438:73] - node _T_2104 = bits(_T_2091, 7, 7) @[el2_lsu_bus_buffer.scala 438:82] - node _T_2105 = or(_T_2103, _T_2104) @[el2_lsu_bus_buffer.scala 438:79] - node _T_2106 = bits(_T_2091, 1, 1) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2107 = bits(_T_2091, 3, 3) @[el2_lsu_bus_buffer.scala 438:95] - node _T_2108 = or(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 438:92] - node _T_2109 = bits(_T_2091, 5, 5) @[el2_lsu_bus_buffer.scala 438:101] - node _T_2110 = or(_T_2108, _T_2109) @[el2_lsu_bus_buffer.scala 438:98] - node _T_2111 = bits(_T_2091, 7, 7) @[el2_lsu_bus_buffer.scala 438:107] - node _T_2112 = or(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2113 = cat(_T_2098, _T_2105) @[Cat.scala 29:58] - node _T_2114 = cat(_T_2113, _T_2112) @[Cat.scala 29:58] - CmdPtr1 <= _T_2114 @[el2_lsu_bus_buffer.scala 446:11] - node _T_2115 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2116 = cat(_T_2115, RspPtrDec) @[Cat.scala 29:58] - node _T_2117 = bits(_T_2116, 4, 4) @[el2_lsu_bus_buffer.scala 438:39] - node _T_2118 = bits(_T_2116, 5, 5) @[el2_lsu_bus_buffer.scala 438:45] - node _T_2119 = or(_T_2117, _T_2118) @[el2_lsu_bus_buffer.scala 438:42] - node _T_2120 = bits(_T_2116, 6, 6) @[el2_lsu_bus_buffer.scala 438:51] - node _T_2121 = or(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 438:48] - node _T_2122 = bits(_T_2116, 7, 7) @[el2_lsu_bus_buffer.scala 438:57] - node _T_2123 = or(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 438:54] - node _T_2124 = bits(_T_2116, 2, 2) @[el2_lsu_bus_buffer.scala 438:64] - node _T_2125 = bits(_T_2116, 3, 3) @[el2_lsu_bus_buffer.scala 438:70] - node _T_2126 = or(_T_2124, _T_2125) @[el2_lsu_bus_buffer.scala 438:67] - node _T_2127 = bits(_T_2116, 6, 6) @[el2_lsu_bus_buffer.scala 438:76] - node _T_2128 = or(_T_2126, _T_2127) @[el2_lsu_bus_buffer.scala 438:73] - node _T_2129 = bits(_T_2116, 7, 7) @[el2_lsu_bus_buffer.scala 438:82] - node _T_2130 = or(_T_2128, _T_2129) @[el2_lsu_bus_buffer.scala 438:79] - node _T_2131 = bits(_T_2116, 1, 1) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2132 = bits(_T_2116, 3, 3) @[el2_lsu_bus_buffer.scala 438:95] - node _T_2133 = or(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 438:92] - node _T_2134 = bits(_T_2116, 5, 5) @[el2_lsu_bus_buffer.scala 438:101] - node _T_2135 = or(_T_2133, _T_2134) @[el2_lsu_bus_buffer.scala 438:98] - node _T_2136 = bits(_T_2116, 7, 7) @[el2_lsu_bus_buffer.scala 438:107] - node _T_2137 = or(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2138 = cat(_T_2123, _T_2130) @[Cat.scala 29:58] - node _T_2139 = cat(_T_2138, _T_2137) @[Cat.scala 29:58] - RspPtr <= _T_2139 @[el2_lsu_bus_buffer.scala 447:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 448:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 450:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 452:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 454:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 456:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:14] - node _T_2140 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2141 = and(_T_2140, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2142 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2143 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2144 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2145 = and(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2146 = or(_T_2142, _T_2145) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2147 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2148 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2149 = and(_T_2147, _T_2148) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2150 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2151 = and(_T_2149, _T_2150) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2152 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2153 = and(_T_2151, _T_2152) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2154 = or(_T_2146, _T_2153) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2155 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2156 = and(_T_2155, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2157 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2158 = and(_T_2156, _T_2157) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2159 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2161 = or(_T_2154, _T_2160) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2162 = and(_T_2141, _T_2161) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2163 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2164 = or(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2165 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2166 = and(_T_2165, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2167 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2168 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2169 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2170 = and(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2171 = or(_T_2167, _T_2170) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2172 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2173 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2174 = and(_T_2172, _T_2173) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2175 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2176 = and(_T_2174, _T_2175) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2177 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2178 = and(_T_2176, _T_2177) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2179 = or(_T_2171, _T_2178) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2180 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2181 = and(_T_2180, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2182 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2183 = and(_T_2181, _T_2182) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2184 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2186 = or(_T_2179, _T_2185) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2187 = and(_T_2166, _T_2186) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2188 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2189 = or(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2190 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2191 = and(_T_2190, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2192 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2193 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2194 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2195 = and(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2196 = or(_T_2192, _T_2195) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2197 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2198 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2199 = and(_T_2197, _T_2198) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2200 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2201 = and(_T_2199, _T_2200) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2202 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2203 = and(_T_2201, _T_2202) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2204 = or(_T_2196, _T_2203) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2205 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2206 = and(_T_2205, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2207 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2208 = and(_T_2206, _T_2207) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2209 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2211 = or(_T_2204, _T_2210) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2212 = and(_T_2191, _T_2211) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2213 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2214 = or(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2215 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2216 = and(_T_2215, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2217 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2218 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2219 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2221 = or(_T_2217, _T_2220) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2222 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2223 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2224 = and(_T_2222, _T_2223) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2225 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2226 = and(_T_2224, _T_2225) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2227 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2228 = and(_T_2226, _T_2227) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2229 = or(_T_2221, _T_2228) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2230 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2231 = and(_T_2230, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2232 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2233 = and(_T_2231, _T_2232) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2234 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2236 = or(_T_2229, _T_2235) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2237 = and(_T_2216, _T_2236) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2238 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2239 = or(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2240 = cat(_T_2239, _T_2214) @[Cat.scala 29:58] - node _T_2241 = cat(_T_2240, _T_2189) @[Cat.scala 29:58] - node buf_age_in_0 = cat(_T_2241, _T_2164) @[Cat.scala 29:58] - node _T_2242 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2243 = and(_T_2242, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2244 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2245 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2246 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2247 = and(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2248 = or(_T_2244, _T_2247) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2249 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2250 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2251 = and(_T_2249, _T_2250) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2252 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2253 = and(_T_2251, _T_2252) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2254 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2255 = and(_T_2253, _T_2254) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2256 = or(_T_2248, _T_2255) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2257 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2258 = and(_T_2257, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2259 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2260 = and(_T_2258, _T_2259) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2261 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2263 = or(_T_2256, _T_2262) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2264 = and(_T_2243, _T_2263) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2265 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2266 = or(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2267 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2268 = and(_T_2267, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2269 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2270 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2271 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2272 = and(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2273 = or(_T_2269, _T_2272) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2274 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2275 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2276 = and(_T_2274, _T_2275) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2277 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2278 = and(_T_2276, _T_2277) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2279 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2280 = and(_T_2278, _T_2279) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2281 = or(_T_2273, _T_2280) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2282 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2283 = and(_T_2282, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2284 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2285 = and(_T_2283, _T_2284) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2286 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2288 = or(_T_2281, _T_2287) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2289 = and(_T_2268, _T_2288) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2290 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2291 = or(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2292 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2293 = and(_T_2292, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2294 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2295 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2296 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2297 = and(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2298 = or(_T_2294, _T_2297) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2299 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2300 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2301 = and(_T_2299, _T_2300) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2302 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2303 = and(_T_2301, _T_2302) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2304 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2305 = and(_T_2303, _T_2304) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2306 = or(_T_2298, _T_2305) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2307 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2308 = and(_T_2307, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2309 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2310 = and(_T_2308, _T_2309) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2311 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2313 = or(_T_2306, _T_2312) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2314 = and(_T_2293, _T_2313) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2315 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2316 = or(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2317 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2318 = and(_T_2317, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2319 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2320 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2321 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2323 = or(_T_2319, _T_2322) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2324 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2325 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2326 = and(_T_2324, _T_2325) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2327 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2328 = and(_T_2326, _T_2327) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2329 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2330 = and(_T_2328, _T_2329) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2331 = or(_T_2323, _T_2330) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2332 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2333 = and(_T_2332, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2334 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2335 = and(_T_2333, _T_2334) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2336 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2338 = or(_T_2331, _T_2337) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2339 = and(_T_2318, _T_2338) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2340 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2341 = or(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2342 = cat(_T_2341, _T_2316) @[Cat.scala 29:58] - node _T_2343 = cat(_T_2342, _T_2291) @[Cat.scala 29:58] - node buf_age_in_1 = cat(_T_2343, _T_2266) @[Cat.scala 29:58] - node _T_2344 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2345 = and(_T_2344, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2346 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2347 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2348 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2349 = and(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2350 = or(_T_2346, _T_2349) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2351 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2352 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2353 = and(_T_2351, _T_2352) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2354 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2355 = and(_T_2353, _T_2354) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2356 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2357 = and(_T_2355, _T_2356) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2358 = or(_T_2350, _T_2357) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2359 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2360 = and(_T_2359, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2361 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2362 = and(_T_2360, _T_2361) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2363 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2365 = or(_T_2358, _T_2364) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2366 = and(_T_2345, _T_2365) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2367 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2368 = or(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2369 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2370 = and(_T_2369, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2371 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2372 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2373 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2374 = and(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2375 = or(_T_2371, _T_2374) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2376 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2377 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2378 = and(_T_2376, _T_2377) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2379 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2380 = and(_T_2378, _T_2379) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2381 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2382 = and(_T_2380, _T_2381) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2383 = or(_T_2375, _T_2382) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2384 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2385 = and(_T_2384, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2386 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2387 = and(_T_2385, _T_2386) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2388 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2390 = or(_T_2383, _T_2389) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2391 = and(_T_2370, _T_2390) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2392 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2393 = or(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2394 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2395 = and(_T_2394, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2396 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2397 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2398 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2399 = and(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2400 = or(_T_2396, _T_2399) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2401 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2402 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2403 = and(_T_2401, _T_2402) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2404 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2405 = and(_T_2403, _T_2404) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2406 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2407 = and(_T_2405, _T_2406) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2408 = or(_T_2400, _T_2407) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2409 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2410 = and(_T_2409, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2411 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2412 = and(_T_2410, _T_2411) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2413 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2415 = or(_T_2408, _T_2414) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2416 = and(_T_2395, _T_2415) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2417 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2418 = or(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2419 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2420 = and(_T_2419, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2421 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2422 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2423 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2424 = and(_T_2422, _T_2423) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2425 = or(_T_2421, _T_2424) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2426 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2427 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2428 = and(_T_2426, _T_2427) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2429 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2430 = and(_T_2428, _T_2429) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2431 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2432 = and(_T_2430, _T_2431) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2433 = or(_T_2425, _T_2432) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2434 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2435 = and(_T_2434, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2436 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2437 = and(_T_2435, _T_2436) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2438 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2440 = or(_T_2433, _T_2439) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2441 = and(_T_2420, _T_2440) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2442 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2443 = or(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2444 = cat(_T_2443, _T_2418) @[Cat.scala 29:58] - node _T_2445 = cat(_T_2444, _T_2393) @[Cat.scala 29:58] - node buf_age_in_2 = cat(_T_2445, _T_2368) @[Cat.scala 29:58] - node _T_2446 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2447 = and(_T_2446, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2448 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2449 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2450 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2451 = and(_T_2449, _T_2450) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2452 = or(_T_2448, _T_2451) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2453 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2454 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2455 = and(_T_2453, _T_2454) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2456 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2457 = and(_T_2455, _T_2456) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2458 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2459 = and(_T_2457, _T_2458) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2460 = or(_T_2452, _T_2459) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2461 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2462 = and(_T_2461, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2463 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2464 = and(_T_2462, _T_2463) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2465 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2466 = and(_T_2464, _T_2465) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2467 = or(_T_2460, _T_2466) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2468 = and(_T_2447, _T_2467) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2469 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2470 = or(_T_2468, _T_2469) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2471 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2472 = and(_T_2471, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2473 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2474 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2475 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2476 = and(_T_2474, _T_2475) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2477 = or(_T_2473, _T_2476) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2478 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2479 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2480 = and(_T_2478, _T_2479) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2481 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2482 = and(_T_2480, _T_2481) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2483 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2484 = and(_T_2482, _T_2483) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2485 = or(_T_2477, _T_2484) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2486 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2487 = and(_T_2486, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2488 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2489 = and(_T_2487, _T_2488) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2490 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2491 = and(_T_2489, _T_2490) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2492 = or(_T_2485, _T_2491) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2493 = and(_T_2472, _T_2492) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2494 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2495 = or(_T_2493, _T_2494) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2496 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2497 = and(_T_2496, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2498 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2499 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2500 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2501 = and(_T_2499, _T_2500) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2502 = or(_T_2498, _T_2501) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2503 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2504 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2505 = and(_T_2503, _T_2504) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2506 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2507 = and(_T_2505, _T_2506) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2508 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2509 = and(_T_2507, _T_2508) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2510 = or(_T_2502, _T_2509) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2511 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2512 = and(_T_2511, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2513 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2514 = and(_T_2512, _T_2513) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2515 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2516 = and(_T_2514, _T_2515) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2517 = or(_T_2510, _T_2516) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2518 = and(_T_2497, _T_2517) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2519 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2520 = or(_T_2518, _T_2519) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2521 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2522 = and(_T_2521, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 459:94] - node _T_2523 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] - node _T_2524 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] - node _T_2525 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] - node _T_2526 = and(_T_2524, _T_2525) @[el2_lsu_bus_buffer.scala 460:57] - node _T_2527 = or(_T_2523, _T_2526) @[el2_lsu_bus_buffer.scala 460:31] - node _T_2528 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] - node _T_2529 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_2530 = and(_T_2528, _T_2529) @[el2_lsu_bus_buffer.scala 461:41] - node _T_2531 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:83] - node _T_2532 = and(_T_2530, _T_2531) @[el2_lsu_bus_buffer.scala 461:71] - node _T_2533 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:104] - node _T_2534 = and(_T_2532, _T_2533) @[el2_lsu_bus_buffer.scala 461:92] - node _T_2535 = or(_T_2527, _T_2534) @[el2_lsu_bus_buffer.scala 460:86] - node _T_2536 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] - node _T_2537 = and(_T_2536, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] - node _T_2538 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:64] - node _T_2539 = and(_T_2537, _T_2538) @[el2_lsu_bus_buffer.scala 462:52] - node _T_2540 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:85] - node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 462:73] - node _T_2542 = or(_T_2535, _T_2541) @[el2_lsu_bus_buffer.scala 461:114] - node _T_2543 = and(_T_2522, _T_2542) @[el2_lsu_bus_buffer.scala 459:113] - node _T_2544 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 462:109] - node _T_2545 = or(_T_2543, _T_2544) @[el2_lsu_bus_buffer.scala 462:97] - node _T_2546 = cat(_T_2545, _T_2520) @[Cat.scala 29:58] - node _T_2547 = cat(_T_2546, _T_2495) @[Cat.scala 29:58] - node buf_age_in_3 = cat(_T_2547, _T_2470) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 463:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:12] - node _T_2548 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2549 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2550 = and(_T_2549, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2552 = and(_T_2548, _T_2551) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2553 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2554 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2555 = and(_T_2554, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2556 = eq(_T_2555, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2557 = and(_T_2553, _T_2556) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2558 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2559 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2560 = and(_T_2559, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2562 = and(_T_2558, _T_2561) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2563 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2564 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2565 = and(_T_2564, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2567 = and(_T_2563, _T_2566) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2568 = cat(_T_2567, _T_2562) @[Cat.scala 29:58] - node _T_2569 = cat(_T_2568, _T_2557) @[Cat.scala 29:58] - node _T_2570 = cat(_T_2569, _T_2552) @[Cat.scala 29:58] - node _T_2571 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2572 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2573 = and(_T_2572, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2574 = eq(_T_2573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2575 = and(_T_2571, _T_2574) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2576 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2577 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2578 = and(_T_2577, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2579 = eq(_T_2578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2580 = and(_T_2576, _T_2579) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2581 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2582 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2583 = and(_T_2582, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2585 = and(_T_2581, _T_2584) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2586 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2587 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2588 = and(_T_2587, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2589 = eq(_T_2588, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2590 = and(_T_2586, _T_2589) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2591 = cat(_T_2590, _T_2585) @[Cat.scala 29:58] - node _T_2592 = cat(_T_2591, _T_2580) @[Cat.scala 29:58] - node _T_2593 = cat(_T_2592, _T_2575) @[Cat.scala 29:58] - node _T_2594 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2595 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2596 = and(_T_2595, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2597 = eq(_T_2596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2598 = and(_T_2594, _T_2597) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2599 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2600 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2601 = and(_T_2600, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2602 = eq(_T_2601, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2603 = and(_T_2599, _T_2602) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2604 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2605 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2606 = and(_T_2605, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2607 = eq(_T_2606, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2608 = and(_T_2604, _T_2607) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2609 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2610 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2611 = and(_T_2610, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2612 = eq(_T_2611, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2613 = and(_T_2609, _T_2612) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2614 = cat(_T_2613, _T_2608) @[Cat.scala 29:58] - node _T_2615 = cat(_T_2614, _T_2603) @[Cat.scala 29:58] - node _T_2616 = cat(_T_2615, _T_2598) @[Cat.scala 29:58] - node _T_2617 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2618 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2619 = and(_T_2618, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2621 = and(_T_2617, _T_2620) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2622 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2623 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2624 = and(_T_2623, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2625 = eq(_T_2624, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2626 = and(_T_2622, _T_2625) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2627 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2628 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2629 = and(_T_2628, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2631 = and(_T_2627, _T_2630) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2632 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2633 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2634 = and(_T_2633, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 465:105] - node _T_2635 = eq(_T_2634, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] - node _T_2636 = and(_T_2632, _T_2635) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2637 = cat(_T_2636, _T_2631) @[Cat.scala 29:58] - node _T_2638 = cat(_T_2637, _T_2626) @[Cat.scala 29:58] - node _T_2639 = cat(_T_2638, _T_2621) @[Cat.scala 29:58] - buf_age[0] <= _T_2570 @[el2_lsu_bus_buffer.scala 465:13] - buf_age[1] <= _T_2593 @[el2_lsu_bus_buffer.scala 465:13] - buf_age[2] <= _T_2616 @[el2_lsu_bus_buffer.scala 465:13] - buf_age[3] <= _T_2639 @[el2_lsu_bus_buffer.scala 465:13] - node _T_2640 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2641 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2643 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2645 = mux(_T_2640, UInt<1>("h00"), _T_2644) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2646 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2647 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2649 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2652 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2653 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2654 = eq(_T_2653, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2655 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2657 = mux(_T_2652, UInt<1>("h00"), _T_2656) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2658 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2659 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2660 = eq(_T_2659, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2661 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2663 = mux(_T_2658, UInt<1>("h00"), _T_2662) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2664 = cat(_T_2663, _T_2657) @[Cat.scala 29:58] - node _T_2665 = cat(_T_2664, _T_2651) @[Cat.scala 29:58] - node _T_2666 = cat(_T_2665, _T_2645) @[Cat.scala 29:58] - node _T_2667 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2668 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2670 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2673 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2674 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2676 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2679 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2680 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2681 = eq(_T_2680, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2682 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2684 = mux(_T_2679, UInt<1>("h00"), _T_2683) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2685 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2686 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2687 = eq(_T_2686, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2688 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2690 = mux(_T_2685, UInt<1>("h00"), _T_2689) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2691 = cat(_T_2690, _T_2684) @[Cat.scala 29:58] - node _T_2692 = cat(_T_2691, _T_2678) @[Cat.scala 29:58] - node _T_2693 = cat(_T_2692, _T_2672) @[Cat.scala 29:58] - node _T_2694 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2695 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2697 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2700 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2701 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2703 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2704 = and(_T_2702, _T_2703) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2706 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2707 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2708 = eq(_T_2707, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2709 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2710 = and(_T_2708, _T_2709) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2711 = mux(_T_2706, UInt<1>("h00"), _T_2710) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2712 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2713 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2714 = eq(_T_2713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2715 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2716 = and(_T_2714, _T_2715) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2717 = mux(_T_2712, UInt<1>("h00"), _T_2716) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2718 = cat(_T_2717, _T_2711) @[Cat.scala 29:58] - node _T_2719 = cat(_T_2718, _T_2705) @[Cat.scala 29:58] - node _T_2720 = cat(_T_2719, _T_2699) @[Cat.scala 29:58] - node _T_2721 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2722 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2724 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2725 = and(_T_2723, _T_2724) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2727 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2728 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2730 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2733 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2734 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2735 = eq(_T_2734, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2736 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2738 = mux(_T_2733, UInt<1>("h00"), _T_2737) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2739 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 466:78] - node _T_2740 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 466:102] - node _T_2741 = eq(_T_2740, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] - node _T_2742 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] - node _T_2743 = and(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 466:106] - node _T_2744 = mux(_T_2739, UInt<1>("h00"), _T_2743) @[el2_lsu_bus_buffer.scala 466:74] - node _T_2745 = cat(_T_2744, _T_2738) @[Cat.scala 29:58] - node _T_2746 = cat(_T_2745, _T_2732) @[Cat.scala 29:58] - node _T_2747 = cat(_T_2746, _T_2726) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2666 @[el2_lsu_bus_buffer.scala 466:21] - buf_age_younger[1] <= _T_2693 @[el2_lsu_bus_buffer.scala 466:21] - buf_age_younger[2] <= _T_2720 @[el2_lsu_bus_buffer.scala 466:21] - buf_age_younger[3] <= _T_2747 @[el2_lsu_bus_buffer.scala 466:21] - node _T_2748 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2749 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2750 = and(_T_2748, _T_2749) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2751 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2752 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2753 = and(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2754 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2755 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2757 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2758 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2760 = cat(_T_2759, _T_2756) @[Cat.scala 29:58] - node _T_2761 = cat(_T_2760, _T_2753) @[Cat.scala 29:58] - node _T_2762 = cat(_T_2761, _T_2750) @[Cat.scala 29:58] - node _T_2763 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2764 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2766 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2767 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2769 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2770 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2772 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2773 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2775 = cat(_T_2774, _T_2771) @[Cat.scala 29:58] - node _T_2776 = cat(_T_2775, _T_2768) @[Cat.scala 29:58] - node _T_2777 = cat(_T_2776, _T_2765) @[Cat.scala 29:58] - node _T_2778 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2779 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2781 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2782 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2784 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2785 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2786 = and(_T_2784, _T_2785) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2787 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2788 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2790 = cat(_T_2789, _T_2786) @[Cat.scala 29:58] - node _T_2791 = cat(_T_2790, _T_2783) @[Cat.scala 29:58] - node _T_2792 = cat(_T_2791, _T_2780) @[Cat.scala 29:58] - node _T_2793 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2794 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2796 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2797 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2799 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2800 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2802 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 467:85] - node _T_2803 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] - node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 467:89] - node _T_2805 = cat(_T_2804, _T_2801) @[Cat.scala 29:58] - node _T_2806 = cat(_T_2805, _T_2798) @[Cat.scala 29:58] - node _T_2807 = cat(_T_2806, _T_2795) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2762 @[el2_lsu_bus_buffer.scala 467:21] - buf_rsp_pickage[1] <= _T_2777 @[el2_lsu_bus_buffer.scala 467:21] - buf_rsp_pickage[2] <= _T_2792 @[el2_lsu_bus_buffer.scala 467:21] - buf_rsp_pickage[3] <= _T_2807 @[el2_lsu_bus_buffer.scala 467:21] - node _T_2808 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2809 = and(_T_2808, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_2810 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2811 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_2812 = or(_T_2810, _T_2811) @[el2_lsu_bus_buffer.scala 470:34] - node _T_2813 = eq(_T_2812, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_2814 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_2815 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_2816 = and(_T_2814, _T_2815) @[el2_lsu_bus_buffer.scala 471:43] - node _T_2817 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2818 = and(_T_2816, _T_2817) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2819 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_2820 = and(_T_2818, _T_2819) @[el2_lsu_bus_buffer.scala 471:92] - node _T_2821 = or(_T_2813, _T_2820) @[el2_lsu_bus_buffer.scala 470:61] - node _T_2822 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_2823 = and(_T_2822, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_2824 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_2825 = and(_T_2823, _T_2824) @[el2_lsu_bus_buffer.scala 472:54] - node _T_2826 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_2827 = and(_T_2825, _T_2826) @[el2_lsu_bus_buffer.scala 472:73] - node _T_2828 = or(_T_2821, _T_2827) @[el2_lsu_bus_buffer.scala 471:112] - node _T_2829 = and(_T_2809, _T_2828) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2830 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2831 = and(_T_2830, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_2832 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2833 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_2834 = or(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 470:34] - node _T_2835 = eq(_T_2834, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_2836 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_2837 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 471:43] - node _T_2839 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2841 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_2842 = and(_T_2840, _T_2841) @[el2_lsu_bus_buffer.scala 471:92] - node _T_2843 = or(_T_2835, _T_2842) @[el2_lsu_bus_buffer.scala 470:61] - node _T_2844 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_2845 = and(_T_2844, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_2846 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 472:54] - node _T_2848 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 472:73] - node _T_2850 = or(_T_2843, _T_2849) @[el2_lsu_bus_buffer.scala 471:112] - node _T_2851 = and(_T_2831, _T_2850) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2852 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2853 = and(_T_2852, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_2854 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2855 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_2856 = or(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 470:34] - node _T_2857 = eq(_T_2856, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_2858 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_2859 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 471:43] - node _T_2861 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2863 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_2864 = and(_T_2862, _T_2863) @[el2_lsu_bus_buffer.scala 471:92] - node _T_2865 = or(_T_2857, _T_2864) @[el2_lsu_bus_buffer.scala 470:61] - node _T_2866 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_2867 = and(_T_2866, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_2868 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 472:54] - node _T_2870 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 472:73] - node _T_2872 = or(_T_2865, _T_2871) @[el2_lsu_bus_buffer.scala 471:112] - node _T_2873 = and(_T_2853, _T_2872) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2874 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2875 = and(_T_2874, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_2876 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2877 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_2878 = or(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 470:34] - node _T_2879 = eq(_T_2878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_2880 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_2881 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 471:43] - node _T_2883 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2885 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_2886 = and(_T_2884, _T_2885) @[el2_lsu_bus_buffer.scala 471:92] - node _T_2887 = or(_T_2879, _T_2886) @[el2_lsu_bus_buffer.scala 470:61] - node _T_2888 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_2889 = and(_T_2888, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_2890 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 472:54] - node _T_2892 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 472:73] - node _T_2894 = or(_T_2887, _T_2893) @[el2_lsu_bus_buffer.scala 471:112] - node _T_2895 = and(_T_2875, _T_2894) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2896 = cat(_T_2895, _T_2873) @[Cat.scala 29:58] - node _T_2897 = cat(_T_2896, _T_2851) @[Cat.scala 29:58] - node _T_2898 = cat(_T_2897, _T_2829) @[Cat.scala 29:58] - node _T_2899 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2900 = and(_T_2899, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_2901 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2902 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_2903 = or(_T_2901, _T_2902) @[el2_lsu_bus_buffer.scala 470:34] - node _T_2904 = eq(_T_2903, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_2905 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_2906 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_2907 = and(_T_2905, _T_2906) @[el2_lsu_bus_buffer.scala 471:43] - node _T_2908 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2909 = and(_T_2907, _T_2908) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2910 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_2911 = and(_T_2909, _T_2910) @[el2_lsu_bus_buffer.scala 471:92] - node _T_2912 = or(_T_2904, _T_2911) @[el2_lsu_bus_buffer.scala 470:61] - node _T_2913 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_2914 = and(_T_2913, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_2915 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_2916 = and(_T_2914, _T_2915) @[el2_lsu_bus_buffer.scala 472:54] - node _T_2917 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_2918 = and(_T_2916, _T_2917) @[el2_lsu_bus_buffer.scala 472:73] - node _T_2919 = or(_T_2912, _T_2918) @[el2_lsu_bus_buffer.scala 471:112] - node _T_2920 = and(_T_2900, _T_2919) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2921 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2922 = and(_T_2921, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_2923 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2924 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_2925 = or(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 470:34] - node _T_2926 = eq(_T_2925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_2927 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_2928 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 471:43] - node _T_2930 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2932 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_2933 = and(_T_2931, _T_2932) @[el2_lsu_bus_buffer.scala 471:92] - node _T_2934 = or(_T_2926, _T_2933) @[el2_lsu_bus_buffer.scala 470:61] - node _T_2935 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_2936 = and(_T_2935, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_2937 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 472:54] - node _T_2939 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 472:73] - node _T_2941 = or(_T_2934, _T_2940) @[el2_lsu_bus_buffer.scala 471:112] - node _T_2942 = and(_T_2922, _T_2941) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2943 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2944 = and(_T_2943, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_2945 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2946 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_2947 = or(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 470:34] - node _T_2948 = eq(_T_2947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_2949 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_2950 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 471:43] - node _T_2952 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2954 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_2955 = and(_T_2953, _T_2954) @[el2_lsu_bus_buffer.scala 471:92] - node _T_2956 = or(_T_2948, _T_2955) @[el2_lsu_bus_buffer.scala 470:61] - node _T_2957 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_2958 = and(_T_2957, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_2959 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 472:54] - node _T_2961 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 472:73] - node _T_2963 = or(_T_2956, _T_2962) @[el2_lsu_bus_buffer.scala 471:112] - node _T_2964 = and(_T_2944, _T_2963) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2965 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2966 = and(_T_2965, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_2967 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2968 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_2969 = or(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 470:34] - node _T_2970 = eq(_T_2969, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_2971 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_2972 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 471:43] - node _T_2974 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2976 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_2977 = and(_T_2975, _T_2976) @[el2_lsu_bus_buffer.scala 471:92] - node _T_2978 = or(_T_2970, _T_2977) @[el2_lsu_bus_buffer.scala 470:61] - node _T_2979 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_2980 = and(_T_2979, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_2981 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 472:54] - node _T_2983 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 472:73] - node _T_2985 = or(_T_2978, _T_2984) @[el2_lsu_bus_buffer.scala 471:112] - node _T_2986 = and(_T_2966, _T_2985) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2987 = cat(_T_2986, _T_2964) @[Cat.scala 29:58] - node _T_2988 = cat(_T_2987, _T_2942) @[Cat.scala 29:58] - node _T_2989 = cat(_T_2988, _T_2920) @[Cat.scala 29:58] - node _T_2990 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2991 = and(_T_2990, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_2992 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2993 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_2994 = or(_T_2992, _T_2993) @[el2_lsu_bus_buffer.scala 470:34] - node _T_2995 = eq(_T_2994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_2996 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_2997 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_2998 = and(_T_2996, _T_2997) @[el2_lsu_bus_buffer.scala 471:43] - node _T_2999 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3000 = and(_T_2998, _T_2999) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3001 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_3002 = and(_T_3000, _T_3001) @[el2_lsu_bus_buffer.scala 471:92] - node _T_3003 = or(_T_2995, _T_3002) @[el2_lsu_bus_buffer.scala 470:61] - node _T_3004 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_3005 = and(_T_3004, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_3006 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_3007 = and(_T_3005, _T_3006) @[el2_lsu_bus_buffer.scala 472:54] - node _T_3008 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3009 = and(_T_3007, _T_3008) @[el2_lsu_bus_buffer.scala 472:73] - node _T_3010 = or(_T_3003, _T_3009) @[el2_lsu_bus_buffer.scala 471:112] - node _T_3011 = and(_T_2991, _T_3010) @[el2_lsu_bus_buffer.scala 469:114] - node _T_3012 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3013 = and(_T_3012, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_3014 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_3015 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_3016 = or(_T_3014, _T_3015) @[el2_lsu_bus_buffer.scala 470:34] - node _T_3017 = eq(_T_3016, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_3018 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_3019 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_3020 = and(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 471:43] - node _T_3021 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3022 = and(_T_3020, _T_3021) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3023 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_3024 = and(_T_3022, _T_3023) @[el2_lsu_bus_buffer.scala 471:92] - node _T_3025 = or(_T_3017, _T_3024) @[el2_lsu_bus_buffer.scala 470:61] - node _T_3026 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_3027 = and(_T_3026, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_3028 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_3029 = and(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 472:54] - node _T_3030 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3031 = and(_T_3029, _T_3030) @[el2_lsu_bus_buffer.scala 472:73] - node _T_3032 = or(_T_3025, _T_3031) @[el2_lsu_bus_buffer.scala 471:112] - node _T_3033 = and(_T_3013, _T_3032) @[el2_lsu_bus_buffer.scala 469:114] - node _T_3034 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3035 = and(_T_3034, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_3036 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_3037 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_3038 = or(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 470:34] - node _T_3039 = eq(_T_3038, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_3040 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_3041 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_3042 = and(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 471:43] - node _T_3043 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3044 = and(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3045 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_3046 = and(_T_3044, _T_3045) @[el2_lsu_bus_buffer.scala 471:92] - node _T_3047 = or(_T_3039, _T_3046) @[el2_lsu_bus_buffer.scala 470:61] - node _T_3048 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_3049 = and(_T_3048, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_3050 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_3051 = and(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 472:54] - node _T_3052 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3053 = and(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 472:73] - node _T_3054 = or(_T_3047, _T_3053) @[el2_lsu_bus_buffer.scala 471:112] - node _T_3055 = and(_T_3035, _T_3054) @[el2_lsu_bus_buffer.scala 469:114] - node _T_3056 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3057 = and(_T_3056, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_3058 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_3059 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_3060 = or(_T_3058, _T_3059) @[el2_lsu_bus_buffer.scala 470:34] - node _T_3061 = eq(_T_3060, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_3062 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_3063 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_3064 = and(_T_3062, _T_3063) @[el2_lsu_bus_buffer.scala 471:43] - node _T_3065 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3066 = and(_T_3064, _T_3065) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3067 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_3068 = and(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 471:92] - node _T_3069 = or(_T_3061, _T_3068) @[el2_lsu_bus_buffer.scala 470:61] - node _T_3070 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_3071 = and(_T_3070, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_3072 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_3073 = and(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 472:54] - node _T_3074 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3075 = and(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 472:73] - node _T_3076 = or(_T_3069, _T_3075) @[el2_lsu_bus_buffer.scala 471:112] - node _T_3077 = and(_T_3057, _T_3076) @[el2_lsu_bus_buffer.scala 469:114] - node _T_3078 = cat(_T_3077, _T_3055) @[Cat.scala 29:58] - node _T_3079 = cat(_T_3078, _T_3033) @[Cat.scala 29:58] - node _T_3080 = cat(_T_3079, _T_3011) @[Cat.scala 29:58] - node _T_3081 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3082 = and(_T_3081, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_3083 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_3084 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_3085 = or(_T_3083, _T_3084) @[el2_lsu_bus_buffer.scala 470:34] - node _T_3086 = eq(_T_3085, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_3087 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_3088 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_3089 = and(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 471:43] - node _T_3090 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3091 = and(_T_3089, _T_3090) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3092 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_3093 = and(_T_3091, _T_3092) @[el2_lsu_bus_buffer.scala 471:92] - node _T_3094 = or(_T_3086, _T_3093) @[el2_lsu_bus_buffer.scala 470:61] - node _T_3095 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_3096 = and(_T_3095, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_3097 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_3098 = and(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 472:54] - node _T_3099 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3100 = and(_T_3098, _T_3099) @[el2_lsu_bus_buffer.scala 472:73] - node _T_3101 = or(_T_3094, _T_3100) @[el2_lsu_bus_buffer.scala 471:112] - node _T_3102 = and(_T_3082, _T_3101) @[el2_lsu_bus_buffer.scala 469:114] - node _T_3103 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3104 = and(_T_3103, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_3105 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_3106 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 470:34] - node _T_3108 = eq(_T_3107, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_3109 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_3110 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_3111 = and(_T_3109, _T_3110) @[el2_lsu_bus_buffer.scala 471:43] - node _T_3112 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3113 = and(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3114 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_3115 = and(_T_3113, _T_3114) @[el2_lsu_bus_buffer.scala 471:92] - node _T_3116 = or(_T_3108, _T_3115) @[el2_lsu_bus_buffer.scala 470:61] - node _T_3117 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_3118 = and(_T_3117, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_3119 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_3120 = and(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 472:54] - node _T_3121 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3122 = and(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 472:73] - node _T_3123 = or(_T_3116, _T_3122) @[el2_lsu_bus_buffer.scala 471:112] - node _T_3124 = and(_T_3104, _T_3123) @[el2_lsu_bus_buffer.scala 469:114] - node _T_3125 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3126 = and(_T_3125, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_3127 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_3128 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_3129 = or(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 470:34] - node _T_3130 = eq(_T_3129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_3131 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_3132 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_3133 = and(_T_3131, _T_3132) @[el2_lsu_bus_buffer.scala 471:43] - node _T_3134 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3135 = and(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3136 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_3137 = and(_T_3135, _T_3136) @[el2_lsu_bus_buffer.scala 471:92] - node _T_3138 = or(_T_3130, _T_3137) @[el2_lsu_bus_buffer.scala 470:61] - node _T_3139 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_3140 = and(_T_3139, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_3141 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_3142 = and(_T_3140, _T_3141) @[el2_lsu_bus_buffer.scala 472:54] - node _T_3143 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3144 = and(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 472:73] - node _T_3145 = or(_T_3138, _T_3144) @[el2_lsu_bus_buffer.scala 471:112] - node _T_3146 = and(_T_3126, _T_3145) @[el2_lsu_bus_buffer.scala 469:114] - node _T_3147 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3148 = and(_T_3147, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 469:95] - node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] - node _T_3150 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] - node _T_3151 = or(_T_3149, _T_3150) @[el2_lsu_bus_buffer.scala 470:34] - node _T_3152 = eq(_T_3151, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] - node _T_3153 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] - node _T_3154 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] - node _T_3155 = and(_T_3153, _T_3154) @[el2_lsu_bus_buffer.scala 471:43] - node _T_3156 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3157 = and(_T_3155, _T_3156) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3158 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:103] - node _T_3159 = and(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 471:92] - node _T_3160 = or(_T_3152, _T_3159) @[el2_lsu_bus_buffer.scala 470:61] - node _T_3161 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] - node _T_3162 = and(_T_3161, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] - node _T_3163 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:65] - node _T_3164 = and(_T_3162, _T_3163) @[el2_lsu_bus_buffer.scala 472:54] - node _T_3165 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3166 = and(_T_3164, _T_3165) @[el2_lsu_bus_buffer.scala 472:73] - node _T_3167 = or(_T_3160, _T_3166) @[el2_lsu_bus_buffer.scala 471:112] - node _T_3168 = and(_T_3148, _T_3167) @[el2_lsu_bus_buffer.scala 469:114] - node _T_3169 = cat(_T_3168, _T_3146) @[Cat.scala 29:58] - node _T_3170 = cat(_T_3169, _T_3124) @[Cat.scala 29:58] - node _T_3171 = cat(_T_3170, _T_3102) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2898 @[el2_lsu_bus_buffer.scala 469:20] - buf_rspage_set[1] <= _T_2989 @[el2_lsu_bus_buffer.scala 469:20] - buf_rspage_set[2] <= _T_3080 @[el2_lsu_bus_buffer.scala 469:20] - buf_rspage_set[3] <= _T_3171 @[el2_lsu_bus_buffer.scala 469:20] - node _T_3172 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3173 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3175 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3176 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3178 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3179 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3181 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3182 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3184 = cat(_T_3183, _T_3180) @[Cat.scala 29:58] - node _T_3185 = cat(_T_3184, _T_3177) @[Cat.scala 29:58] - node _T_3186 = cat(_T_3185, _T_3174) @[Cat.scala 29:58] - node _T_3187 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3188 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3190 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3191 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3193 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3194 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3196 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3197 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3199 = cat(_T_3198, _T_3195) @[Cat.scala 29:58] - node _T_3200 = cat(_T_3199, _T_3192) @[Cat.scala 29:58] - node _T_3201 = cat(_T_3200, _T_3189) @[Cat.scala 29:58] - node _T_3202 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3203 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3205 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3206 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3208 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3209 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3211 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3212 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3214 = cat(_T_3213, _T_3210) @[Cat.scala 29:58] - node _T_3215 = cat(_T_3214, _T_3207) @[Cat.scala 29:58] - node _T_3216 = cat(_T_3215, _T_3204) @[Cat.scala 29:58] - node _T_3217 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3218 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3220 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3221 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3223 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3224 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3226 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3227 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:105] - node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3229 = cat(_T_3228, _T_3225) @[Cat.scala 29:58] - node _T_3230 = cat(_T_3229, _T_3222) @[Cat.scala 29:58] - node _T_3231 = cat(_T_3230, _T_3219) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3186 @[el2_lsu_bus_buffer.scala 473:19] - buf_rspage_in[1] <= _T_3201 @[el2_lsu_bus_buffer.scala 473:19] - buf_rspage_in[2] <= _T_3216 @[el2_lsu_bus_buffer.scala 473:19] - buf_rspage_in[3] <= _T_3231 @[el2_lsu_bus_buffer.scala 473:19] - node _T_3232 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3233 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3234 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3235 = or(_T_3233, _T_3234) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3236 = eq(_T_3235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3237 = and(_T_3232, _T_3236) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3238 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3239 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3240 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3241 = or(_T_3239, _T_3240) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3242 = eq(_T_3241, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3243 = and(_T_3238, _T_3242) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3244 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3245 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3246 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3247 = or(_T_3245, _T_3246) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3248 = eq(_T_3247, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3249 = and(_T_3244, _T_3248) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3250 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3251 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3252 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3253 = or(_T_3251, _T_3252) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3254 = eq(_T_3253, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3255 = and(_T_3250, _T_3254) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3256 = cat(_T_3255, _T_3249) @[Cat.scala 29:58] - node _T_3257 = cat(_T_3256, _T_3243) @[Cat.scala 29:58] - node _T_3258 = cat(_T_3257, _T_3237) @[Cat.scala 29:58] - node _T_3259 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3260 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3261 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3262 = or(_T_3260, _T_3261) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3264 = and(_T_3259, _T_3263) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3265 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3266 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3267 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3268 = or(_T_3266, _T_3267) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3270 = and(_T_3265, _T_3269) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3271 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3272 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3273 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3274 = or(_T_3272, _T_3273) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3275 = eq(_T_3274, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3276 = and(_T_3271, _T_3275) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3277 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3278 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3279 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3280 = or(_T_3278, _T_3279) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3282 = and(_T_3277, _T_3281) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3283 = cat(_T_3282, _T_3276) @[Cat.scala 29:58] - node _T_3284 = cat(_T_3283, _T_3270) @[Cat.scala 29:58] - node _T_3285 = cat(_T_3284, _T_3264) @[Cat.scala 29:58] - node _T_3286 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3287 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3288 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3289 = or(_T_3287, _T_3288) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3291 = and(_T_3286, _T_3290) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3292 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3293 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3294 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3295 = or(_T_3293, _T_3294) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3297 = and(_T_3292, _T_3296) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3298 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3299 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3300 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3301 = or(_T_3299, _T_3300) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3302 = eq(_T_3301, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3303 = and(_T_3298, _T_3302) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3304 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3305 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3306 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3307 = or(_T_3305, _T_3306) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3309 = and(_T_3304, _T_3308) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3310 = cat(_T_3309, _T_3303) @[Cat.scala 29:58] - node _T_3311 = cat(_T_3310, _T_3297) @[Cat.scala 29:58] - node _T_3312 = cat(_T_3311, _T_3291) @[Cat.scala 29:58] - node _T_3313 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3314 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3315 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3316 = or(_T_3314, _T_3315) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3318 = and(_T_3313, _T_3317) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3319 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3320 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3321 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3322 = or(_T_3320, _T_3321) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3324 = and(_T_3319, _T_3323) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3325 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3326 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3327 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3328 = or(_T_3326, _T_3327) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3329 = eq(_T_3328, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3330 = and(_T_3325, _T_3329) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3331 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 474:80] - node _T_3332 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] - node _T_3333 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] - node _T_3334 = or(_T_3332, _T_3333) @[el2_lsu_bus_buffer.scala 474:112] - node _T_3335 = eq(_T_3334, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] - node _T_3336 = and(_T_3331, _T_3335) @[el2_lsu_bus_buffer.scala 474:84] - node _T_3337 = cat(_T_3336, _T_3330) @[Cat.scala 29:58] - node _T_3338 = cat(_T_3337, _T_3324) @[Cat.scala 29:58] - node _T_3339 = cat(_T_3338, _T_3318) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3258 @[el2_lsu_bus_buffer.scala 474:16] - buf_rspage[1] <= _T_3285 @[el2_lsu_bus_buffer.scala 474:16] - buf_rspage[2] <= _T_3312 @[el2_lsu_bus_buffer.scala 474:16] - buf_rspage[3] <= _T_3339 @[el2_lsu_bus_buffer.scala 474:16] - node _T_3340 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:77] - node _T_3341 = and(ibuf_drain_vld, _T_3340) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3342 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:77] - node _T_3343 = and(ibuf_drain_vld, _T_3342) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3344 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:77] - node _T_3345 = and(ibuf_drain_vld, _T_3344) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3346 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:77] - node _T_3347 = and(ibuf_drain_vld, _T_3346) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3348 = cat(_T_3347, _T_3345) @[Cat.scala 29:58] - node _T_3349 = cat(_T_3348, _T_3343) @[Cat.scala 29:58] - node _T_3350 = cat(_T_3349, _T_3341) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3350 @[el2_lsu_bus_buffer.scala 479:23] - node _T_3351 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 480:66] - node _T_3352 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3353 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:20] - node _T_3354 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:48] - node _T_3355 = and(_T_3353, _T_3354) @[el2_lsu_bus_buffer.scala 481:37] - node _T_3356 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3357 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:96] - node _T_3358 = mux(_T_3355, _T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 481:10] - node _T_3359 = mux(_T_3351, _T_3352, _T_3358) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3360 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 480:66] - node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:20] - node _T_3363 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:48] - node _T_3364 = and(_T_3362, _T_3363) @[el2_lsu_bus_buffer.scala 481:37] - node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:96] - node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 481:10] - node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3369 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 480:66] - node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:20] - node _T_3372 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:48] - node _T_3373 = and(_T_3371, _T_3372) @[el2_lsu_bus_buffer.scala 481:37] - node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:96] - node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 481:10] - node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3378 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 480:66] - node _T_3379 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 480:86] - node _T_3380 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:20] - node _T_3381 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:48] - node _T_3382 = and(_T_3380, _T_3381) @[el2_lsu_bus_buffer.scala 481:37] - node _T_3383 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3384 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:96] - node _T_3385 = mux(_T_3382, _T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 481:10] - node _T_3386 = mux(_T_3378, _T_3379, _T_3385) @[el2_lsu_bus_buffer.scala 480:48] - buf_byteen_in[0] <= _T_3359 @[el2_lsu_bus_buffer.scala 480:19] - buf_byteen_in[1] <= _T_3368 @[el2_lsu_bus_buffer.scala 480:19] - buf_byteen_in[2] <= _T_3377 @[el2_lsu_bus_buffer.scala 480:19] - buf_byteen_in[3] <= _T_3386 @[el2_lsu_bus_buffer.scala 480:19] - node _T_3387 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:64] - node _T_3388 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:93] - node _T_3389 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:121] - node _T_3390 = and(_T_3388, _T_3389) @[el2_lsu_bus_buffer.scala 482:110] - node _T_3391 = mux(_T_3390, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 482:83] - node _T_3392 = mux(_T_3387, ibuf_addr, _T_3391) @[el2_lsu_bus_buffer.scala 482:46] - node _T_3393 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:64] - node _T_3394 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:93] - node _T_3395 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 482:121] - node _T_3396 = and(_T_3394, _T_3395) @[el2_lsu_bus_buffer.scala 482:110] - node _T_3397 = mux(_T_3396, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 482:83] - node _T_3398 = mux(_T_3393, ibuf_addr, _T_3397) @[el2_lsu_bus_buffer.scala 482:46] - node _T_3399 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:64] - node _T_3400 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:93] - node _T_3401 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 482:121] - node _T_3402 = and(_T_3400, _T_3401) @[el2_lsu_bus_buffer.scala 482:110] - node _T_3403 = mux(_T_3402, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 482:83] - node _T_3404 = mux(_T_3399, ibuf_addr, _T_3403) @[el2_lsu_bus_buffer.scala 482:46] - node _T_3405 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:64] - node _T_3406 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:93] - node _T_3407 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 482:121] - node _T_3408 = and(_T_3406, _T_3407) @[el2_lsu_bus_buffer.scala 482:110] - node _T_3409 = mux(_T_3408, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 482:83] - node _T_3410 = mux(_T_3405, ibuf_addr, _T_3409) @[el2_lsu_bus_buffer.scala 482:46] - buf_addr_in[0] <= _T_3392 @[el2_lsu_bus_buffer.scala 482:17] - buf_addr_in[1] <= _T_3398 @[el2_lsu_bus_buffer.scala 482:17] - buf_addr_in[2] <= _T_3404 @[el2_lsu_bus_buffer.scala 482:17] - buf_addr_in[3] <= _T_3410 @[el2_lsu_bus_buffer.scala 482:17] - node _T_3411 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:65] - node _T_3412 = mux(_T_3411, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:47] - node _T_3413 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:65] - node _T_3414 = mux(_T_3413, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:47] - node _T_3415 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:65] - node _T_3416 = mux(_T_3415, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:47] - node _T_3417 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:65] - node _T_3418 = mux(_T_3417, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:47] - node _T_3419 = cat(_T_3418, _T_3416) @[Cat.scala 29:58] - node _T_3420 = cat(_T_3419, _T_3414) @[Cat.scala 29:58] - node _T_3421 = cat(_T_3420, _T_3412) @[Cat.scala 29:58] - buf_dual_in <= _T_3421 @[el2_lsu_bus_buffer.scala 483:17] - node _T_3422 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3423 = mux(_T_3422, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 484:49] - node _T_3424 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3425 = mux(_T_3424, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 484:49] - node _T_3426 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3427 = mux(_T_3426, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 484:49] - node _T_3428 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3429 = mux(_T_3428, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 484:49] - node _T_3430 = cat(_T_3429, _T_3427) @[Cat.scala 29:58] - node _T_3431 = cat(_T_3430, _T_3425) @[Cat.scala 29:58] - node _T_3432 = cat(_T_3431, _T_3423) @[Cat.scala 29:58] - buf_samedw_in <= _T_3432 @[el2_lsu_bus_buffer.scala 484:19] - node _T_3433 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:68] - node _T_3434 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 485:86] - node _T_3435 = mux(_T_3433, _T_3434, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 485:50] - node _T_3436 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:68] - node _T_3437 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 485:86] - node _T_3438 = mux(_T_3436, _T_3437, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 485:50] - node _T_3439 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:68] - node _T_3440 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 485:86] - node _T_3441 = mux(_T_3439, _T_3440, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 485:50] - node _T_3442 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:68] - node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 485:86] - node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 485:50] - node _T_3445 = cat(_T_3444, _T_3441) @[Cat.scala 29:58] - node _T_3446 = cat(_T_3445, _T_3438) @[Cat.scala 29:58] - node _T_3447 = cat(_T_3446, _T_3435) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3447 @[el2_lsu_bus_buffer.scala 485:20] - node _T_3448 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3449 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:92] - node _T_3450 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:120] - node _T_3451 = and(_T_3449, _T_3450) @[el2_lsu_bus_buffer.scala 486:109] - node _T_3452 = mux(_T_3448, ibuf_dual, _T_3451) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3453 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3454 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:92] - node _T_3455 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 486:120] - node _T_3456 = and(_T_3454, _T_3455) @[el2_lsu_bus_buffer.scala 486:109] - node _T_3457 = mux(_T_3453, ibuf_dual, _T_3456) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3458 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3459 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:92] - node _T_3460 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 486:120] - node _T_3461 = and(_T_3459, _T_3460) @[el2_lsu_bus_buffer.scala 486:109] - node _T_3462 = mux(_T_3458, ibuf_dual, _T_3461) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3463 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3464 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:92] - node _T_3465 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 486:120] - node _T_3466 = and(_T_3464, _T_3465) @[el2_lsu_bus_buffer.scala 486:109] - node _T_3467 = mux(_T_3463, ibuf_dual, _T_3466) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3468 = cat(_T_3467, _T_3462) @[Cat.scala 29:58] - node _T_3469 = cat(_T_3468, _T_3457) @[Cat.scala 29:58] - node _T_3470 = cat(_T_3469, _T_3452) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3470 @[el2_lsu_bus_buffer.scala 486:19] - node _T_3471 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3472 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:99] - node _T_3473 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:127] - node _T_3474 = and(_T_3472, _T_3473) @[el2_lsu_bus_buffer.scala 487:116] - node _T_3475 = mux(_T_3474, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 487:89] - node _T_3476 = mux(_T_3471, ibuf_dualtag, _T_3475) @[el2_lsu_bus_buffer.scala 487:49] - node _T_3477 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3478 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:99] - node _T_3479 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 487:127] - node _T_3480 = and(_T_3478, _T_3479) @[el2_lsu_bus_buffer.scala 487:116] - node _T_3481 = mux(_T_3480, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 487:89] - node _T_3482 = mux(_T_3477, ibuf_dualtag, _T_3481) @[el2_lsu_bus_buffer.scala 487:49] - node _T_3483 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3484 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:99] - node _T_3485 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 487:127] - node _T_3486 = and(_T_3484, _T_3485) @[el2_lsu_bus_buffer.scala 487:116] - node _T_3487 = mux(_T_3486, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 487:89] - node _T_3488 = mux(_T_3483, ibuf_dualtag, _T_3487) @[el2_lsu_bus_buffer.scala 487:49] - node _T_3489 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3490 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:99] - node _T_3491 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 487:127] - node _T_3492 = and(_T_3490, _T_3491) @[el2_lsu_bus_buffer.scala 487:116] - node _T_3493 = mux(_T_3492, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 487:89] - node _T_3494 = mux(_T_3489, ibuf_dualtag, _T_3493) @[el2_lsu_bus_buffer.scala 487:49] - buf_dualtag_in[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 487:20] - buf_dualtag_in[1] <= _T_3482 @[el2_lsu_bus_buffer.scala 487:20] - buf_dualtag_in[2] <= _T_3488 @[el2_lsu_bus_buffer.scala 487:20] - buf_dualtag_in[3] <= _T_3494 @[el2_lsu_bus_buffer.scala 487:20] - node _T_3495 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:71] - node _T_3496 = mux(_T_3495, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 488:53] - node _T_3497 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:71] - node _T_3498 = mux(_T_3497, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 488:53] - node _T_3499 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:71] - node _T_3500 = mux(_T_3499, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 488:53] - node _T_3501 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:71] - node _T_3502 = mux(_T_3501, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 488:53] - node _T_3503 = cat(_T_3502, _T_3500) @[Cat.scala 29:58] - node _T_3504 = cat(_T_3503, _T_3498) @[Cat.scala 29:58] - node _T_3505 = cat(_T_3504, _T_3496) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3505 @[el2_lsu_bus_buffer.scala 488:23] - node _T_3506 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:67] - node _T_3507 = mux(_T_3506, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 489:49] - node _T_3508 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:67] - node _T_3509 = mux(_T_3508, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 489:49] - node _T_3510 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:67] - node _T_3511 = mux(_T_3510, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 489:49] - node _T_3512 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:67] - node _T_3513 = mux(_T_3512, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 489:49] - node _T_3514 = cat(_T_3513, _T_3511) @[Cat.scala 29:58] - node _T_3515 = cat(_T_3514, _T_3509) @[Cat.scala 29:58] - node _T_3516 = cat(_T_3515, _T_3507) @[Cat.scala 29:58] - buf_unsign_in <= _T_3516 @[el2_lsu_bus_buffer.scala 489:19] - node _T_3517 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:62] - node _T_3518 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3519 = mux(_T_3517, ibuf_sz, _T_3518) @[el2_lsu_bus_buffer.scala 490:44] - node _T_3520 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:62] + node _T_2068 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2069 = cat(_T_2068, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_2070 = bits(_T_2069, 4, 4) @[el2_lsu_bus_buffer.scala 446:39] + node _T_2071 = bits(_T_2069, 5, 5) @[el2_lsu_bus_buffer.scala 446:45] + node _T_2072 = or(_T_2070, _T_2071) @[el2_lsu_bus_buffer.scala 446:42] + node _T_2073 = bits(_T_2069, 6, 6) @[el2_lsu_bus_buffer.scala 446:51] + node _T_2074 = or(_T_2072, _T_2073) @[el2_lsu_bus_buffer.scala 446:48] + node _T_2075 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 446:57] + node _T_2076 = or(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2077 = bits(_T_2069, 2, 2) @[el2_lsu_bus_buffer.scala 446:64] + node _T_2078 = bits(_T_2069, 3, 3) @[el2_lsu_bus_buffer.scala 446:70] + node _T_2079 = or(_T_2077, _T_2078) @[el2_lsu_bus_buffer.scala 446:67] + node _T_2080 = bits(_T_2069, 6, 6) @[el2_lsu_bus_buffer.scala 446:76] + node _T_2081 = or(_T_2079, _T_2080) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2082 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 446:82] + node _T_2083 = or(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 446:79] + node _T_2084 = bits(_T_2069, 1, 1) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2085 = bits(_T_2069, 3, 3) @[el2_lsu_bus_buffer.scala 446:95] + node _T_2086 = or(_T_2084, _T_2085) @[el2_lsu_bus_buffer.scala 446:92] + node _T_2087 = bits(_T_2069, 5, 5) @[el2_lsu_bus_buffer.scala 446:101] + node _T_2088 = or(_T_2086, _T_2087) @[el2_lsu_bus_buffer.scala 446:98] + node _T_2089 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 446:107] + node _T_2090 = or(_T_2088, _T_2089) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2091 = cat(_T_2076, _T_2083) @[Cat.scala 29:58] + node _T_2092 = cat(_T_2091, _T_2090) @[Cat.scala 29:58] + CmdPtr0 <= _T_2092 @[el2_lsu_bus_buffer.scala 452:11] + node _T_2093 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2094 = cat(_T_2093, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2095 = bits(_T_2094, 4, 4) @[el2_lsu_bus_buffer.scala 446:39] + node _T_2096 = bits(_T_2094, 5, 5) @[el2_lsu_bus_buffer.scala 446:45] + node _T_2097 = or(_T_2095, _T_2096) @[el2_lsu_bus_buffer.scala 446:42] + node _T_2098 = bits(_T_2094, 6, 6) @[el2_lsu_bus_buffer.scala 446:51] + node _T_2099 = or(_T_2097, _T_2098) @[el2_lsu_bus_buffer.scala 446:48] + node _T_2100 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 446:57] + node _T_2101 = or(_T_2099, _T_2100) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2102 = bits(_T_2094, 2, 2) @[el2_lsu_bus_buffer.scala 446:64] + node _T_2103 = bits(_T_2094, 3, 3) @[el2_lsu_bus_buffer.scala 446:70] + node _T_2104 = or(_T_2102, _T_2103) @[el2_lsu_bus_buffer.scala 446:67] + node _T_2105 = bits(_T_2094, 6, 6) @[el2_lsu_bus_buffer.scala 446:76] + node _T_2106 = or(_T_2104, _T_2105) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2107 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 446:82] + node _T_2108 = or(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 446:79] + node _T_2109 = bits(_T_2094, 1, 1) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2110 = bits(_T_2094, 3, 3) @[el2_lsu_bus_buffer.scala 446:95] + node _T_2111 = or(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 446:92] + node _T_2112 = bits(_T_2094, 5, 5) @[el2_lsu_bus_buffer.scala 446:101] + node _T_2113 = or(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 446:98] + node _T_2114 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 446:107] + node _T_2115 = or(_T_2113, _T_2114) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2116 = cat(_T_2101, _T_2108) @[Cat.scala 29:58] + node _T_2117 = cat(_T_2116, _T_2115) @[Cat.scala 29:58] + CmdPtr1 <= _T_2117 @[el2_lsu_bus_buffer.scala 454:11] + node _T_2118 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2119 = cat(_T_2118, RspPtrDec) @[Cat.scala 29:58] + node _T_2120 = bits(_T_2119, 4, 4) @[el2_lsu_bus_buffer.scala 446:39] + node _T_2121 = bits(_T_2119, 5, 5) @[el2_lsu_bus_buffer.scala 446:45] + node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 446:42] + node _T_2123 = bits(_T_2119, 6, 6) @[el2_lsu_bus_buffer.scala 446:51] + node _T_2124 = or(_T_2122, _T_2123) @[el2_lsu_bus_buffer.scala 446:48] + node _T_2125 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 446:57] + node _T_2126 = or(_T_2124, _T_2125) @[el2_lsu_bus_buffer.scala 446:54] + node _T_2127 = bits(_T_2119, 2, 2) @[el2_lsu_bus_buffer.scala 446:64] + node _T_2128 = bits(_T_2119, 3, 3) @[el2_lsu_bus_buffer.scala 446:70] + node _T_2129 = or(_T_2127, _T_2128) @[el2_lsu_bus_buffer.scala 446:67] + node _T_2130 = bits(_T_2119, 6, 6) @[el2_lsu_bus_buffer.scala 446:76] + node _T_2131 = or(_T_2129, _T_2130) @[el2_lsu_bus_buffer.scala 446:73] + node _T_2132 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 446:82] + node _T_2133 = or(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 446:79] + node _T_2134 = bits(_T_2119, 1, 1) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2135 = bits(_T_2119, 3, 3) @[el2_lsu_bus_buffer.scala 446:95] + node _T_2136 = or(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 446:92] + node _T_2137 = bits(_T_2119, 5, 5) @[el2_lsu_bus_buffer.scala 446:101] + node _T_2138 = or(_T_2136, _T_2137) @[el2_lsu_bus_buffer.scala 446:98] + node _T_2139 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 446:107] + node _T_2140 = or(_T_2138, _T_2139) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2141 = cat(_T_2126, _T_2133) @[Cat.scala 29:58] + node _T_2142 = cat(_T_2141, _T_2140) @[Cat.scala 29:58] + RspPtr <= _T_2142 @[el2_lsu_bus_buffer.scala 455:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 456:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 458:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 460:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 462:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 464:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 465:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 465:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 465:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 465:14] + node _T_2143 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2144 = and(_T_2143, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2145 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2146 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2147 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2149 = or(_T_2145, _T_2148) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2150 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2151 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2152 = and(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2153 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2154 = and(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2155 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2156 = and(_T_2154, _T_2155) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2157 = or(_T_2149, _T_2156) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2158 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2159 = and(_T_2158, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2160 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2162 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2163 = and(_T_2161, _T_2162) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2164 = or(_T_2157, _T_2163) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2165 = and(_T_2144, _T_2164) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2166 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2167 = or(_T_2165, _T_2166) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2168 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2169 = and(_T_2168, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2170 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2171 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2172 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2174 = or(_T_2170, _T_2173) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2175 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2176 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2177 = and(_T_2175, _T_2176) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2178 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2179 = and(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2180 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2181 = and(_T_2179, _T_2180) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2182 = or(_T_2174, _T_2181) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2183 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2184 = and(_T_2183, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2185 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2187 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2188 = and(_T_2186, _T_2187) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2189 = or(_T_2182, _T_2188) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2190 = and(_T_2169, _T_2189) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2191 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2192 = or(_T_2190, _T_2191) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2193 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2194 = and(_T_2193, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2195 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2196 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2197 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2199 = or(_T_2195, _T_2198) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2200 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2201 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2202 = and(_T_2200, _T_2201) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2203 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2204 = and(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2205 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2206 = and(_T_2204, _T_2205) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2207 = or(_T_2199, _T_2206) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2208 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2209 = and(_T_2208, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2210 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2212 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2214 = or(_T_2207, _T_2213) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2215 = and(_T_2194, _T_2214) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2216 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2217 = or(_T_2215, _T_2216) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2218 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2219 = and(_T_2218, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2220 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2221 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2222 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2224 = or(_T_2220, _T_2223) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2225 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2226 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2227 = and(_T_2225, _T_2226) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2228 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2229 = and(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2230 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2231 = and(_T_2229, _T_2230) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2232 = or(_T_2224, _T_2231) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2233 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2234 = and(_T_2233, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2235 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2237 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2239 = or(_T_2232, _T_2238) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2240 = and(_T_2219, _T_2239) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2241 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2242 = or(_T_2240, _T_2241) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2243 = cat(_T_2242, _T_2217) @[Cat.scala 29:58] + node _T_2244 = cat(_T_2243, _T_2192) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2244, _T_2167) @[Cat.scala 29:58] + node _T_2245 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2246 = and(_T_2245, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2247 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2248 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2249 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2251 = or(_T_2247, _T_2250) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2252 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2253 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2254 = and(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2255 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2256 = and(_T_2254, _T_2255) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2257 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2258 = and(_T_2256, _T_2257) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2259 = or(_T_2251, _T_2258) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2260 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2261 = and(_T_2260, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2262 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2264 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2265 = and(_T_2263, _T_2264) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2266 = or(_T_2259, _T_2265) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2267 = and(_T_2246, _T_2266) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2268 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2269 = or(_T_2267, _T_2268) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2271 = and(_T_2270, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2272 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2273 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2274 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2276 = or(_T_2272, _T_2275) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2277 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2278 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2279 = and(_T_2277, _T_2278) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2280 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2281 = and(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2282 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2283 = and(_T_2281, _T_2282) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2284 = or(_T_2276, _T_2283) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2285 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2286 = and(_T_2285, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2287 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2289 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2290 = and(_T_2288, _T_2289) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2291 = or(_T_2284, _T_2290) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2292 = and(_T_2271, _T_2291) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2293 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2294 = or(_T_2292, _T_2293) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2295 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2296 = and(_T_2295, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2297 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2298 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2299 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2301 = or(_T_2297, _T_2300) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2302 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2303 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2304 = and(_T_2302, _T_2303) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2305 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2306 = and(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2307 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2308 = and(_T_2306, _T_2307) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2309 = or(_T_2301, _T_2308) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2310 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2311 = and(_T_2310, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2312 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2314 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2316 = or(_T_2309, _T_2315) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2317 = and(_T_2296, _T_2316) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2318 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2319 = or(_T_2317, _T_2318) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2320 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2321 = and(_T_2320, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2322 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2323 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2324 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2326 = or(_T_2322, _T_2325) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2327 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2328 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2329 = and(_T_2327, _T_2328) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2330 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2331 = and(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2332 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2333 = and(_T_2331, _T_2332) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2334 = or(_T_2326, _T_2333) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2335 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2336 = and(_T_2335, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2337 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2339 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2341 = or(_T_2334, _T_2340) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2342 = and(_T_2321, _T_2341) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2343 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2344 = or(_T_2342, _T_2343) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2345 = cat(_T_2344, _T_2319) @[Cat.scala 29:58] + node _T_2346 = cat(_T_2345, _T_2294) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2346, _T_2269) @[Cat.scala 29:58] + node _T_2347 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2348 = and(_T_2347, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2349 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2350 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2351 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2353 = or(_T_2349, _T_2352) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2354 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2355 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2356 = and(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2357 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2358 = and(_T_2356, _T_2357) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2359 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2360 = and(_T_2358, _T_2359) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2361 = or(_T_2353, _T_2360) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2362 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2363 = and(_T_2362, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2364 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2366 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2367 = and(_T_2365, _T_2366) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2368 = or(_T_2361, _T_2367) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2369 = and(_T_2348, _T_2368) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2370 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2371 = or(_T_2369, _T_2370) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2372 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2373 = and(_T_2372, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2374 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2375 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2376 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2378 = or(_T_2374, _T_2377) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2379 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2380 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2381 = and(_T_2379, _T_2380) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2382 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2383 = and(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2384 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2385 = and(_T_2383, _T_2384) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2386 = or(_T_2378, _T_2385) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2387 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2388 = and(_T_2387, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2389 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2391 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2392 = and(_T_2390, _T_2391) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2393 = or(_T_2386, _T_2392) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2394 = and(_T_2373, _T_2393) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2395 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2396 = or(_T_2394, _T_2395) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2397 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2398 = and(_T_2397, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2399 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2400 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2401 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2403 = or(_T_2399, _T_2402) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2404 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2405 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2406 = and(_T_2404, _T_2405) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2407 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2408 = and(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2409 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2410 = and(_T_2408, _T_2409) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2411 = or(_T_2403, _T_2410) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2412 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2413 = and(_T_2412, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2414 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2415 = and(_T_2413, _T_2414) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2416 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2417 = and(_T_2415, _T_2416) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2418 = or(_T_2411, _T_2417) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2419 = and(_T_2398, _T_2418) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2420 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2421 = or(_T_2419, _T_2420) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2422 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2423 = and(_T_2422, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2424 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2425 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2426 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2428 = or(_T_2424, _T_2427) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2429 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2430 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2431 = and(_T_2429, _T_2430) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2432 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2433 = and(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2434 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2435 = and(_T_2433, _T_2434) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2436 = or(_T_2428, _T_2435) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2437 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2438 = and(_T_2437, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2439 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2440 = and(_T_2438, _T_2439) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2441 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2442 = and(_T_2440, _T_2441) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2443 = or(_T_2436, _T_2442) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2444 = and(_T_2423, _T_2443) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2445 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2446 = or(_T_2444, _T_2445) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2447 = cat(_T_2446, _T_2421) @[Cat.scala 29:58] + node _T_2448 = cat(_T_2447, _T_2396) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2448, _T_2371) @[Cat.scala 29:58] + node _T_2449 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2450 = and(_T_2449, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2451 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2452 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2455 = or(_T_2451, _T_2454) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2456 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2457 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2458 = and(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2459 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2460 = and(_T_2458, _T_2459) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2461 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2462 = and(_T_2460, _T_2461) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2463 = or(_T_2455, _T_2462) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2464 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2465 = and(_T_2464, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2466 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2467 = and(_T_2465, _T_2466) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2468 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2469 = and(_T_2467, _T_2468) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2470 = or(_T_2463, _T_2469) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2471 = and(_T_2450, _T_2470) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2472 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2473 = or(_T_2471, _T_2472) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2474 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2475 = and(_T_2474, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2476 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2477 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2478 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2479 = and(_T_2477, _T_2478) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2480 = or(_T_2476, _T_2479) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2481 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2482 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2483 = and(_T_2481, _T_2482) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2484 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2485 = and(_T_2483, _T_2484) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2486 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2487 = and(_T_2485, _T_2486) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2488 = or(_T_2480, _T_2487) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2489 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2490 = and(_T_2489, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2491 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2492 = and(_T_2490, _T_2491) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2493 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2494 = and(_T_2492, _T_2493) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2495 = or(_T_2488, _T_2494) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2496 = and(_T_2475, _T_2495) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2497 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2498 = or(_T_2496, _T_2497) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2499 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2500 = and(_T_2499, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2501 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2502 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2503 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2504 = and(_T_2502, _T_2503) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2505 = or(_T_2501, _T_2504) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2506 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2507 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2508 = and(_T_2506, _T_2507) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2509 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2510 = and(_T_2508, _T_2509) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2511 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2512 = and(_T_2510, _T_2511) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2513 = or(_T_2505, _T_2512) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2514 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2515 = and(_T_2514, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2516 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2518 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2519 = and(_T_2517, _T_2518) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2520 = or(_T_2513, _T_2519) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2521 = and(_T_2500, _T_2520) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2522 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2523 = or(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2524 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2525 = and(_T_2524, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:94] + node _T_2526 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] + node _T_2527 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] + node _T_2528 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] + node _T_2529 = and(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 468:57] + node _T_2530 = or(_T_2526, _T_2529) @[el2_lsu_bus_buffer.scala 468:31] + node _T_2531 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2532 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_2533 = and(_T_2531, _T_2532) @[el2_lsu_bus_buffer.scala 469:41] + node _T_2534 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:83] + node _T_2535 = and(_T_2533, _T_2534) @[el2_lsu_bus_buffer.scala 469:71] + node _T_2536 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:104] + node _T_2537 = and(_T_2535, _T_2536) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2538 = or(_T_2530, _T_2537) @[el2_lsu_bus_buffer.scala 468:86] + node _T_2539 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] + node _T_2540 = and(_T_2539, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] + node _T_2541 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:64] + node _T_2542 = and(_T_2540, _T_2541) @[el2_lsu_bus_buffer.scala 470:52] + node _T_2543 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:85] + node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2545 = or(_T_2538, _T_2544) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2546 = and(_T_2525, _T_2545) @[el2_lsu_bus_buffer.scala 467:113] + node _T_2547 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 470:109] + node _T_2548 = or(_T_2546, _T_2547) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2549 = cat(_T_2548, _T_2523) @[Cat.scala 29:58] + node _T_2550 = cat(_T_2549, _T_2498) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2550, _T_2473) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 471:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 472:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 472:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 472:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 472:12] + node _T_2551 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2552 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2555 = and(_T_2551, _T_2554) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2556 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2557 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2558 = and(_T_2557, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2559 = eq(_T_2558, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2560 = and(_T_2556, _T_2559) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2561 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2562 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2563 = and(_T_2562, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2564 = eq(_T_2563, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2565 = and(_T_2561, _T_2564) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2566 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2567 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2568 = and(_T_2567, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2570 = and(_T_2566, _T_2569) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2571 = cat(_T_2570, _T_2565) @[Cat.scala 29:58] + node _T_2572 = cat(_T_2571, _T_2560) @[Cat.scala 29:58] + node _T_2573 = cat(_T_2572, _T_2555) @[Cat.scala 29:58] + node _T_2574 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2575 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2578 = and(_T_2574, _T_2577) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2579 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2580 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2581 = and(_T_2580, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2582 = eq(_T_2581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2583 = and(_T_2579, _T_2582) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2584 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2585 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2586 = and(_T_2585, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2587 = eq(_T_2586, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2588 = and(_T_2584, _T_2587) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2589 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2590 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2591 = and(_T_2590, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2592 = eq(_T_2591, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2593 = and(_T_2589, _T_2592) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2594 = cat(_T_2593, _T_2588) @[Cat.scala 29:58] + node _T_2595 = cat(_T_2594, _T_2583) @[Cat.scala 29:58] + node _T_2596 = cat(_T_2595, _T_2578) @[Cat.scala 29:58] + node _T_2597 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2598 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2601 = and(_T_2597, _T_2600) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2602 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2603 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2604 = and(_T_2603, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2606 = and(_T_2602, _T_2605) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2607 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2608 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2609 = and(_T_2608, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2610 = eq(_T_2609, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2611 = and(_T_2607, _T_2610) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2612 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2613 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2614 = and(_T_2613, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2615 = eq(_T_2614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2616 = and(_T_2612, _T_2615) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2617 = cat(_T_2616, _T_2611) @[Cat.scala 29:58] + node _T_2618 = cat(_T_2617, _T_2606) @[Cat.scala 29:58] + node _T_2619 = cat(_T_2618, _T_2601) @[Cat.scala 29:58] + node _T_2620 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2621 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2624 = and(_T_2620, _T_2623) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2625 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2626 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2627 = and(_T_2626, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2628 = eq(_T_2627, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2629 = and(_T_2625, _T_2628) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2630 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2631 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2632 = and(_T_2631, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2633 = eq(_T_2632, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2634 = and(_T_2630, _T_2633) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2635 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:74] + node _T_2636 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] + node _T_2637 = and(_T_2636, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 473:105] + node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] + node _T_2639 = and(_T_2635, _T_2638) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2640 = cat(_T_2639, _T_2634) @[Cat.scala 29:58] + node _T_2641 = cat(_T_2640, _T_2629) @[Cat.scala 29:58] + node _T_2642 = cat(_T_2641, _T_2624) @[Cat.scala 29:58] + buf_age[0] <= _T_2573 @[el2_lsu_bus_buffer.scala 473:13] + buf_age[1] <= _T_2596 @[el2_lsu_bus_buffer.scala 473:13] + buf_age[2] <= _T_2619 @[el2_lsu_bus_buffer.scala 473:13] + buf_age[3] <= _T_2642 @[el2_lsu_bus_buffer.scala 473:13] + node _T_2643 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2644 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2645 = eq(_T_2644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2646 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2648 = mux(_T_2643, UInt<1>("h00"), _T_2647) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2649 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2650 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2652 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2654 = mux(_T_2649, UInt<1>("h00"), _T_2653) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2655 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2656 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2658 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2661 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2662 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2664 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2667 = cat(_T_2666, _T_2660) @[Cat.scala 29:58] + node _T_2668 = cat(_T_2667, _T_2654) @[Cat.scala 29:58] + node _T_2669 = cat(_T_2668, _T_2648) @[Cat.scala 29:58] + node _T_2670 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2671 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2672 = eq(_T_2671, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2673 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2675 = mux(_T_2670, UInt<1>("h00"), _T_2674) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2676 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2677 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2678 = eq(_T_2677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2679 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2681 = mux(_T_2676, UInt<1>("h00"), _T_2680) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2682 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2683 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2685 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2688 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2689 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2691 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2694 = cat(_T_2693, _T_2687) @[Cat.scala 29:58] + node _T_2695 = cat(_T_2694, _T_2681) @[Cat.scala 29:58] + node _T_2696 = cat(_T_2695, _T_2675) @[Cat.scala 29:58] + node _T_2697 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2698 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2699 = eq(_T_2698, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2700 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2702 = mux(_T_2697, UInt<1>("h00"), _T_2701) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2703 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2704 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2705 = eq(_T_2704, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2706 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2708 = mux(_T_2703, UInt<1>("h00"), _T_2707) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2709 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2710 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2712 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2715 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2716 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2718 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2721 = cat(_T_2720, _T_2714) @[Cat.scala 29:58] + node _T_2722 = cat(_T_2721, _T_2708) @[Cat.scala 29:58] + node _T_2723 = cat(_T_2722, _T_2702) @[Cat.scala 29:58] + node _T_2724 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2725 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2726 = eq(_T_2725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2727 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2728 = and(_T_2726, _T_2727) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2729 = mux(_T_2724, UInt<1>("h00"), _T_2728) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2730 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2731 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2733 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2735 = mux(_T_2730, UInt<1>("h00"), _T_2734) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2736 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2737 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2738 = eq(_T_2737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2739 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2741 = mux(_T_2736, UInt<1>("h00"), _T_2740) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2742 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 474:78] + node _T_2743 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 474:102] + node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] + node _T_2745 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] + node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 474:106] + node _T_2747 = mux(_T_2742, UInt<1>("h00"), _T_2746) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2748 = cat(_T_2747, _T_2741) @[Cat.scala 29:58] + node _T_2749 = cat(_T_2748, _T_2735) @[Cat.scala 29:58] + node _T_2750 = cat(_T_2749, _T_2729) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2669 @[el2_lsu_bus_buffer.scala 474:21] + buf_age_younger[1] <= _T_2696 @[el2_lsu_bus_buffer.scala 474:21] + buf_age_younger[2] <= _T_2723 @[el2_lsu_bus_buffer.scala 474:21] + buf_age_younger[3] <= _T_2750 @[el2_lsu_bus_buffer.scala 474:21] + node _T_2751 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2753 = and(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2754 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2757 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2760 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2763 = cat(_T_2762, _T_2759) @[Cat.scala 29:58] + node _T_2764 = cat(_T_2763, _T_2756) @[Cat.scala 29:58] + node _T_2765 = cat(_T_2764, _T_2753) @[Cat.scala 29:58] + node _T_2766 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2769 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2772 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2775 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2778 = cat(_T_2777, _T_2774) @[Cat.scala 29:58] + node _T_2779 = cat(_T_2778, _T_2771) @[Cat.scala 29:58] + node _T_2780 = cat(_T_2779, _T_2768) @[Cat.scala 29:58] + node _T_2781 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2784 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2786 = and(_T_2784, _T_2785) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2787 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2790 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2793 = cat(_T_2792, _T_2789) @[Cat.scala 29:58] + node _T_2794 = cat(_T_2793, _T_2786) @[Cat.scala 29:58] + node _T_2795 = cat(_T_2794, _T_2783) @[Cat.scala 29:58] + node _T_2796 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2797 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2799 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2800 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2802 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2803 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2805 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 475:85] + node _T_2806 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] + node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2808 = cat(_T_2807, _T_2804) @[Cat.scala 29:58] + node _T_2809 = cat(_T_2808, _T_2801) @[Cat.scala 29:58] + node _T_2810 = cat(_T_2809, _T_2798) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2765 @[el2_lsu_bus_buffer.scala 475:21] + buf_rsp_pickage[1] <= _T_2780 @[el2_lsu_bus_buffer.scala 475:21] + buf_rsp_pickage[2] <= _T_2795 @[el2_lsu_bus_buffer.scala 475:21] + buf_rsp_pickage[3] <= _T_2810 @[el2_lsu_bus_buffer.scala 475:21] + node _T_2811 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2812 = and(_T_2811, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_2813 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_2814 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_2815 = or(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 478:34] + node _T_2816 = eq(_T_2815, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_2817 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_2818 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_2819 = and(_T_2817, _T_2818) @[el2_lsu_bus_buffer.scala 479:43] + node _T_2820 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2821 = and(_T_2819, _T_2820) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2822 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_2823 = and(_T_2821, _T_2822) @[el2_lsu_bus_buffer.scala 479:92] + node _T_2824 = or(_T_2816, _T_2823) @[el2_lsu_bus_buffer.scala 478:61] + node _T_2825 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_2826 = and(_T_2825, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_2827 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 480:54] + node _T_2829 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 480:73] + node _T_2831 = or(_T_2824, _T_2830) @[el2_lsu_bus_buffer.scala 479:112] + node _T_2832 = and(_T_2812, _T_2831) @[el2_lsu_bus_buffer.scala 477:114] + node _T_2833 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2834 = and(_T_2833, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_2835 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_2836 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_2837 = or(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 478:34] + node _T_2838 = eq(_T_2837, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_2839 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_2840 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_2841 = and(_T_2839, _T_2840) @[el2_lsu_bus_buffer.scala 479:43] + node _T_2842 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2843 = and(_T_2841, _T_2842) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2844 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 479:92] + node _T_2846 = or(_T_2838, _T_2845) @[el2_lsu_bus_buffer.scala 478:61] + node _T_2847 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_2848 = and(_T_2847, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_2849 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 480:54] + node _T_2851 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 480:73] + node _T_2853 = or(_T_2846, _T_2852) @[el2_lsu_bus_buffer.scala 479:112] + node _T_2854 = and(_T_2834, _T_2853) @[el2_lsu_bus_buffer.scala 477:114] + node _T_2855 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2856 = and(_T_2855, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_2857 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_2858 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_2859 = or(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 478:34] + node _T_2860 = eq(_T_2859, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_2861 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_2862 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_2863 = and(_T_2861, _T_2862) @[el2_lsu_bus_buffer.scala 479:43] + node _T_2864 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2865 = and(_T_2863, _T_2864) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2866 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 479:92] + node _T_2868 = or(_T_2860, _T_2867) @[el2_lsu_bus_buffer.scala 478:61] + node _T_2869 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_2870 = and(_T_2869, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_2871 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 480:54] + node _T_2873 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 480:73] + node _T_2875 = or(_T_2868, _T_2874) @[el2_lsu_bus_buffer.scala 479:112] + node _T_2876 = and(_T_2856, _T_2875) @[el2_lsu_bus_buffer.scala 477:114] + node _T_2877 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2878 = and(_T_2877, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_2879 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_2880 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_2881 = or(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 478:34] + node _T_2882 = eq(_T_2881, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_2883 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_2884 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_2885 = and(_T_2883, _T_2884) @[el2_lsu_bus_buffer.scala 479:43] + node _T_2886 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2887 = and(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2888 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_2889 = and(_T_2887, _T_2888) @[el2_lsu_bus_buffer.scala 479:92] + node _T_2890 = or(_T_2882, _T_2889) @[el2_lsu_bus_buffer.scala 478:61] + node _T_2891 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_2892 = and(_T_2891, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_2893 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_2894 = and(_T_2892, _T_2893) @[el2_lsu_bus_buffer.scala 480:54] + node _T_2895 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_2896 = and(_T_2894, _T_2895) @[el2_lsu_bus_buffer.scala 480:73] + node _T_2897 = or(_T_2890, _T_2896) @[el2_lsu_bus_buffer.scala 479:112] + node _T_2898 = and(_T_2878, _T_2897) @[el2_lsu_bus_buffer.scala 477:114] + node _T_2899 = cat(_T_2898, _T_2876) @[Cat.scala 29:58] + node _T_2900 = cat(_T_2899, _T_2854) @[Cat.scala 29:58] + node _T_2901 = cat(_T_2900, _T_2832) @[Cat.scala 29:58] + node _T_2902 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2903 = and(_T_2902, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_2904 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_2905 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_2906 = or(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 478:34] + node _T_2907 = eq(_T_2906, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_2908 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_2909 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_2910 = and(_T_2908, _T_2909) @[el2_lsu_bus_buffer.scala 479:43] + node _T_2911 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2912 = and(_T_2910, _T_2911) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2913 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_2914 = and(_T_2912, _T_2913) @[el2_lsu_bus_buffer.scala 479:92] + node _T_2915 = or(_T_2907, _T_2914) @[el2_lsu_bus_buffer.scala 478:61] + node _T_2916 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_2917 = and(_T_2916, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_2918 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 480:54] + node _T_2920 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 480:73] + node _T_2922 = or(_T_2915, _T_2921) @[el2_lsu_bus_buffer.scala 479:112] + node _T_2923 = and(_T_2903, _T_2922) @[el2_lsu_bus_buffer.scala 477:114] + node _T_2924 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2925 = and(_T_2924, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_2926 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_2927 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_2928 = or(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 478:34] + node _T_2929 = eq(_T_2928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_2930 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_2931 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_2932 = and(_T_2930, _T_2931) @[el2_lsu_bus_buffer.scala 479:43] + node _T_2933 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2934 = and(_T_2932, _T_2933) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2935 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 479:92] + node _T_2937 = or(_T_2929, _T_2936) @[el2_lsu_bus_buffer.scala 478:61] + node _T_2938 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_2939 = and(_T_2938, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_2940 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 480:54] + node _T_2942 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 480:73] + node _T_2944 = or(_T_2937, _T_2943) @[el2_lsu_bus_buffer.scala 479:112] + node _T_2945 = and(_T_2925, _T_2944) @[el2_lsu_bus_buffer.scala 477:114] + node _T_2946 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2947 = and(_T_2946, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_2948 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_2949 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_2950 = or(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 478:34] + node _T_2951 = eq(_T_2950, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_2952 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_2953 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_2954 = and(_T_2952, _T_2953) @[el2_lsu_bus_buffer.scala 479:43] + node _T_2955 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2956 = and(_T_2954, _T_2955) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2957 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 479:92] + node _T_2959 = or(_T_2951, _T_2958) @[el2_lsu_bus_buffer.scala 478:61] + node _T_2960 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_2961 = and(_T_2960, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_2962 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 480:54] + node _T_2964 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 480:73] + node _T_2966 = or(_T_2959, _T_2965) @[el2_lsu_bus_buffer.scala 479:112] + node _T_2967 = and(_T_2947, _T_2966) @[el2_lsu_bus_buffer.scala 477:114] + node _T_2968 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2969 = and(_T_2968, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_2970 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_2971 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_2972 = or(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 478:34] + node _T_2973 = eq(_T_2972, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_2974 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_2975 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_2976 = and(_T_2974, _T_2975) @[el2_lsu_bus_buffer.scala 479:43] + node _T_2977 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_2978 = and(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 479:73] + node _T_2979 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_2980 = and(_T_2978, _T_2979) @[el2_lsu_bus_buffer.scala 479:92] + node _T_2981 = or(_T_2973, _T_2980) @[el2_lsu_bus_buffer.scala 478:61] + node _T_2982 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_2983 = and(_T_2982, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_2984 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_2985 = and(_T_2983, _T_2984) @[el2_lsu_bus_buffer.scala 480:54] + node _T_2986 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_2987 = and(_T_2985, _T_2986) @[el2_lsu_bus_buffer.scala 480:73] + node _T_2988 = or(_T_2981, _T_2987) @[el2_lsu_bus_buffer.scala 479:112] + node _T_2989 = and(_T_2969, _T_2988) @[el2_lsu_bus_buffer.scala 477:114] + node _T_2990 = cat(_T_2989, _T_2967) @[Cat.scala 29:58] + node _T_2991 = cat(_T_2990, _T_2945) @[Cat.scala 29:58] + node _T_2992 = cat(_T_2991, _T_2923) @[Cat.scala 29:58] + node _T_2993 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2994 = and(_T_2993, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_2995 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_2996 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_2997 = or(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 478:34] + node _T_2998 = eq(_T_2997, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_2999 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_3000 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_3001 = and(_T_2999, _T_3000) @[el2_lsu_bus_buffer.scala 479:43] + node _T_3002 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3003 = and(_T_3001, _T_3002) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3004 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3005 = and(_T_3003, _T_3004) @[el2_lsu_bus_buffer.scala 479:92] + node _T_3006 = or(_T_2998, _T_3005) @[el2_lsu_bus_buffer.scala 478:61] + node _T_3007 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_3008 = and(_T_3007, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3009 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 480:54] + node _T_3011 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3013 = or(_T_3006, _T_3012) @[el2_lsu_bus_buffer.scala 479:112] + node _T_3014 = and(_T_2994, _T_3013) @[el2_lsu_bus_buffer.scala 477:114] + node _T_3015 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3016 = and(_T_3015, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_3017 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_3018 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_3019 = or(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 478:34] + node _T_3020 = eq(_T_3019, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_3021 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_3022 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_3023 = and(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 479:43] + node _T_3024 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3025 = and(_T_3023, _T_3024) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3026 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3027 = and(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 479:92] + node _T_3028 = or(_T_3020, _T_3027) @[el2_lsu_bus_buffer.scala 478:61] + node _T_3029 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_3030 = and(_T_3029, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3031 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 480:54] + node _T_3033 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3035 = or(_T_3028, _T_3034) @[el2_lsu_bus_buffer.scala 479:112] + node _T_3036 = and(_T_3016, _T_3035) @[el2_lsu_bus_buffer.scala 477:114] + node _T_3037 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3038 = and(_T_3037, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_3039 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_3040 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_3041 = or(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 478:34] + node _T_3042 = eq(_T_3041, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_3043 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_3044 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_3045 = and(_T_3043, _T_3044) @[el2_lsu_bus_buffer.scala 479:43] + node _T_3046 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3047 = and(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3048 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3049 = and(_T_3047, _T_3048) @[el2_lsu_bus_buffer.scala 479:92] + node _T_3050 = or(_T_3042, _T_3049) @[el2_lsu_bus_buffer.scala 478:61] + node _T_3051 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_3052 = and(_T_3051, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3053 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 480:54] + node _T_3055 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3057 = or(_T_3050, _T_3056) @[el2_lsu_bus_buffer.scala 479:112] + node _T_3058 = and(_T_3038, _T_3057) @[el2_lsu_bus_buffer.scala 477:114] + node _T_3059 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3060 = and(_T_3059, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_3061 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_3062 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_3063 = or(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 478:34] + node _T_3064 = eq(_T_3063, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_3065 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_3066 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_3067 = and(_T_3065, _T_3066) @[el2_lsu_bus_buffer.scala 479:43] + node _T_3068 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3069 = and(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3070 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3071 = and(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 479:92] + node _T_3072 = or(_T_3064, _T_3071) @[el2_lsu_bus_buffer.scala 478:61] + node _T_3073 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_3074 = and(_T_3073, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3075 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_3076 = and(_T_3074, _T_3075) @[el2_lsu_bus_buffer.scala 480:54] + node _T_3077 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3078 = and(_T_3076, _T_3077) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3079 = or(_T_3072, _T_3078) @[el2_lsu_bus_buffer.scala 479:112] + node _T_3080 = and(_T_3060, _T_3079) @[el2_lsu_bus_buffer.scala 477:114] + node _T_3081 = cat(_T_3080, _T_3058) @[Cat.scala 29:58] + node _T_3082 = cat(_T_3081, _T_3036) @[Cat.scala 29:58] + node _T_3083 = cat(_T_3082, _T_3014) @[Cat.scala 29:58] + node _T_3084 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3085 = and(_T_3084, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_3086 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_3087 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_3088 = or(_T_3086, _T_3087) @[el2_lsu_bus_buffer.scala 478:34] + node _T_3089 = eq(_T_3088, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_3090 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_3091 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_3092 = and(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 479:43] + node _T_3093 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3094 = and(_T_3092, _T_3093) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3095 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3096 = and(_T_3094, _T_3095) @[el2_lsu_bus_buffer.scala 479:92] + node _T_3097 = or(_T_3089, _T_3096) @[el2_lsu_bus_buffer.scala 478:61] + node _T_3098 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_3099 = and(_T_3098, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3100 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_3101 = and(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 480:54] + node _T_3102 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3103 = and(_T_3101, _T_3102) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3104 = or(_T_3097, _T_3103) @[el2_lsu_bus_buffer.scala 479:112] + node _T_3105 = and(_T_3085, _T_3104) @[el2_lsu_bus_buffer.scala 477:114] + node _T_3106 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3107 = and(_T_3106, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_3108 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_3109 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 478:34] + node _T_3111 = eq(_T_3110, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_3112 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_3113 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_3114 = and(_T_3112, _T_3113) @[el2_lsu_bus_buffer.scala 479:43] + node _T_3115 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3116 = and(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3117 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3118 = and(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 479:92] + node _T_3119 = or(_T_3111, _T_3118) @[el2_lsu_bus_buffer.scala 478:61] + node _T_3120 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_3121 = and(_T_3120, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3122 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_3123 = and(_T_3121, _T_3122) @[el2_lsu_bus_buffer.scala 480:54] + node _T_3124 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3125 = and(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3126 = or(_T_3119, _T_3125) @[el2_lsu_bus_buffer.scala 479:112] + node _T_3127 = and(_T_3107, _T_3126) @[el2_lsu_bus_buffer.scala 477:114] + node _T_3128 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3129 = and(_T_3128, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_3130 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_3131 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 478:34] + node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_3134 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_3135 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_3136 = and(_T_3134, _T_3135) @[el2_lsu_bus_buffer.scala 479:43] + node _T_3137 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3138 = and(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3139 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3140 = and(_T_3138, _T_3139) @[el2_lsu_bus_buffer.scala 479:92] + node _T_3141 = or(_T_3133, _T_3140) @[el2_lsu_bus_buffer.scala 478:61] + node _T_3142 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_3143 = and(_T_3142, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3144 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_3145 = and(_T_3143, _T_3144) @[el2_lsu_bus_buffer.scala 480:54] + node _T_3146 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3147 = and(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3148 = or(_T_3141, _T_3147) @[el2_lsu_bus_buffer.scala 479:112] + node _T_3149 = and(_T_3129, _T_3148) @[el2_lsu_bus_buffer.scala 477:114] + node _T_3150 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3151 = and(_T_3150, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 477:95] + node _T_3152 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] + node _T_3153 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] + node _T_3154 = or(_T_3152, _T_3153) @[el2_lsu_bus_buffer.scala 478:34] + node _T_3155 = eq(_T_3154, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] + node _T_3156 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] + node _T_3157 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] + node _T_3158 = and(_T_3156, _T_3157) @[el2_lsu_bus_buffer.scala 479:43] + node _T_3159 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] + node _T_3160 = and(_T_3158, _T_3159) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3161 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3162 = and(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 479:92] + node _T_3163 = or(_T_3155, _T_3162) @[el2_lsu_bus_buffer.scala 478:61] + node _T_3164 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] + node _T_3165 = and(_T_3164, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] + node _T_3166 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:65] + node _T_3167 = and(_T_3165, _T_3166) @[el2_lsu_bus_buffer.scala 480:54] + node _T_3168 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3169 = and(_T_3167, _T_3168) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3170 = or(_T_3163, _T_3169) @[el2_lsu_bus_buffer.scala 479:112] + node _T_3171 = and(_T_3151, _T_3170) @[el2_lsu_bus_buffer.scala 477:114] + node _T_3172 = cat(_T_3171, _T_3149) @[Cat.scala 29:58] + node _T_3173 = cat(_T_3172, _T_3127) @[Cat.scala 29:58] + node _T_3174 = cat(_T_3173, _T_3105) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2901 @[el2_lsu_bus_buffer.scala 477:20] + buf_rspage_set[1] <= _T_2992 @[el2_lsu_bus_buffer.scala 477:20] + buf_rspage_set[2] <= _T_3083 @[el2_lsu_bus_buffer.scala 477:20] + buf_rspage_set[3] <= _T_3174 @[el2_lsu_bus_buffer.scala 477:20] + node _T_3175 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3176 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3178 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3179 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3181 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3182 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3184 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3185 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3187 = cat(_T_3186, _T_3183) @[Cat.scala 29:58] + node _T_3188 = cat(_T_3187, _T_3180) @[Cat.scala 29:58] + node _T_3189 = cat(_T_3188, _T_3177) @[Cat.scala 29:58] + node _T_3190 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3191 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3193 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3194 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3196 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3197 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3199 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3200 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3202 = cat(_T_3201, _T_3198) @[Cat.scala 29:58] + node _T_3203 = cat(_T_3202, _T_3195) @[Cat.scala 29:58] + node _T_3204 = cat(_T_3203, _T_3192) @[Cat.scala 29:58] + node _T_3205 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3206 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3208 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3209 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3211 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3212 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3214 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3215 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3217 = cat(_T_3216, _T_3213) @[Cat.scala 29:58] + node _T_3218 = cat(_T_3217, _T_3210) @[Cat.scala 29:58] + node _T_3219 = cat(_T_3218, _T_3207) @[Cat.scala 29:58] + node _T_3220 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3221 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3223 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3224 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3226 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3227 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3229 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3230 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 481:105] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3232 = cat(_T_3231, _T_3228) @[Cat.scala 29:58] + node _T_3233 = cat(_T_3232, _T_3225) @[Cat.scala 29:58] + node _T_3234 = cat(_T_3233, _T_3222) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3189 @[el2_lsu_bus_buffer.scala 481:19] + buf_rspage_in[1] <= _T_3204 @[el2_lsu_bus_buffer.scala 481:19] + buf_rspage_in[2] <= _T_3219 @[el2_lsu_bus_buffer.scala 481:19] + buf_rspage_in[3] <= _T_3234 @[el2_lsu_bus_buffer.scala 481:19] + node _T_3235 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3236 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3237 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3238 = or(_T_3236, _T_3237) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3239 = eq(_T_3238, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3240 = and(_T_3235, _T_3239) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3241 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3242 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3243 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3244 = or(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3245 = eq(_T_3244, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3246 = and(_T_3241, _T_3245) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3247 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3248 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3249 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3250 = or(_T_3248, _T_3249) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3252 = and(_T_3247, _T_3251) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3253 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3254 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3255 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3256 = or(_T_3254, _T_3255) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3258 = and(_T_3253, _T_3257) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3259 = cat(_T_3258, _T_3252) @[Cat.scala 29:58] + node _T_3260 = cat(_T_3259, _T_3246) @[Cat.scala 29:58] + node _T_3261 = cat(_T_3260, _T_3240) @[Cat.scala 29:58] + node _T_3262 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3263 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3264 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3265 = or(_T_3263, _T_3264) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3267 = and(_T_3262, _T_3266) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3268 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3269 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3271 = or(_T_3269, _T_3270) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3272 = eq(_T_3271, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3273 = and(_T_3268, _T_3272) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3274 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3275 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3276 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3277 = or(_T_3275, _T_3276) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3279 = and(_T_3274, _T_3278) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3280 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3281 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3282 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3283 = or(_T_3281, _T_3282) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3285 = and(_T_3280, _T_3284) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3286 = cat(_T_3285, _T_3279) @[Cat.scala 29:58] + node _T_3287 = cat(_T_3286, _T_3273) @[Cat.scala 29:58] + node _T_3288 = cat(_T_3287, _T_3267) @[Cat.scala 29:58] + node _T_3289 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3290 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3291 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3292 = or(_T_3290, _T_3291) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3294 = and(_T_3289, _T_3293) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3295 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3296 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3297 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3298 = or(_T_3296, _T_3297) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3299 = eq(_T_3298, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3300 = and(_T_3295, _T_3299) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3301 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3302 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3303 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3304 = or(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3306 = and(_T_3301, _T_3305) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3307 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3308 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3309 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3310 = or(_T_3308, _T_3309) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3312 = and(_T_3307, _T_3311) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3313 = cat(_T_3312, _T_3306) @[Cat.scala 29:58] + node _T_3314 = cat(_T_3313, _T_3300) @[Cat.scala 29:58] + node _T_3315 = cat(_T_3314, _T_3294) @[Cat.scala 29:58] + node _T_3316 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3317 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3318 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3319 = or(_T_3317, _T_3318) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3320 = eq(_T_3319, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3321 = and(_T_3316, _T_3320) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3322 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3323 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3324 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3325 = or(_T_3323, _T_3324) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3326 = eq(_T_3325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3327 = and(_T_3322, _T_3326) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3328 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3329 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3330 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3331 = or(_T_3329, _T_3330) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3332 = eq(_T_3331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3333 = and(_T_3328, _T_3332) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3334 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 482:80] + node _T_3335 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] + node _T_3336 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] + node _T_3337 = or(_T_3335, _T_3336) @[el2_lsu_bus_buffer.scala 482:112] + node _T_3338 = eq(_T_3337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3339 = and(_T_3334, _T_3338) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3340 = cat(_T_3339, _T_3333) @[Cat.scala 29:58] + node _T_3341 = cat(_T_3340, _T_3327) @[Cat.scala 29:58] + node _T_3342 = cat(_T_3341, _T_3321) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3261 @[el2_lsu_bus_buffer.scala 482:16] + buf_rspage[1] <= _T_3288 @[el2_lsu_bus_buffer.scala 482:16] + buf_rspage[2] <= _T_3315 @[el2_lsu_bus_buffer.scala 482:16] + buf_rspage[3] <= _T_3342 @[el2_lsu_bus_buffer.scala 482:16] + node _T_3343 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:77] + node _T_3344 = and(ibuf_drain_vld, _T_3343) @[el2_lsu_bus_buffer.scala 487:65] + node _T_3345 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 487:77] + node _T_3346 = and(ibuf_drain_vld, _T_3345) @[el2_lsu_bus_buffer.scala 487:65] + node _T_3347 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 487:77] + node _T_3348 = and(ibuf_drain_vld, _T_3347) @[el2_lsu_bus_buffer.scala 487:65] + node _T_3349 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 487:77] + node _T_3350 = and(ibuf_drain_vld, _T_3349) @[el2_lsu_bus_buffer.scala 487:65] + node _T_3351 = cat(_T_3350, _T_3348) @[Cat.scala 29:58] + node _T_3352 = cat(_T_3351, _T_3346) @[Cat.scala 29:58] + node _T_3353 = cat(_T_3352, _T_3344) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3353 @[el2_lsu_bus_buffer.scala 487:23] + node _T_3354 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:66] + node _T_3355 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 488:86] + node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:20] + node _T_3357 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 489:37] + node _T_3359 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:73] + node _T_3360 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:96] + node _T_3361 = mux(_T_3358, _T_3359, _T_3360) @[el2_lsu_bus_buffer.scala 489:10] + node _T_3362 = mux(_T_3354, _T_3355, _T_3361) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3363 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:66] + node _T_3364 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 488:86] + node _T_3365 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:20] + node _T_3366 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3367 = and(_T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 489:37] + node _T_3368 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:73] + node _T_3369 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:96] + node _T_3370 = mux(_T_3367, _T_3368, _T_3369) @[el2_lsu_bus_buffer.scala 489:10] + node _T_3371 = mux(_T_3363, _T_3364, _T_3370) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3372 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:66] + node _T_3373 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 488:86] + node _T_3374 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:20] + node _T_3375 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3376 = and(_T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 489:37] + node _T_3377 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:73] + node _T_3378 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:96] + node _T_3379 = mux(_T_3376, _T_3377, _T_3378) @[el2_lsu_bus_buffer.scala 489:10] + node _T_3380 = mux(_T_3372, _T_3373, _T_3379) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3381 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:66] + node _T_3382 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 488:86] + node _T_3383 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:20] + node _T_3384 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3385 = and(_T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 489:37] + node _T_3386 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:73] + node _T_3387 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:96] + node _T_3388 = mux(_T_3385, _T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 489:10] + node _T_3389 = mux(_T_3381, _T_3382, _T_3388) @[el2_lsu_bus_buffer.scala 488:48] + buf_byteen_in[0] <= _T_3362 @[el2_lsu_bus_buffer.scala 488:19] + buf_byteen_in[1] <= _T_3371 @[el2_lsu_bus_buffer.scala 488:19] + buf_byteen_in[2] <= _T_3380 @[el2_lsu_bus_buffer.scala 488:19] + buf_byteen_in[3] <= _T_3389 @[el2_lsu_bus_buffer.scala 488:19] + node _T_3390 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:64] + node _T_3391 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:93] + node _T_3392 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:121] + node _T_3393 = and(_T_3391, _T_3392) @[el2_lsu_bus_buffer.scala 490:110] + node _T_3394 = mux(_T_3393, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 490:83] + node _T_3395 = mux(_T_3390, ibuf_addr, _T_3394) @[el2_lsu_bus_buffer.scala 490:46] + node _T_3396 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:64] + node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:93] + node _T_3398 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 490:121] + node _T_3399 = and(_T_3397, _T_3398) @[el2_lsu_bus_buffer.scala 490:110] + node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 490:83] + node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[el2_lsu_bus_buffer.scala 490:46] + node _T_3402 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:64] + node _T_3403 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:93] + node _T_3404 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 490:121] + node _T_3405 = and(_T_3403, _T_3404) @[el2_lsu_bus_buffer.scala 490:110] + node _T_3406 = mux(_T_3405, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 490:83] + node _T_3407 = mux(_T_3402, ibuf_addr, _T_3406) @[el2_lsu_bus_buffer.scala 490:46] + node _T_3408 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:64] + node _T_3409 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:93] + node _T_3410 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 490:121] + node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 490:110] + node _T_3412 = mux(_T_3411, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 490:83] + node _T_3413 = mux(_T_3408, ibuf_addr, _T_3412) @[el2_lsu_bus_buffer.scala 490:46] + buf_addr_in[0] <= _T_3395 @[el2_lsu_bus_buffer.scala 490:17] + buf_addr_in[1] <= _T_3401 @[el2_lsu_bus_buffer.scala 490:17] + buf_addr_in[2] <= _T_3407 @[el2_lsu_bus_buffer.scala 490:17] + buf_addr_in[3] <= _T_3413 @[el2_lsu_bus_buffer.scala 490:17] + node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 491:65] + node _T_3415 = mux(_T_3414, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:47] + node _T_3416 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 491:65] + node _T_3417 = mux(_T_3416, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:47] + node _T_3418 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 491:65] + node _T_3419 = mux(_T_3418, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:47] + node _T_3420 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 491:65] + node _T_3421 = mux(_T_3420, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:47] + node _T_3422 = cat(_T_3421, _T_3419) @[Cat.scala 29:58] + node _T_3423 = cat(_T_3422, _T_3417) @[Cat.scala 29:58] + node _T_3424 = cat(_T_3423, _T_3415) @[Cat.scala 29:58] + buf_dual_in <= _T_3424 @[el2_lsu_bus_buffer.scala 491:17] + node _T_3425 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3426 = mux(_T_3425, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 492:49] + node _T_3427 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3428 = mux(_T_3427, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 492:49] + node _T_3429 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3430 = mux(_T_3429, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 492:49] + node _T_3431 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3432 = mux(_T_3431, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 492:49] + node _T_3433 = cat(_T_3432, _T_3430) @[Cat.scala 29:58] + node _T_3434 = cat(_T_3433, _T_3428) @[Cat.scala 29:58] + node _T_3435 = cat(_T_3434, _T_3426) @[Cat.scala 29:58] + buf_samedw_in <= _T_3435 @[el2_lsu_bus_buffer.scala 492:19] + node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 493:68] + node _T_3437 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 493:86] + node _T_3438 = mux(_T_3436, _T_3437, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 493:50] + node _T_3439 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 493:68] + node _T_3440 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 493:86] + node _T_3441 = mux(_T_3439, _T_3440, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 493:50] + node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 493:68] + node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 493:86] + node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 493:50] + node _T_3445 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 493:68] + node _T_3446 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 493:86] + node _T_3447 = mux(_T_3445, _T_3446, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 493:50] + node _T_3448 = cat(_T_3447, _T_3444) @[Cat.scala 29:58] + node _T_3449 = cat(_T_3448, _T_3441) @[Cat.scala 29:58] + node _T_3450 = cat(_T_3449, _T_3438) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3450 @[el2_lsu_bus_buffer.scala 493:20] + node _T_3451 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 494:67] + node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:92] + node _T_3453 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:120] + node _T_3454 = and(_T_3452, _T_3453) @[el2_lsu_bus_buffer.scala 494:109] + node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[el2_lsu_bus_buffer.scala 494:49] + node _T_3456 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 494:67] + node _T_3457 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:92] + node _T_3458 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:120] + node _T_3459 = and(_T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 494:109] + node _T_3460 = mux(_T_3456, ibuf_dual, _T_3459) @[el2_lsu_bus_buffer.scala 494:49] + node _T_3461 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 494:67] + node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:92] + node _T_3463 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 494:120] + node _T_3464 = and(_T_3462, _T_3463) @[el2_lsu_bus_buffer.scala 494:109] + node _T_3465 = mux(_T_3461, ibuf_dual, _T_3464) @[el2_lsu_bus_buffer.scala 494:49] + node _T_3466 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 494:67] + node _T_3467 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:92] + node _T_3468 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 494:120] + node _T_3469 = and(_T_3467, _T_3468) @[el2_lsu_bus_buffer.scala 494:109] + node _T_3470 = mux(_T_3466, ibuf_dual, _T_3469) @[el2_lsu_bus_buffer.scala 494:49] + node _T_3471 = cat(_T_3470, _T_3465) @[Cat.scala 29:58] + node _T_3472 = cat(_T_3471, _T_3460) @[Cat.scala 29:58] + node _T_3473 = cat(_T_3472, _T_3455) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3473 @[el2_lsu_bus_buffer.scala 494:19] + node _T_3474 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3475 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:99] + node _T_3476 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:127] + node _T_3477 = and(_T_3475, _T_3476) @[el2_lsu_bus_buffer.scala 495:116] + node _T_3478 = mux(_T_3477, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:89] + node _T_3479 = mux(_T_3474, ibuf_dualtag, _T_3478) @[el2_lsu_bus_buffer.scala 495:49] + node _T_3480 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:99] + node _T_3482 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:127] + node _T_3483 = and(_T_3481, _T_3482) @[el2_lsu_bus_buffer.scala 495:116] + node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:89] + node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[el2_lsu_bus_buffer.scala 495:49] + node _T_3486 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3487 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:99] + node _T_3488 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 495:127] + node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 495:116] + node _T_3490 = mux(_T_3489, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:89] + node _T_3491 = mux(_T_3486, ibuf_dualtag, _T_3490) @[el2_lsu_bus_buffer.scala 495:49] + node _T_3492 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3493 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:99] + node _T_3494 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 495:127] + node _T_3495 = and(_T_3493, _T_3494) @[el2_lsu_bus_buffer.scala 495:116] + node _T_3496 = mux(_T_3495, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:89] + node _T_3497 = mux(_T_3492, ibuf_dualtag, _T_3496) @[el2_lsu_bus_buffer.scala 495:49] + buf_dualtag_in[0] <= _T_3479 @[el2_lsu_bus_buffer.scala 495:20] + buf_dualtag_in[1] <= _T_3485 @[el2_lsu_bus_buffer.scala 495:20] + buf_dualtag_in[2] <= _T_3491 @[el2_lsu_bus_buffer.scala 495:20] + buf_dualtag_in[3] <= _T_3497 @[el2_lsu_bus_buffer.scala 495:20] + node _T_3498 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 496:71] + node _T_3499 = mux(_T_3498, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 496:53] + node _T_3500 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 496:71] + node _T_3501 = mux(_T_3500, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 496:53] + node _T_3502 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 496:71] + node _T_3503 = mux(_T_3502, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 496:53] + node _T_3504 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 496:71] + node _T_3505 = mux(_T_3504, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 496:53] + node _T_3506 = cat(_T_3505, _T_3503) @[Cat.scala 29:58] + node _T_3507 = cat(_T_3506, _T_3501) @[Cat.scala 29:58] + node _T_3508 = cat(_T_3507, _T_3499) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3508 @[el2_lsu_bus_buffer.scala 496:23] + node _T_3509 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 497:67] + node _T_3510 = mux(_T_3509, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 497:49] + node _T_3511 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 497:67] + node _T_3512 = mux(_T_3511, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 497:49] + node _T_3513 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 497:67] + node _T_3514 = mux(_T_3513, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 497:49] + node _T_3515 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 497:67] + node _T_3516 = mux(_T_3515, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 497:49] + node _T_3517 = cat(_T_3516, _T_3514) @[Cat.scala 29:58] + node _T_3518 = cat(_T_3517, _T_3512) @[Cat.scala 29:58] + node _T_3519 = cat(_T_3518, _T_3510) @[Cat.scala 29:58] + buf_unsign_in <= _T_3519 @[el2_lsu_bus_buffer.scala 497:19] + node _T_3520 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 498:62] node _T_3521 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3522 = mux(_T_3520, ibuf_sz, _T_3521) @[el2_lsu_bus_buffer.scala 490:44] - node _T_3523 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:62] + node _T_3522 = mux(_T_3520, ibuf_sz, _T_3521) @[el2_lsu_bus_buffer.scala 498:44] + node _T_3523 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 498:62] node _T_3524 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3525 = mux(_T_3523, ibuf_sz, _T_3524) @[el2_lsu_bus_buffer.scala 490:44] - node _T_3526 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:62] + node _T_3525 = mux(_T_3523, ibuf_sz, _T_3524) @[el2_lsu_bus_buffer.scala 498:44] + node _T_3526 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 498:62] node _T_3527 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 490:44] - buf_sz_in[0] <= _T_3519 @[el2_lsu_bus_buffer.scala 490:15] - buf_sz_in[1] <= _T_3522 @[el2_lsu_bus_buffer.scala 490:15] - buf_sz_in[2] <= _T_3525 @[el2_lsu_bus_buffer.scala 490:15] - buf_sz_in[3] <= _T_3528 @[el2_lsu_bus_buffer.scala 490:15] - node _T_3529 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 491:66] - node _T_3530 = mux(_T_3529, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 491:48] - node _T_3531 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 491:66] - node _T_3532 = mux(_T_3531, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 491:48] - node _T_3533 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 491:66] - node _T_3534 = mux(_T_3533, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 491:48] - node _T_3535 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 491:66] - node _T_3536 = mux(_T_3535, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 491:48] - node _T_3537 = cat(_T_3536, _T_3534) @[Cat.scala 29:58] - node _T_3538 = cat(_T_3537, _T_3532) @[Cat.scala 29:58] - node _T_3539 = cat(_T_3538, _T_3530) @[Cat.scala 29:58] - buf_write_in <= _T_3539 @[el2_lsu_bus_buffer.scala 491:18] - node _T_3540 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3540 : @[Conditional.scala 40:58] - node _T_3541 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 496:56] - node _T_3542 = mux(_T_3541, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 496:31] - buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 496:25] - node _T_3543 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 497:45] - node _T_3544 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:77] - node _T_3545 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:97] - node _T_3546 = and(_T_3544, _T_3545) @[el2_lsu_bus_buffer.scala 497:95] - node _T_3547 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 497:117] - node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 497:112] - node _T_3549 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:144] - node _T_3550 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 497:166] - node _T_3551 = and(_T_3549, _T_3550) @[el2_lsu_bus_buffer.scala 497:161] - node _T_3552 = or(_T_3548, _T_3551) @[el2_lsu_bus_buffer.scala 497:132] - node _T_3553 = and(_T_3543, _T_3552) @[el2_lsu_bus_buffer.scala 497:63] - node _T_3554 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:206] - node _T_3555 = and(ibuf_drain_vld, _T_3554) @[el2_lsu_bus_buffer.scala 497:201] - node _T_3556 = or(_T_3553, _T_3555) @[el2_lsu_bus_buffer.scala 497:183] - buf_state_en[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 497:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 498:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 499:24] - node _T_3557 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 500:52] - node _T_3558 = and(ibuf_drain_vld, _T_3557) @[el2_lsu_bus_buffer.scala 500:47] - node _T_3559 = bits(_T_3558, 0, 0) @[el2_lsu_bus_buffer.scala 500:73] - node _T_3560 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 500:90] - node _T_3561 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 500:114] - node _T_3562 = mux(_T_3559, _T_3560, _T_3561) @[el2_lsu_bus_buffer.scala 500:30] - buf_data_in[0] <= _T_3562 @[el2_lsu_bus_buffer.scala 500:24] + node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 498:44] + node _T_3529 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 498:62] + node _T_3530 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3531 = mux(_T_3529, ibuf_sz, _T_3530) @[el2_lsu_bus_buffer.scala 498:44] + buf_sz_in[0] <= _T_3522 @[el2_lsu_bus_buffer.scala 498:15] + buf_sz_in[1] <= _T_3525 @[el2_lsu_bus_buffer.scala 498:15] + buf_sz_in[2] <= _T_3528 @[el2_lsu_bus_buffer.scala 498:15] + buf_sz_in[3] <= _T_3531 @[el2_lsu_bus_buffer.scala 498:15] + node _T_3532 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3533 = mux(_T_3532, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 499:48] + node _T_3534 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3535 = mux(_T_3534, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 499:48] + node _T_3536 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3537 = mux(_T_3536, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 499:48] + node _T_3538 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3539 = mux(_T_3538, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 499:48] + node _T_3540 = cat(_T_3539, _T_3537) @[Cat.scala 29:58] + node _T_3541 = cat(_T_3540, _T_3535) @[Cat.scala 29:58] + node _T_3542 = cat(_T_3541, _T_3533) @[Cat.scala 29:58] + buf_write_in <= _T_3542 @[el2_lsu_bus_buffer.scala 499:18] + node _T_3543 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3543 : @[Conditional.scala 40:58] + node _T_3544 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 504:56] + node _T_3545 = mux(_T_3544, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[0] <= _T_3545 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3546 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 505:45] + node _T_3547 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:77] + node _T_3548 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:97] + node _T_3549 = and(_T_3547, _T_3548) @[el2_lsu_bus_buffer.scala 505:95] + node _T_3550 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 505:117] + node _T_3551 = and(_T_3549, _T_3550) @[el2_lsu_bus_buffer.scala 505:112] + node _T_3552 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:144] + node _T_3553 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 505:166] + node _T_3554 = and(_T_3552, _T_3553) @[el2_lsu_bus_buffer.scala 505:161] + node _T_3555 = or(_T_3551, _T_3554) @[el2_lsu_bus_buffer.scala 505:132] + node _T_3556 = and(_T_3546, _T_3555) @[el2_lsu_bus_buffer.scala 505:63] + node _T_3557 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 505:206] + node _T_3558 = and(ibuf_drain_vld, _T_3557) @[el2_lsu_bus_buffer.scala 505:201] + node _T_3559 = or(_T_3556, _T_3558) @[el2_lsu_bus_buffer.scala 505:183] + buf_state_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 505:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 506:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 507:24] + node _T_3560 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 508:52] + node _T_3561 = and(ibuf_drain_vld, _T_3560) @[el2_lsu_bus_buffer.scala 508:47] + node _T_3562 = bits(_T_3561, 0, 0) @[el2_lsu_bus_buffer.scala 508:73] + node _T_3563 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 508:90] + node _T_3564 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 508:114] + node _T_3565 = mux(_T_3562, _T_3563, _T_3564) @[el2_lsu_bus_buffer.scala 508:30] + buf_data_in[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 508:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3563 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3563 : @[Conditional.scala 39:67] - node _T_3564 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] - node _T_3565 = mux(_T_3564, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 503:25] - node _T_3566 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 504:46] - buf_state_en[0] <= _T_3566 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3566 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3566 : @[Conditional.scala 39:67] + node _T_3567 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3568 = mux(_T_3567, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 511:31] + buf_nxtstate[0] <= _T_3568 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3569 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:46] + buf_state_en[0] <= _T_3569 @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3567 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3567 : @[Conditional.scala 39:67] - node _T_3568 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] - node _T_3569 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 507:89] - node _T_3570 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 507:124] - node _T_3571 = and(_T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 507:104] - node _T_3572 = mux(_T_3571, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:75] - node _T_3573 = mux(_T_3568, UInt<3>("h00"), _T_3572) @[el2_lsu_bus_buffer.scala 507:31] - buf_nxtstate[0] <= _T_3573 @[el2_lsu_bus_buffer.scala 507:25] - node _T_3574 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 508:48] - node _T_3575 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 508:104] - node _T_3576 = and(obuf_merge, _T_3575) @[el2_lsu_bus_buffer.scala 508:91] - node _T_3577 = or(_T_3574, _T_3576) @[el2_lsu_bus_buffer.scala 508:77] - node _T_3578 = and(_T_3577, obuf_valid) @[el2_lsu_bus_buffer.scala 508:135] - node _T_3579 = and(_T_3578, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 508:148] - buf_cmd_state_bus_en[0] <= _T_3579 @[el2_lsu_bus_buffer.scala 508:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 509:29] - node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] - node _T_3581 = or(_T_3580, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] - buf_state_en[0] <= _T_3581 @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] - node _T_3582 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 512:56] - node _T_3583 = eq(_T_3582, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:46] - node _T_3584 = and(buf_state_en[0], _T_3583) @[el2_lsu_bus_buffer.scala 512:44] - node _T_3585 = and(_T_3584, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:60] - node _T_3586 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:76] - node _T_3587 = and(_T_3585, _T_3586) @[el2_lsu_bus_buffer.scala 512:74] - buf_ldfwd_en[0] <= _T_3587 @[el2_lsu_bus_buffer.scala 512:25] - node _T_3588 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 513:46] - buf_ldfwdtag_in[0] <= _T_3588 @[el2_lsu_bus_buffer.scala 513:28] - node _T_3589 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:47] - node _T_3590 = and(_T_3589, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:67] - node _T_3591 = and(_T_3590, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:81] - buf_data_en[0] <= _T_3591 @[el2_lsu_bus_buffer.scala 514:24] - node _T_3592 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 515:48] - node _T_3593 = and(_T_3592, obuf_nosend) @[el2_lsu_bus_buffer.scala 515:68] - node _T_3594 = and(_T_3593, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 515:82] - buf_error_en[0] <= _T_3594 @[el2_lsu_bus_buffer.scala 515:25] - node _T_3595 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:61] - node _T_3596 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 516:85] - node _T_3597 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 516:103] - node _T_3598 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:126] - node _T_3599 = mux(_T_3596, _T_3597, _T_3598) @[el2_lsu_bus_buffer.scala 516:73] - node _T_3600 = mux(buf_error_en[0], _T_3595, _T_3599) @[el2_lsu_bus_buffer.scala 516:30] - buf_data_in[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 516:24] + node _T_3570 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3570 : @[Conditional.scala 39:67] + node _T_3571 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 515:60] + node _T_3572 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 515:89] + node _T_3573 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 515:124] + node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 515:104] + node _T_3575 = mux(_T_3574, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:75] + node _T_3576 = mux(_T_3571, UInt<3>("h00"), _T_3575) @[el2_lsu_bus_buffer.scala 515:31] + buf_nxtstate[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3577 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 516:48] + node _T_3578 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 516:104] + node _T_3579 = and(obuf_merge, _T_3578) @[el2_lsu_bus_buffer.scala 516:91] + node _T_3580 = or(_T_3577, _T_3579) @[el2_lsu_bus_buffer.scala 516:77] + node _T_3581 = and(_T_3580, obuf_valid) @[el2_lsu_bus_buffer.scala 516:135] + node _T_3582 = and(_T_3581, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 516:148] + buf_cmd_state_bus_en[0] <= _T_3582 @[el2_lsu_bus_buffer.scala 516:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 517:29] + node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 518:49] + node _T_3584 = or(_T_3583, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 518:70] + buf_state_en[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 518:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] + node _T_3585 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 520:56] + node _T_3586 = eq(_T_3585, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:46] + node _T_3587 = and(buf_state_en[0], _T_3586) @[el2_lsu_bus_buffer.scala 520:44] + node _T_3588 = and(_T_3587, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:60] + node _T_3589 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:76] + node _T_3590 = and(_T_3588, _T_3589) @[el2_lsu_bus_buffer.scala 520:74] + buf_ldfwd_en[0] <= _T_3590 @[el2_lsu_bus_buffer.scala 520:25] + node _T_3591 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 521:46] + buf_ldfwdtag_in[0] <= _T_3591 @[el2_lsu_bus_buffer.scala 521:28] + node _T_3592 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:47] + node _T_3593 = and(_T_3592, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:67] + node _T_3594 = and(_T_3593, bus_rsp_read) @[el2_lsu_bus_buffer.scala 522:81] + buf_data_en[0] <= _T_3594 @[el2_lsu_bus_buffer.scala 522:24] + node _T_3595 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:48] + node _T_3596 = and(_T_3595, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:68] + node _T_3597 = and(_T_3596, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 523:82] + buf_error_en[0] <= _T_3597 @[el2_lsu_bus_buffer.scala 523:25] + node _T_3598 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:61] + node _T_3599 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 524:85] + node _T_3600 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 524:103] + node _T_3601 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:126] + node _T_3602 = mux(_T_3599, _T_3600, _T_3601) @[el2_lsu_bus_buffer.scala 524:73] + node _T_3603 = mux(buf_error_en[0], _T_3598, _T_3602) @[el2_lsu_bus_buffer.scala 524:30] + buf_data_in[0] <= _T_3603 @[el2_lsu_bus_buffer.scala 524:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3601 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3601 : @[Conditional.scala 39:67] - node _T_3602 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 519:67] - node _T_3603 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 519:94] - node _T_3604 = eq(_T_3603, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:73] - node _T_3605 = and(_T_3602, _T_3604) @[el2_lsu_bus_buffer.scala 519:71] - node _T_3606 = or(io.dec_tlu_force_halt, _T_3605) @[el2_lsu_bus_buffer.scala 519:55] - node _T_3607 = bits(_T_3606, 0, 0) @[el2_lsu_bus_buffer.scala 519:125] - node _T_3608 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:30] - node _T_3609 = and(buf_dual[0], _T_3608) @[el2_lsu_bus_buffer.scala 520:28] - node _T_3610 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 520:57] - node _T_3611 = eq(_T_3610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:47] - node _T_3612 = and(_T_3609, _T_3611) @[el2_lsu_bus_buffer.scala 520:45] - node _T_3613 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:90] - node _T_3614 = and(_T_3612, _T_3613) @[el2_lsu_bus_buffer.scala 520:61] - node _T_3615 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 521:27] - node _T_3616 = or(_T_3615, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:31] - node _T_3617 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:70] - node _T_3618 = and(buf_dual[0], _T_3617) @[el2_lsu_bus_buffer.scala 521:68] - node _T_3619 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 521:97] - node _T_3620 = eq(_T_3619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:87] - node _T_3621 = and(_T_3618, _T_3620) @[el2_lsu_bus_buffer.scala 521:85] - node _T_3622 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3623 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3624 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3625 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3626 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3627 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3628 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3629 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3630 = mux(_T_3622, _T_3623, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3631 = mux(_T_3624, _T_3625, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3632 = mux(_T_3626, _T_3627, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3633 = mux(_T_3628, _T_3629, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3634 = or(_T_3630, _T_3631) @[Mux.scala 27:72] - node _T_3635 = or(_T_3634, _T_3632) @[Mux.scala 27:72] - node _T_3636 = or(_T_3635, _T_3633) @[Mux.scala 27:72] - wire _T_3637 : UInt<1> @[Mux.scala 27:72] - _T_3637 <= _T_3636 @[Mux.scala 27:72] - node _T_3638 = and(_T_3621, _T_3637) @[el2_lsu_bus_buffer.scala 521:101] - node _T_3639 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 521:167] - node _T_3640 = and(_T_3638, _T_3639) @[el2_lsu_bus_buffer.scala 521:138] - node _T_3641 = and(_T_3640, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:187] - node _T_3642 = or(_T_3616, _T_3641) @[el2_lsu_bus_buffer.scala 521:53] - node _T_3643 = mux(_T_3642, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 521:16] - node _T_3644 = mux(_T_3614, UInt<3>("h04"), _T_3643) @[el2_lsu_bus_buffer.scala 520:14] - node _T_3645 = mux(_T_3607, UInt<3>("h00"), _T_3644) @[el2_lsu_bus_buffer.scala 519:31] - buf_nxtstate[0] <= _T_3645 @[el2_lsu_bus_buffer.scala 519:25] - node _T_3646 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 522:73] - node _T_3647 = and(bus_rsp_write, _T_3646) @[el2_lsu_bus_buffer.scala 522:52] - node _T_3648 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 523:46] - node _T_3649 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 524:23] - node _T_3650 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 524:47] - node _T_3651 = and(_T_3649, _T_3650) @[el2_lsu_bus_buffer.scala 524:27] - node _T_3652 = or(_T_3648, _T_3651) @[el2_lsu_bus_buffer.scala 523:77] - node _T_3653 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 525:26] - node _T_3654 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 525:54] - node _T_3655 = not(_T_3654) @[el2_lsu_bus_buffer.scala 525:44] - node _T_3656 = and(_T_3653, _T_3655) @[el2_lsu_bus_buffer.scala 525:42] - node _T_3657 = and(_T_3656, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 525:58] - node _T_3658 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 525:94] - node _T_3659 = and(_T_3657, _T_3658) @[el2_lsu_bus_buffer.scala 525:74] - node _T_3660 = or(_T_3652, _T_3659) @[el2_lsu_bus_buffer.scala 524:71] - node _T_3661 = and(bus_rsp_read, _T_3660) @[el2_lsu_bus_buffer.scala 523:25] - node _T_3662 = or(_T_3647, _T_3661) @[el2_lsu_bus_buffer.scala 522:105] - buf_resp_state_bus_en[0] <= _T_3662 @[el2_lsu_bus_buffer.scala 522:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 526:29] - node _T_3663 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:49] - node _T_3664 = or(_T_3663, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 527:70] - buf_state_en[0] <= _T_3664 @[el2_lsu_bus_buffer.scala 527:25] - node _T_3665 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 528:47] - node _T_3666 = and(_T_3665, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:62] - buf_data_en[0] <= _T_3666 @[el2_lsu_bus_buffer.scala 528:24] - node _T_3667 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 529:48] - node _T_3668 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 529:111] - node _T_3669 = and(bus_rsp_read_error, _T_3668) @[el2_lsu_bus_buffer.scala 529:91] - node _T_3670 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 530:42] - node _T_3671 = and(bus_rsp_read_error, _T_3670) @[el2_lsu_bus_buffer.scala 530:31] - node _T_3672 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 530:66] - node _T_3673 = and(_T_3671, _T_3672) @[el2_lsu_bus_buffer.scala 530:46] - node _T_3674 = or(_T_3669, _T_3673) @[el2_lsu_bus_buffer.scala 529:143] - node _T_3675 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 531:32] - node _T_3676 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 531:74] - node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 531:53] - node _T_3678 = or(_T_3674, _T_3677) @[el2_lsu_bus_buffer.scala 530:88] - node _T_3679 = and(_T_3667, _T_3678) @[el2_lsu_bus_buffer.scala 529:68] - buf_error_en[0] <= _T_3679 @[el2_lsu_bus_buffer.scala 529:25] - node _T_3680 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 532:50] - node _T_3681 = and(buf_state_en[0], _T_3680) @[el2_lsu_bus_buffer.scala 532:48] - node _T_3682 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 532:84] - node _T_3683 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 532:102] - node _T_3684 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:125] - node _T_3685 = mux(_T_3682, _T_3683, _T_3684) @[el2_lsu_bus_buffer.scala 532:72] - node _T_3686 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:148] - node _T_3687 = mux(_T_3681, _T_3685, _T_3686) @[el2_lsu_bus_buffer.scala 532:30] - buf_data_in[0] <= _T_3687 @[el2_lsu_bus_buffer.scala 532:24] + node _T_3604 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3604 : @[Conditional.scala 39:67] + node _T_3605 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 527:67] + node _T_3606 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 527:94] + node _T_3607 = eq(_T_3606, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:73] + node _T_3608 = and(_T_3605, _T_3607) @[el2_lsu_bus_buffer.scala 527:71] + node _T_3609 = or(io.dec_tlu_force_halt, _T_3608) @[el2_lsu_bus_buffer.scala 527:55] + node _T_3610 = bits(_T_3609, 0, 0) @[el2_lsu_bus_buffer.scala 527:125] + node _T_3611 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:30] + node _T_3612 = and(buf_dual[0], _T_3611) @[el2_lsu_bus_buffer.scala 528:28] + node _T_3613 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 528:57] + node _T_3614 = eq(_T_3613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:47] + node _T_3615 = and(_T_3612, _T_3614) @[el2_lsu_bus_buffer.scala 528:45] + node _T_3616 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:90] + node _T_3617 = and(_T_3615, _T_3616) @[el2_lsu_bus_buffer.scala 528:61] + node _T_3618 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 529:27] + node _T_3619 = or(_T_3618, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:31] + node _T_3620 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:70] + node _T_3621 = and(buf_dual[0], _T_3620) @[el2_lsu_bus_buffer.scala 529:68] + node _T_3622 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 529:97] + node _T_3623 = eq(_T_3622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:87] + node _T_3624 = and(_T_3621, _T_3623) @[el2_lsu_bus_buffer.scala 529:85] + node _T_3625 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3626 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3627 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3628 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3629 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3630 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3631 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3632 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3633 = mux(_T_3625, _T_3626, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3634 = mux(_T_3627, _T_3628, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3635 = mux(_T_3629, _T_3630, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3636 = mux(_T_3631, _T_3632, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3637 = or(_T_3633, _T_3634) @[Mux.scala 27:72] + node _T_3638 = or(_T_3637, _T_3635) @[Mux.scala 27:72] + node _T_3639 = or(_T_3638, _T_3636) @[Mux.scala 27:72] + wire _T_3640 : UInt<1> @[Mux.scala 27:72] + _T_3640 <= _T_3639 @[Mux.scala 27:72] + node _T_3641 = and(_T_3624, _T_3640) @[el2_lsu_bus_buffer.scala 529:101] + node _T_3642 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:167] + node _T_3643 = and(_T_3641, _T_3642) @[el2_lsu_bus_buffer.scala 529:138] + node _T_3644 = and(_T_3643, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:187] + node _T_3645 = or(_T_3619, _T_3644) @[el2_lsu_bus_buffer.scala 529:53] + node _T_3646 = mux(_T_3645, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 529:16] + node _T_3647 = mux(_T_3617, UInt<3>("h04"), _T_3646) @[el2_lsu_bus_buffer.scala 528:14] + node _T_3648 = mux(_T_3610, UInt<3>("h00"), _T_3647) @[el2_lsu_bus_buffer.scala 527:31] + buf_nxtstate[0] <= _T_3648 @[el2_lsu_bus_buffer.scala 527:25] + node _T_3649 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 530:73] + node _T_3650 = and(bus_rsp_write, _T_3649) @[el2_lsu_bus_buffer.scala 530:52] + node _T_3651 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 531:46] + node _T_3652 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 532:23] + node _T_3653 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 532:47] + node _T_3654 = and(_T_3652, _T_3653) @[el2_lsu_bus_buffer.scala 532:27] + node _T_3655 = or(_T_3651, _T_3654) @[el2_lsu_bus_buffer.scala 531:77] + node _T_3656 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 533:26] + node _T_3657 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 533:54] + node _T_3658 = not(_T_3657) @[el2_lsu_bus_buffer.scala 533:44] + node _T_3659 = and(_T_3656, _T_3658) @[el2_lsu_bus_buffer.scala 533:42] + node _T_3660 = and(_T_3659, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 533:58] + node _T_3661 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 533:94] + node _T_3662 = and(_T_3660, _T_3661) @[el2_lsu_bus_buffer.scala 533:74] + node _T_3663 = or(_T_3655, _T_3662) @[el2_lsu_bus_buffer.scala 532:71] + node _T_3664 = and(bus_rsp_read, _T_3663) @[el2_lsu_bus_buffer.scala 531:25] + node _T_3665 = or(_T_3650, _T_3664) @[el2_lsu_bus_buffer.scala 530:105] + buf_resp_state_bus_en[0] <= _T_3665 @[el2_lsu_bus_buffer.scala 530:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 534:29] + node _T_3666 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] + node _T_3667 = or(_T_3666, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] + buf_state_en[0] <= _T_3667 @[el2_lsu_bus_buffer.scala 535:25] + node _T_3668 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 536:47] + node _T_3669 = and(_T_3668, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:62] + buf_data_en[0] <= _T_3669 @[el2_lsu_bus_buffer.scala 536:24] + node _T_3670 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:48] + node _T_3671 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:111] + node _T_3672 = and(bus_rsp_read_error, _T_3671) @[el2_lsu_bus_buffer.scala 537:91] + node _T_3673 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 538:42] + node _T_3674 = and(bus_rsp_read_error, _T_3673) @[el2_lsu_bus_buffer.scala 538:31] + node _T_3675 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 538:66] + node _T_3676 = and(_T_3674, _T_3675) @[el2_lsu_bus_buffer.scala 538:46] + node _T_3677 = or(_T_3672, _T_3676) @[el2_lsu_bus_buffer.scala 537:143] + node _T_3678 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 539:32] + node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 539:74] + node _T_3680 = and(_T_3678, _T_3679) @[el2_lsu_bus_buffer.scala 539:53] + node _T_3681 = or(_T_3677, _T_3680) @[el2_lsu_bus_buffer.scala 538:88] + node _T_3682 = and(_T_3670, _T_3681) @[el2_lsu_bus_buffer.scala 537:68] + buf_error_en[0] <= _T_3682 @[el2_lsu_bus_buffer.scala 537:25] + node _T_3683 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:50] + node _T_3684 = and(buf_state_en[0], _T_3683) @[el2_lsu_bus_buffer.scala 540:48] + node _T_3685 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 540:84] + node _T_3686 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 540:102] + node _T_3687 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:125] + node _T_3688 = mux(_T_3685, _T_3686, _T_3687) @[el2_lsu_bus_buffer.scala 540:72] + node _T_3689 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:148] + node _T_3690 = mux(_T_3684, _T_3688, _T_3689) @[el2_lsu_bus_buffer.scala 540:30] + buf_data_in[0] <= _T_3690 @[el2_lsu_bus_buffer.scala 540:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3688 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3688 : @[Conditional.scala 39:67] - node _T_3689 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 535:60] - node _T_3690 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 535:86] - node _T_3691 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 535:101] - node _T_3692 = bits(_T_3691, 0, 0) @[el2_lsu_bus_buffer.scala 535:101] - node _T_3693 = or(_T_3690, _T_3692) @[el2_lsu_bus_buffer.scala 535:90] - node _T_3694 = or(_T_3693, any_done_wait_state) @[el2_lsu_bus_buffer.scala 535:118] - node _T_3695 = mux(_T_3694, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 535:75] - node _T_3696 = mux(_T_3689, UInt<3>("h00"), _T_3695) @[el2_lsu_bus_buffer.scala 535:31] - buf_nxtstate[0] <= _T_3696 @[el2_lsu_bus_buffer.scala 535:25] - node _T_3697 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 536:66] - node _T_3698 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 537:21] - node _T_3699 = bits(_T_3698, 0, 0) @[el2_lsu_bus_buffer.scala 537:21] - node _T_3700 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 537:58] - node _T_3701 = and(_T_3699, _T_3700) @[el2_lsu_bus_buffer.scala 537:38] - node _T_3702 = or(_T_3697, _T_3701) @[el2_lsu_bus_buffer.scala 536:95] - node _T_3703 = and(bus_rsp_read, _T_3702) @[el2_lsu_bus_buffer.scala 536:45] - buf_state_bus_en[0] <= _T_3703 @[el2_lsu_bus_buffer.scala 536:29] - node _T_3704 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 538:49] - node _T_3705 = or(_T_3704, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:70] - buf_state_en[0] <= _T_3705 @[el2_lsu_bus_buffer.scala 538:25] + node _T_3691 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3691 : @[Conditional.scala 39:67] + node _T_3692 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] + node _T_3693 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 543:86] + node _T_3694 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 543:101] + node _T_3695 = bits(_T_3694, 0, 0) @[el2_lsu_bus_buffer.scala 543:101] + node _T_3696 = or(_T_3693, _T_3695) @[el2_lsu_bus_buffer.scala 543:90] + node _T_3697 = or(_T_3696, any_done_wait_state) @[el2_lsu_bus_buffer.scala 543:118] + node _T_3698 = mux(_T_3697, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 543:75] + node _T_3699 = mux(_T_3692, UInt<3>("h00"), _T_3698) @[el2_lsu_bus_buffer.scala 543:31] + buf_nxtstate[0] <= _T_3699 @[el2_lsu_bus_buffer.scala 543:25] + node _T_3700 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 544:66] + node _T_3701 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 545:21] + node _T_3702 = bits(_T_3701, 0, 0) @[el2_lsu_bus_buffer.scala 545:21] + node _T_3703 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 545:58] + node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 545:38] + node _T_3705 = or(_T_3700, _T_3704) @[el2_lsu_bus_buffer.scala 544:95] + node _T_3706 = and(bus_rsp_read, _T_3705) @[el2_lsu_bus_buffer.scala 544:45] + buf_state_bus_en[0] <= _T_3706 @[el2_lsu_bus_buffer.scala 544:29] + node _T_3707 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 546:49] + node _T_3708 = or(_T_3707, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 546:70] + buf_state_en[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 546:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3706 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3706 : @[Conditional.scala 39:67] - node _T_3707 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] - node _T_3708 = mux(_T_3707, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:31] - buf_nxtstate[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 541:25] - node _T_3709 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 542:37] - node _T_3710 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 542:98] - node _T_3711 = and(buf_dual[0], _T_3710) @[el2_lsu_bus_buffer.scala 542:80] - node _T_3712 = or(_T_3709, _T_3711) @[el2_lsu_bus_buffer.scala 542:65] - node _T_3713 = or(_T_3712, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 542:112] - buf_state_en[0] <= _T_3713 @[el2_lsu_bus_buffer.scala 542:25] + node _T_3709 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3709 : @[Conditional.scala 39:67] + node _T_3710 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 549:60] + node _T_3711 = mux(_T_3710, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:31] + buf_nxtstate[0] <= _T_3711 @[el2_lsu_bus_buffer.scala 549:25] + node _T_3712 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 550:37] + node _T_3713 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 550:98] + node _T_3714 = and(buf_dual[0], _T_3713) @[el2_lsu_bus_buffer.scala 550:80] + node _T_3715 = or(_T_3712, _T_3714) @[el2_lsu_bus_buffer.scala 550:65] + node _T_3716 = or(_T_3715, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 550:112] + buf_state_en[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 550:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3714 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3714 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 545:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 547:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 548:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 549:25] + node _T_3717 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3717 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 553:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 556:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 557:25] skip @[Conditional.scala 39:67] - node _T_3715 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 552:108] - reg _T_3716 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3715 : @[Reg.scala 28:19] - _T_3716 <= buf_nxtstate[0] @[Reg.scala 28:23] + node _T_3718 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 560:108] + reg _T_3719 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3718 : @[Reg.scala 28:19] + _T_3719 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 552:18] - reg _T_3717 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:60] - _T_3717 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 553:60] - buf_ageQ[0] <= _T_3717 @[el2_lsu_bus_buffer.scala 553:17] - reg _T_3718 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 554:63] - _T_3718 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 554:63] - buf_rspageQ[0] <= _T_3718 @[el2_lsu_bus_buffer.scala 554:20] - node _T_3719 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:109] - reg _T_3720 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3719 : @[Reg.scala 28:19] - _T_3720 <= buf_dualtag_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3720 @[el2_lsu_bus_buffer.scala 555:20] - node _T_3721 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 556:74] - node _T_3722 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 556:107] - reg _T_3723 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[0] <= _T_3719 @[el2_lsu_bus_buffer.scala 560:18] + reg _T_3720 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:60] + _T_3720 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 561:60] + buf_ageQ[0] <= _T_3720 @[el2_lsu_bus_buffer.scala 561:17] + reg _T_3721 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:63] + _T_3721 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 562:63] + buf_rspageQ[0] <= _T_3721 @[el2_lsu_bus_buffer.scala 562:20] + node _T_3722 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:109] + reg _T_3723 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3722 : @[Reg.scala 28:19] - _T_3723 <= _T_3721 @[Reg.scala 28:23] + _T_3723 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3723 @[el2_lsu_bus_buffer.scala 556:17] - node _T_3724 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 557:78] - node _T_3725 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + buf_dualtag[0] <= _T_3723 @[el2_lsu_bus_buffer.scala 563:20] + node _T_3724 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:74] + node _T_3725 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:107] reg _T_3726 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3725 : @[Reg.scala 28:19] _T_3726 <= _T_3724 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 557:19] - node _T_3727 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 558:80] - node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 558:113] + buf_dual[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 564:17] + node _T_3727 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:78] + node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] reg _T_3729 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3728 : @[Reg.scala 28:19] _T_3729 <= _T_3727 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 558:20] - node _T_3730 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 559:78] - node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 559:111] + buf_samedw[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 565:19] + node _T_3730 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 566:80] + node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:113] reg _T_3732 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3731 : @[Reg.scala 28:19] _T_3732 <= _T_3730 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 559:19] - node _T_3733 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3733 : @[Conditional.scala 40:58] - node _T_3734 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 496:56] - node _T_3735 = mux(_T_3734, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 496:31] - buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 496:25] - node _T_3736 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 497:45] - node _T_3737 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:77] - node _T_3738 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:97] - node _T_3739 = and(_T_3737, _T_3738) @[el2_lsu_bus_buffer.scala 497:95] - node _T_3740 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 497:117] - node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 497:112] - node _T_3742 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:144] - node _T_3743 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 497:166] - node _T_3744 = and(_T_3742, _T_3743) @[el2_lsu_bus_buffer.scala 497:161] - node _T_3745 = or(_T_3741, _T_3744) @[el2_lsu_bus_buffer.scala 497:132] - node _T_3746 = and(_T_3736, _T_3745) @[el2_lsu_bus_buffer.scala 497:63] - node _T_3747 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:206] - node _T_3748 = and(ibuf_drain_vld, _T_3747) @[el2_lsu_bus_buffer.scala 497:201] - node _T_3749 = or(_T_3746, _T_3748) @[el2_lsu_bus_buffer.scala 497:183] - buf_state_en[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 497:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 498:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 499:24] - node _T_3750 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 500:52] - node _T_3751 = and(ibuf_drain_vld, _T_3750) @[el2_lsu_bus_buffer.scala 500:47] - node _T_3752 = bits(_T_3751, 0, 0) @[el2_lsu_bus_buffer.scala 500:73] - node _T_3753 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 500:90] - node _T_3754 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 500:114] - node _T_3755 = mux(_T_3752, _T_3753, _T_3754) @[el2_lsu_bus_buffer.scala 500:30] - buf_data_in[1] <= _T_3755 @[el2_lsu_bus_buffer.scala 500:24] + buf_nomerge[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 566:20] + node _T_3733 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 567:78] + node _T_3734 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:111] + reg _T_3735 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3734 : @[Reg.scala 28:19] + _T_3735 <= _T_3733 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[0] <= _T_3735 @[el2_lsu_bus_buffer.scala 567:19] + node _T_3736 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3736 : @[Conditional.scala 40:58] + node _T_3737 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 504:56] + node _T_3738 = mux(_T_3737, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[1] <= _T_3738 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3739 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 505:45] + node _T_3740 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:77] + node _T_3741 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:97] + node _T_3742 = and(_T_3740, _T_3741) @[el2_lsu_bus_buffer.scala 505:95] + node _T_3743 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 505:117] + node _T_3744 = and(_T_3742, _T_3743) @[el2_lsu_bus_buffer.scala 505:112] + node _T_3745 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:144] + node _T_3746 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 505:166] + node _T_3747 = and(_T_3745, _T_3746) @[el2_lsu_bus_buffer.scala 505:161] + node _T_3748 = or(_T_3744, _T_3747) @[el2_lsu_bus_buffer.scala 505:132] + node _T_3749 = and(_T_3739, _T_3748) @[el2_lsu_bus_buffer.scala 505:63] + node _T_3750 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 505:206] + node _T_3751 = and(ibuf_drain_vld, _T_3750) @[el2_lsu_bus_buffer.scala 505:201] + node _T_3752 = or(_T_3749, _T_3751) @[el2_lsu_bus_buffer.scala 505:183] + buf_state_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 505:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 506:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 507:24] + node _T_3753 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 508:52] + node _T_3754 = and(ibuf_drain_vld, _T_3753) @[el2_lsu_bus_buffer.scala 508:47] + node _T_3755 = bits(_T_3754, 0, 0) @[el2_lsu_bus_buffer.scala 508:73] + node _T_3756 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 508:90] + node _T_3757 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 508:114] + node _T_3758 = mux(_T_3755, _T_3756, _T_3757) @[el2_lsu_bus_buffer.scala 508:30] + buf_data_in[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 508:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3756 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3756 : @[Conditional.scala 39:67] - node _T_3757 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] - node _T_3758 = mux(_T_3757, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 503:25] - node _T_3759 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 504:46] - buf_state_en[1] <= _T_3759 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3759 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3759 : @[Conditional.scala 39:67] + node _T_3760 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3761 = mux(_T_3760, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 511:31] + buf_nxtstate[1] <= _T_3761 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3762 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:46] + buf_state_en[1] <= _T_3762 @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3760 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3760 : @[Conditional.scala 39:67] - node _T_3761 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] - node _T_3762 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 507:89] - node _T_3763 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 507:124] - node _T_3764 = and(_T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 507:104] - node _T_3765 = mux(_T_3764, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:75] - node _T_3766 = mux(_T_3761, UInt<3>("h00"), _T_3765) @[el2_lsu_bus_buffer.scala 507:31] - buf_nxtstate[1] <= _T_3766 @[el2_lsu_bus_buffer.scala 507:25] - node _T_3767 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 508:48] - node _T_3768 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 508:104] - node _T_3769 = and(obuf_merge, _T_3768) @[el2_lsu_bus_buffer.scala 508:91] - node _T_3770 = or(_T_3767, _T_3769) @[el2_lsu_bus_buffer.scala 508:77] - node _T_3771 = and(_T_3770, obuf_valid) @[el2_lsu_bus_buffer.scala 508:135] - node _T_3772 = and(_T_3771, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 508:148] - buf_cmd_state_bus_en[1] <= _T_3772 @[el2_lsu_bus_buffer.scala 508:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 509:29] - node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] - node _T_3774 = or(_T_3773, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] - buf_state_en[1] <= _T_3774 @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] - node _T_3775 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 512:56] - node _T_3776 = eq(_T_3775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:46] - node _T_3777 = and(buf_state_en[1], _T_3776) @[el2_lsu_bus_buffer.scala 512:44] - node _T_3778 = and(_T_3777, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:60] - node _T_3779 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:76] - node _T_3780 = and(_T_3778, _T_3779) @[el2_lsu_bus_buffer.scala 512:74] - buf_ldfwd_en[1] <= _T_3780 @[el2_lsu_bus_buffer.scala 512:25] - node _T_3781 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 513:46] - buf_ldfwdtag_in[1] <= _T_3781 @[el2_lsu_bus_buffer.scala 513:28] - node _T_3782 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:47] - node _T_3783 = and(_T_3782, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:67] - node _T_3784 = and(_T_3783, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:81] - buf_data_en[1] <= _T_3784 @[el2_lsu_bus_buffer.scala 514:24] - node _T_3785 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 515:48] - node _T_3786 = and(_T_3785, obuf_nosend) @[el2_lsu_bus_buffer.scala 515:68] - node _T_3787 = and(_T_3786, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 515:82] - buf_error_en[1] <= _T_3787 @[el2_lsu_bus_buffer.scala 515:25] - node _T_3788 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:61] - node _T_3789 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 516:85] - node _T_3790 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 516:103] - node _T_3791 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:126] - node _T_3792 = mux(_T_3789, _T_3790, _T_3791) @[el2_lsu_bus_buffer.scala 516:73] - node _T_3793 = mux(buf_error_en[1], _T_3788, _T_3792) @[el2_lsu_bus_buffer.scala 516:30] - buf_data_in[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 516:24] + node _T_3763 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3763 : @[Conditional.scala 39:67] + node _T_3764 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 515:60] + node _T_3765 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 515:89] + node _T_3766 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 515:124] + node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 515:104] + node _T_3768 = mux(_T_3767, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:75] + node _T_3769 = mux(_T_3764, UInt<3>("h00"), _T_3768) @[el2_lsu_bus_buffer.scala 515:31] + buf_nxtstate[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3770 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 516:48] + node _T_3771 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 516:104] + node _T_3772 = and(obuf_merge, _T_3771) @[el2_lsu_bus_buffer.scala 516:91] + node _T_3773 = or(_T_3770, _T_3772) @[el2_lsu_bus_buffer.scala 516:77] + node _T_3774 = and(_T_3773, obuf_valid) @[el2_lsu_bus_buffer.scala 516:135] + node _T_3775 = and(_T_3774, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 516:148] + buf_cmd_state_bus_en[1] <= _T_3775 @[el2_lsu_bus_buffer.scala 516:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 517:29] + node _T_3776 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 518:49] + node _T_3777 = or(_T_3776, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 518:70] + buf_state_en[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 518:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] + node _T_3778 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 520:56] + node _T_3779 = eq(_T_3778, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:46] + node _T_3780 = and(buf_state_en[1], _T_3779) @[el2_lsu_bus_buffer.scala 520:44] + node _T_3781 = and(_T_3780, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:60] + node _T_3782 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:76] + node _T_3783 = and(_T_3781, _T_3782) @[el2_lsu_bus_buffer.scala 520:74] + buf_ldfwd_en[1] <= _T_3783 @[el2_lsu_bus_buffer.scala 520:25] + node _T_3784 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 521:46] + buf_ldfwdtag_in[1] <= _T_3784 @[el2_lsu_bus_buffer.scala 521:28] + node _T_3785 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:47] + node _T_3786 = and(_T_3785, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:67] + node _T_3787 = and(_T_3786, bus_rsp_read) @[el2_lsu_bus_buffer.scala 522:81] + buf_data_en[1] <= _T_3787 @[el2_lsu_bus_buffer.scala 522:24] + node _T_3788 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:48] + node _T_3789 = and(_T_3788, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:68] + node _T_3790 = and(_T_3789, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 523:82] + buf_error_en[1] <= _T_3790 @[el2_lsu_bus_buffer.scala 523:25] + node _T_3791 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:61] + node _T_3792 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 524:85] + node _T_3793 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 524:103] + node _T_3794 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:126] + node _T_3795 = mux(_T_3792, _T_3793, _T_3794) @[el2_lsu_bus_buffer.scala 524:73] + node _T_3796 = mux(buf_error_en[1], _T_3791, _T_3795) @[el2_lsu_bus_buffer.scala 524:30] + buf_data_in[1] <= _T_3796 @[el2_lsu_bus_buffer.scala 524:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3794 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3794 : @[Conditional.scala 39:67] - node _T_3795 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 519:67] - node _T_3796 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 519:94] - node _T_3797 = eq(_T_3796, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:73] - node _T_3798 = and(_T_3795, _T_3797) @[el2_lsu_bus_buffer.scala 519:71] - node _T_3799 = or(io.dec_tlu_force_halt, _T_3798) @[el2_lsu_bus_buffer.scala 519:55] - node _T_3800 = bits(_T_3799, 0, 0) @[el2_lsu_bus_buffer.scala 519:125] - node _T_3801 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:30] - node _T_3802 = and(buf_dual[1], _T_3801) @[el2_lsu_bus_buffer.scala 520:28] - node _T_3803 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 520:57] - node _T_3804 = eq(_T_3803, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:47] - node _T_3805 = and(_T_3802, _T_3804) @[el2_lsu_bus_buffer.scala 520:45] - node _T_3806 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:90] - node _T_3807 = and(_T_3805, _T_3806) @[el2_lsu_bus_buffer.scala 520:61] - node _T_3808 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 521:27] - node _T_3809 = or(_T_3808, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:31] - node _T_3810 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:70] - node _T_3811 = and(buf_dual[1], _T_3810) @[el2_lsu_bus_buffer.scala 521:68] - node _T_3812 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 521:97] - node _T_3813 = eq(_T_3812, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:87] - node _T_3814 = and(_T_3811, _T_3813) @[el2_lsu_bus_buffer.scala 521:85] - node _T_3815 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3816 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3817 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3818 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3819 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3820 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3821 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3822 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3823 = mux(_T_3815, _T_3816, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3824 = mux(_T_3817, _T_3818, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3825 = mux(_T_3819, _T_3820, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3826 = mux(_T_3821, _T_3822, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3827 = or(_T_3823, _T_3824) @[Mux.scala 27:72] - node _T_3828 = or(_T_3827, _T_3825) @[Mux.scala 27:72] - node _T_3829 = or(_T_3828, _T_3826) @[Mux.scala 27:72] - wire _T_3830 : UInt<1> @[Mux.scala 27:72] - _T_3830 <= _T_3829 @[Mux.scala 27:72] - node _T_3831 = and(_T_3814, _T_3830) @[el2_lsu_bus_buffer.scala 521:101] - node _T_3832 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 521:167] - node _T_3833 = and(_T_3831, _T_3832) @[el2_lsu_bus_buffer.scala 521:138] - node _T_3834 = and(_T_3833, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:187] - node _T_3835 = or(_T_3809, _T_3834) @[el2_lsu_bus_buffer.scala 521:53] - node _T_3836 = mux(_T_3835, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 521:16] - node _T_3837 = mux(_T_3807, UInt<3>("h04"), _T_3836) @[el2_lsu_bus_buffer.scala 520:14] - node _T_3838 = mux(_T_3800, UInt<3>("h00"), _T_3837) @[el2_lsu_bus_buffer.scala 519:31] - buf_nxtstate[1] <= _T_3838 @[el2_lsu_bus_buffer.scala 519:25] - node _T_3839 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 522:73] - node _T_3840 = and(bus_rsp_write, _T_3839) @[el2_lsu_bus_buffer.scala 522:52] - node _T_3841 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 523:46] - node _T_3842 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 524:23] - node _T_3843 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 524:47] - node _T_3844 = and(_T_3842, _T_3843) @[el2_lsu_bus_buffer.scala 524:27] - node _T_3845 = or(_T_3841, _T_3844) @[el2_lsu_bus_buffer.scala 523:77] - node _T_3846 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 525:26] - node _T_3847 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 525:54] - node _T_3848 = not(_T_3847) @[el2_lsu_bus_buffer.scala 525:44] - node _T_3849 = and(_T_3846, _T_3848) @[el2_lsu_bus_buffer.scala 525:42] - node _T_3850 = and(_T_3849, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 525:58] - node _T_3851 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 525:94] - node _T_3852 = and(_T_3850, _T_3851) @[el2_lsu_bus_buffer.scala 525:74] - node _T_3853 = or(_T_3845, _T_3852) @[el2_lsu_bus_buffer.scala 524:71] - node _T_3854 = and(bus_rsp_read, _T_3853) @[el2_lsu_bus_buffer.scala 523:25] - node _T_3855 = or(_T_3840, _T_3854) @[el2_lsu_bus_buffer.scala 522:105] - buf_resp_state_bus_en[1] <= _T_3855 @[el2_lsu_bus_buffer.scala 522:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 526:29] - node _T_3856 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:49] - node _T_3857 = or(_T_3856, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 527:70] - buf_state_en[1] <= _T_3857 @[el2_lsu_bus_buffer.scala 527:25] - node _T_3858 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 528:47] - node _T_3859 = and(_T_3858, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:62] - buf_data_en[1] <= _T_3859 @[el2_lsu_bus_buffer.scala 528:24] - node _T_3860 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 529:48] - node _T_3861 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 529:111] - node _T_3862 = and(bus_rsp_read_error, _T_3861) @[el2_lsu_bus_buffer.scala 529:91] - node _T_3863 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 530:42] - node _T_3864 = and(bus_rsp_read_error, _T_3863) @[el2_lsu_bus_buffer.scala 530:31] - node _T_3865 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 530:66] - node _T_3866 = and(_T_3864, _T_3865) @[el2_lsu_bus_buffer.scala 530:46] - node _T_3867 = or(_T_3862, _T_3866) @[el2_lsu_bus_buffer.scala 529:143] - node _T_3868 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 531:32] - node _T_3869 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 531:74] - node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 531:53] - node _T_3871 = or(_T_3867, _T_3870) @[el2_lsu_bus_buffer.scala 530:88] - node _T_3872 = and(_T_3860, _T_3871) @[el2_lsu_bus_buffer.scala 529:68] - buf_error_en[1] <= _T_3872 @[el2_lsu_bus_buffer.scala 529:25] - node _T_3873 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 532:50] - node _T_3874 = and(buf_state_en[1], _T_3873) @[el2_lsu_bus_buffer.scala 532:48] - node _T_3875 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 532:84] - node _T_3876 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 532:102] - node _T_3877 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:125] - node _T_3878 = mux(_T_3875, _T_3876, _T_3877) @[el2_lsu_bus_buffer.scala 532:72] - node _T_3879 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:148] - node _T_3880 = mux(_T_3874, _T_3878, _T_3879) @[el2_lsu_bus_buffer.scala 532:30] - buf_data_in[1] <= _T_3880 @[el2_lsu_bus_buffer.scala 532:24] + node _T_3797 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3797 : @[Conditional.scala 39:67] + node _T_3798 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 527:67] + node _T_3799 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 527:94] + node _T_3800 = eq(_T_3799, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:73] + node _T_3801 = and(_T_3798, _T_3800) @[el2_lsu_bus_buffer.scala 527:71] + node _T_3802 = or(io.dec_tlu_force_halt, _T_3801) @[el2_lsu_bus_buffer.scala 527:55] + node _T_3803 = bits(_T_3802, 0, 0) @[el2_lsu_bus_buffer.scala 527:125] + node _T_3804 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:30] + node _T_3805 = and(buf_dual[1], _T_3804) @[el2_lsu_bus_buffer.scala 528:28] + node _T_3806 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 528:57] + node _T_3807 = eq(_T_3806, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:47] + node _T_3808 = and(_T_3805, _T_3807) @[el2_lsu_bus_buffer.scala 528:45] + node _T_3809 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:90] + node _T_3810 = and(_T_3808, _T_3809) @[el2_lsu_bus_buffer.scala 528:61] + node _T_3811 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 529:27] + node _T_3812 = or(_T_3811, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:31] + node _T_3813 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:70] + node _T_3814 = and(buf_dual[1], _T_3813) @[el2_lsu_bus_buffer.scala 529:68] + node _T_3815 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 529:97] + node _T_3816 = eq(_T_3815, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:87] + node _T_3817 = and(_T_3814, _T_3816) @[el2_lsu_bus_buffer.scala 529:85] + node _T_3818 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3819 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3820 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3821 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3822 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3823 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3824 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_3825 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_3826 = mux(_T_3818, _T_3819, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3827 = mux(_T_3820, _T_3821, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3828 = mux(_T_3822, _T_3823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3829 = mux(_T_3824, _T_3825, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3830 = or(_T_3826, _T_3827) @[Mux.scala 27:72] + node _T_3831 = or(_T_3830, _T_3828) @[Mux.scala 27:72] + node _T_3832 = or(_T_3831, _T_3829) @[Mux.scala 27:72] + wire _T_3833 : UInt<1> @[Mux.scala 27:72] + _T_3833 <= _T_3832 @[Mux.scala 27:72] + node _T_3834 = and(_T_3817, _T_3833) @[el2_lsu_bus_buffer.scala 529:101] + node _T_3835 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:167] + node _T_3836 = and(_T_3834, _T_3835) @[el2_lsu_bus_buffer.scala 529:138] + node _T_3837 = and(_T_3836, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:187] + node _T_3838 = or(_T_3812, _T_3837) @[el2_lsu_bus_buffer.scala 529:53] + node _T_3839 = mux(_T_3838, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 529:16] + node _T_3840 = mux(_T_3810, UInt<3>("h04"), _T_3839) @[el2_lsu_bus_buffer.scala 528:14] + node _T_3841 = mux(_T_3803, UInt<3>("h00"), _T_3840) @[el2_lsu_bus_buffer.scala 527:31] + buf_nxtstate[1] <= _T_3841 @[el2_lsu_bus_buffer.scala 527:25] + node _T_3842 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 530:73] + node _T_3843 = and(bus_rsp_write, _T_3842) @[el2_lsu_bus_buffer.scala 530:52] + node _T_3844 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 531:46] + node _T_3845 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 532:23] + node _T_3846 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 532:47] + node _T_3847 = and(_T_3845, _T_3846) @[el2_lsu_bus_buffer.scala 532:27] + node _T_3848 = or(_T_3844, _T_3847) @[el2_lsu_bus_buffer.scala 531:77] + node _T_3849 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 533:26] + node _T_3850 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 533:54] + node _T_3851 = not(_T_3850) @[el2_lsu_bus_buffer.scala 533:44] + node _T_3852 = and(_T_3849, _T_3851) @[el2_lsu_bus_buffer.scala 533:42] + node _T_3853 = and(_T_3852, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 533:58] + node _T_3854 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 533:94] + node _T_3855 = and(_T_3853, _T_3854) @[el2_lsu_bus_buffer.scala 533:74] + node _T_3856 = or(_T_3848, _T_3855) @[el2_lsu_bus_buffer.scala 532:71] + node _T_3857 = and(bus_rsp_read, _T_3856) @[el2_lsu_bus_buffer.scala 531:25] + node _T_3858 = or(_T_3843, _T_3857) @[el2_lsu_bus_buffer.scala 530:105] + buf_resp_state_bus_en[1] <= _T_3858 @[el2_lsu_bus_buffer.scala 530:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 534:29] + node _T_3859 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] + node _T_3860 = or(_T_3859, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] + buf_state_en[1] <= _T_3860 @[el2_lsu_bus_buffer.scala 535:25] + node _T_3861 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 536:47] + node _T_3862 = and(_T_3861, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:62] + buf_data_en[1] <= _T_3862 @[el2_lsu_bus_buffer.scala 536:24] + node _T_3863 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:48] + node _T_3864 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 537:111] + node _T_3865 = and(bus_rsp_read_error, _T_3864) @[el2_lsu_bus_buffer.scala 537:91] + node _T_3866 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 538:42] + node _T_3867 = and(bus_rsp_read_error, _T_3866) @[el2_lsu_bus_buffer.scala 538:31] + node _T_3868 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 538:66] + node _T_3869 = and(_T_3867, _T_3868) @[el2_lsu_bus_buffer.scala 538:46] + node _T_3870 = or(_T_3865, _T_3869) @[el2_lsu_bus_buffer.scala 537:143] + node _T_3871 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 539:32] + node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:74] + node _T_3873 = and(_T_3871, _T_3872) @[el2_lsu_bus_buffer.scala 539:53] + node _T_3874 = or(_T_3870, _T_3873) @[el2_lsu_bus_buffer.scala 538:88] + node _T_3875 = and(_T_3863, _T_3874) @[el2_lsu_bus_buffer.scala 537:68] + buf_error_en[1] <= _T_3875 @[el2_lsu_bus_buffer.scala 537:25] + node _T_3876 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:50] + node _T_3877 = and(buf_state_en[1], _T_3876) @[el2_lsu_bus_buffer.scala 540:48] + node _T_3878 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 540:84] + node _T_3879 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 540:102] + node _T_3880 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:125] + node _T_3881 = mux(_T_3878, _T_3879, _T_3880) @[el2_lsu_bus_buffer.scala 540:72] + node _T_3882 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:148] + node _T_3883 = mux(_T_3877, _T_3881, _T_3882) @[el2_lsu_bus_buffer.scala 540:30] + buf_data_in[1] <= _T_3883 @[el2_lsu_bus_buffer.scala 540:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3881 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3881 : @[Conditional.scala 39:67] - node _T_3882 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 535:60] - node _T_3883 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 535:86] - node _T_3884 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 535:101] - node _T_3885 = bits(_T_3884, 0, 0) @[el2_lsu_bus_buffer.scala 535:101] - node _T_3886 = or(_T_3883, _T_3885) @[el2_lsu_bus_buffer.scala 535:90] - node _T_3887 = or(_T_3886, any_done_wait_state) @[el2_lsu_bus_buffer.scala 535:118] - node _T_3888 = mux(_T_3887, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 535:75] - node _T_3889 = mux(_T_3882, UInt<3>("h00"), _T_3888) @[el2_lsu_bus_buffer.scala 535:31] - buf_nxtstate[1] <= _T_3889 @[el2_lsu_bus_buffer.scala 535:25] - node _T_3890 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 536:66] - node _T_3891 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 537:21] - node _T_3892 = bits(_T_3891, 0, 0) @[el2_lsu_bus_buffer.scala 537:21] - node _T_3893 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 537:58] - node _T_3894 = and(_T_3892, _T_3893) @[el2_lsu_bus_buffer.scala 537:38] - node _T_3895 = or(_T_3890, _T_3894) @[el2_lsu_bus_buffer.scala 536:95] - node _T_3896 = and(bus_rsp_read, _T_3895) @[el2_lsu_bus_buffer.scala 536:45] - buf_state_bus_en[1] <= _T_3896 @[el2_lsu_bus_buffer.scala 536:29] - node _T_3897 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 538:49] - node _T_3898 = or(_T_3897, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:70] - buf_state_en[1] <= _T_3898 @[el2_lsu_bus_buffer.scala 538:25] + node _T_3884 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3884 : @[Conditional.scala 39:67] + node _T_3885 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] + node _T_3886 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 543:86] + node _T_3887 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 543:101] + node _T_3888 = bits(_T_3887, 0, 0) @[el2_lsu_bus_buffer.scala 543:101] + node _T_3889 = or(_T_3886, _T_3888) @[el2_lsu_bus_buffer.scala 543:90] + node _T_3890 = or(_T_3889, any_done_wait_state) @[el2_lsu_bus_buffer.scala 543:118] + node _T_3891 = mux(_T_3890, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 543:75] + node _T_3892 = mux(_T_3885, UInt<3>("h00"), _T_3891) @[el2_lsu_bus_buffer.scala 543:31] + buf_nxtstate[1] <= _T_3892 @[el2_lsu_bus_buffer.scala 543:25] + node _T_3893 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 544:66] + node _T_3894 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 545:21] + node _T_3895 = bits(_T_3894, 0, 0) @[el2_lsu_bus_buffer.scala 545:21] + node _T_3896 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 545:58] + node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 545:38] + node _T_3898 = or(_T_3893, _T_3897) @[el2_lsu_bus_buffer.scala 544:95] + node _T_3899 = and(bus_rsp_read, _T_3898) @[el2_lsu_bus_buffer.scala 544:45] + buf_state_bus_en[1] <= _T_3899 @[el2_lsu_bus_buffer.scala 544:29] + node _T_3900 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 546:49] + node _T_3901 = or(_T_3900, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 546:70] + buf_state_en[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 546:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3899 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3899 : @[Conditional.scala 39:67] - node _T_3900 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] - node _T_3901 = mux(_T_3900, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:31] - buf_nxtstate[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 541:25] - node _T_3902 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 542:37] - node _T_3903 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 542:98] - node _T_3904 = and(buf_dual[1], _T_3903) @[el2_lsu_bus_buffer.scala 542:80] - node _T_3905 = or(_T_3902, _T_3904) @[el2_lsu_bus_buffer.scala 542:65] - node _T_3906 = or(_T_3905, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 542:112] - buf_state_en[1] <= _T_3906 @[el2_lsu_bus_buffer.scala 542:25] + node _T_3902 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3902 : @[Conditional.scala 39:67] + node _T_3903 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 549:60] + node _T_3904 = mux(_T_3903, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:31] + buf_nxtstate[1] <= _T_3904 @[el2_lsu_bus_buffer.scala 549:25] + node _T_3905 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 550:37] + node _T_3906 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 550:98] + node _T_3907 = and(buf_dual[1], _T_3906) @[el2_lsu_bus_buffer.scala 550:80] + node _T_3908 = or(_T_3905, _T_3907) @[el2_lsu_bus_buffer.scala 550:65] + node _T_3909 = or(_T_3908, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 550:112] + buf_state_en[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 550:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3907 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3907 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 545:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 547:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 548:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 549:25] + node _T_3910 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3910 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 553:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 556:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 557:25] skip @[Conditional.scala 39:67] - node _T_3908 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 552:108] - reg _T_3909 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3908 : @[Reg.scala 28:19] - _T_3909 <= buf_nxtstate[1] @[Reg.scala 28:23] + node _T_3911 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 560:108] + reg _T_3912 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3911 : @[Reg.scala 28:19] + _T_3912 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 552:18] - reg _T_3910 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:60] - _T_3910 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 553:60] - buf_ageQ[1] <= _T_3910 @[el2_lsu_bus_buffer.scala 553:17] - reg _T_3911 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 554:63] - _T_3911 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 554:63] - buf_rspageQ[1] <= _T_3911 @[el2_lsu_bus_buffer.scala 554:20] - node _T_3912 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:109] - reg _T_3913 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3912 : @[Reg.scala 28:19] - _T_3913 <= buf_dualtag_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3913 @[el2_lsu_bus_buffer.scala 555:20] - node _T_3914 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 556:74] - node _T_3915 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 556:107] - reg _T_3916 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[1] <= _T_3912 @[el2_lsu_bus_buffer.scala 560:18] + reg _T_3913 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:60] + _T_3913 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 561:60] + buf_ageQ[1] <= _T_3913 @[el2_lsu_bus_buffer.scala 561:17] + reg _T_3914 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:63] + _T_3914 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 562:63] + buf_rspageQ[1] <= _T_3914 @[el2_lsu_bus_buffer.scala 562:20] + node _T_3915 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:109] + reg _T_3916 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3915 : @[Reg.scala 28:19] - _T_3916 <= _T_3914 @[Reg.scala 28:23] + _T_3916 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3916 @[el2_lsu_bus_buffer.scala 556:17] - node _T_3917 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 557:78] - node _T_3918 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + buf_dualtag[1] <= _T_3916 @[el2_lsu_bus_buffer.scala 563:20] + node _T_3917 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:74] + node _T_3918 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:107] reg _T_3919 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3918 : @[Reg.scala 28:19] _T_3919 <= _T_3917 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 557:19] - node _T_3920 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 558:80] - node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 558:113] + buf_dual[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 564:17] + node _T_3920 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:78] + node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] reg _T_3922 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3921 : @[Reg.scala 28:19] _T_3922 <= _T_3920 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 558:20] - node _T_3923 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 559:78] - node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 559:111] + buf_samedw[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 565:19] + node _T_3923 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 566:80] + node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:113] reg _T_3925 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3924 : @[Reg.scala 28:19] _T_3925 <= _T_3923 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 559:19] - node _T_3926 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3926 : @[Conditional.scala 40:58] - node _T_3927 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 496:56] - node _T_3928 = mux(_T_3927, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 496:31] - buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 496:25] - node _T_3929 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 497:45] - node _T_3930 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:77] - node _T_3931 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:97] - node _T_3932 = and(_T_3930, _T_3931) @[el2_lsu_bus_buffer.scala 497:95] - node _T_3933 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 497:117] - node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 497:112] - node _T_3935 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:144] - node _T_3936 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 497:166] - node _T_3937 = and(_T_3935, _T_3936) @[el2_lsu_bus_buffer.scala 497:161] - node _T_3938 = or(_T_3934, _T_3937) @[el2_lsu_bus_buffer.scala 497:132] - node _T_3939 = and(_T_3929, _T_3938) @[el2_lsu_bus_buffer.scala 497:63] - node _T_3940 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:206] - node _T_3941 = and(ibuf_drain_vld, _T_3940) @[el2_lsu_bus_buffer.scala 497:201] - node _T_3942 = or(_T_3939, _T_3941) @[el2_lsu_bus_buffer.scala 497:183] - buf_state_en[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 497:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 498:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 499:24] - node _T_3943 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 500:52] - node _T_3944 = and(ibuf_drain_vld, _T_3943) @[el2_lsu_bus_buffer.scala 500:47] - node _T_3945 = bits(_T_3944, 0, 0) @[el2_lsu_bus_buffer.scala 500:73] - node _T_3946 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 500:90] - node _T_3947 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 500:114] - node _T_3948 = mux(_T_3945, _T_3946, _T_3947) @[el2_lsu_bus_buffer.scala 500:30] - buf_data_in[2] <= _T_3948 @[el2_lsu_bus_buffer.scala 500:24] + buf_nomerge[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 566:20] + node _T_3926 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 567:78] + node _T_3927 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:111] + reg _T_3928 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3927 : @[Reg.scala 28:19] + _T_3928 <= _T_3926 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[1] <= _T_3928 @[el2_lsu_bus_buffer.scala 567:19] + node _T_3929 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3929 : @[Conditional.scala 40:58] + node _T_3930 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 504:56] + node _T_3931 = mux(_T_3930, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[2] <= _T_3931 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3932 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 505:45] + node _T_3933 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:77] + node _T_3934 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:97] + node _T_3935 = and(_T_3933, _T_3934) @[el2_lsu_bus_buffer.scala 505:95] + node _T_3936 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 505:117] + node _T_3937 = and(_T_3935, _T_3936) @[el2_lsu_bus_buffer.scala 505:112] + node _T_3938 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:144] + node _T_3939 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 505:166] + node _T_3940 = and(_T_3938, _T_3939) @[el2_lsu_bus_buffer.scala 505:161] + node _T_3941 = or(_T_3937, _T_3940) @[el2_lsu_bus_buffer.scala 505:132] + node _T_3942 = and(_T_3932, _T_3941) @[el2_lsu_bus_buffer.scala 505:63] + node _T_3943 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 505:206] + node _T_3944 = and(ibuf_drain_vld, _T_3943) @[el2_lsu_bus_buffer.scala 505:201] + node _T_3945 = or(_T_3942, _T_3944) @[el2_lsu_bus_buffer.scala 505:183] + buf_state_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 505:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 506:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 507:24] + node _T_3946 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 508:52] + node _T_3947 = and(ibuf_drain_vld, _T_3946) @[el2_lsu_bus_buffer.scala 508:47] + node _T_3948 = bits(_T_3947, 0, 0) @[el2_lsu_bus_buffer.scala 508:73] + node _T_3949 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 508:90] + node _T_3950 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 508:114] + node _T_3951 = mux(_T_3948, _T_3949, _T_3950) @[el2_lsu_bus_buffer.scala 508:30] + buf_data_in[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 508:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3949 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3949 : @[Conditional.scala 39:67] - node _T_3950 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] - node _T_3951 = mux(_T_3950, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 503:25] - node _T_3952 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 504:46] - buf_state_en[2] <= _T_3952 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3952 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3952 : @[Conditional.scala 39:67] + node _T_3953 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] + node _T_3954 = mux(_T_3953, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 511:31] + buf_nxtstate[2] <= _T_3954 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3955 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:46] + buf_state_en[2] <= _T_3955 @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3953 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3953 : @[Conditional.scala 39:67] - node _T_3954 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] - node _T_3955 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 507:89] - node _T_3956 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 507:124] - node _T_3957 = and(_T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 507:104] - node _T_3958 = mux(_T_3957, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:75] - node _T_3959 = mux(_T_3954, UInt<3>("h00"), _T_3958) @[el2_lsu_bus_buffer.scala 507:31] - buf_nxtstate[2] <= _T_3959 @[el2_lsu_bus_buffer.scala 507:25] - node _T_3960 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 508:48] - node _T_3961 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 508:104] - node _T_3962 = and(obuf_merge, _T_3961) @[el2_lsu_bus_buffer.scala 508:91] - node _T_3963 = or(_T_3960, _T_3962) @[el2_lsu_bus_buffer.scala 508:77] - node _T_3964 = and(_T_3963, obuf_valid) @[el2_lsu_bus_buffer.scala 508:135] - node _T_3965 = and(_T_3964, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 508:148] - buf_cmd_state_bus_en[2] <= _T_3965 @[el2_lsu_bus_buffer.scala 508:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 509:29] - node _T_3966 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] - node _T_3967 = or(_T_3966, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] - buf_state_en[2] <= _T_3967 @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] - node _T_3968 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 512:56] - node _T_3969 = eq(_T_3968, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:46] - node _T_3970 = and(buf_state_en[2], _T_3969) @[el2_lsu_bus_buffer.scala 512:44] - node _T_3971 = and(_T_3970, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:60] - node _T_3972 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:76] - node _T_3973 = and(_T_3971, _T_3972) @[el2_lsu_bus_buffer.scala 512:74] - buf_ldfwd_en[2] <= _T_3973 @[el2_lsu_bus_buffer.scala 512:25] - node _T_3974 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 513:46] - buf_ldfwdtag_in[2] <= _T_3974 @[el2_lsu_bus_buffer.scala 513:28] - node _T_3975 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:47] - node _T_3976 = and(_T_3975, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:67] - node _T_3977 = and(_T_3976, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:81] - buf_data_en[2] <= _T_3977 @[el2_lsu_bus_buffer.scala 514:24] - node _T_3978 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 515:48] - node _T_3979 = and(_T_3978, obuf_nosend) @[el2_lsu_bus_buffer.scala 515:68] - node _T_3980 = and(_T_3979, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 515:82] - buf_error_en[2] <= _T_3980 @[el2_lsu_bus_buffer.scala 515:25] - node _T_3981 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:61] - node _T_3982 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 516:85] - node _T_3983 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 516:103] - node _T_3984 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:126] - node _T_3985 = mux(_T_3982, _T_3983, _T_3984) @[el2_lsu_bus_buffer.scala 516:73] - node _T_3986 = mux(buf_error_en[2], _T_3981, _T_3985) @[el2_lsu_bus_buffer.scala 516:30] - buf_data_in[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 516:24] + node _T_3956 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3956 : @[Conditional.scala 39:67] + node _T_3957 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 515:60] + node _T_3958 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 515:89] + node _T_3959 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 515:124] + node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 515:104] + node _T_3961 = mux(_T_3960, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:75] + node _T_3962 = mux(_T_3957, UInt<3>("h00"), _T_3961) @[el2_lsu_bus_buffer.scala 515:31] + buf_nxtstate[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3963 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 516:48] + node _T_3964 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 516:104] + node _T_3965 = and(obuf_merge, _T_3964) @[el2_lsu_bus_buffer.scala 516:91] + node _T_3966 = or(_T_3963, _T_3965) @[el2_lsu_bus_buffer.scala 516:77] + node _T_3967 = and(_T_3966, obuf_valid) @[el2_lsu_bus_buffer.scala 516:135] + node _T_3968 = and(_T_3967, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 516:148] + buf_cmd_state_bus_en[2] <= _T_3968 @[el2_lsu_bus_buffer.scala 516:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 517:29] + node _T_3969 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 518:49] + node _T_3970 = or(_T_3969, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 518:70] + buf_state_en[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 518:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] + node _T_3971 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 520:56] + node _T_3972 = eq(_T_3971, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:46] + node _T_3973 = and(buf_state_en[2], _T_3972) @[el2_lsu_bus_buffer.scala 520:44] + node _T_3974 = and(_T_3973, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:60] + node _T_3975 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:76] + node _T_3976 = and(_T_3974, _T_3975) @[el2_lsu_bus_buffer.scala 520:74] + buf_ldfwd_en[2] <= _T_3976 @[el2_lsu_bus_buffer.scala 520:25] + node _T_3977 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 521:46] + buf_ldfwdtag_in[2] <= _T_3977 @[el2_lsu_bus_buffer.scala 521:28] + node _T_3978 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:47] + node _T_3979 = and(_T_3978, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:67] + node _T_3980 = and(_T_3979, bus_rsp_read) @[el2_lsu_bus_buffer.scala 522:81] + buf_data_en[2] <= _T_3980 @[el2_lsu_bus_buffer.scala 522:24] + node _T_3981 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:48] + node _T_3982 = and(_T_3981, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:68] + node _T_3983 = and(_T_3982, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 523:82] + buf_error_en[2] <= _T_3983 @[el2_lsu_bus_buffer.scala 523:25] + node _T_3984 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:61] + node _T_3985 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 524:85] + node _T_3986 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 524:103] + node _T_3987 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:126] + node _T_3988 = mux(_T_3985, _T_3986, _T_3987) @[el2_lsu_bus_buffer.scala 524:73] + node _T_3989 = mux(buf_error_en[2], _T_3984, _T_3988) @[el2_lsu_bus_buffer.scala 524:30] + buf_data_in[2] <= _T_3989 @[el2_lsu_bus_buffer.scala 524:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3987 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3987 : @[Conditional.scala 39:67] - node _T_3988 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 519:67] - node _T_3989 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 519:94] - node _T_3990 = eq(_T_3989, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:73] - node _T_3991 = and(_T_3988, _T_3990) @[el2_lsu_bus_buffer.scala 519:71] - node _T_3992 = or(io.dec_tlu_force_halt, _T_3991) @[el2_lsu_bus_buffer.scala 519:55] - node _T_3993 = bits(_T_3992, 0, 0) @[el2_lsu_bus_buffer.scala 519:125] - node _T_3994 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:30] - node _T_3995 = and(buf_dual[2], _T_3994) @[el2_lsu_bus_buffer.scala 520:28] - node _T_3996 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 520:57] - node _T_3997 = eq(_T_3996, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:47] - node _T_3998 = and(_T_3995, _T_3997) @[el2_lsu_bus_buffer.scala 520:45] - node _T_3999 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:90] - node _T_4000 = and(_T_3998, _T_3999) @[el2_lsu_bus_buffer.scala 520:61] - node _T_4001 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 521:27] - node _T_4002 = or(_T_4001, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:31] - node _T_4003 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:70] - node _T_4004 = and(buf_dual[2], _T_4003) @[el2_lsu_bus_buffer.scala 521:68] - node _T_4005 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 521:97] - node _T_4006 = eq(_T_4005, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:87] - node _T_4007 = and(_T_4004, _T_4006) @[el2_lsu_bus_buffer.scala 521:85] - node _T_4008 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4009 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4010 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4011 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4012 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4013 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4014 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4015 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4016 = mux(_T_4008, _T_4009, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4017 = mux(_T_4010, _T_4011, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4018 = mux(_T_4012, _T_4013, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4019 = mux(_T_4014, _T_4015, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4020 = or(_T_4016, _T_4017) @[Mux.scala 27:72] - node _T_4021 = or(_T_4020, _T_4018) @[Mux.scala 27:72] - node _T_4022 = or(_T_4021, _T_4019) @[Mux.scala 27:72] - wire _T_4023 : UInt<1> @[Mux.scala 27:72] - _T_4023 <= _T_4022 @[Mux.scala 27:72] - node _T_4024 = and(_T_4007, _T_4023) @[el2_lsu_bus_buffer.scala 521:101] - node _T_4025 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 521:167] - node _T_4026 = and(_T_4024, _T_4025) @[el2_lsu_bus_buffer.scala 521:138] - node _T_4027 = and(_T_4026, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:187] - node _T_4028 = or(_T_4002, _T_4027) @[el2_lsu_bus_buffer.scala 521:53] - node _T_4029 = mux(_T_4028, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 521:16] - node _T_4030 = mux(_T_4000, UInt<3>("h04"), _T_4029) @[el2_lsu_bus_buffer.scala 520:14] - node _T_4031 = mux(_T_3993, UInt<3>("h00"), _T_4030) @[el2_lsu_bus_buffer.scala 519:31] - buf_nxtstate[2] <= _T_4031 @[el2_lsu_bus_buffer.scala 519:25] - node _T_4032 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 522:73] - node _T_4033 = and(bus_rsp_write, _T_4032) @[el2_lsu_bus_buffer.scala 522:52] - node _T_4034 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 523:46] - node _T_4035 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 524:23] - node _T_4036 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 524:47] - node _T_4037 = and(_T_4035, _T_4036) @[el2_lsu_bus_buffer.scala 524:27] - node _T_4038 = or(_T_4034, _T_4037) @[el2_lsu_bus_buffer.scala 523:77] - node _T_4039 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 525:26] - node _T_4040 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 525:54] - node _T_4041 = not(_T_4040) @[el2_lsu_bus_buffer.scala 525:44] - node _T_4042 = and(_T_4039, _T_4041) @[el2_lsu_bus_buffer.scala 525:42] - node _T_4043 = and(_T_4042, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 525:58] - node _T_4044 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 525:94] - node _T_4045 = and(_T_4043, _T_4044) @[el2_lsu_bus_buffer.scala 525:74] - node _T_4046 = or(_T_4038, _T_4045) @[el2_lsu_bus_buffer.scala 524:71] - node _T_4047 = and(bus_rsp_read, _T_4046) @[el2_lsu_bus_buffer.scala 523:25] - node _T_4048 = or(_T_4033, _T_4047) @[el2_lsu_bus_buffer.scala 522:105] - buf_resp_state_bus_en[2] <= _T_4048 @[el2_lsu_bus_buffer.scala 522:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 526:29] - node _T_4049 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:49] - node _T_4050 = or(_T_4049, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 527:70] - buf_state_en[2] <= _T_4050 @[el2_lsu_bus_buffer.scala 527:25] - node _T_4051 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 528:47] - node _T_4052 = and(_T_4051, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:62] - buf_data_en[2] <= _T_4052 @[el2_lsu_bus_buffer.scala 528:24] - node _T_4053 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 529:48] - node _T_4054 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 529:111] - node _T_4055 = and(bus_rsp_read_error, _T_4054) @[el2_lsu_bus_buffer.scala 529:91] - node _T_4056 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 530:42] - node _T_4057 = and(bus_rsp_read_error, _T_4056) @[el2_lsu_bus_buffer.scala 530:31] - node _T_4058 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 530:66] - node _T_4059 = and(_T_4057, _T_4058) @[el2_lsu_bus_buffer.scala 530:46] - node _T_4060 = or(_T_4055, _T_4059) @[el2_lsu_bus_buffer.scala 529:143] - node _T_4061 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 531:32] - node _T_4062 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 531:74] - node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 531:53] - node _T_4064 = or(_T_4060, _T_4063) @[el2_lsu_bus_buffer.scala 530:88] - node _T_4065 = and(_T_4053, _T_4064) @[el2_lsu_bus_buffer.scala 529:68] - buf_error_en[2] <= _T_4065 @[el2_lsu_bus_buffer.scala 529:25] - node _T_4066 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 532:50] - node _T_4067 = and(buf_state_en[2], _T_4066) @[el2_lsu_bus_buffer.scala 532:48] - node _T_4068 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 532:84] - node _T_4069 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 532:102] - node _T_4070 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:125] - node _T_4071 = mux(_T_4068, _T_4069, _T_4070) @[el2_lsu_bus_buffer.scala 532:72] - node _T_4072 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:148] - node _T_4073 = mux(_T_4067, _T_4071, _T_4072) @[el2_lsu_bus_buffer.scala 532:30] - buf_data_in[2] <= _T_4073 @[el2_lsu_bus_buffer.scala 532:24] + node _T_3990 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3990 : @[Conditional.scala 39:67] + node _T_3991 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 527:67] + node _T_3992 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 527:94] + node _T_3993 = eq(_T_3992, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:73] + node _T_3994 = and(_T_3991, _T_3993) @[el2_lsu_bus_buffer.scala 527:71] + node _T_3995 = or(io.dec_tlu_force_halt, _T_3994) @[el2_lsu_bus_buffer.scala 527:55] + node _T_3996 = bits(_T_3995, 0, 0) @[el2_lsu_bus_buffer.scala 527:125] + node _T_3997 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:30] + node _T_3998 = and(buf_dual[2], _T_3997) @[el2_lsu_bus_buffer.scala 528:28] + node _T_3999 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 528:57] + node _T_4000 = eq(_T_3999, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:47] + node _T_4001 = and(_T_3998, _T_4000) @[el2_lsu_bus_buffer.scala 528:45] + node _T_4002 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:90] + node _T_4003 = and(_T_4001, _T_4002) @[el2_lsu_bus_buffer.scala 528:61] + node _T_4004 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 529:27] + node _T_4005 = or(_T_4004, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:31] + node _T_4006 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:70] + node _T_4007 = and(buf_dual[2], _T_4006) @[el2_lsu_bus_buffer.scala 529:68] + node _T_4008 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 529:97] + node _T_4009 = eq(_T_4008, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:87] + node _T_4010 = and(_T_4007, _T_4009) @[el2_lsu_bus_buffer.scala 529:85] + node _T_4011 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4012 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4013 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4014 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4015 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4016 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4017 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4018 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4019 = mux(_T_4011, _T_4012, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4020 = mux(_T_4013, _T_4014, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4021 = mux(_T_4015, _T_4016, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4022 = mux(_T_4017, _T_4018, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4023 = or(_T_4019, _T_4020) @[Mux.scala 27:72] + node _T_4024 = or(_T_4023, _T_4021) @[Mux.scala 27:72] + node _T_4025 = or(_T_4024, _T_4022) @[Mux.scala 27:72] + wire _T_4026 : UInt<1> @[Mux.scala 27:72] + _T_4026 <= _T_4025 @[Mux.scala 27:72] + node _T_4027 = and(_T_4010, _T_4026) @[el2_lsu_bus_buffer.scala 529:101] + node _T_4028 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:167] + node _T_4029 = and(_T_4027, _T_4028) @[el2_lsu_bus_buffer.scala 529:138] + node _T_4030 = and(_T_4029, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:187] + node _T_4031 = or(_T_4005, _T_4030) @[el2_lsu_bus_buffer.scala 529:53] + node _T_4032 = mux(_T_4031, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 529:16] + node _T_4033 = mux(_T_4003, UInt<3>("h04"), _T_4032) @[el2_lsu_bus_buffer.scala 528:14] + node _T_4034 = mux(_T_3996, UInt<3>("h00"), _T_4033) @[el2_lsu_bus_buffer.scala 527:31] + buf_nxtstate[2] <= _T_4034 @[el2_lsu_bus_buffer.scala 527:25] + node _T_4035 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 530:73] + node _T_4036 = and(bus_rsp_write, _T_4035) @[el2_lsu_bus_buffer.scala 530:52] + node _T_4037 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 531:46] + node _T_4038 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 532:23] + node _T_4039 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 532:47] + node _T_4040 = and(_T_4038, _T_4039) @[el2_lsu_bus_buffer.scala 532:27] + node _T_4041 = or(_T_4037, _T_4040) @[el2_lsu_bus_buffer.scala 531:77] + node _T_4042 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 533:26] + node _T_4043 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 533:54] + node _T_4044 = not(_T_4043) @[el2_lsu_bus_buffer.scala 533:44] + node _T_4045 = and(_T_4042, _T_4044) @[el2_lsu_bus_buffer.scala 533:42] + node _T_4046 = and(_T_4045, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 533:58] + node _T_4047 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 533:94] + node _T_4048 = and(_T_4046, _T_4047) @[el2_lsu_bus_buffer.scala 533:74] + node _T_4049 = or(_T_4041, _T_4048) @[el2_lsu_bus_buffer.scala 532:71] + node _T_4050 = and(bus_rsp_read, _T_4049) @[el2_lsu_bus_buffer.scala 531:25] + node _T_4051 = or(_T_4036, _T_4050) @[el2_lsu_bus_buffer.scala 530:105] + buf_resp_state_bus_en[2] <= _T_4051 @[el2_lsu_bus_buffer.scala 530:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 534:29] + node _T_4052 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] + node _T_4053 = or(_T_4052, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] + buf_state_en[2] <= _T_4053 @[el2_lsu_bus_buffer.scala 535:25] + node _T_4054 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 536:47] + node _T_4055 = and(_T_4054, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:62] + buf_data_en[2] <= _T_4055 @[el2_lsu_bus_buffer.scala 536:24] + node _T_4056 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:48] + node _T_4057 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:111] + node _T_4058 = and(bus_rsp_read_error, _T_4057) @[el2_lsu_bus_buffer.scala 537:91] + node _T_4059 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 538:42] + node _T_4060 = and(bus_rsp_read_error, _T_4059) @[el2_lsu_bus_buffer.scala 538:31] + node _T_4061 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 538:66] + node _T_4062 = and(_T_4060, _T_4061) @[el2_lsu_bus_buffer.scala 538:46] + node _T_4063 = or(_T_4058, _T_4062) @[el2_lsu_bus_buffer.scala 537:143] + node _T_4064 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 539:32] + node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:74] + node _T_4066 = and(_T_4064, _T_4065) @[el2_lsu_bus_buffer.scala 539:53] + node _T_4067 = or(_T_4063, _T_4066) @[el2_lsu_bus_buffer.scala 538:88] + node _T_4068 = and(_T_4056, _T_4067) @[el2_lsu_bus_buffer.scala 537:68] + buf_error_en[2] <= _T_4068 @[el2_lsu_bus_buffer.scala 537:25] + node _T_4069 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:50] + node _T_4070 = and(buf_state_en[2], _T_4069) @[el2_lsu_bus_buffer.scala 540:48] + node _T_4071 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 540:84] + node _T_4072 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 540:102] + node _T_4073 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:125] + node _T_4074 = mux(_T_4071, _T_4072, _T_4073) @[el2_lsu_bus_buffer.scala 540:72] + node _T_4075 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:148] + node _T_4076 = mux(_T_4070, _T_4074, _T_4075) @[el2_lsu_bus_buffer.scala 540:30] + buf_data_in[2] <= _T_4076 @[el2_lsu_bus_buffer.scala 540:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4074 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4074 : @[Conditional.scala 39:67] - node _T_4075 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 535:60] - node _T_4076 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 535:86] - node _T_4077 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 535:101] - node _T_4078 = bits(_T_4077, 0, 0) @[el2_lsu_bus_buffer.scala 535:101] - node _T_4079 = or(_T_4076, _T_4078) @[el2_lsu_bus_buffer.scala 535:90] - node _T_4080 = or(_T_4079, any_done_wait_state) @[el2_lsu_bus_buffer.scala 535:118] - node _T_4081 = mux(_T_4080, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 535:75] - node _T_4082 = mux(_T_4075, UInt<3>("h00"), _T_4081) @[el2_lsu_bus_buffer.scala 535:31] - buf_nxtstate[2] <= _T_4082 @[el2_lsu_bus_buffer.scala 535:25] - node _T_4083 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 536:66] - node _T_4084 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 537:21] - node _T_4085 = bits(_T_4084, 0, 0) @[el2_lsu_bus_buffer.scala 537:21] - node _T_4086 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 537:58] - node _T_4087 = and(_T_4085, _T_4086) @[el2_lsu_bus_buffer.scala 537:38] - node _T_4088 = or(_T_4083, _T_4087) @[el2_lsu_bus_buffer.scala 536:95] - node _T_4089 = and(bus_rsp_read, _T_4088) @[el2_lsu_bus_buffer.scala 536:45] - buf_state_bus_en[2] <= _T_4089 @[el2_lsu_bus_buffer.scala 536:29] - node _T_4090 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 538:49] - node _T_4091 = or(_T_4090, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:70] - buf_state_en[2] <= _T_4091 @[el2_lsu_bus_buffer.scala 538:25] + node _T_4077 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4077 : @[Conditional.scala 39:67] + node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4079 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 543:86] + node _T_4080 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 543:101] + node _T_4081 = bits(_T_4080, 0, 0) @[el2_lsu_bus_buffer.scala 543:101] + node _T_4082 = or(_T_4079, _T_4081) @[el2_lsu_bus_buffer.scala 543:90] + node _T_4083 = or(_T_4082, any_done_wait_state) @[el2_lsu_bus_buffer.scala 543:118] + node _T_4084 = mux(_T_4083, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 543:75] + node _T_4085 = mux(_T_4078, UInt<3>("h00"), _T_4084) @[el2_lsu_bus_buffer.scala 543:31] + buf_nxtstate[2] <= _T_4085 @[el2_lsu_bus_buffer.scala 543:25] + node _T_4086 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 544:66] + node _T_4087 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 545:21] + node _T_4088 = bits(_T_4087, 0, 0) @[el2_lsu_bus_buffer.scala 545:21] + node _T_4089 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 545:58] + node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 545:38] + node _T_4091 = or(_T_4086, _T_4090) @[el2_lsu_bus_buffer.scala 544:95] + node _T_4092 = and(bus_rsp_read, _T_4091) @[el2_lsu_bus_buffer.scala 544:45] + buf_state_bus_en[2] <= _T_4092 @[el2_lsu_bus_buffer.scala 544:29] + node _T_4093 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 546:49] + node _T_4094 = or(_T_4093, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 546:70] + buf_state_en[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 546:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4092 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4092 : @[Conditional.scala 39:67] - node _T_4093 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] - node _T_4094 = mux(_T_4093, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:31] - buf_nxtstate[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 541:25] - node _T_4095 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 542:37] - node _T_4096 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 542:98] - node _T_4097 = and(buf_dual[2], _T_4096) @[el2_lsu_bus_buffer.scala 542:80] - node _T_4098 = or(_T_4095, _T_4097) @[el2_lsu_bus_buffer.scala 542:65] - node _T_4099 = or(_T_4098, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 542:112] - buf_state_en[2] <= _T_4099 @[el2_lsu_bus_buffer.scala 542:25] + node _T_4095 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4095 : @[Conditional.scala 39:67] + node _T_4096 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 549:60] + node _T_4097 = mux(_T_4096, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:31] + buf_nxtstate[2] <= _T_4097 @[el2_lsu_bus_buffer.scala 549:25] + node _T_4098 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 550:37] + node _T_4099 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 550:98] + node _T_4100 = and(buf_dual[2], _T_4099) @[el2_lsu_bus_buffer.scala 550:80] + node _T_4101 = or(_T_4098, _T_4100) @[el2_lsu_bus_buffer.scala 550:65] + node _T_4102 = or(_T_4101, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 550:112] + buf_state_en[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 550:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4100 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4100 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 545:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 547:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 548:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 549:25] + node _T_4103 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4103 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 553:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 556:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 557:25] skip @[Conditional.scala 39:67] - node _T_4101 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 552:108] - reg _T_4102 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4101 : @[Reg.scala 28:19] - _T_4102 <= buf_nxtstate[2] @[Reg.scala 28:23] + node _T_4104 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 560:108] + reg _T_4105 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4104 : @[Reg.scala 28:19] + _T_4105 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 552:18] - reg _T_4103 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:60] - _T_4103 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 553:60] - buf_ageQ[2] <= _T_4103 @[el2_lsu_bus_buffer.scala 553:17] - reg _T_4104 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 554:63] - _T_4104 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 554:63] - buf_rspageQ[2] <= _T_4104 @[el2_lsu_bus_buffer.scala 554:20] - node _T_4105 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:109] - reg _T_4106 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4105 : @[Reg.scala 28:19] - _T_4106 <= buf_dualtag_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4106 @[el2_lsu_bus_buffer.scala 555:20] - node _T_4107 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 556:74] - node _T_4108 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 556:107] - reg _T_4109 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[2] <= _T_4105 @[el2_lsu_bus_buffer.scala 560:18] + reg _T_4106 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:60] + _T_4106 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 561:60] + buf_ageQ[2] <= _T_4106 @[el2_lsu_bus_buffer.scala 561:17] + reg _T_4107 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:63] + _T_4107 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 562:63] + buf_rspageQ[2] <= _T_4107 @[el2_lsu_bus_buffer.scala 562:20] + node _T_4108 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:109] + reg _T_4109 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4108 : @[Reg.scala 28:19] - _T_4109 <= _T_4107 @[Reg.scala 28:23] + _T_4109 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4109 @[el2_lsu_bus_buffer.scala 556:17] - node _T_4110 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 557:78] - node _T_4111 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + buf_dualtag[2] <= _T_4109 @[el2_lsu_bus_buffer.scala 563:20] + node _T_4110 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:74] + node _T_4111 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:107] reg _T_4112 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4111 : @[Reg.scala 28:19] _T_4112 <= _T_4110 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 557:19] - node _T_4113 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 558:80] - node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 558:113] + buf_dual[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 564:17] + node _T_4113 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:78] + node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] reg _T_4115 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4114 : @[Reg.scala 28:19] _T_4115 <= _T_4113 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 558:20] - node _T_4116 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 559:78] - node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 559:111] + buf_samedw[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 565:19] + node _T_4116 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 566:80] + node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:113] reg _T_4118 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4117 : @[Reg.scala 28:19] _T_4118 <= _T_4116 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 559:19] - node _T_4119 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4119 : @[Conditional.scala 40:58] - node _T_4120 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 496:56] - node _T_4121 = mux(_T_4120, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 496:31] - buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 496:25] - node _T_4122 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 497:45] - node _T_4123 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:77] - node _T_4124 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:97] - node _T_4125 = and(_T_4123, _T_4124) @[el2_lsu_bus_buffer.scala 497:95] - node _T_4126 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 497:117] - node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 497:112] - node _T_4128 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:144] - node _T_4129 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 497:166] - node _T_4130 = and(_T_4128, _T_4129) @[el2_lsu_bus_buffer.scala 497:161] - node _T_4131 = or(_T_4127, _T_4130) @[el2_lsu_bus_buffer.scala 497:132] - node _T_4132 = and(_T_4122, _T_4131) @[el2_lsu_bus_buffer.scala 497:63] - node _T_4133 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:206] - node _T_4134 = and(ibuf_drain_vld, _T_4133) @[el2_lsu_bus_buffer.scala 497:201] - node _T_4135 = or(_T_4132, _T_4134) @[el2_lsu_bus_buffer.scala 497:183] - buf_state_en[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 497:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 498:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 499:24] - node _T_4136 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 500:52] - node _T_4137 = and(ibuf_drain_vld, _T_4136) @[el2_lsu_bus_buffer.scala 500:47] - node _T_4138 = bits(_T_4137, 0, 0) @[el2_lsu_bus_buffer.scala 500:73] - node _T_4139 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 500:90] - node _T_4140 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 500:114] - node _T_4141 = mux(_T_4138, _T_4139, _T_4140) @[el2_lsu_bus_buffer.scala 500:30] - buf_data_in[3] <= _T_4141 @[el2_lsu_bus_buffer.scala 500:24] + buf_nomerge[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 566:20] + node _T_4119 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 567:78] + node _T_4120 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:111] + reg _T_4121 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4120 : @[Reg.scala 28:19] + _T_4121 <= _T_4119 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[2] <= _T_4121 @[el2_lsu_bus_buffer.scala 567:19] + node _T_4122 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4122 : @[Conditional.scala 40:58] + node _T_4123 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 504:56] + node _T_4124 = mux(_T_4123, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[3] <= _T_4124 @[el2_lsu_bus_buffer.scala 504:25] + node _T_4125 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 505:45] + node _T_4126 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:77] + node _T_4127 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:97] + node _T_4128 = and(_T_4126, _T_4127) @[el2_lsu_bus_buffer.scala 505:95] + node _T_4129 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 505:117] + node _T_4130 = and(_T_4128, _T_4129) @[el2_lsu_bus_buffer.scala 505:112] + node _T_4131 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:144] + node _T_4132 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 505:166] + node _T_4133 = and(_T_4131, _T_4132) @[el2_lsu_bus_buffer.scala 505:161] + node _T_4134 = or(_T_4130, _T_4133) @[el2_lsu_bus_buffer.scala 505:132] + node _T_4135 = and(_T_4125, _T_4134) @[el2_lsu_bus_buffer.scala 505:63] + node _T_4136 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 505:206] + node _T_4137 = and(ibuf_drain_vld, _T_4136) @[el2_lsu_bus_buffer.scala 505:201] + node _T_4138 = or(_T_4135, _T_4137) @[el2_lsu_bus_buffer.scala 505:183] + buf_state_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 505:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 506:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 507:24] + node _T_4139 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 508:52] + node _T_4140 = and(ibuf_drain_vld, _T_4139) @[el2_lsu_bus_buffer.scala 508:47] + node _T_4141 = bits(_T_4140, 0, 0) @[el2_lsu_bus_buffer.scala 508:73] + node _T_4142 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 508:90] + node _T_4143 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 508:114] + node _T_4144 = mux(_T_4141, _T_4142, _T_4143) @[el2_lsu_bus_buffer.scala 508:30] + buf_data_in[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 508:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_4142 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4142 : @[Conditional.scala 39:67] - node _T_4143 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] - node _T_4144 = mux(_T_4143, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 503:25] - node _T_4145 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 504:46] - buf_state_en[3] <= _T_4145 @[el2_lsu_bus_buffer.scala 504:25] + node _T_4145 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4145 : @[Conditional.scala 39:67] + node _T_4146 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] + node _T_4147 = mux(_T_4146, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 511:31] + buf_nxtstate[3] <= _T_4147 @[el2_lsu_bus_buffer.scala 511:25] + node _T_4148 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:46] + buf_state_en[3] <= _T_4148 @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4146 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4146 : @[Conditional.scala 39:67] - node _T_4147 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] - node _T_4148 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 507:89] - node _T_4149 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 507:124] - node _T_4150 = and(_T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 507:104] - node _T_4151 = mux(_T_4150, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:75] - node _T_4152 = mux(_T_4147, UInt<3>("h00"), _T_4151) @[el2_lsu_bus_buffer.scala 507:31] - buf_nxtstate[3] <= _T_4152 @[el2_lsu_bus_buffer.scala 507:25] - node _T_4153 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 508:48] - node _T_4154 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 508:104] - node _T_4155 = and(obuf_merge, _T_4154) @[el2_lsu_bus_buffer.scala 508:91] - node _T_4156 = or(_T_4153, _T_4155) @[el2_lsu_bus_buffer.scala 508:77] - node _T_4157 = and(_T_4156, obuf_valid) @[el2_lsu_bus_buffer.scala 508:135] - node _T_4158 = and(_T_4157, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 508:148] - buf_cmd_state_bus_en[3] <= _T_4158 @[el2_lsu_bus_buffer.scala 508:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 509:29] - node _T_4159 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] - node _T_4160 = or(_T_4159, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] - buf_state_en[3] <= _T_4160 @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] - node _T_4161 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 512:56] - node _T_4162 = eq(_T_4161, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:46] - node _T_4163 = and(buf_state_en[3], _T_4162) @[el2_lsu_bus_buffer.scala 512:44] - node _T_4164 = and(_T_4163, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:60] - node _T_4165 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:76] - node _T_4166 = and(_T_4164, _T_4165) @[el2_lsu_bus_buffer.scala 512:74] - buf_ldfwd_en[3] <= _T_4166 @[el2_lsu_bus_buffer.scala 512:25] - node _T_4167 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 513:46] - buf_ldfwdtag_in[3] <= _T_4167 @[el2_lsu_bus_buffer.scala 513:28] - node _T_4168 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:47] - node _T_4169 = and(_T_4168, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:67] - node _T_4170 = and(_T_4169, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:81] - buf_data_en[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 514:24] - node _T_4171 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 515:48] - node _T_4172 = and(_T_4171, obuf_nosend) @[el2_lsu_bus_buffer.scala 515:68] - node _T_4173 = and(_T_4172, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 515:82] - buf_error_en[3] <= _T_4173 @[el2_lsu_bus_buffer.scala 515:25] - node _T_4174 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:61] - node _T_4175 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 516:85] - node _T_4176 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 516:103] - node _T_4177 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:126] - node _T_4178 = mux(_T_4175, _T_4176, _T_4177) @[el2_lsu_bus_buffer.scala 516:73] - node _T_4179 = mux(buf_error_en[3], _T_4174, _T_4178) @[el2_lsu_bus_buffer.scala 516:30] - buf_data_in[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 516:24] + node _T_4149 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4149 : @[Conditional.scala 39:67] + node _T_4150 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 515:60] + node _T_4151 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 515:89] + node _T_4152 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 515:124] + node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 515:104] + node _T_4154 = mux(_T_4153, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:75] + node _T_4155 = mux(_T_4150, UInt<3>("h00"), _T_4154) @[el2_lsu_bus_buffer.scala 515:31] + buf_nxtstate[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 515:25] + node _T_4156 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 516:48] + node _T_4157 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 516:104] + node _T_4158 = and(obuf_merge, _T_4157) @[el2_lsu_bus_buffer.scala 516:91] + node _T_4159 = or(_T_4156, _T_4158) @[el2_lsu_bus_buffer.scala 516:77] + node _T_4160 = and(_T_4159, obuf_valid) @[el2_lsu_bus_buffer.scala 516:135] + node _T_4161 = and(_T_4160, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 516:148] + buf_cmd_state_bus_en[3] <= _T_4161 @[el2_lsu_bus_buffer.scala 516:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 517:29] + node _T_4162 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 518:49] + node _T_4163 = or(_T_4162, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 518:70] + buf_state_en[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 518:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] + node _T_4164 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 520:56] + node _T_4165 = eq(_T_4164, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:46] + node _T_4166 = and(buf_state_en[3], _T_4165) @[el2_lsu_bus_buffer.scala 520:44] + node _T_4167 = and(_T_4166, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:60] + node _T_4168 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:76] + node _T_4169 = and(_T_4167, _T_4168) @[el2_lsu_bus_buffer.scala 520:74] + buf_ldfwd_en[3] <= _T_4169 @[el2_lsu_bus_buffer.scala 520:25] + node _T_4170 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 521:46] + buf_ldfwdtag_in[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 521:28] + node _T_4171 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:47] + node _T_4172 = and(_T_4171, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:67] + node _T_4173 = and(_T_4172, bus_rsp_read) @[el2_lsu_bus_buffer.scala 522:81] + buf_data_en[3] <= _T_4173 @[el2_lsu_bus_buffer.scala 522:24] + node _T_4174 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:48] + node _T_4175 = and(_T_4174, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:68] + node _T_4176 = and(_T_4175, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 523:82] + buf_error_en[3] <= _T_4176 @[el2_lsu_bus_buffer.scala 523:25] + node _T_4177 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:61] + node _T_4178 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 524:85] + node _T_4179 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 524:103] + node _T_4180 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:126] + node _T_4181 = mux(_T_4178, _T_4179, _T_4180) @[el2_lsu_bus_buffer.scala 524:73] + node _T_4182 = mux(buf_error_en[3], _T_4177, _T_4181) @[el2_lsu_bus_buffer.scala 524:30] + buf_data_in[3] <= _T_4182 @[el2_lsu_bus_buffer.scala 524:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4180 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4180 : @[Conditional.scala 39:67] - node _T_4181 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 519:67] - node _T_4182 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 519:94] - node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:73] - node _T_4184 = and(_T_4181, _T_4183) @[el2_lsu_bus_buffer.scala 519:71] - node _T_4185 = or(io.dec_tlu_force_halt, _T_4184) @[el2_lsu_bus_buffer.scala 519:55] - node _T_4186 = bits(_T_4185, 0, 0) @[el2_lsu_bus_buffer.scala 519:125] - node _T_4187 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:30] - node _T_4188 = and(buf_dual[3], _T_4187) @[el2_lsu_bus_buffer.scala 520:28] - node _T_4189 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 520:57] - node _T_4190 = eq(_T_4189, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:47] - node _T_4191 = and(_T_4188, _T_4190) @[el2_lsu_bus_buffer.scala 520:45] - node _T_4192 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:90] - node _T_4193 = and(_T_4191, _T_4192) @[el2_lsu_bus_buffer.scala 520:61] - node _T_4194 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 521:27] - node _T_4195 = or(_T_4194, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:31] - node _T_4196 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:70] - node _T_4197 = and(buf_dual[3], _T_4196) @[el2_lsu_bus_buffer.scala 521:68] - node _T_4198 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 521:97] - node _T_4199 = eq(_T_4198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:87] - node _T_4200 = and(_T_4197, _T_4199) @[el2_lsu_bus_buffer.scala 521:85] - node _T_4201 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4202 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4203 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4204 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4205 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4206 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4207 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4208 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4209 = mux(_T_4201, _T_4202, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4210 = mux(_T_4203, _T_4204, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4211 = mux(_T_4205, _T_4206, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4212 = mux(_T_4207, _T_4208, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4213 = or(_T_4209, _T_4210) @[Mux.scala 27:72] - node _T_4214 = or(_T_4213, _T_4211) @[Mux.scala 27:72] - node _T_4215 = or(_T_4214, _T_4212) @[Mux.scala 27:72] - wire _T_4216 : UInt<1> @[Mux.scala 27:72] - _T_4216 <= _T_4215 @[Mux.scala 27:72] - node _T_4217 = and(_T_4200, _T_4216) @[el2_lsu_bus_buffer.scala 521:101] - node _T_4218 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 521:167] - node _T_4219 = and(_T_4217, _T_4218) @[el2_lsu_bus_buffer.scala 521:138] - node _T_4220 = and(_T_4219, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:187] - node _T_4221 = or(_T_4195, _T_4220) @[el2_lsu_bus_buffer.scala 521:53] - node _T_4222 = mux(_T_4221, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 521:16] - node _T_4223 = mux(_T_4193, UInt<3>("h04"), _T_4222) @[el2_lsu_bus_buffer.scala 520:14] - node _T_4224 = mux(_T_4186, UInt<3>("h00"), _T_4223) @[el2_lsu_bus_buffer.scala 519:31] - buf_nxtstate[3] <= _T_4224 @[el2_lsu_bus_buffer.scala 519:25] - node _T_4225 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 522:73] - node _T_4226 = and(bus_rsp_write, _T_4225) @[el2_lsu_bus_buffer.scala 522:52] - node _T_4227 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 523:46] - node _T_4228 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 524:23] - node _T_4229 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 524:47] - node _T_4230 = and(_T_4228, _T_4229) @[el2_lsu_bus_buffer.scala 524:27] - node _T_4231 = or(_T_4227, _T_4230) @[el2_lsu_bus_buffer.scala 523:77] - node _T_4232 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 525:26] - node _T_4233 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 525:54] - node _T_4234 = not(_T_4233) @[el2_lsu_bus_buffer.scala 525:44] - node _T_4235 = and(_T_4232, _T_4234) @[el2_lsu_bus_buffer.scala 525:42] - node _T_4236 = and(_T_4235, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 525:58] - node _T_4237 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 525:94] - node _T_4238 = and(_T_4236, _T_4237) @[el2_lsu_bus_buffer.scala 525:74] - node _T_4239 = or(_T_4231, _T_4238) @[el2_lsu_bus_buffer.scala 524:71] - node _T_4240 = and(bus_rsp_read, _T_4239) @[el2_lsu_bus_buffer.scala 523:25] - node _T_4241 = or(_T_4226, _T_4240) @[el2_lsu_bus_buffer.scala 522:105] - buf_resp_state_bus_en[3] <= _T_4241 @[el2_lsu_bus_buffer.scala 522:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 526:29] - node _T_4242 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:49] - node _T_4243 = or(_T_4242, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 527:70] - buf_state_en[3] <= _T_4243 @[el2_lsu_bus_buffer.scala 527:25] - node _T_4244 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 528:47] - node _T_4245 = and(_T_4244, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:62] - buf_data_en[3] <= _T_4245 @[el2_lsu_bus_buffer.scala 528:24] - node _T_4246 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 529:48] - node _T_4247 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 529:111] - node _T_4248 = and(bus_rsp_read_error, _T_4247) @[el2_lsu_bus_buffer.scala 529:91] - node _T_4249 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 530:42] - node _T_4250 = and(bus_rsp_read_error, _T_4249) @[el2_lsu_bus_buffer.scala 530:31] - node _T_4251 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 530:66] - node _T_4252 = and(_T_4250, _T_4251) @[el2_lsu_bus_buffer.scala 530:46] - node _T_4253 = or(_T_4248, _T_4252) @[el2_lsu_bus_buffer.scala 529:143] - node _T_4254 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 531:32] - node _T_4255 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 531:74] - node _T_4256 = and(_T_4254, _T_4255) @[el2_lsu_bus_buffer.scala 531:53] - node _T_4257 = or(_T_4253, _T_4256) @[el2_lsu_bus_buffer.scala 530:88] - node _T_4258 = and(_T_4246, _T_4257) @[el2_lsu_bus_buffer.scala 529:68] - buf_error_en[3] <= _T_4258 @[el2_lsu_bus_buffer.scala 529:25] - node _T_4259 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 532:50] - node _T_4260 = and(buf_state_en[3], _T_4259) @[el2_lsu_bus_buffer.scala 532:48] - node _T_4261 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 532:84] - node _T_4262 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 532:102] - node _T_4263 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:125] - node _T_4264 = mux(_T_4261, _T_4262, _T_4263) @[el2_lsu_bus_buffer.scala 532:72] - node _T_4265 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:148] - node _T_4266 = mux(_T_4260, _T_4264, _T_4265) @[el2_lsu_bus_buffer.scala 532:30] - buf_data_in[3] <= _T_4266 @[el2_lsu_bus_buffer.scala 532:24] + node _T_4183 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4183 : @[Conditional.scala 39:67] + node _T_4184 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 527:67] + node _T_4185 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 527:94] + node _T_4186 = eq(_T_4185, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:73] + node _T_4187 = and(_T_4184, _T_4186) @[el2_lsu_bus_buffer.scala 527:71] + node _T_4188 = or(io.dec_tlu_force_halt, _T_4187) @[el2_lsu_bus_buffer.scala 527:55] + node _T_4189 = bits(_T_4188, 0, 0) @[el2_lsu_bus_buffer.scala 527:125] + node _T_4190 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:30] + node _T_4191 = and(buf_dual[3], _T_4190) @[el2_lsu_bus_buffer.scala 528:28] + node _T_4192 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 528:57] + node _T_4193 = eq(_T_4192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:47] + node _T_4194 = and(_T_4191, _T_4193) @[el2_lsu_bus_buffer.scala 528:45] + node _T_4195 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:90] + node _T_4196 = and(_T_4194, _T_4195) @[el2_lsu_bus_buffer.scala 528:61] + node _T_4197 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 529:27] + node _T_4198 = or(_T_4197, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:31] + node _T_4199 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:70] + node _T_4200 = and(buf_dual[3], _T_4199) @[el2_lsu_bus_buffer.scala 529:68] + node _T_4201 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 529:97] + node _T_4202 = eq(_T_4201, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:87] + node _T_4203 = and(_T_4200, _T_4202) @[el2_lsu_bus_buffer.scala 529:85] + node _T_4204 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4205 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4206 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4207 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4208 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4209 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4210 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4211 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4212 = mux(_T_4204, _T_4205, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4213 = mux(_T_4206, _T_4207, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4214 = mux(_T_4208, _T_4209, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4215 = mux(_T_4210, _T_4211, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4216 = or(_T_4212, _T_4213) @[Mux.scala 27:72] + node _T_4217 = or(_T_4216, _T_4214) @[Mux.scala 27:72] + node _T_4218 = or(_T_4217, _T_4215) @[Mux.scala 27:72] + wire _T_4219 : UInt<1> @[Mux.scala 27:72] + _T_4219 <= _T_4218 @[Mux.scala 27:72] + node _T_4220 = and(_T_4203, _T_4219) @[el2_lsu_bus_buffer.scala 529:101] + node _T_4221 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:167] + node _T_4222 = and(_T_4220, _T_4221) @[el2_lsu_bus_buffer.scala 529:138] + node _T_4223 = and(_T_4222, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:187] + node _T_4224 = or(_T_4198, _T_4223) @[el2_lsu_bus_buffer.scala 529:53] + node _T_4225 = mux(_T_4224, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 529:16] + node _T_4226 = mux(_T_4196, UInt<3>("h04"), _T_4225) @[el2_lsu_bus_buffer.scala 528:14] + node _T_4227 = mux(_T_4189, UInt<3>("h00"), _T_4226) @[el2_lsu_bus_buffer.scala 527:31] + buf_nxtstate[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 527:25] + node _T_4228 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 530:73] + node _T_4229 = and(bus_rsp_write, _T_4228) @[el2_lsu_bus_buffer.scala 530:52] + node _T_4230 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 531:46] + node _T_4231 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 532:23] + node _T_4232 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 532:47] + node _T_4233 = and(_T_4231, _T_4232) @[el2_lsu_bus_buffer.scala 532:27] + node _T_4234 = or(_T_4230, _T_4233) @[el2_lsu_bus_buffer.scala 531:77] + node _T_4235 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 533:26] + node _T_4236 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 533:54] + node _T_4237 = not(_T_4236) @[el2_lsu_bus_buffer.scala 533:44] + node _T_4238 = and(_T_4235, _T_4237) @[el2_lsu_bus_buffer.scala 533:42] + node _T_4239 = and(_T_4238, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 533:58] + node _T_4240 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 533:94] + node _T_4241 = and(_T_4239, _T_4240) @[el2_lsu_bus_buffer.scala 533:74] + node _T_4242 = or(_T_4234, _T_4241) @[el2_lsu_bus_buffer.scala 532:71] + node _T_4243 = and(bus_rsp_read, _T_4242) @[el2_lsu_bus_buffer.scala 531:25] + node _T_4244 = or(_T_4229, _T_4243) @[el2_lsu_bus_buffer.scala 530:105] + buf_resp_state_bus_en[3] <= _T_4244 @[el2_lsu_bus_buffer.scala 530:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 534:29] + node _T_4245 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] + node _T_4246 = or(_T_4245, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] + buf_state_en[3] <= _T_4246 @[el2_lsu_bus_buffer.scala 535:25] + node _T_4247 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 536:47] + node _T_4248 = and(_T_4247, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:62] + buf_data_en[3] <= _T_4248 @[el2_lsu_bus_buffer.scala 536:24] + node _T_4249 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:48] + node _T_4250 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 537:111] + node _T_4251 = and(bus_rsp_read_error, _T_4250) @[el2_lsu_bus_buffer.scala 537:91] + node _T_4252 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 538:42] + node _T_4253 = and(bus_rsp_read_error, _T_4252) @[el2_lsu_bus_buffer.scala 538:31] + node _T_4254 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 538:66] + node _T_4255 = and(_T_4253, _T_4254) @[el2_lsu_bus_buffer.scala 538:46] + node _T_4256 = or(_T_4251, _T_4255) @[el2_lsu_bus_buffer.scala 537:143] + node _T_4257 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 539:32] + node _T_4258 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 539:74] + node _T_4259 = and(_T_4257, _T_4258) @[el2_lsu_bus_buffer.scala 539:53] + node _T_4260 = or(_T_4256, _T_4259) @[el2_lsu_bus_buffer.scala 538:88] + node _T_4261 = and(_T_4249, _T_4260) @[el2_lsu_bus_buffer.scala 537:68] + buf_error_en[3] <= _T_4261 @[el2_lsu_bus_buffer.scala 537:25] + node _T_4262 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:50] + node _T_4263 = and(buf_state_en[3], _T_4262) @[el2_lsu_bus_buffer.scala 540:48] + node _T_4264 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 540:84] + node _T_4265 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 540:102] + node _T_4266 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:125] + node _T_4267 = mux(_T_4264, _T_4265, _T_4266) @[el2_lsu_bus_buffer.scala 540:72] + node _T_4268 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:148] + node _T_4269 = mux(_T_4263, _T_4267, _T_4268) @[el2_lsu_bus_buffer.scala 540:30] + buf_data_in[3] <= _T_4269 @[el2_lsu_bus_buffer.scala 540:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4267 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4267 : @[Conditional.scala 39:67] - node _T_4268 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 535:60] - node _T_4269 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 535:86] - node _T_4270 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 535:101] - node _T_4271 = bits(_T_4270, 0, 0) @[el2_lsu_bus_buffer.scala 535:101] - node _T_4272 = or(_T_4269, _T_4271) @[el2_lsu_bus_buffer.scala 535:90] - node _T_4273 = or(_T_4272, any_done_wait_state) @[el2_lsu_bus_buffer.scala 535:118] - node _T_4274 = mux(_T_4273, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 535:75] - node _T_4275 = mux(_T_4268, UInt<3>("h00"), _T_4274) @[el2_lsu_bus_buffer.scala 535:31] - buf_nxtstate[3] <= _T_4275 @[el2_lsu_bus_buffer.scala 535:25] - node _T_4276 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 536:66] - node _T_4277 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 537:21] - node _T_4278 = bits(_T_4277, 0, 0) @[el2_lsu_bus_buffer.scala 537:21] - node _T_4279 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 537:58] - node _T_4280 = and(_T_4278, _T_4279) @[el2_lsu_bus_buffer.scala 537:38] - node _T_4281 = or(_T_4276, _T_4280) @[el2_lsu_bus_buffer.scala 536:95] - node _T_4282 = and(bus_rsp_read, _T_4281) @[el2_lsu_bus_buffer.scala 536:45] - buf_state_bus_en[3] <= _T_4282 @[el2_lsu_bus_buffer.scala 536:29] - node _T_4283 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 538:49] - node _T_4284 = or(_T_4283, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:70] - buf_state_en[3] <= _T_4284 @[el2_lsu_bus_buffer.scala 538:25] + node _T_4270 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4270 : @[Conditional.scala 39:67] + node _T_4271 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] + node _T_4272 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 543:86] + node _T_4273 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 543:101] + node _T_4274 = bits(_T_4273, 0, 0) @[el2_lsu_bus_buffer.scala 543:101] + node _T_4275 = or(_T_4272, _T_4274) @[el2_lsu_bus_buffer.scala 543:90] + node _T_4276 = or(_T_4275, any_done_wait_state) @[el2_lsu_bus_buffer.scala 543:118] + node _T_4277 = mux(_T_4276, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 543:75] + node _T_4278 = mux(_T_4271, UInt<3>("h00"), _T_4277) @[el2_lsu_bus_buffer.scala 543:31] + buf_nxtstate[3] <= _T_4278 @[el2_lsu_bus_buffer.scala 543:25] + node _T_4279 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 544:66] + node _T_4280 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 545:21] + node _T_4281 = bits(_T_4280, 0, 0) @[el2_lsu_bus_buffer.scala 545:21] + node _T_4282 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 545:58] + node _T_4283 = and(_T_4281, _T_4282) @[el2_lsu_bus_buffer.scala 545:38] + node _T_4284 = or(_T_4279, _T_4283) @[el2_lsu_bus_buffer.scala 544:95] + node _T_4285 = and(bus_rsp_read, _T_4284) @[el2_lsu_bus_buffer.scala 544:45] + buf_state_bus_en[3] <= _T_4285 @[el2_lsu_bus_buffer.scala 544:29] + node _T_4286 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 546:49] + node _T_4287 = or(_T_4286, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 546:70] + buf_state_en[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 546:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4285 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4285 : @[Conditional.scala 39:67] - node _T_4286 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] - node _T_4287 = mux(_T_4286, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:31] - buf_nxtstate[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 541:25] - node _T_4288 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 542:37] - node _T_4289 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 542:98] - node _T_4290 = and(buf_dual[3], _T_4289) @[el2_lsu_bus_buffer.scala 542:80] - node _T_4291 = or(_T_4288, _T_4290) @[el2_lsu_bus_buffer.scala 542:65] - node _T_4292 = or(_T_4291, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 542:112] - buf_state_en[3] <= _T_4292 @[el2_lsu_bus_buffer.scala 542:25] + node _T_4288 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4288 : @[Conditional.scala 39:67] + node _T_4289 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 549:60] + node _T_4290 = mux(_T_4289, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:31] + buf_nxtstate[3] <= _T_4290 @[el2_lsu_bus_buffer.scala 549:25] + node _T_4291 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 550:37] + node _T_4292 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 550:98] + node _T_4293 = and(buf_dual[3], _T_4292) @[el2_lsu_bus_buffer.scala 550:80] + node _T_4294 = or(_T_4291, _T_4293) @[el2_lsu_bus_buffer.scala 550:65] + node _T_4295 = or(_T_4294, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 550:112] + buf_state_en[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 550:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4293 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4293 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 545:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 547:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 548:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 549:25] + node _T_4296 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4296 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 553:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 556:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 557:25] skip @[Conditional.scala 39:67] - node _T_4294 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 552:108] - reg _T_4295 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4294 : @[Reg.scala 28:19] - _T_4295 <= buf_nxtstate[3] @[Reg.scala 28:23] + node _T_4297 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 560:108] + reg _T_4298 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4297 : @[Reg.scala 28:19] + _T_4298 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 552:18] - reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:60] - _T_4296 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 553:60] - buf_ageQ[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 553:17] - reg _T_4297 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 554:63] - _T_4297 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 554:63] - buf_rspageQ[3] <= _T_4297 @[el2_lsu_bus_buffer.scala 554:20] - node _T_4298 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:109] - reg _T_4299 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4298 : @[Reg.scala 28:19] - _T_4299 <= buf_dualtag_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4299 @[el2_lsu_bus_buffer.scala 555:20] - node _T_4300 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 556:74] - node _T_4301 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 556:107] - reg _T_4302 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[3] <= _T_4298 @[el2_lsu_bus_buffer.scala 560:18] + reg _T_4299 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:60] + _T_4299 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 561:60] + buf_ageQ[3] <= _T_4299 @[el2_lsu_bus_buffer.scala 561:17] + reg _T_4300 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:63] + _T_4300 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 562:63] + buf_rspageQ[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 562:20] + node _T_4301 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:109] + reg _T_4302 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4301 : @[Reg.scala 28:19] - _T_4302 <= _T_4300 @[Reg.scala 28:23] + _T_4302 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4302 @[el2_lsu_bus_buffer.scala 556:17] - node _T_4303 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 557:78] - node _T_4304 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + buf_dualtag[3] <= _T_4302 @[el2_lsu_bus_buffer.scala 563:20] + node _T_4303 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:74] + node _T_4304 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:107] reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4304 : @[Reg.scala 28:19] _T_4305 <= _T_4303 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 557:19] - node _T_4306 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 558:80] - node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 558:113] + buf_dual[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 564:17] + node _T_4306 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:78] + node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] reg _T_4308 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4307 : @[Reg.scala 28:19] _T_4308 <= _T_4306 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 558:20] - node _T_4309 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 559:78] - node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 559:111] + buf_samedw[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 565:19] + node _T_4309 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 566:80] + node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:113] reg _T_4311 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4310 : @[Reg.scala 28:19] _T_4311 <= _T_4309 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 559:19] - node _T_4312 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:133] - reg _T_4313 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4312 : @[Reg.scala 28:19] - _T_4313 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + buf_nomerge[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 566:20] + node _T_4312 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 567:78] + node _T_4313 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:111] + reg _T_4314 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4313 : @[Reg.scala 28:19] + _T_4314 <= _T_4312 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4314 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:133] - reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4314 : @[Reg.scala 28:19] - _T_4315 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + buf_dualhi[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 567:19] + node _T_4315 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 570:133] + reg _T_4316 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4315 : @[Reg.scala 28:19] + _T_4316 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4316 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:133] - reg _T_4317 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4316 : @[Reg.scala 28:19] - _T_4317 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + node _T_4317 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 570:133] + reg _T_4318 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4317 : @[Reg.scala 28:19] + _T_4318 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4318 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:133] - reg _T_4319 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4318 : @[Reg.scala 28:19] - _T_4319 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + node _T_4319 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 570:133] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4319 : @[Reg.scala 28:19] + _T_4320 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4320 = cat(_T_4319, _T_4317) @[Cat.scala 29:58] - node _T_4321 = cat(_T_4320, _T_4315) @[Cat.scala 29:58] - node _T_4322 = cat(_T_4321, _T_4313) @[Cat.scala 29:58] - buf_ldfwd <= _T_4322 @[el2_lsu_bus_buffer.scala 562:15] - node _T_4323 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:134] - reg _T_4324 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4323 : @[Reg.scala 28:19] - _T_4324 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + node _T_4321 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 570:133] + reg _T_4322 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4321 : @[Reg.scala 28:19] + _T_4322 <= buf_ldfwd_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4325 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:134] - reg _T_4326 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4325 : @[Reg.scala 28:19] - _T_4326 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + node _T_4323 = cat(_T_4322, _T_4320) @[Cat.scala 29:58] + node _T_4324 = cat(_T_4323, _T_4318) @[Cat.scala 29:58] + node _T_4325 = cat(_T_4324, _T_4316) @[Cat.scala 29:58] + buf_ldfwd <= _T_4325 @[el2_lsu_bus_buffer.scala 570:15] + node _T_4326 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 571:134] + reg _T_4327 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4326 : @[Reg.scala 28:19] + _T_4327 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4327 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:134] - reg _T_4328 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4327 : @[Reg.scala 28:19] - _T_4328 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + node _T_4328 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 571:134] + reg _T_4329 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4328 : @[Reg.scala 28:19] + _T_4329 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4329 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:134] - reg _T_4330 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4329 : @[Reg.scala 28:19] - _T_4330 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + node _T_4330 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 571:134] + reg _T_4331 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4330 : @[Reg.scala 28:19] + _T_4331 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4324 @[el2_lsu_bus_buffer.scala 563:18] - buf_ldfwdtag[1] <= _T_4326 @[el2_lsu_bus_buffer.scala 563:18] - buf_ldfwdtag[2] <= _T_4328 @[el2_lsu_bus_buffer.scala 563:18] - buf_ldfwdtag[3] <= _T_4330 @[el2_lsu_bus_buffer.scala 563:18] - node _T_4331 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:107] - node _T_4332 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:140] - reg _T_4333 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4332 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 571:134] + reg _T_4333 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4332 : @[Reg.scala 28:19] - _T_4333 <= _T_4331 @[Reg.scala 28:23] + _T_4333 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4334 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:107] - node _T_4335 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:140] + buf_ldfwdtag[0] <= _T_4327 @[el2_lsu_bus_buffer.scala 571:18] + buf_ldfwdtag[1] <= _T_4329 @[el2_lsu_bus_buffer.scala 571:18] + buf_ldfwdtag[2] <= _T_4331 @[el2_lsu_bus_buffer.scala 571:18] + buf_ldfwdtag[3] <= _T_4333 @[el2_lsu_bus_buffer.scala 571:18] + node _T_4334 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 572:107] + node _T_4335 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 572:140] reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4335 : @[Reg.scala 28:19] _T_4336 <= _T_4334 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4337 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:107] - node _T_4338 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:140] + node _T_4337 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 572:107] + node _T_4338 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 572:140] reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4338 : @[Reg.scala 28:19] _T_4339 <= _T_4337 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4340 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:107] - node _T_4341 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:140] + node _T_4340 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 572:107] + node _T_4341 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 572:140] reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4341 : @[Reg.scala 28:19] _T_4342 <= _T_4340 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4343 = cat(_T_4342, _T_4339) @[Cat.scala 29:58] - node _T_4344 = cat(_T_4343, _T_4336) @[Cat.scala 29:58] - node _T_4345 = cat(_T_4344, _T_4333) @[Cat.scala 29:58] - buf_sideeffect <= _T_4345 @[el2_lsu_bus_buffer.scala 564:20] - node _T_4346 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:99] - node _T_4347 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:132] - reg _T_4348 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4347 : @[Reg.scala 28:19] - _T_4348 <= _T_4346 @[Reg.scala 28:23] + node _T_4343 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 572:107] + node _T_4344 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 572:140] + reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4344 : @[Reg.scala 28:19] + _T_4345 <= _T_4343 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4349 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:99] - node _T_4350 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:132] + node _T_4346 = cat(_T_4345, _T_4342) @[Cat.scala 29:58] + node _T_4347 = cat(_T_4346, _T_4339) @[Cat.scala 29:58] + node _T_4348 = cat(_T_4347, _T_4336) @[Cat.scala 29:58] + buf_sideeffect <= _T_4348 @[el2_lsu_bus_buffer.scala 572:20] + node _T_4349 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 573:99] + node _T_4350 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 573:132] reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4350 : @[Reg.scala 28:19] _T_4351 <= _T_4349 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4352 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:99] - node _T_4353 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:132] + node _T_4352 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 573:99] + node _T_4353 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 573:132] reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4353 : @[Reg.scala 28:19] _T_4354 <= _T_4352 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4355 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:99] - node _T_4356 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:132] + node _T_4355 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 573:99] + node _T_4356 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 573:132] reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4356 : @[Reg.scala 28:19] _T_4357 <= _T_4355 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4358 = cat(_T_4357, _T_4354) @[Cat.scala 29:58] - node _T_4359 = cat(_T_4358, _T_4351) @[Cat.scala 29:58] - node _T_4360 = cat(_T_4359, _T_4348) @[Cat.scala 29:58] - buf_unsign <= _T_4360 @[el2_lsu_bus_buffer.scala 565:16] - node _T_4361 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 566:97] - node _T_4362 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:130] - reg _T_4363 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4362 : @[Reg.scala 28:19] - _T_4363 <= _T_4361 @[Reg.scala 28:23] + node _T_4358 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 573:99] + node _T_4359 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 573:132] + reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4359 : @[Reg.scala 28:19] + _T_4360 <= _T_4358 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4364 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 566:97] - node _T_4365 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:130] + node _T_4361 = cat(_T_4360, _T_4357) @[Cat.scala 29:58] + node _T_4362 = cat(_T_4361, _T_4354) @[Cat.scala 29:58] + node _T_4363 = cat(_T_4362, _T_4351) @[Cat.scala 29:58] + buf_unsign <= _T_4363 @[el2_lsu_bus_buffer.scala 573:16] + node _T_4364 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 574:97] + node _T_4365 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 574:130] reg _T_4366 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= _T_4364 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4367 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 566:97] - node _T_4368 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:130] + node _T_4367 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 574:97] + node _T_4368 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 574:130] reg _T_4369 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4368 : @[Reg.scala 28:19] _T_4369 <= _T_4367 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4370 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 566:97] - node _T_4371 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:130] + node _T_4370 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 574:97] + node _T_4371 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 574:130] reg _T_4372 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4371 : @[Reg.scala 28:19] _T_4372 <= _T_4370 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4373 = cat(_T_4372, _T_4369) @[Cat.scala 29:58] - node _T_4374 = cat(_T_4373, _T_4366) @[Cat.scala 29:58] - node _T_4375 = cat(_T_4374, _T_4363) @[Cat.scala 29:58] - buf_write <= _T_4375 @[el2_lsu_bus_buffer.scala 566:15] - node _T_4376 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:119] - reg _T_4377 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4376 : @[Reg.scala 28:19] - _T_4377 <= buf_sz_in[0] @[Reg.scala 28:23] + node _T_4373 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 574:97] + node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 574:130] + reg _T_4375 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4374 : @[Reg.scala 28:19] + _T_4375 <= _T_4373 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4378 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:119] - reg _T_4379 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4378 : @[Reg.scala 28:19] - _T_4379 <= buf_sz_in[1] @[Reg.scala 28:23] + node _T_4376 = cat(_T_4375, _T_4372) @[Cat.scala 29:58] + node _T_4377 = cat(_T_4376, _T_4369) @[Cat.scala 29:58] + node _T_4378 = cat(_T_4377, _T_4366) @[Cat.scala 29:58] + buf_write <= _T_4378 @[el2_lsu_bus_buffer.scala 574:15] + node _T_4379 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 575:119] + reg _T_4380 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4379 : @[Reg.scala 28:19] + _T_4380 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4380 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:119] - reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4380 : @[Reg.scala 28:19] - _T_4381 <= buf_sz_in[2] @[Reg.scala 28:23] + node _T_4381 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 575:119] + reg _T_4382 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4381 : @[Reg.scala 28:19] + _T_4382 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4382 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:119] - reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4382 : @[Reg.scala 28:19] - _T_4383 <= buf_sz_in[3] @[Reg.scala 28:23] + node _T_4383 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 575:119] + reg _T_4384 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4383 : @[Reg.scala 28:19] + _T_4384 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4377 @[el2_lsu_bus_buffer.scala 567:12] - buf_sz[1] <= _T_4379 @[el2_lsu_bus_buffer.scala 567:12] - buf_sz[2] <= _T_4381 @[el2_lsu_bus_buffer.scala 567:12] - buf_sz[3] <= _T_4383 @[el2_lsu_bus_buffer.scala 567:12] - node _T_4384 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 568:82] + node _T_4385 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 575:119] + reg _T_4386 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4385 : @[Reg.scala 28:19] + _T_4386 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4380 @[el2_lsu_bus_buffer.scala 575:12] + buf_sz[1] <= _T_4382 @[el2_lsu_bus_buffer.scala 575:12] + buf_sz[2] <= _T_4384 @[el2_lsu_bus_buffer.scala 575:12] + buf_sz[3] <= _T_4386 @[el2_lsu_bus_buffer.scala 575:12] + node _T_4387 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 576:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_4.io.en <= _T_4384 @[el2_lib.scala 488:17] + rvclkhdr_4.io.en <= _T_4387 @[el2_lib.scala 488:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4385 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4385 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4386 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 568:82] + reg _T_4388 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4388 <= buf_addr_in[0] @[el2_lib.scala 491:16] + node _T_4389 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 576:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_5.io.en <= _T_4386 @[el2_lib.scala 488:17] + rvclkhdr_5.io.en <= _T_4389 @[el2_lib.scala 488:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4387 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4387 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4388 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 568:82] + reg _T_4390 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4390 <= buf_addr_in[1] @[el2_lib.scala 491:16] + node _T_4391 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 576:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_6.io.en <= _T_4388 @[el2_lib.scala 488:17] + rvclkhdr_6.io.en <= _T_4391 @[el2_lib.scala 488:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4389 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4389 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4390 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 568:82] + reg _T_4392 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4392 <= buf_addr_in[2] @[el2_lib.scala 491:16] + node _T_4393 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 576:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_7.io.en <= _T_4390 @[el2_lib.scala 488:17] + rvclkhdr_7.io.en <= _T_4393 @[el2_lib.scala 488:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4391 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4391 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4385 @[el2_lsu_bus_buffer.scala 568:14] - buf_addr[1] <= _T_4387 @[el2_lsu_bus_buffer.scala 568:14] - buf_addr[2] <= _T_4389 @[el2_lsu_bus_buffer.scala 568:14] - buf_addr[3] <= _T_4391 @[el2_lsu_bus_buffer.scala 568:14] - node _T_4392 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 569:127] - reg _T_4393 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4392 : @[Reg.scala 28:19] - _T_4393 <= buf_byteen_in[0] @[Reg.scala 28:23] + reg _T_4394 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4394 <= buf_addr_in[3] @[el2_lib.scala 491:16] + buf_addr[0] <= _T_4388 @[el2_lsu_bus_buffer.scala 576:14] + buf_addr[1] <= _T_4390 @[el2_lsu_bus_buffer.scala 576:14] + buf_addr[2] <= _T_4392 @[el2_lsu_bus_buffer.scala 576:14] + buf_addr[3] <= _T_4394 @[el2_lsu_bus_buffer.scala 576:14] + node _T_4395 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 577:127] + reg _T_4396 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4395 : @[Reg.scala 28:19] + _T_4396 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4394 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 569:127] - reg _T_4395 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4394 : @[Reg.scala 28:19] - _T_4395 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4397 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 577:127] + reg _T_4398 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4397 : @[Reg.scala 28:19] + _T_4398 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4396 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 569:127] - reg _T_4397 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4396 : @[Reg.scala 28:19] - _T_4397 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4399 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 577:127] + reg _T_4400 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4399 : @[Reg.scala 28:19] + _T_4400 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4398 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 569:127] - reg _T_4399 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4398 : @[Reg.scala 28:19] - _T_4399 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4401 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 577:127] + reg _T_4402 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4401 : @[Reg.scala 28:19] + _T_4402 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4393 @[el2_lsu_bus_buffer.scala 569:16] - buf_byteen[1] <= _T_4395 @[el2_lsu_bus_buffer.scala 569:16] - buf_byteen[2] <= _T_4397 @[el2_lsu_bus_buffer.scala 569:16] - buf_byteen[3] <= _T_4399 @[el2_lsu_bus_buffer.scala 569:16] + buf_byteen[0] <= _T_4396 @[el2_lsu_bus_buffer.scala 577:16] + buf_byteen[1] <= _T_4398 @[el2_lsu_bus_buffer.scala 577:16] + buf_byteen[2] <= _T_4400 @[el2_lsu_bus_buffer.scala 577:16] + buf_byteen[3] <= _T_4402 @[el2_lsu_bus_buffer.scala 577:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_8.io.en <= buf_data_en[0] @[el2_lib.scala 488:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4400 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4400 <= buf_data_in[0] @[el2_lib.scala 491:16] + reg _T_4403 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4403 <= buf_data_in[0] @[el2_lib.scala 491:16] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 485:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_9.io.en <= buf_data_en[1] @[el2_lib.scala 488:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4401 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4401 <= buf_data_in[1] @[el2_lib.scala 491:16] + reg _T_4404 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4404 <= buf_data_in[1] @[el2_lib.scala 491:16] inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 485:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_10.io.en <= buf_data_en[2] @[el2_lib.scala 488:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4402 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4402 <= buf_data_in[2] @[el2_lib.scala 491:16] + reg _T_4405 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4405 <= buf_data_in[2] @[el2_lib.scala 491:16] inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 485:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_11.io.en <= buf_data_en[3] @[el2_lib.scala 488:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4403 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4403 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4400 @[el2_lsu_bus_buffer.scala 570:14] - buf_data[1] <= _T_4401 @[el2_lsu_bus_buffer.scala 570:14] - buf_data[2] <= _T_4402 @[el2_lsu_bus_buffer.scala 570:14] - buf_data[3] <= _T_4403 @[el2_lsu_bus_buffer.scala 570:14] - node _T_4404 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 571:121] - node _T_4405 = mux(buf_error_en[0], UInt<1>("h01"), _T_4404) @[el2_lsu_bus_buffer.scala 571:86] - node _T_4406 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:128] - node _T_4407 = and(_T_4405, _T_4406) @[el2_lsu_bus_buffer.scala 571:126] - reg _T_4408 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 571:82] - _T_4408 <= _T_4407 @[el2_lsu_bus_buffer.scala 571:82] - node _T_4409 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 571:121] - node _T_4410 = mux(buf_error_en[1], UInt<1>("h01"), _T_4409) @[el2_lsu_bus_buffer.scala 571:86] - node _T_4411 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:128] - node _T_4412 = and(_T_4410, _T_4411) @[el2_lsu_bus_buffer.scala 571:126] - reg _T_4413 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 571:82] - _T_4413 <= _T_4412 @[el2_lsu_bus_buffer.scala 571:82] - node _T_4414 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 571:121] - node _T_4415 = mux(buf_error_en[2], UInt<1>("h01"), _T_4414) @[el2_lsu_bus_buffer.scala 571:86] - node _T_4416 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:128] - node _T_4417 = and(_T_4415, _T_4416) @[el2_lsu_bus_buffer.scala 571:126] - reg _T_4418 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 571:82] - _T_4418 <= _T_4417 @[el2_lsu_bus_buffer.scala 571:82] - node _T_4419 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 571:121] - node _T_4420 = mux(buf_error_en[3], UInt<1>("h01"), _T_4419) @[el2_lsu_bus_buffer.scala 571:86] - node _T_4421 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:128] - node _T_4422 = and(_T_4420, _T_4421) @[el2_lsu_bus_buffer.scala 571:126] - reg _T_4423 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 571:82] - _T_4423 <= _T_4422 @[el2_lsu_bus_buffer.scala 571:82] - node _T_4424 = cat(_T_4423, _T_4418) @[Cat.scala 29:58] - node _T_4425 = cat(_T_4424, _T_4413) @[Cat.scala 29:58] - node _T_4426 = cat(_T_4425, _T_4408) @[Cat.scala 29:58] - buf_error <= _T_4426 @[el2_lsu_bus_buffer.scala 571:15] - node _T_4427 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] - node _T_4428 = cat(_T_4427, buf_data_en[1]) @[Cat.scala 29:58] - node _T_4429 = cat(_T_4428, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4429 @[el2_lsu_bus_buffer.scala 572:14] - node _T_4430 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 574:60] - node _T_4431 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 574:60] - node _T_4432 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 574:60] - node _T_4433 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 574:60] - node _T_4434 = add(_T_4433, _T_4432) @[el2_lsu_bus_buffer.scala 574:96] - node _T_4435 = add(_T_4434, _T_4431) @[el2_lsu_bus_buffer.scala 574:96] - node buf_numvld_any = add(_T_4435, _T_4430) @[el2_lsu_bus_buffer.scala 574:96] - node _T_4436 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 575:60] - node _T_4437 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:79] - node _T_4438 = and(_T_4436, _T_4437) @[el2_lsu_bus_buffer.scala 575:64] - node _T_4439 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:91] - node _T_4440 = and(_T_4438, _T_4439) @[el2_lsu_bus_buffer.scala 575:89] - node _T_4441 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 575:60] - node _T_4442 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:79] - node _T_4443 = and(_T_4441, _T_4442) @[el2_lsu_bus_buffer.scala 575:64] - node _T_4444 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:91] - node _T_4445 = and(_T_4443, _T_4444) @[el2_lsu_bus_buffer.scala 575:89] - node _T_4446 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 575:60] - node _T_4447 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:79] - node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 575:64] - node _T_4449 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:91] - node _T_4450 = and(_T_4448, _T_4449) @[el2_lsu_bus_buffer.scala 575:89] - node _T_4451 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 575:60] - node _T_4452 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:79] - node _T_4453 = and(_T_4451, _T_4452) @[el2_lsu_bus_buffer.scala 575:64] - node _T_4454 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:91] - node _T_4455 = and(_T_4453, _T_4454) @[el2_lsu_bus_buffer.scala 575:89] - node _T_4456 = add(_T_4455, _T_4450) @[el2_lsu_bus_buffer.scala 575:142] - node _T_4457 = add(_T_4456, _T_4445) @[el2_lsu_bus_buffer.scala 575:142] - node _T_4458 = add(_T_4457, _T_4440) @[el2_lsu_bus_buffer.scala 575:142] - buf_numvld_wrcmd_any <= _T_4458 @[el2_lsu_bus_buffer.scala 575:24] - node _T_4459 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:63] - node _T_4460 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:75] - node _T_4461 = and(_T_4459, _T_4460) @[el2_lsu_bus_buffer.scala 576:73] - node _T_4462 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:63] - node _T_4463 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:75] - node _T_4464 = and(_T_4462, _T_4463) @[el2_lsu_bus_buffer.scala 576:73] - node _T_4465 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:63] - node _T_4466 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:75] - node _T_4467 = and(_T_4465, _T_4466) @[el2_lsu_bus_buffer.scala 576:73] - node _T_4468 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:63] - node _T_4469 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:75] - node _T_4470 = and(_T_4468, _T_4469) @[el2_lsu_bus_buffer.scala 576:73] - node _T_4471 = add(_T_4470, _T_4467) @[el2_lsu_bus_buffer.scala 576:126] - node _T_4472 = add(_T_4471, _T_4464) @[el2_lsu_bus_buffer.scala 576:126] - node _T_4473 = add(_T_4472, _T_4461) @[el2_lsu_bus_buffer.scala 576:126] - buf_numvld_cmd_any <= _T_4473 @[el2_lsu_bus_buffer.scala 576:22] - node _T_4474 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 577:63] - node _T_4475 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 577:90] - node _T_4476 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:102] - node _T_4477 = and(_T_4475, _T_4476) @[el2_lsu_bus_buffer.scala 577:100] - node _T_4478 = or(_T_4474, _T_4477) @[el2_lsu_bus_buffer.scala 577:74] - node _T_4479 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 577:63] - node _T_4480 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 577:90] - node _T_4481 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:102] - node _T_4482 = and(_T_4480, _T_4481) @[el2_lsu_bus_buffer.scala 577:100] - node _T_4483 = or(_T_4479, _T_4482) @[el2_lsu_bus_buffer.scala 577:74] - node _T_4484 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 577:63] - node _T_4485 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 577:90] - node _T_4486 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:102] - node _T_4487 = and(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 577:100] - node _T_4488 = or(_T_4484, _T_4487) @[el2_lsu_bus_buffer.scala 577:74] - node _T_4489 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 577:63] - node _T_4490 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 577:90] - node _T_4491 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:102] - node _T_4492 = and(_T_4490, _T_4491) @[el2_lsu_bus_buffer.scala 577:100] - node _T_4493 = or(_T_4489, _T_4492) @[el2_lsu_bus_buffer.scala 577:74] - node _T_4494 = add(_T_4493, _T_4488) @[el2_lsu_bus_buffer.scala 577:154] - node _T_4495 = add(_T_4494, _T_4483) @[el2_lsu_bus_buffer.scala 577:154] - node _T_4496 = add(_T_4495, _T_4478) @[el2_lsu_bus_buffer.scala 577:154] - buf_numvld_pend_any <= _T_4496 @[el2_lsu_bus_buffer.scala 577:23] - node _T_4497 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 578:61] - node _T_4498 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 578:61] - node _T_4499 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 578:61] - node _T_4500 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 578:61] - node _T_4501 = or(_T_4500, _T_4499) @[el2_lsu_bus_buffer.scala 578:93] - node _T_4502 = or(_T_4501, _T_4498) @[el2_lsu_bus_buffer.scala 578:93] - node _T_4503 = or(_T_4502, _T_4497) @[el2_lsu_bus_buffer.scala 578:93] - any_done_wait_state <= _T_4503 @[el2_lsu_bus_buffer.scala 578:23] - node _T_4504 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 579:53] - io.lsu_bus_buffer_pend_any <= _T_4504 @[el2_lsu_bus_buffer.scala 579:30] - node _T_4505 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 580:52] - node _T_4506 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 580:92] - node _T_4507 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 580:119] - node _T_4508 = mux(_T_4505, _T_4506, _T_4507) @[el2_lsu_bus_buffer.scala 580:36] - io.lsu_bus_buffer_full_any <= _T_4508 @[el2_lsu_bus_buffer.scala 580:30] - node _T_4509 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 581:52] - node _T_4510 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 581:52] - node _T_4511 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 581:52] - node _T_4512 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 581:52] - node _T_4513 = or(_T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 581:65] - node _T_4514 = or(_T_4513, _T_4511) @[el2_lsu_bus_buffer.scala 581:65] - node _T_4515 = or(_T_4514, _T_4512) @[el2_lsu_bus_buffer.scala 581:65] - node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:34] - node _T_4517 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:72] - node _T_4518 = and(_T_4516, _T_4517) @[el2_lsu_bus_buffer.scala 581:70] - node _T_4519 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:86] - node _T_4520 = and(_T_4518, _T_4519) @[el2_lsu_bus_buffer.scala 581:84] - io.lsu_bus_buffer_empty_any <= _T_4520 @[el2_lsu_bus_buffer.scala 581:31] - node _T_4521 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 583:51] - node _T_4522 = and(_T_4521, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 583:72] - node _T_4523 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:94] - node _T_4524 = and(_T_4522, _T_4523) @[el2_lsu_bus_buffer.scala 583:92] - node _T_4525 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:111] - node _T_4526 = and(_T_4524, _T_4525) @[el2_lsu_bus_buffer.scala 583:109] - io.lsu_nonblock_load_valid_m <= _T_4526 @[el2_lsu_bus_buffer.scala 583:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 584:30] + reg _T_4406 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4406 <= buf_data_in[3] @[el2_lib.scala 491:16] + buf_data[0] <= _T_4403 @[el2_lsu_bus_buffer.scala 578:14] + buf_data[1] <= _T_4404 @[el2_lsu_bus_buffer.scala 578:14] + buf_data[2] <= _T_4405 @[el2_lsu_bus_buffer.scala 578:14] + buf_data[3] <= _T_4406 @[el2_lsu_bus_buffer.scala 578:14] + node _T_4407 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 579:121] + node _T_4408 = mux(buf_error_en[0], UInt<1>("h01"), _T_4407) @[el2_lsu_bus_buffer.scala 579:86] + node _T_4409 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:128] + node _T_4410 = and(_T_4408, _T_4409) @[el2_lsu_bus_buffer.scala 579:126] + reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 579:82] + _T_4411 <= _T_4410 @[el2_lsu_bus_buffer.scala 579:82] + node _T_4412 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 579:121] + node _T_4413 = mux(buf_error_en[1], UInt<1>("h01"), _T_4412) @[el2_lsu_bus_buffer.scala 579:86] + node _T_4414 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:128] + node _T_4415 = and(_T_4413, _T_4414) @[el2_lsu_bus_buffer.scala 579:126] + reg _T_4416 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 579:82] + _T_4416 <= _T_4415 @[el2_lsu_bus_buffer.scala 579:82] + node _T_4417 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 579:121] + node _T_4418 = mux(buf_error_en[2], UInt<1>("h01"), _T_4417) @[el2_lsu_bus_buffer.scala 579:86] + node _T_4419 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:128] + node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 579:126] + reg _T_4421 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 579:82] + _T_4421 <= _T_4420 @[el2_lsu_bus_buffer.scala 579:82] + node _T_4422 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 579:121] + node _T_4423 = mux(buf_error_en[3], UInt<1>("h01"), _T_4422) @[el2_lsu_bus_buffer.scala 579:86] + node _T_4424 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:128] + node _T_4425 = and(_T_4423, _T_4424) @[el2_lsu_bus_buffer.scala 579:126] + reg _T_4426 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 579:82] + _T_4426 <= _T_4425 @[el2_lsu_bus_buffer.scala 579:82] + node _T_4427 = cat(_T_4426, _T_4421) @[Cat.scala 29:58] + node _T_4428 = cat(_T_4427, _T_4416) @[Cat.scala 29:58] + node _T_4429 = cat(_T_4428, _T_4411) @[Cat.scala 29:58] + buf_error <= _T_4429 @[el2_lsu_bus_buffer.scala 579:15] + node _T_4430 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] + node _T_4431 = cat(_T_4430, buf_data_en[1]) @[Cat.scala 29:58] + node _T_4432 = cat(_T_4431, buf_data_en[0]) @[Cat.scala 29:58] + io.data_en <= _T_4432 @[el2_lsu_bus_buffer.scala 580:14] + node _T_4433 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 582:60] + node _T_4434 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 582:60] + node _T_4435 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 582:60] + node _T_4436 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 582:60] + node _T_4437 = add(_T_4436, _T_4435) @[el2_lsu_bus_buffer.scala 582:96] + node _T_4438 = add(_T_4437, _T_4434) @[el2_lsu_bus_buffer.scala 582:96] + node buf_numvld_any = add(_T_4438, _T_4433) @[el2_lsu_bus_buffer.scala 582:96] + node _T_4439 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 583:60] + node _T_4440 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:79] + node _T_4441 = and(_T_4439, _T_4440) @[el2_lsu_bus_buffer.scala 583:64] + node _T_4442 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:91] + node _T_4443 = and(_T_4441, _T_4442) @[el2_lsu_bus_buffer.scala 583:89] + node _T_4444 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 583:60] + node _T_4445 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:79] + node _T_4446 = and(_T_4444, _T_4445) @[el2_lsu_bus_buffer.scala 583:64] + node _T_4447 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:91] + node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 583:89] + node _T_4449 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 583:60] + node _T_4450 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:79] + node _T_4451 = and(_T_4449, _T_4450) @[el2_lsu_bus_buffer.scala 583:64] + node _T_4452 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:91] + node _T_4453 = and(_T_4451, _T_4452) @[el2_lsu_bus_buffer.scala 583:89] + node _T_4454 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 583:60] + node _T_4455 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:79] + node _T_4456 = and(_T_4454, _T_4455) @[el2_lsu_bus_buffer.scala 583:64] + node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:91] + node _T_4458 = and(_T_4456, _T_4457) @[el2_lsu_bus_buffer.scala 583:89] + node _T_4459 = add(_T_4458, _T_4453) @[el2_lsu_bus_buffer.scala 583:142] + node _T_4460 = add(_T_4459, _T_4448) @[el2_lsu_bus_buffer.scala 583:142] + node _T_4461 = add(_T_4460, _T_4443) @[el2_lsu_bus_buffer.scala 583:142] + buf_numvld_wrcmd_any <= _T_4461 @[el2_lsu_bus_buffer.scala 583:24] + node _T_4462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:63] + node _T_4463 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:75] + node _T_4464 = and(_T_4462, _T_4463) @[el2_lsu_bus_buffer.scala 584:73] + node _T_4465 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:63] + node _T_4466 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:75] + node _T_4467 = and(_T_4465, _T_4466) @[el2_lsu_bus_buffer.scala 584:73] + node _T_4468 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:63] + node _T_4469 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:75] + node _T_4470 = and(_T_4468, _T_4469) @[el2_lsu_bus_buffer.scala 584:73] + node _T_4471 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:63] + node _T_4472 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:75] + node _T_4473 = and(_T_4471, _T_4472) @[el2_lsu_bus_buffer.scala 584:73] + node _T_4474 = add(_T_4473, _T_4470) @[el2_lsu_bus_buffer.scala 584:126] + node _T_4475 = add(_T_4474, _T_4467) @[el2_lsu_bus_buffer.scala 584:126] + node _T_4476 = add(_T_4475, _T_4464) @[el2_lsu_bus_buffer.scala 584:126] + buf_numvld_cmd_any <= _T_4476 @[el2_lsu_bus_buffer.scala 584:22] + node _T_4477 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 585:63] + node _T_4478 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:90] + node _T_4479 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:102] + node _T_4480 = and(_T_4478, _T_4479) @[el2_lsu_bus_buffer.scala 585:100] + node _T_4481 = or(_T_4477, _T_4480) @[el2_lsu_bus_buffer.scala 585:74] + node _T_4482 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 585:63] + node _T_4483 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:90] + node _T_4484 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:102] + node _T_4485 = and(_T_4483, _T_4484) @[el2_lsu_bus_buffer.scala 585:100] + node _T_4486 = or(_T_4482, _T_4485) @[el2_lsu_bus_buffer.scala 585:74] + node _T_4487 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 585:63] + node _T_4488 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:90] + node _T_4489 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:102] + node _T_4490 = and(_T_4488, _T_4489) @[el2_lsu_bus_buffer.scala 585:100] + node _T_4491 = or(_T_4487, _T_4490) @[el2_lsu_bus_buffer.scala 585:74] + node _T_4492 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 585:63] + node _T_4493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:90] + node _T_4494 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:102] + node _T_4495 = and(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 585:100] + node _T_4496 = or(_T_4492, _T_4495) @[el2_lsu_bus_buffer.scala 585:74] + node _T_4497 = add(_T_4496, _T_4491) @[el2_lsu_bus_buffer.scala 585:154] + node _T_4498 = add(_T_4497, _T_4486) @[el2_lsu_bus_buffer.scala 585:154] + node _T_4499 = add(_T_4498, _T_4481) @[el2_lsu_bus_buffer.scala 585:154] + buf_numvld_pend_any <= _T_4499 @[el2_lsu_bus_buffer.scala 585:23] + node _T_4500 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 586:61] + node _T_4501 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 586:61] + node _T_4502 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 586:61] + node _T_4503 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 586:61] + node _T_4504 = or(_T_4503, _T_4502) @[el2_lsu_bus_buffer.scala 586:93] + node _T_4505 = or(_T_4504, _T_4501) @[el2_lsu_bus_buffer.scala 586:93] + node _T_4506 = or(_T_4505, _T_4500) @[el2_lsu_bus_buffer.scala 586:93] + any_done_wait_state <= _T_4506 @[el2_lsu_bus_buffer.scala 586:23] + node _T_4507 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 587:53] + io.lsu_bus_buffer_pend_any <= _T_4507 @[el2_lsu_bus_buffer.scala 587:30] + node _T_4508 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 588:52] + node _T_4509 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 588:92] + node _T_4510 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 588:119] + node _T_4511 = mux(_T_4508, _T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 588:36] + io.lsu_bus_buffer_full_any <= _T_4511 @[el2_lsu_bus_buffer.scala 588:30] + node _T_4512 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 589:52] + node _T_4513 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 589:52] + node _T_4514 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 589:52] + node _T_4515 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 589:52] + node _T_4516 = or(_T_4512, _T_4513) @[el2_lsu_bus_buffer.scala 589:65] + node _T_4517 = or(_T_4516, _T_4514) @[el2_lsu_bus_buffer.scala 589:65] + node _T_4518 = or(_T_4517, _T_4515) @[el2_lsu_bus_buffer.scala 589:65] + node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:34] + node _T_4520 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:72] + node _T_4521 = and(_T_4519, _T_4520) @[el2_lsu_bus_buffer.scala 589:70] + node _T_4522 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:86] + node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 589:84] + io.lsu_bus_buffer_empty_any <= _T_4523 @[el2_lsu_bus_buffer.scala 589:31] + node _T_4524 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 591:51] + node _T_4525 = and(_T_4524, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 591:72] + node _T_4526 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:94] + node _T_4527 = and(_T_4525, _T_4526) @[el2_lsu_bus_buffer.scala 591:92] + node _T_4528 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:111] + node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 591:109] + io.lsu_nonblock_load_valid_m <= _T_4529 @[el2_lsu_bus_buffer.scala 591:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 592:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4527 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:61] - node _T_4528 = and(lsu_nonblock_load_valid_r, _T_4527) @[el2_lsu_bus_buffer.scala 586:59] - io.lsu_nonblock_load_inv_r <= _T_4528 @[el2_lsu_bus_buffer.scala 586:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 587:34] - node _T_4529 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] - node _T_4530 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:127] - node _T_4531 = and(UInt<1>("h01"), _T_4530) @[el2_lsu_bus_buffer.scala 588:116] - node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:95] - node _T_4533 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] - node _T_4534 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:127] - node _T_4535 = and(UInt<1>("h01"), _T_4534) @[el2_lsu_bus_buffer.scala 588:116] - node _T_4536 = eq(_T_4535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:95] - node _T_4537 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] - node _T_4538 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:127] - node _T_4539 = and(UInt<1>("h01"), _T_4538) @[el2_lsu_bus_buffer.scala 588:116] - node _T_4540 = eq(_T_4539, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:95] - node _T_4541 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] - node _T_4542 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:127] - node _T_4543 = and(UInt<1>("h01"), _T_4542) @[el2_lsu_bus_buffer.scala 588:116] - node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:95] - node _T_4545 = mux(_T_4529, _T_4532, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4546 = mux(_T_4533, _T_4536, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4547 = mux(_T_4537, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4548 = mux(_T_4541, _T_4544, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4549 = or(_T_4545, _T_4546) @[Mux.scala 27:72] - node _T_4550 = or(_T_4549, _T_4547) @[Mux.scala 27:72] - node _T_4551 = or(_T_4550, _T_4548) @[Mux.scala 27:72] + node _T_4530 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:61] + node _T_4531 = and(lsu_nonblock_load_valid_r, _T_4530) @[el2_lsu_bus_buffer.scala 594:59] + io.lsu_nonblock_load_inv_r <= _T_4531 @[el2_lsu_bus_buffer.scala 594:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 595:34] + node _T_4532 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] + node _T_4533 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 596:127] + node _T_4534 = and(UInt<1>("h01"), _T_4533) @[el2_lsu_bus_buffer.scala 596:116] + node _T_4535 = eq(_T_4534, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:95] + node _T_4536 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] + node _T_4537 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 596:127] + node _T_4538 = and(UInt<1>("h01"), _T_4537) @[el2_lsu_bus_buffer.scala 596:116] + node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:95] + node _T_4540 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] + node _T_4541 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 596:127] + node _T_4542 = and(UInt<1>("h01"), _T_4541) @[el2_lsu_bus_buffer.scala 596:116] + node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:95] + node _T_4544 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] + node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 596:127] + node _T_4546 = and(UInt<1>("h01"), _T_4545) @[el2_lsu_bus_buffer.scala 596:116] + node _T_4547 = eq(_T_4546, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:95] + node _T_4548 = mux(_T_4532, _T_4535, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4549 = mux(_T_4536, _T_4539, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4550 = mux(_T_4540, _T_4543, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4551 = mux(_T_4544, _T_4547, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4552 = or(_T_4548, _T_4549) @[Mux.scala 27:72] + node _T_4553 = or(_T_4552, _T_4550) @[Mux.scala 27:72] + node _T_4554 = or(_T_4553, _T_4551) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4551 @[Mux.scala 27:72] - node _T_4552 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:80] - node _T_4553 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 589:104] - node _T_4554 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 589:120] - node _T_4555 = eq(_T_4554, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:110] - node _T_4556 = and(_T_4553, _T_4555) @[el2_lsu_bus_buffer.scala 589:108] - node _T_4557 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:80] - node _T_4558 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 589:104] - node _T_4559 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 589:120] - node _T_4560 = eq(_T_4559, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:110] - node _T_4561 = and(_T_4558, _T_4560) @[el2_lsu_bus_buffer.scala 589:108] - node _T_4562 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:80] - node _T_4563 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 589:104] - node _T_4564 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 589:120] - node _T_4565 = eq(_T_4564, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:110] - node _T_4566 = and(_T_4563, _T_4565) @[el2_lsu_bus_buffer.scala 589:108] - node _T_4567 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:80] - node _T_4568 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 589:104] - node _T_4569 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 589:120] - node _T_4570 = eq(_T_4569, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:110] - node _T_4571 = and(_T_4568, _T_4570) @[el2_lsu_bus_buffer.scala 589:108] - node _T_4572 = mux(_T_4552, _T_4556, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4573 = mux(_T_4557, _T_4561, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4574 = mux(_T_4562, _T_4566, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4575 = mux(_T_4567, _T_4571, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4576 = or(_T_4572, _T_4573) @[Mux.scala 27:72] - node _T_4577 = or(_T_4576, _T_4574) @[Mux.scala 27:72] - node _T_4578 = or(_T_4577, _T_4575) @[Mux.scala 27:72] - wire _T_4579 : UInt<1> @[Mux.scala 27:72] - _T_4579 <= _T_4578 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4579 @[el2_lsu_bus_buffer.scala 589:35] - node _T_4580 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:79] - node _T_4581 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 590:102] - node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:92] - node _T_4583 = and(_T_4580, _T_4582) @[el2_lsu_bus_buffer.scala 590:90] - node _T_4584 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:109] - node _T_4585 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:124] - node _T_4586 = or(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 590:122] - node _T_4587 = and(_T_4583, _T_4586) @[el2_lsu_bus_buffer.scala 590:106] - node _T_4588 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:79] - node _T_4589 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 590:102] - node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:92] - node _T_4591 = and(_T_4588, _T_4590) @[el2_lsu_bus_buffer.scala 590:90] - node _T_4592 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:109] - node _T_4593 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:124] - node _T_4594 = or(_T_4592, _T_4593) @[el2_lsu_bus_buffer.scala 590:122] - node _T_4595 = and(_T_4591, _T_4594) @[el2_lsu_bus_buffer.scala 590:106] - node _T_4596 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:79] - node _T_4597 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 590:102] - node _T_4598 = eq(_T_4597, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:92] - node _T_4599 = and(_T_4596, _T_4598) @[el2_lsu_bus_buffer.scala 590:90] - node _T_4600 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:109] - node _T_4601 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:124] - node _T_4602 = or(_T_4600, _T_4601) @[el2_lsu_bus_buffer.scala 590:122] - node _T_4603 = and(_T_4599, _T_4602) @[el2_lsu_bus_buffer.scala 590:106] - node _T_4604 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:79] - node _T_4605 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 590:102] - node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:92] - node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 590:90] - node _T_4608 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:109] - node _T_4609 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:124] - node _T_4610 = or(_T_4608, _T_4609) @[el2_lsu_bus_buffer.scala 590:122] - node _T_4611 = and(_T_4607, _T_4610) @[el2_lsu_bus_buffer.scala 590:106] - node _T_4612 = mux(_T_4587, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4613 = mux(_T_4595, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4614 = mux(_T_4603, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4615 = mux(_T_4611, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4616 = or(_T_4612, _T_4613) @[Mux.scala 27:72] - node _T_4617 = or(_T_4616, _T_4614) @[Mux.scala 27:72] - node _T_4618 = or(_T_4617, _T_4615) @[Mux.scala 27:72] - wire _T_4619 : UInt<2> @[Mux.scala 27:72] - _T_4619 <= _T_4618 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4619 @[el2_lsu_bus_buffer.scala 590:33] - node _T_4620 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] - node _T_4621 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 591:101] - node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] - node _T_4623 = and(_T_4620, _T_4622) @[el2_lsu_bus_buffer.scala 591:89] - node _T_4624 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:108] - node _T_4625 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:123] - node _T_4626 = or(_T_4624, _T_4625) @[el2_lsu_bus_buffer.scala 591:121] - node _T_4627 = and(_T_4623, _T_4626) @[el2_lsu_bus_buffer.scala 591:105] - node _T_4628 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] - node _T_4629 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 591:101] - node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] - node _T_4631 = and(_T_4628, _T_4630) @[el2_lsu_bus_buffer.scala 591:89] - node _T_4632 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:108] - node _T_4633 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:123] - node _T_4634 = or(_T_4632, _T_4633) @[el2_lsu_bus_buffer.scala 591:121] - node _T_4635 = and(_T_4631, _T_4634) @[el2_lsu_bus_buffer.scala 591:105] - node _T_4636 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] - node _T_4637 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 591:101] - node _T_4638 = eq(_T_4637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] - node _T_4639 = and(_T_4636, _T_4638) @[el2_lsu_bus_buffer.scala 591:89] - node _T_4640 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:108] - node _T_4641 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:123] - node _T_4642 = or(_T_4640, _T_4641) @[el2_lsu_bus_buffer.scala 591:121] - node _T_4643 = and(_T_4639, _T_4642) @[el2_lsu_bus_buffer.scala 591:105] - node _T_4644 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] - node _T_4645 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 591:101] - node _T_4646 = eq(_T_4645, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] - node _T_4647 = and(_T_4644, _T_4646) @[el2_lsu_bus_buffer.scala 591:89] - node _T_4648 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:108] - node _T_4649 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:123] - node _T_4650 = or(_T_4648, _T_4649) @[el2_lsu_bus_buffer.scala 591:121] - node _T_4651 = and(_T_4647, _T_4650) @[el2_lsu_bus_buffer.scala 591:105] - node _T_4652 = mux(_T_4627, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4653 = mux(_T_4635, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4654 = mux(_T_4643, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4655 = mux(_T_4651, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4656 = or(_T_4652, _T_4653) @[Mux.scala 27:72] - node _T_4657 = or(_T_4656, _T_4654) @[Mux.scala 27:72] - node _T_4658 = or(_T_4657, _T_4655) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4554 @[Mux.scala 27:72] + node _T_4555 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] + node _T_4556 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 597:104] + node _T_4557 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 597:120] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:110] + node _T_4559 = and(_T_4556, _T_4558) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4560 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] + node _T_4561 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 597:104] + node _T_4562 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 597:120] + node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:110] + node _T_4564 = and(_T_4561, _T_4563) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4565 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] + node _T_4566 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 597:104] + node _T_4567 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 597:120] + node _T_4568 = eq(_T_4567, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:110] + node _T_4569 = and(_T_4566, _T_4568) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4570 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] + node _T_4571 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 597:104] + node _T_4572 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 597:120] + node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:110] + node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4575 = mux(_T_4555, _T_4559, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4576 = mux(_T_4560, _T_4564, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4577 = mux(_T_4565, _T_4569, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4578 = mux(_T_4570, _T_4574, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4579 = or(_T_4575, _T_4576) @[Mux.scala 27:72] + node _T_4580 = or(_T_4579, _T_4577) @[Mux.scala 27:72] + node _T_4581 = or(_T_4580, _T_4578) @[Mux.scala 27:72] + wire _T_4582 : UInt<1> @[Mux.scala 27:72] + _T_4582 <= _T_4581 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4582 @[el2_lsu_bus_buffer.scala 597:35] + node _T_4583 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:79] + node _T_4584 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 598:102] + node _T_4585 = eq(_T_4584, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:92] + node _T_4586 = and(_T_4583, _T_4585) @[el2_lsu_bus_buffer.scala 598:90] + node _T_4587 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:109] + node _T_4588 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:124] + node _T_4589 = or(_T_4587, _T_4588) @[el2_lsu_bus_buffer.scala 598:122] + node _T_4590 = and(_T_4586, _T_4589) @[el2_lsu_bus_buffer.scala 598:106] + node _T_4591 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:79] + node _T_4592 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 598:102] + node _T_4593 = eq(_T_4592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:92] + node _T_4594 = and(_T_4591, _T_4593) @[el2_lsu_bus_buffer.scala 598:90] + node _T_4595 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:109] + node _T_4596 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:124] + node _T_4597 = or(_T_4595, _T_4596) @[el2_lsu_bus_buffer.scala 598:122] + node _T_4598 = and(_T_4594, _T_4597) @[el2_lsu_bus_buffer.scala 598:106] + node _T_4599 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:79] + node _T_4600 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 598:102] + node _T_4601 = eq(_T_4600, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:92] + node _T_4602 = and(_T_4599, _T_4601) @[el2_lsu_bus_buffer.scala 598:90] + node _T_4603 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:109] + node _T_4604 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:124] + node _T_4605 = or(_T_4603, _T_4604) @[el2_lsu_bus_buffer.scala 598:122] + node _T_4606 = and(_T_4602, _T_4605) @[el2_lsu_bus_buffer.scala 598:106] + node _T_4607 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:79] + node _T_4608 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 598:102] + node _T_4609 = eq(_T_4608, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:92] + node _T_4610 = and(_T_4607, _T_4609) @[el2_lsu_bus_buffer.scala 598:90] + node _T_4611 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:109] + node _T_4612 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:124] + node _T_4613 = or(_T_4611, _T_4612) @[el2_lsu_bus_buffer.scala 598:122] + node _T_4614 = and(_T_4610, _T_4613) @[el2_lsu_bus_buffer.scala 598:106] + node _T_4615 = mux(_T_4590, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4616 = mux(_T_4598, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4617 = mux(_T_4606, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4618 = mux(_T_4614, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4619 = or(_T_4615, _T_4616) @[Mux.scala 27:72] + node _T_4620 = or(_T_4619, _T_4617) @[Mux.scala 27:72] + node _T_4621 = or(_T_4620, _T_4618) @[Mux.scala 27:72] + wire _T_4622 : UInt<2> @[Mux.scala 27:72] + _T_4622 <= _T_4621 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4622 @[el2_lsu_bus_buffer.scala 598:33] + node _T_4623 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] + node _T_4624 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 599:101] + node _T_4625 = eq(_T_4624, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] + node _T_4626 = and(_T_4623, _T_4625) @[el2_lsu_bus_buffer.scala 599:89] + node _T_4627 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:108] + node _T_4628 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:123] + node _T_4629 = or(_T_4627, _T_4628) @[el2_lsu_bus_buffer.scala 599:121] + node _T_4630 = and(_T_4626, _T_4629) @[el2_lsu_bus_buffer.scala 599:105] + node _T_4631 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] + node _T_4632 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 599:101] + node _T_4633 = eq(_T_4632, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] + node _T_4634 = and(_T_4631, _T_4633) @[el2_lsu_bus_buffer.scala 599:89] + node _T_4635 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:108] + node _T_4636 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:123] + node _T_4637 = or(_T_4635, _T_4636) @[el2_lsu_bus_buffer.scala 599:121] + node _T_4638 = and(_T_4634, _T_4637) @[el2_lsu_bus_buffer.scala 599:105] + node _T_4639 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] + node _T_4640 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 599:101] + node _T_4641 = eq(_T_4640, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] + node _T_4642 = and(_T_4639, _T_4641) @[el2_lsu_bus_buffer.scala 599:89] + node _T_4643 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:108] + node _T_4644 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:123] + node _T_4645 = or(_T_4643, _T_4644) @[el2_lsu_bus_buffer.scala 599:121] + node _T_4646 = and(_T_4642, _T_4645) @[el2_lsu_bus_buffer.scala 599:105] + node _T_4647 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] + node _T_4648 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 599:101] + node _T_4649 = eq(_T_4648, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] + node _T_4650 = and(_T_4647, _T_4649) @[el2_lsu_bus_buffer.scala 599:89] + node _T_4651 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:108] + node _T_4652 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:123] + node _T_4653 = or(_T_4651, _T_4652) @[el2_lsu_bus_buffer.scala 599:121] + node _T_4654 = and(_T_4650, _T_4653) @[el2_lsu_bus_buffer.scala 599:105] + node _T_4655 = mux(_T_4630, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4656 = mux(_T_4638, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4657 = mux(_T_4646, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4658 = mux(_T_4654, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4659 = or(_T_4655, _T_4656) @[Mux.scala 27:72] + node _T_4660 = or(_T_4659, _T_4657) @[Mux.scala 27:72] + node _T_4661 = or(_T_4660, _T_4658) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4658 @[Mux.scala 27:72] - node _T_4659 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 592:78] - node _T_4660 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 592:101] - node _T_4661 = eq(_T_4660, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:91] - node _T_4662 = and(_T_4659, _T_4661) @[el2_lsu_bus_buffer.scala 592:89] - node _T_4663 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 592:120] - node _T_4664 = and(_T_4662, _T_4663) @[el2_lsu_bus_buffer.scala 592:105] - node _T_4665 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 592:78] - node _T_4666 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 592:101] - node _T_4667 = eq(_T_4666, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:91] - node _T_4668 = and(_T_4665, _T_4667) @[el2_lsu_bus_buffer.scala 592:89] - node _T_4669 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 592:120] - node _T_4670 = and(_T_4668, _T_4669) @[el2_lsu_bus_buffer.scala 592:105] - node _T_4671 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 592:78] - node _T_4672 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 592:101] - node _T_4673 = eq(_T_4672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:91] - node _T_4674 = and(_T_4671, _T_4673) @[el2_lsu_bus_buffer.scala 592:89] - node _T_4675 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 592:120] - node _T_4676 = and(_T_4674, _T_4675) @[el2_lsu_bus_buffer.scala 592:105] - node _T_4677 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 592:78] - node _T_4678 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 592:101] - node _T_4679 = eq(_T_4678, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:91] - node _T_4680 = and(_T_4677, _T_4679) @[el2_lsu_bus_buffer.scala 592:89] - node _T_4681 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 592:120] - node _T_4682 = and(_T_4680, _T_4681) @[el2_lsu_bus_buffer.scala 592:105] - node _T_4683 = mux(_T_4664, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4684 = mux(_T_4670, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4685 = mux(_T_4676, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4686 = mux(_T_4682, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4687 = or(_T_4683, _T_4684) @[Mux.scala 27:72] - node _T_4688 = or(_T_4687, _T_4685) @[Mux.scala 27:72] - node _T_4689 = or(_T_4688, _T_4686) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4661 @[Mux.scala 27:72] + node _T_4662 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] + node _T_4663 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 600:101] + node _T_4664 = eq(_T_4663, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] + node _T_4665 = and(_T_4662, _T_4664) @[el2_lsu_bus_buffer.scala 600:89] + node _T_4666 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 600:120] + node _T_4667 = and(_T_4665, _T_4666) @[el2_lsu_bus_buffer.scala 600:105] + node _T_4668 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] + node _T_4669 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 600:101] + node _T_4670 = eq(_T_4669, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] + node _T_4671 = and(_T_4668, _T_4670) @[el2_lsu_bus_buffer.scala 600:89] + node _T_4672 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 600:120] + node _T_4673 = and(_T_4671, _T_4672) @[el2_lsu_bus_buffer.scala 600:105] + node _T_4674 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] + node _T_4675 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 600:101] + node _T_4676 = eq(_T_4675, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] + node _T_4677 = and(_T_4674, _T_4676) @[el2_lsu_bus_buffer.scala 600:89] + node _T_4678 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 600:120] + node _T_4679 = and(_T_4677, _T_4678) @[el2_lsu_bus_buffer.scala 600:105] + node _T_4680 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] + node _T_4681 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 600:101] + node _T_4682 = eq(_T_4681, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] + node _T_4683 = and(_T_4680, _T_4682) @[el2_lsu_bus_buffer.scala 600:89] + node _T_4684 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 600:120] + node _T_4685 = and(_T_4683, _T_4684) @[el2_lsu_bus_buffer.scala 600:105] + node _T_4686 = mux(_T_4667, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4687 = mux(_T_4673, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4688 = mux(_T_4679, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4689 = mux(_T_4685, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4690 = or(_T_4686, _T_4687) @[Mux.scala 27:72] + node _T_4691 = or(_T_4690, _T_4688) @[Mux.scala 27:72] + node _T_4692 = or(_T_4691, _T_4689) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4689 @[Mux.scala 27:72] - node _T_4690 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4691 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4692 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4693 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4694 = mux(_T_4690, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4695 = mux(_T_4691, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4696 = mux(_T_4692, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4697 = mux(_T_4693, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4698 = or(_T_4694, _T_4695) @[Mux.scala 27:72] - node _T_4699 = or(_T_4698, _T_4696) @[Mux.scala 27:72] - node _T_4700 = or(_T_4699, _T_4697) @[Mux.scala 27:72] - wire _T_4701 : UInt<32> @[Mux.scala 27:72] - _T_4701 <= _T_4700 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4701, 1, 0) @[el2_lsu_bus_buffer.scala 593:83] - node _T_4702 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4703 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4704 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4705 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4706 = mux(_T_4702, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4707 = mux(_T_4703, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4708 = mux(_T_4704, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4709 = mux(_T_4705, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4710 = or(_T_4706, _T_4707) @[Mux.scala 27:72] - node _T_4711 = or(_T_4710, _T_4708) @[Mux.scala 27:72] - node _T_4712 = or(_T_4711, _T_4709) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4692 @[Mux.scala 27:72] + node _T_4693 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4694 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4695 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4696 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4697 = mux(_T_4693, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4698 = mux(_T_4694, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4699 = mux(_T_4695, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4700 = mux(_T_4696, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4701 = or(_T_4697, _T_4698) @[Mux.scala 27:72] + node _T_4702 = or(_T_4701, _T_4699) @[Mux.scala 27:72] + node _T_4703 = or(_T_4702, _T_4700) @[Mux.scala 27:72] + wire _T_4704 : UInt<32> @[Mux.scala 27:72] + _T_4704 <= _T_4703 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4704, 1, 0) @[el2_lsu_bus_buffer.scala 601:83] + node _T_4705 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4706 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4707 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4708 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4709 = mux(_T_4705, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4710 = mux(_T_4706, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4711 = mux(_T_4707, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4712 = mux(_T_4708, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4713 = or(_T_4709, _T_4710) @[Mux.scala 27:72] + node _T_4714 = or(_T_4713, _T_4711) @[Mux.scala 27:72] + node _T_4715 = or(_T_4714, _T_4712) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4712 @[Mux.scala 27:72] - node _T_4713 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4714 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4715 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4716 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4717 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4718 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4719 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4720 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4721 = mux(_T_4713, _T_4714, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4722 = mux(_T_4715, _T_4716, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4723 = mux(_T_4717, _T_4718, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4724 = mux(_T_4719, _T_4720, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4725 = or(_T_4721, _T_4722) @[Mux.scala 27:72] - node _T_4726 = or(_T_4725, _T_4723) @[Mux.scala 27:72] - node _T_4727 = or(_T_4726, _T_4724) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4715 @[Mux.scala 27:72] + node _T_4716 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4717 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4718 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4719 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4720 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4721 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4722 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4723 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4724 = mux(_T_4716, _T_4717, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4725 = mux(_T_4718, _T_4719, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4726 = mux(_T_4720, _T_4721, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4727 = mux(_T_4722, _T_4723, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4728 = or(_T_4724, _T_4725) @[Mux.scala 27:72] + node _T_4729 = or(_T_4728, _T_4726) @[Mux.scala 27:72] + node _T_4730 = or(_T_4729, _T_4727) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4727 @[Mux.scala 27:72] - node _T_4728 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4729 = cat(_T_4728, buf_dual[1]) @[Cat.scala 29:58] - node _T_4730 = cat(_T_4729, buf_dual[0]) @[Cat.scala 29:58] - node _T_4731 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4732 = bits(_T_4730, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4733 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4734 = bits(_T_4730, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4735 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4736 = bits(_T_4730, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4737 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4738 = bits(_T_4730, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4739 = mux(_T_4731, _T_4732, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4740 = mux(_T_4733, _T_4734, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4741 = mux(_T_4735, _T_4736, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4742 = mux(_T_4737, _T_4738, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4743 = or(_T_4739, _T_4740) @[Mux.scala 27:72] - node _T_4744 = or(_T_4743, _T_4741) @[Mux.scala 27:72] - node _T_4745 = or(_T_4744, _T_4742) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4730 @[Mux.scala 27:72] + node _T_4731 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4732 = cat(_T_4731, buf_dual[1]) @[Cat.scala 29:58] + node _T_4733 = cat(_T_4732, buf_dual[0]) @[Cat.scala 29:58] + node _T_4734 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4735 = bits(_T_4733, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4736 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4737 = bits(_T_4733, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4738 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4739 = bits(_T_4733, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4740 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] + node _T_4741 = bits(_T_4733, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_4742 = mux(_T_4734, _T_4735, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4743 = mux(_T_4736, _T_4737, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4744 = mux(_T_4738, _T_4739, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4745 = mux(_T_4740, _T_4741, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4746 = or(_T_4742, _T_4743) @[Mux.scala 27:72] + node _T_4747 = or(_T_4746, _T_4744) @[Mux.scala 27:72] + node _T_4748 = or(_T_4747, _T_4745) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4745 @[Mux.scala 27:72] - node _T_4746 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4747 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 597:121] - node lsu_nonblock_data_unalgn = dshr(_T_4746, _T_4747) @[el2_lsu_bus_buffer.scala 597:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 598:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 599:14] - node _T_4748 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:69] - node _T_4749 = and(lsu_nonblock_load_data_ready, _T_4748) @[el2_lsu_bus_buffer.scala 600:67] - io.lsu_nonblock_load_data_valid <= _T_4749 @[el2_lsu_bus_buffer.scala 600:35] - node _T_4750 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:81] - node _T_4751 = and(lsu_nonblock_unsign, _T_4750) @[el2_lsu_bus_buffer.scala 601:63] - node _T_4752 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 601:131] - node _T_4753 = cat(UInt<24>("h00"), _T_4752) @[Cat.scala 29:58] - node _T_4754 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 602:45] - node _T_4755 = and(lsu_nonblock_unsign, _T_4754) @[el2_lsu_bus_buffer.scala 602:26] - node _T_4756 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 602:95] - node _T_4757 = cat(UInt<16>("h00"), _T_4756) @[Cat.scala 29:58] - node _T_4758 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:6] - node _T_4759 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:45] - node _T_4760 = and(_T_4758, _T_4759) @[el2_lsu_bus_buffer.scala 603:27] - node _T_4761 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 603:93] - node _T_4762 = bits(_T_4761, 0, 0) @[Bitwise.scala 72:15] - node _T_4763 = mux(_T_4762, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4764 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 603:123] - node _T_4765 = cat(_T_4763, _T_4764) @[Cat.scala 29:58] - node _T_4766 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 604:6] - node _T_4767 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 604:45] - node _T_4768 = and(_T_4766, _T_4767) @[el2_lsu_bus_buffer.scala 604:27] - node _T_4769 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 604:93] - node _T_4770 = bits(_T_4769, 0, 0) @[Bitwise.scala 72:15] - node _T_4771 = mux(_T_4770, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4772 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 604:124] - node _T_4773 = cat(_T_4771, _T_4772) @[Cat.scala 29:58] - node _T_4774 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 605:21] - node _T_4775 = mux(_T_4751, _T_4753, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4776 = mux(_T_4755, _T_4757, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4777 = mux(_T_4760, _T_4765, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4778 = mux(_T_4768, _T_4773, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4779 = mux(_T_4774, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4780 = or(_T_4775, _T_4776) @[Mux.scala 27:72] - node _T_4781 = or(_T_4780, _T_4777) @[Mux.scala 27:72] - node _T_4782 = or(_T_4781, _T_4778) @[Mux.scala 27:72] - node _T_4783 = or(_T_4782, _T_4779) @[Mux.scala 27:72] - wire _T_4784 : UInt<64> @[Mux.scala 27:72] - _T_4784 <= _T_4783 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4784 @[el2_lsu_bus_buffer.scala 601:29] - node _T_4785 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:62] - node _T_4786 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 606:89] - node _T_4787 = and(_T_4785, _T_4786) @[el2_lsu_bus_buffer.scala 606:73] - node _T_4788 = and(_T_4787, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 606:93] - node _T_4789 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:62] - node _T_4790 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 606:89] - node _T_4791 = and(_T_4789, _T_4790) @[el2_lsu_bus_buffer.scala 606:73] - node _T_4792 = and(_T_4791, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 606:93] - node _T_4793 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:62] - node _T_4794 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 606:89] - node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 606:73] - node _T_4796 = and(_T_4795, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 606:93] - node _T_4797 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:62] - node _T_4798 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 606:89] - node _T_4799 = and(_T_4797, _T_4798) @[el2_lsu_bus_buffer.scala 606:73] - node _T_4800 = and(_T_4799, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 606:93] - node _T_4801 = or(_T_4788, _T_4792) @[el2_lsu_bus_buffer.scala 606:141] - node _T_4802 = or(_T_4801, _T_4796) @[el2_lsu_bus_buffer.scala 606:141] - node _T_4803 = or(_T_4802, _T_4800) @[el2_lsu_bus_buffer.scala 606:141] - bus_sideeffect_pend <= _T_4803 @[el2_lsu_bus_buffer.scala 606:23] - node _T_4804 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 607:71] - node _T_4805 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 608:25] - node _T_4806 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 608:50] - node _T_4807 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 608:70] - node _T_4808 = eq(_T_4806, _T_4807) @[el2_lsu_bus_buffer.scala 608:56] - node _T_4809 = and(_T_4805, _T_4808) @[el2_lsu_bus_buffer.scala 608:38] - node _T_4810 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:92] - node _T_4811 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:126] - node _T_4812 = and(obuf_merge, _T_4811) @[el2_lsu_bus_buffer.scala 608:114] - node _T_4813 = or(_T_4810, _T_4812) @[el2_lsu_bus_buffer.scala 608:100] - node _T_4814 = eq(_T_4813, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:80] - node _T_4815 = and(_T_4809, _T_4814) @[el2_lsu_bus_buffer.scala 608:78] - node _T_4816 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 607:71] - node _T_4817 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 608:25] - node _T_4818 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 608:50] - node _T_4819 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 608:70] - node _T_4820 = eq(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 608:56] - node _T_4821 = and(_T_4817, _T_4820) @[el2_lsu_bus_buffer.scala 608:38] - node _T_4822 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 608:92] - node _T_4823 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 608:126] - node _T_4824 = and(obuf_merge, _T_4823) @[el2_lsu_bus_buffer.scala 608:114] - node _T_4825 = or(_T_4822, _T_4824) @[el2_lsu_bus_buffer.scala 608:100] - node _T_4826 = eq(_T_4825, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:80] - node _T_4827 = and(_T_4821, _T_4826) @[el2_lsu_bus_buffer.scala 608:78] - node _T_4828 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 607:71] - node _T_4829 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 608:25] - node _T_4830 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 608:50] - node _T_4831 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 608:70] - node _T_4832 = eq(_T_4830, _T_4831) @[el2_lsu_bus_buffer.scala 608:56] - node _T_4833 = and(_T_4829, _T_4832) @[el2_lsu_bus_buffer.scala 608:38] - node _T_4834 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 608:92] - node _T_4835 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 608:126] - node _T_4836 = and(obuf_merge, _T_4835) @[el2_lsu_bus_buffer.scala 608:114] - node _T_4837 = or(_T_4834, _T_4836) @[el2_lsu_bus_buffer.scala 608:100] - node _T_4838 = eq(_T_4837, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:80] - node _T_4839 = and(_T_4833, _T_4838) @[el2_lsu_bus_buffer.scala 608:78] - node _T_4840 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 607:71] - node _T_4841 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 608:25] - node _T_4842 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 608:50] - node _T_4843 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 608:70] - node _T_4844 = eq(_T_4842, _T_4843) @[el2_lsu_bus_buffer.scala 608:56] - node _T_4845 = and(_T_4841, _T_4844) @[el2_lsu_bus_buffer.scala 608:38] - node _T_4846 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 608:92] - node _T_4847 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 608:126] - node _T_4848 = and(obuf_merge, _T_4847) @[el2_lsu_bus_buffer.scala 608:114] - node _T_4849 = or(_T_4846, _T_4848) @[el2_lsu_bus_buffer.scala 608:100] - node _T_4850 = eq(_T_4849, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:80] - node _T_4851 = and(_T_4845, _T_4850) @[el2_lsu_bus_buffer.scala 608:78] - node _T_4852 = mux(_T_4804, _T_4815, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4853 = mux(_T_4816, _T_4827, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4854 = mux(_T_4828, _T_4839, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4855 = mux(_T_4840, _T_4851, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4856 = or(_T_4852, _T_4853) @[Mux.scala 27:72] - node _T_4857 = or(_T_4856, _T_4854) @[Mux.scala 27:72] - node _T_4858 = or(_T_4857, _T_4855) @[Mux.scala 27:72] - wire _T_4859 : UInt<1> @[Mux.scala 27:72] - _T_4859 <= _T_4858 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4859 @[el2_lsu_bus_buffer.scala 607:26] - node _T_4860 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 610:54] - node _T_4861 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 610:75] - node _T_4862 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 610:150] - node _T_4863 = mux(_T_4860, _T_4861, _T_4862) @[el2_lsu_bus_buffer.scala 610:39] - node _T_4864 = mux(obuf_write, _T_4863, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 610:23] - bus_cmd_ready <= _T_4864 @[el2_lsu_bus_buffer.scala 610:17] - node _T_4865 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 611:39] - bus_wcmd_sent <= _T_4865 @[el2_lsu_bus_buffer.scala 611:17] - node _T_4866 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 612:39] - bus_wdata_sent <= _T_4866 @[el2_lsu_bus_buffer.scala 612:18] - node _T_4867 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 613:35] - node _T_4868 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 613:70] - node _T_4869 = and(_T_4867, _T_4868) @[el2_lsu_bus_buffer.scala 613:52] - node _T_4870 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 613:111] - node _T_4871 = or(_T_4869, _T_4870) @[el2_lsu_bus_buffer.scala 613:89] - bus_cmd_sent <= _T_4871 @[el2_lsu_bus_buffer.scala 613:16] - node _T_4872 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 614:37] - bus_rsp_read <= _T_4872 @[el2_lsu_bus_buffer.scala 614:16] - node _T_4873 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 615:38] - bus_rsp_write <= _T_4873 @[el2_lsu_bus_buffer.scala 615:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 616:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 617:21] - node _T_4874 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:60] - node _T_4875 = and(bus_rsp_write, _T_4874) @[el2_lsu_bus_buffer.scala 618:40] - bus_rsp_write_error <= _T_4875 @[el2_lsu_bus_buffer.scala 618:23] - node _T_4876 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 619:58] - node _T_4877 = and(bus_rsp_read, _T_4876) @[el2_lsu_bus_buffer.scala 619:38] - bus_rsp_read_error <= _T_4877 @[el2_lsu_bus_buffer.scala 619:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 620:17] - node _T_4878 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 623:36] - node _T_4879 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 623:51] - node _T_4880 = and(_T_4878, _T_4879) @[el2_lsu_bus_buffer.scala 623:49] - node _T_4881 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 623:68] - node _T_4882 = and(_T_4880, _T_4881) @[el2_lsu_bus_buffer.scala 623:66] - io.lsu_axi_awvalid <= _T_4882 @[el2_lsu_bus_buffer.scala 623:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 624:19] - node _T_4883 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 625:69] - node _T_4884 = cat(_T_4883, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4885 = mux(obuf_sideeffect, obuf_addr, _T_4884) @[el2_lsu_bus_buffer.scala 625:27] - io.lsu_axi_awaddr <= _T_4885 @[el2_lsu_bus_buffer.scala 625:21] - node _T_4886 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4887 = mux(obuf_sideeffect, _T_4886, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 626:27] - io.lsu_axi_awsize <= _T_4887 @[el2_lsu_bus_buffer.scala 626:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 627:21] - node _T_4888 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 628:28] - io.lsu_axi_awcache <= _T_4888 @[el2_lsu_bus_buffer.scala 628:22] - node _T_4889 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 629:35] - io.lsu_axi_awregion <= _T_4889 @[el2_lsu_bus_buffer.scala 629:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 630:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 631:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 632:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 633:21] - node _T_4890 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 635:35] - node _T_4891 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:50] - node _T_4892 = and(_T_4890, _T_4891) @[el2_lsu_bus_buffer.scala 635:48] - node _T_4893 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:68] - node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 635:66] - io.lsu_axi_wvalid <= _T_4894 @[el2_lsu_bus_buffer.scala 635:21] - node _T_4895 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4896 = mux(_T_4895, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4897 = and(obuf_byteen, _T_4896) @[el2_lsu_bus_buffer.scala 636:35] - io.lsu_axi_wstrb <= _T_4897 @[el2_lsu_bus_buffer.scala 636:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 637:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 638:20] - node _T_4898 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:38] - node _T_4899 = and(obuf_valid, _T_4898) @[el2_lsu_bus_buffer.scala 640:36] - node _T_4900 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:52] - node _T_4901 = and(_T_4899, _T_4900) @[el2_lsu_bus_buffer.scala 640:50] - node _T_4902 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:67] - node _T_4903 = and(_T_4901, _T_4902) @[el2_lsu_bus_buffer.scala 640:65] - io.lsu_axi_arvalid <= _T_4903 @[el2_lsu_bus_buffer.scala 640:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 641:19] - node _T_4904 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 642:69] - node _T_4905 = cat(_T_4904, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4906 = mux(obuf_sideeffect, obuf_addr, _T_4905) @[el2_lsu_bus_buffer.scala 642:27] - io.lsu_axi_araddr <= _T_4906 @[el2_lsu_bus_buffer.scala 642:21] - node _T_4907 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4908 = mux(obuf_sideeffect, _T_4907, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 643:27] - io.lsu_axi_arsize <= _T_4908 @[el2_lsu_bus_buffer.scala 643:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 644:21] - node _T_4909 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 645:28] - io.lsu_axi_arcache <= _T_4909 @[el2_lsu_bus_buffer.scala 645:22] - node _T_4910 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 646:35] - io.lsu_axi_arregion <= _T_4910 @[el2_lsu_bus_buffer.scala 646:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 647:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 648:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 649:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 650:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 651:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 652:21] - node _T_4911 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:81] - node _T_4912 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 653:125] - node _T_4913 = and(io.lsu_bus_clk_en_q, _T_4912) @[el2_lsu_bus_buffer.scala 653:114] - node _T_4914 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 653:140] - node _T_4915 = and(_T_4913, _T_4914) @[el2_lsu_bus_buffer.scala 653:129] - node _T_4916 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:81] - node _T_4917 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 653:125] - node _T_4918 = and(io.lsu_bus_clk_en_q, _T_4917) @[el2_lsu_bus_buffer.scala 653:114] - node _T_4919 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 653:140] - node _T_4920 = and(_T_4918, _T_4919) @[el2_lsu_bus_buffer.scala 653:129] - node _T_4921 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:81] - node _T_4922 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 653:125] - node _T_4923 = and(io.lsu_bus_clk_en_q, _T_4922) @[el2_lsu_bus_buffer.scala 653:114] - node _T_4924 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 653:140] - node _T_4925 = and(_T_4923, _T_4924) @[el2_lsu_bus_buffer.scala 653:129] - node _T_4926 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:81] - node _T_4927 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 653:125] - node _T_4928 = and(io.lsu_bus_clk_en_q, _T_4927) @[el2_lsu_bus_buffer.scala 653:114] - node _T_4929 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 653:140] - node _T_4930 = and(_T_4928, _T_4929) @[el2_lsu_bus_buffer.scala 653:129] - node _T_4931 = mux(_T_4911, _T_4915, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4932 = mux(_T_4916, _T_4920, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4933 = mux(_T_4921, _T_4925, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4934 = mux(_T_4926, _T_4930, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4935 = or(_T_4931, _T_4932) @[Mux.scala 27:72] - node _T_4936 = or(_T_4935, _T_4933) @[Mux.scala 27:72] - node _T_4937 = or(_T_4936, _T_4934) @[Mux.scala 27:72] - wire _T_4938 : UInt<1> @[Mux.scala 27:72] - _T_4938 <= _T_4937 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4938 @[el2_lsu_bus_buffer.scala 653:36] - node _T_4939 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 654:87] - node _T_4940 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 654:109] - node _T_4941 = and(_T_4939, _T_4940) @[el2_lsu_bus_buffer.scala 654:98] - node _T_4942 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 654:124] - node _T_4943 = and(_T_4941, _T_4942) @[el2_lsu_bus_buffer.scala 654:113] - node _T_4944 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 654:87] - node _T_4945 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 654:109] - node _T_4946 = and(_T_4944, _T_4945) @[el2_lsu_bus_buffer.scala 654:98] - node _T_4947 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 654:124] - node _T_4948 = and(_T_4946, _T_4947) @[el2_lsu_bus_buffer.scala 654:113] - node _T_4949 = mux(_T_4943, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4950 = mux(_T_4948, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4951 = or(_T_4949, _T_4950) @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4748 @[Mux.scala 27:72] + node _T_4749 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4750 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 605:121] + node lsu_nonblock_data_unalgn = dshr(_T_4749, _T_4750) @[el2_lsu_bus_buffer.scala 605:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 606:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 607:14] + node _T_4751 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:69] + node _T_4752 = and(lsu_nonblock_load_data_ready, _T_4751) @[el2_lsu_bus_buffer.scala 608:67] + io.lsu_nonblock_load_data_valid <= _T_4752 @[el2_lsu_bus_buffer.scala 608:35] + node _T_4753 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 609:81] + node _T_4754 = and(lsu_nonblock_unsign, _T_4753) @[el2_lsu_bus_buffer.scala 609:63] + node _T_4755 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 609:131] + node _T_4756 = cat(UInt<24>("h00"), _T_4755) @[Cat.scala 29:58] + node _T_4757 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 610:45] + node _T_4758 = and(lsu_nonblock_unsign, _T_4757) @[el2_lsu_bus_buffer.scala 610:26] + node _T_4759 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 610:95] + node _T_4760 = cat(UInt<16>("h00"), _T_4759) @[Cat.scala 29:58] + node _T_4761 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:6] + node _T_4762 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:45] + node _T_4763 = and(_T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 611:27] + node _T_4764 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 611:93] + node _T_4765 = bits(_T_4764, 0, 0) @[Bitwise.scala 72:15] + node _T_4766 = mux(_T_4765, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4767 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 611:123] + node _T_4768 = cat(_T_4766, _T_4767) @[Cat.scala 29:58] + node _T_4769 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 612:6] + node _T_4770 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 612:45] + node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 612:27] + node _T_4772 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 612:93] + node _T_4773 = bits(_T_4772, 0, 0) @[Bitwise.scala 72:15] + node _T_4774 = mux(_T_4773, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4775 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 612:124] + node _T_4776 = cat(_T_4774, _T_4775) @[Cat.scala 29:58] + node _T_4777 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 613:21] + node _T_4778 = mux(_T_4754, _T_4756, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4779 = mux(_T_4758, _T_4760, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4780 = mux(_T_4763, _T_4768, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4781 = mux(_T_4771, _T_4776, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4782 = mux(_T_4777, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4783 = or(_T_4778, _T_4779) @[Mux.scala 27:72] + node _T_4784 = or(_T_4783, _T_4780) @[Mux.scala 27:72] + node _T_4785 = or(_T_4784, _T_4781) @[Mux.scala 27:72] + node _T_4786 = or(_T_4785, _T_4782) @[Mux.scala 27:72] + wire _T_4787 : UInt<64> @[Mux.scala 27:72] + _T_4787 <= _T_4786 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4787 @[el2_lsu_bus_buffer.scala 609:29] + node _T_4788 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:62] + node _T_4789 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 614:89] + node _T_4790 = and(_T_4788, _T_4789) @[el2_lsu_bus_buffer.scala 614:73] + node _T_4791 = and(_T_4790, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 614:93] + node _T_4792 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:62] + node _T_4793 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 614:89] + node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 614:73] + node _T_4795 = and(_T_4794, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 614:93] + node _T_4796 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:62] + node _T_4797 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 614:89] + node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 614:73] + node _T_4799 = and(_T_4798, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 614:93] + node _T_4800 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:62] + node _T_4801 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 614:89] + node _T_4802 = and(_T_4800, _T_4801) @[el2_lsu_bus_buffer.scala 614:73] + node _T_4803 = and(_T_4802, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 614:93] + node _T_4804 = or(_T_4791, _T_4795) @[el2_lsu_bus_buffer.scala 614:141] + node _T_4805 = or(_T_4804, _T_4799) @[el2_lsu_bus_buffer.scala 614:141] + node _T_4806 = or(_T_4805, _T_4803) @[el2_lsu_bus_buffer.scala 614:141] + bus_sideeffect_pend <= _T_4806 @[el2_lsu_bus_buffer.scala 614:23] + node _T_4807 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:71] + node _T_4808 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 616:25] + node _T_4809 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 616:50] + node _T_4810 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 616:70] + node _T_4811 = eq(_T_4809, _T_4810) @[el2_lsu_bus_buffer.scala 616:56] + node _T_4812 = and(_T_4808, _T_4811) @[el2_lsu_bus_buffer.scala 616:38] + node _T_4813 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:92] + node _T_4814 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:126] + node _T_4815 = and(obuf_merge, _T_4814) @[el2_lsu_bus_buffer.scala 616:114] + node _T_4816 = or(_T_4813, _T_4815) @[el2_lsu_bus_buffer.scala 616:100] + node _T_4817 = eq(_T_4816, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:80] + node _T_4818 = and(_T_4812, _T_4817) @[el2_lsu_bus_buffer.scala 616:78] + node _T_4819 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:71] + node _T_4820 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 616:25] + node _T_4821 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 616:50] + node _T_4822 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 616:70] + node _T_4823 = eq(_T_4821, _T_4822) @[el2_lsu_bus_buffer.scala 616:56] + node _T_4824 = and(_T_4820, _T_4823) @[el2_lsu_bus_buffer.scala 616:38] + node _T_4825 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 616:92] + node _T_4826 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 616:126] + node _T_4827 = and(obuf_merge, _T_4826) @[el2_lsu_bus_buffer.scala 616:114] + node _T_4828 = or(_T_4825, _T_4827) @[el2_lsu_bus_buffer.scala 616:100] + node _T_4829 = eq(_T_4828, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:80] + node _T_4830 = and(_T_4824, _T_4829) @[el2_lsu_bus_buffer.scala 616:78] + node _T_4831 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:71] + node _T_4832 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 616:25] + node _T_4833 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 616:50] + node _T_4834 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 616:70] + node _T_4835 = eq(_T_4833, _T_4834) @[el2_lsu_bus_buffer.scala 616:56] + node _T_4836 = and(_T_4832, _T_4835) @[el2_lsu_bus_buffer.scala 616:38] + node _T_4837 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 616:92] + node _T_4838 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 616:126] + node _T_4839 = and(obuf_merge, _T_4838) @[el2_lsu_bus_buffer.scala 616:114] + node _T_4840 = or(_T_4837, _T_4839) @[el2_lsu_bus_buffer.scala 616:100] + node _T_4841 = eq(_T_4840, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:80] + node _T_4842 = and(_T_4836, _T_4841) @[el2_lsu_bus_buffer.scala 616:78] + node _T_4843 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:71] + node _T_4844 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 616:25] + node _T_4845 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 616:50] + node _T_4846 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 616:70] + node _T_4847 = eq(_T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 616:56] + node _T_4848 = and(_T_4844, _T_4847) @[el2_lsu_bus_buffer.scala 616:38] + node _T_4849 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 616:92] + node _T_4850 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 616:126] + node _T_4851 = and(obuf_merge, _T_4850) @[el2_lsu_bus_buffer.scala 616:114] + node _T_4852 = or(_T_4849, _T_4851) @[el2_lsu_bus_buffer.scala 616:100] + node _T_4853 = eq(_T_4852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:80] + node _T_4854 = and(_T_4848, _T_4853) @[el2_lsu_bus_buffer.scala 616:78] + node _T_4855 = mux(_T_4807, _T_4818, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4856 = mux(_T_4819, _T_4830, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4857 = mux(_T_4831, _T_4842, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4858 = mux(_T_4843, _T_4854, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4859 = or(_T_4855, _T_4856) @[Mux.scala 27:72] + node _T_4860 = or(_T_4859, _T_4857) @[Mux.scala 27:72] + node _T_4861 = or(_T_4860, _T_4858) @[Mux.scala 27:72] + wire _T_4862 : UInt<1> @[Mux.scala 27:72] + _T_4862 <= _T_4861 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4862 @[el2_lsu_bus_buffer.scala 615:26] + node _T_4863 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 618:54] + node _T_4864 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 618:75] + node _T_4865 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 618:150] + node _T_4866 = mux(_T_4863, _T_4864, _T_4865) @[el2_lsu_bus_buffer.scala 618:39] + node _T_4867 = mux(obuf_write, _T_4866, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 618:23] + bus_cmd_ready <= _T_4867 @[el2_lsu_bus_buffer.scala 618:17] + node _T_4868 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 619:39] + bus_wcmd_sent <= _T_4868 @[el2_lsu_bus_buffer.scala 619:17] + node _T_4869 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 620:39] + bus_wdata_sent <= _T_4869 @[el2_lsu_bus_buffer.scala 620:18] + node _T_4870 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 621:35] + node _T_4871 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 621:70] + node _T_4872 = and(_T_4870, _T_4871) @[el2_lsu_bus_buffer.scala 621:52] + node _T_4873 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 621:111] + node _T_4874 = or(_T_4872, _T_4873) @[el2_lsu_bus_buffer.scala 621:89] + bus_cmd_sent <= _T_4874 @[el2_lsu_bus_buffer.scala 621:16] + node _T_4875 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 622:37] + bus_rsp_read <= _T_4875 @[el2_lsu_bus_buffer.scala 622:16] + node _T_4876 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 623:38] + bus_rsp_write <= _T_4876 @[el2_lsu_bus_buffer.scala 623:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 624:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 625:21] + node _T_4877 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 626:60] + node _T_4878 = and(bus_rsp_write, _T_4877) @[el2_lsu_bus_buffer.scala 626:40] + bus_rsp_write_error <= _T_4878 @[el2_lsu_bus_buffer.scala 626:23] + node _T_4879 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:58] + node _T_4880 = and(bus_rsp_read, _T_4879) @[el2_lsu_bus_buffer.scala 627:38] + bus_rsp_read_error <= _T_4880 @[el2_lsu_bus_buffer.scala 627:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 628:17] + node _T_4881 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 631:36] + node _T_4882 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:51] + node _T_4883 = and(_T_4881, _T_4882) @[el2_lsu_bus_buffer.scala 631:49] + node _T_4884 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:68] + node _T_4885 = and(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 631:66] + io.lsu_axi_awvalid <= _T_4885 @[el2_lsu_bus_buffer.scala 631:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 632:19] + node _T_4886 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 633:69] + node _T_4887 = cat(_T_4886, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4888 = mux(obuf_sideeffect, obuf_addr, _T_4887) @[el2_lsu_bus_buffer.scala 633:27] + io.lsu_axi_awaddr <= _T_4888 @[el2_lsu_bus_buffer.scala 633:21] + node _T_4889 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4890 = mux(obuf_sideeffect, _T_4889, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 634:27] + io.lsu_axi_awsize <= _T_4890 @[el2_lsu_bus_buffer.scala 634:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 635:21] + node _T_4891 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 636:28] + io.lsu_axi_awcache <= _T_4891 @[el2_lsu_bus_buffer.scala 636:22] + node _T_4892 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 637:35] + io.lsu_axi_awregion <= _T_4892 @[el2_lsu_bus_buffer.scala 637:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 638:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 639:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 640:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 641:21] + node _T_4893 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 643:35] + node _T_4894 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 643:50] + node _T_4895 = and(_T_4893, _T_4894) @[el2_lsu_bus_buffer.scala 643:48] + node _T_4896 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 643:68] + node _T_4897 = and(_T_4895, _T_4896) @[el2_lsu_bus_buffer.scala 643:66] + io.lsu_axi_wvalid <= _T_4897 @[el2_lsu_bus_buffer.scala 643:21] + node _T_4898 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4899 = mux(_T_4898, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4900 = and(obuf_byteen, _T_4899) @[el2_lsu_bus_buffer.scala 644:35] + io.lsu_axi_wstrb <= _T_4900 @[el2_lsu_bus_buffer.scala 644:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 645:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 646:20] + node _T_4901 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:38] + node _T_4902 = and(obuf_valid, _T_4901) @[el2_lsu_bus_buffer.scala 648:36] + node _T_4903 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:52] + node _T_4904 = and(_T_4902, _T_4903) @[el2_lsu_bus_buffer.scala 648:50] + node _T_4905 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:67] + node _T_4906 = and(_T_4904, _T_4905) @[el2_lsu_bus_buffer.scala 648:65] + io.lsu_axi_arvalid <= _T_4906 @[el2_lsu_bus_buffer.scala 648:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 649:19] + node _T_4907 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 650:69] + node _T_4908 = cat(_T_4907, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4909 = mux(obuf_sideeffect, obuf_addr, _T_4908) @[el2_lsu_bus_buffer.scala 650:27] + io.lsu_axi_araddr <= _T_4909 @[el2_lsu_bus_buffer.scala 650:21] + node _T_4910 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4911 = mux(obuf_sideeffect, _T_4910, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 651:27] + io.lsu_axi_arsize <= _T_4911 @[el2_lsu_bus_buffer.scala 651:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 652:21] + node _T_4912 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 653:28] + io.lsu_axi_arcache <= _T_4912 @[el2_lsu_bus_buffer.scala 653:22] + node _T_4913 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 654:35] + io.lsu_axi_arregion <= _T_4913 @[el2_lsu_bus_buffer.scala 654:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 655:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 656:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 657:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 658:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 659:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 660:21] + node _T_4914 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:81] + node _T_4915 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 661:125] + node _T_4916 = and(io.lsu_bus_clk_en_q, _T_4915) @[el2_lsu_bus_buffer.scala 661:114] + node _T_4917 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 661:140] + node _T_4918 = and(_T_4916, _T_4917) @[el2_lsu_bus_buffer.scala 661:129] + node _T_4919 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:81] + node _T_4920 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 661:125] + node _T_4921 = and(io.lsu_bus_clk_en_q, _T_4920) @[el2_lsu_bus_buffer.scala 661:114] + node _T_4922 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 661:140] + node _T_4923 = and(_T_4921, _T_4922) @[el2_lsu_bus_buffer.scala 661:129] + node _T_4924 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:81] + node _T_4925 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 661:125] + node _T_4926 = and(io.lsu_bus_clk_en_q, _T_4925) @[el2_lsu_bus_buffer.scala 661:114] + node _T_4927 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 661:140] + node _T_4928 = and(_T_4926, _T_4927) @[el2_lsu_bus_buffer.scala 661:129] + node _T_4929 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:81] + node _T_4930 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 661:125] + node _T_4931 = and(io.lsu_bus_clk_en_q, _T_4930) @[el2_lsu_bus_buffer.scala 661:114] + node _T_4932 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 661:140] + node _T_4933 = and(_T_4931, _T_4932) @[el2_lsu_bus_buffer.scala 661:129] + node _T_4934 = mux(_T_4914, _T_4918, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4935 = mux(_T_4919, _T_4923, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4936 = mux(_T_4924, _T_4928, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4937 = mux(_T_4929, _T_4933, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4938 = or(_T_4934, _T_4935) @[Mux.scala 27:72] + node _T_4939 = or(_T_4938, _T_4936) @[Mux.scala 27:72] + node _T_4940 = or(_T_4939, _T_4937) @[Mux.scala 27:72] + wire _T_4941 : UInt<1> @[Mux.scala 27:72] + _T_4941 <= _T_4940 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4941 @[el2_lsu_bus_buffer.scala 661:36] + node _T_4942 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 662:87] + node _T_4943 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 662:109] + node _T_4944 = and(_T_4942, _T_4943) @[el2_lsu_bus_buffer.scala 662:98] + node _T_4945 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 662:124] + node _T_4946 = and(_T_4944, _T_4945) @[el2_lsu_bus_buffer.scala 662:113] + node _T_4947 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 662:87] + node _T_4948 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 662:109] + node _T_4949 = and(_T_4947, _T_4948) @[el2_lsu_bus_buffer.scala 662:98] + node _T_4950 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 662:124] + node _T_4951 = and(_T_4949, _T_4950) @[el2_lsu_bus_buffer.scala 662:113] + node _T_4952 = mux(_T_4946, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4953 = mux(_T_4951, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4954 = or(_T_4952, _T_4953) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4951 @[Mux.scala 27:72] - node _T_4952 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 656:72] - node _T_4953 = and(io.lsu_nonblock_load_data_error, _T_4952) @[el2_lsu_bus_buffer.scala 656:70] - io.lsu_imprecise_error_load_any <= _T_4953 @[el2_lsu_bus_buffer.scala 656:35] - node _T_4954 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4955 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4956 = mux(_T_4954, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4957 = mux(_T_4955, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4958 = or(_T_4956, _T_4957) @[Mux.scala 27:72] - wire _T_4959 : UInt<32> @[Mux.scala 27:72] - _T_4959 <= _T_4958 @[Mux.scala 27:72] - node _T_4960 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4961 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4962 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4963 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4964 = mux(_T_4960, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4965 = mux(_T_4961, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4966 = mux(_T_4962, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4967 = mux(_T_4963, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4968 = or(_T_4964, _T_4965) @[Mux.scala 27:72] - node _T_4969 = or(_T_4968, _T_4966) @[Mux.scala 27:72] - node _T_4970 = or(_T_4969, _T_4967) @[Mux.scala 27:72] - wire _T_4971 : UInt<32> @[Mux.scala 27:72] - _T_4971 <= _T_4970 @[Mux.scala 27:72] - node _T_4972 = mux(io.lsu_imprecise_error_store_any, _T_4959, _T_4971) @[el2_lsu_bus_buffer.scala 657:41] - io.lsu_imprecise_error_addr_any <= _T_4972 @[el2_lsu_bus_buffer.scala 657:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 658:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 660:23] - node _T_4973 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 663:46] - node _T_4974 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 663:89] - node _T_4975 = or(_T_4973, _T_4974) @[el2_lsu_bus_buffer.scala 663:68] - node _T_4976 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 663:132] - node _T_4977 = or(_T_4975, _T_4976) @[el2_lsu_bus_buffer.scala 663:110] - io.lsu_pmu_bus_trxn <= _T_4977 @[el2_lsu_bus_buffer.scala 663:23] - node _T_4978 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 664:48] - node _T_4979 = and(_T_4978, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 664:65] - io.lsu_pmu_bus_misaligned <= _T_4979 @[el2_lsu_bus_buffer.scala 664:29] - node _T_4980 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 665:59] - io.lsu_pmu_bus_error <= _T_4980 @[el2_lsu_bus_buffer.scala 665:24] - node _T_4981 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 667:48] - node _T_4982 = and(io.lsu_axi_awvalid, _T_4981) @[el2_lsu_bus_buffer.scala 667:46] - node _T_4983 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 667:92] - node _T_4984 = and(io.lsu_axi_wvalid, _T_4983) @[el2_lsu_bus_buffer.scala 667:90] - node _T_4985 = or(_T_4982, _T_4984) @[el2_lsu_bus_buffer.scala 667:69] - node _T_4986 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 667:136] - node _T_4987 = and(io.lsu_axi_arvalid, _T_4986) @[el2_lsu_bus_buffer.scala 667:134] - node _T_4988 = or(_T_4985, _T_4987) @[el2_lsu_bus_buffer.scala 667:112] - io.lsu_pmu_bus_busy <= _T_4988 @[el2_lsu_bus_buffer.scala 667:23] - reg _T_4989 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 669:49] - _T_4989 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 669:49] - WrPtr0_r <= _T_4989 @[el2_lsu_bus_buffer.scala 669:12] - reg _T_4990 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 670:49] - _T_4990 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 670:49] - WrPtr1_r <= _T_4990 @[el2_lsu_bus_buffer.scala 670:12] - node _T_4991 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 671:75] - node _T_4992 = and(io.lsu_busreq_m, _T_4991) @[el2_lsu_bus_buffer.scala 671:73] - node _T_4993 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 671:89] - node _T_4994 = and(_T_4992, _T_4993) @[el2_lsu_bus_buffer.scala 671:87] - reg _T_4995 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 671:56] - _T_4995 <= _T_4994 @[el2_lsu_bus_buffer.scala 671:56] - io.lsu_busreq_r <= _T_4995 @[el2_lsu_bus_buffer.scala 671:19] - reg _T_4996 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 672:66] - _T_4996 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 672:66] - lsu_nonblock_load_valid_r <= _T_4996 @[el2_lsu_bus_buffer.scala 672:29] + lsu_imprecise_error_store_tag <= _T_4954 @[Mux.scala 27:72] + node _T_4955 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:72] + node _T_4956 = and(io.lsu_nonblock_load_data_error, _T_4955) @[el2_lsu_bus_buffer.scala 664:70] + io.lsu_imprecise_error_load_any <= _T_4956 @[el2_lsu_bus_buffer.scala 664:35] + node _T_4957 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4958 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4959 = mux(_T_4957, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4960 = mux(_T_4958, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4961 = or(_T_4959, _T_4960) @[Mux.scala 27:72] + wire _T_4962 : UInt<32> @[Mux.scala 27:72] + _T_4962 <= _T_4961 @[Mux.scala 27:72] + node _T_4963 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4964 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4965 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4966 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_4967 = mux(_T_4963, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4968 = mux(_T_4964, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4969 = mux(_T_4965, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4970 = mux(_T_4966, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4971 = or(_T_4967, _T_4968) @[Mux.scala 27:72] + node _T_4972 = or(_T_4971, _T_4969) @[Mux.scala 27:72] + node _T_4973 = or(_T_4972, _T_4970) @[Mux.scala 27:72] + wire _T_4974 : UInt<32> @[Mux.scala 27:72] + _T_4974 <= _T_4973 @[Mux.scala 27:72] + node _T_4975 = mux(io.lsu_imprecise_error_store_any, _T_4962, _T_4974) @[el2_lsu_bus_buffer.scala 665:41] + io.lsu_imprecise_error_addr_any <= _T_4975 @[el2_lsu_bus_buffer.scala 665:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 666:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 668:23] + node _T_4976 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 671:46] + node _T_4977 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 671:89] + node _T_4978 = or(_T_4976, _T_4977) @[el2_lsu_bus_buffer.scala 671:68] + node _T_4979 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 671:132] + node _T_4980 = or(_T_4978, _T_4979) @[el2_lsu_bus_buffer.scala 671:110] + io.lsu_pmu_bus_trxn <= _T_4980 @[el2_lsu_bus_buffer.scala 671:23] + node _T_4981 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 672:48] + node _T_4982 = and(_T_4981, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 672:65] + io.lsu_pmu_bus_misaligned <= _T_4982 @[el2_lsu_bus_buffer.scala 672:29] + node _T_4983 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 673:59] + io.lsu_pmu_bus_error <= _T_4983 @[el2_lsu_bus_buffer.scala 673:24] + node _T_4984 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 675:48] + node _T_4985 = and(io.lsu_axi_awvalid, _T_4984) @[el2_lsu_bus_buffer.scala 675:46] + node _T_4986 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 675:92] + node _T_4987 = and(io.lsu_axi_wvalid, _T_4986) @[el2_lsu_bus_buffer.scala 675:90] + node _T_4988 = or(_T_4985, _T_4987) @[el2_lsu_bus_buffer.scala 675:69] + node _T_4989 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 675:136] + node _T_4990 = and(io.lsu_axi_arvalid, _T_4989) @[el2_lsu_bus_buffer.scala 675:134] + node _T_4991 = or(_T_4988, _T_4990) @[el2_lsu_bus_buffer.scala 675:112] + io.lsu_pmu_bus_busy <= _T_4991 @[el2_lsu_bus_buffer.scala 675:23] + reg _T_4992 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 677:49] + _T_4992 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 677:49] + WrPtr0_r <= _T_4992 @[el2_lsu_bus_buffer.scala 677:12] + reg _T_4993 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 678:49] + _T_4993 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 678:49] + WrPtr1_r <= _T_4993 @[el2_lsu_bus_buffer.scala 678:12] + node _T_4994 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 679:75] + node _T_4995 = and(io.lsu_busreq_m, _T_4994) @[el2_lsu_bus_buffer.scala 679:73] + node _T_4996 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 679:89] + node _T_4997 = and(_T_4995, _T_4996) @[el2_lsu_bus_buffer.scala 679:87] + reg _T_4998 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 679:56] + _T_4998 <= _T_4997 @[el2_lsu_bus_buffer.scala 679:56] + io.lsu_busreq_r <= _T_4998 @[el2_lsu_bus_buffer.scala 679:19] + reg _T_4999 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 680:66] + _T_4999 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 680:66] + lsu_nonblock_load_valid_r <= _T_4999 @[el2_lsu_bus_buffer.scala 680:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 2c782465..918fc9f3 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -149,7 +149,8 @@ module el2_lsu_bus_buffer( output [1:0] io_Cmdptr1, output [1:0] io_WrPtr1_r, output [1:0] io_WrPtr1_m, - output [63:0] io_wdata_in + output [63:0] io_wdata_in, + output [11:0] io_buf_state ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -308,577 +309,577 @@ module el2_lsu_bus_buffer( wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] - wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 134:46] - wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 135:46] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 135:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 136:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] - wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] + reg _T_4375; // @[Reg.scala 27:20] reg _T_4372; // @[Reg.scala 27:20] reg _T_4369; // @[Reg.scala 27:20] reg _T_4366; // @[Reg.scala 27:20] - reg _T_4363; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4372,_T_4369,_T_4366,_T_4363}; // @[Cat.scala 29:58] - wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 137:98] + wire [3:0] buf_write = {_T_4375,_T_4372,_T_4369,_T_4366}; // @[Cat.scala 29:58] + wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 138:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] - wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 137:129] - wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 137:113] - wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] + wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 138:129] + wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 138:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] reg [31:0] buf_addr_1; // @[el2_lib.scala 491:16] - wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] - wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 137:98] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] + wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 138:98] reg [2:0] buf_state_1; // @[Reg.scala 27:20] - wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 137:129] - wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 137:113] - wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] + wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 138:129] + wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 138:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] reg [31:0] buf_addr_2; // @[el2_lib.scala 491:16] - wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] - wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 137:98] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] + wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 138:98] reg [2:0] buf_state_2; // @[Reg.scala 27:20] - wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 137:129] - wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 137:113] - wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] + wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 138:129] + wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 138:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] reg [31:0] buf_addr_3; // @[el2_lib.scala 491:16] - wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] - wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 137:98] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] + wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 138:98] reg [2:0] buf_state_3; // @[Reg.scala 27:20] - wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 137:129] - wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 137:113] - wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] - wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] - wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 138:98] - wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 138:113] - wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] - wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] - wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 138:98] - wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 138:113] - wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] - wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] - wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 138:98] - wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 138:113] - wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] - wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] - wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 138:98] - wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 138:113] - wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] + wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 138:129] + wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 138:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 139:74] + wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 139:98] + wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 139:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 139:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 139:74] + wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 139:98] + wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 139:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 139:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 139:74] + wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 139:98] + wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 139:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 139:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 139:74] + wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 139:98] + wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 139:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 139:141] reg [3:0] buf_byteen_3; // @[Reg.scala 27:20] - wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] reg [3:0] buf_byteen_2; // @[Reg.scala 27:20] - wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] reg [3:0] buf_byteen_1; // @[Reg.scala 27:20] - wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] reg [3:0] buf_byteen_0; // @[Reg.scala 27:20] - wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 553:60] - wire _T_2633 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 465:95] - wire _T_4119 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4142 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4146 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 561:60] + wire _T_2636 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 473:95] + wire _T_4122 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4145 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4149 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1861; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 409:13] - wire _T_4153 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 508:48] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 410:13] + wire _T_4156 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 516:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 508:104] - wire _T_4154 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 508:104] - wire _T_4155 = obuf_merge & _T_4154; // @[el2_lsu_bus_buffer.scala 508:91] - wire _T_4156 = _T_4153 | _T_4155; // @[el2_lsu_bus_buffer.scala 508:77] - reg obuf_valid; // @[el2_lsu_bus_buffer.scala 403:54] - wire _T_4157 = _T_4156 & obuf_valid; // @[el2_lsu_bus_buffer.scala 508:135] - reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 402:55] - wire _T_4158 = _T_4157 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 508:148] - wire _GEN_280 = _T_4146 & _T_4158; // @[Conditional.scala 39:67] - wire _GEN_293 = _T_4142 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_4119 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2634 = _T_2633 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 465:105] - wire _T_2635 = ~_T_2634; // @[el2_lsu_bus_buffer.scala 465:80] - wire _T_2636 = buf_ageQ_3[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 465:78] - wire _T_2628 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 465:95] - wire _T_3926 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3949 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3953 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3960 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 508:48] - wire _T_3961 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 508:104] - wire _T_3962 = obuf_merge & _T_3961; // @[el2_lsu_bus_buffer.scala 508:91] - wire _T_3963 = _T_3960 | _T_3962; // @[el2_lsu_bus_buffer.scala 508:77] - wire _T_3964 = _T_3963 & obuf_valid; // @[el2_lsu_bus_buffer.scala 508:135] - wire _T_3965 = _T_3964 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 508:148] - wire _GEN_204 = _T_3953 & _T_3965; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3949 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3926 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2629 = _T_2628 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 465:105] - wire _T_2630 = ~_T_2629; // @[el2_lsu_bus_buffer.scala 465:80] - wire _T_2631 = buf_ageQ_3[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 465:78] - wire _T_2623 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 465:95] - wire _T_3733 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3756 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3760 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3767 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 508:48] - wire _T_3768 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 508:104] - wire _T_3769 = obuf_merge & _T_3768; // @[el2_lsu_bus_buffer.scala 508:91] - wire _T_3770 = _T_3767 | _T_3769; // @[el2_lsu_bus_buffer.scala 508:77] - wire _T_3771 = _T_3770 & obuf_valid; // @[el2_lsu_bus_buffer.scala 508:135] - wire _T_3772 = _T_3771 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 508:148] - wire _GEN_128 = _T_3760 & _T_3772; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3756 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3733 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2624 = _T_2623 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 465:105] - wire _T_2625 = ~_T_2624; // @[el2_lsu_bus_buffer.scala 465:80] - wire _T_2626 = buf_ageQ_3[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 465:78] - wire _T_2618 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 465:95] - wire _T_3540 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3563 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3567 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3574 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 508:48] - wire _T_3575 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 508:104] - wire _T_3576 = obuf_merge & _T_3575; // @[el2_lsu_bus_buffer.scala 508:91] - wire _T_3577 = _T_3574 | _T_3576; // @[el2_lsu_bus_buffer.scala 508:77] - wire _T_3578 = _T_3577 & obuf_valid; // @[el2_lsu_bus_buffer.scala 508:135] - wire _T_3579 = _T_3578 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 508:148] - wire _GEN_52 = _T_3567 & _T_3579; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_3563 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3540 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2619 = _T_2618 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 465:105] - wire _T_2620 = ~_T_2619; // @[el2_lsu_bus_buffer.scala 465:80] - wire _T_2621 = buf_ageQ_3[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 465:78] - wire [3:0] buf_age_3 = {_T_2636,_T_2631,_T_2626,_T_2621}; // @[Cat.scala 29:58] - wire _T_2735 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 466:91] - wire _T_2737 = _T_2735 & _T_19; // @[el2_lsu_bus_buffer.scala 466:106] - wire _T_2729 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 466:91] - wire _T_2731 = _T_2729 & _T_12; // @[el2_lsu_bus_buffer.scala 466:106] - wire _T_2723 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 466:91] - wire _T_2725 = _T_2723 & _T_5; // @[el2_lsu_bus_buffer.scala 466:106] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2737,_T_2731,_T_2725}; // @[Cat.scala 29:58] - wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 205:97] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 516:104] + wire _T_4157 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 516:104] + wire _T_4158 = obuf_merge & _T_4157; // @[el2_lsu_bus_buffer.scala 516:91] + wire _T_4159 = _T_4156 | _T_4158; // @[el2_lsu_bus_buffer.scala 516:77] + reg obuf_valid; // @[el2_lsu_bus_buffer.scala 404:54] + wire _T_4160 = _T_4159 & obuf_valid; // @[el2_lsu_bus_buffer.scala 516:135] + reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 403:55] + wire _T_4161 = _T_4160 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 516:148] + wire _GEN_280 = _T_4149 & _T_4161; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4145 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4122 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2637 = _T_2636 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 473:105] + wire _T_2638 = ~_T_2637; // @[el2_lsu_bus_buffer.scala 473:80] + wire _T_2639 = buf_ageQ_3[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2631 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 473:95] + wire _T_3929 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3952 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3956 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3963 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 516:48] + wire _T_3964 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 516:104] + wire _T_3965 = obuf_merge & _T_3964; // @[el2_lsu_bus_buffer.scala 516:91] + wire _T_3966 = _T_3963 | _T_3965; // @[el2_lsu_bus_buffer.scala 516:77] + wire _T_3967 = _T_3966 & obuf_valid; // @[el2_lsu_bus_buffer.scala 516:135] + wire _T_3968 = _T_3967 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 516:148] + wire _GEN_204 = _T_3956 & _T_3968; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3952 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3929 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2632 = _T_2631 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 473:105] + wire _T_2633 = ~_T_2632; // @[el2_lsu_bus_buffer.scala 473:80] + wire _T_2634 = buf_ageQ_3[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2626 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 473:95] + wire _T_3736 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3759 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3763 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3770 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 516:48] + wire _T_3771 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 516:104] + wire _T_3772 = obuf_merge & _T_3771; // @[el2_lsu_bus_buffer.scala 516:91] + wire _T_3773 = _T_3770 | _T_3772; // @[el2_lsu_bus_buffer.scala 516:77] + wire _T_3774 = _T_3773 & obuf_valid; // @[el2_lsu_bus_buffer.scala 516:135] + wire _T_3775 = _T_3774 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 516:148] + wire _GEN_128 = _T_3763 & _T_3775; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3759 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3736 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2627 = _T_2626 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 473:105] + wire _T_2628 = ~_T_2627; // @[el2_lsu_bus_buffer.scala 473:80] + wire _T_2629 = buf_ageQ_3[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2621 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 473:95] + wire _T_3543 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3566 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3570 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3577 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 516:48] + wire _T_3578 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 516:104] + wire _T_3579 = obuf_merge & _T_3578; // @[el2_lsu_bus_buffer.scala 516:91] + wire _T_3580 = _T_3577 | _T_3579; // @[el2_lsu_bus_buffer.scala 516:77] + wire _T_3581 = _T_3580 & obuf_valid; // @[el2_lsu_bus_buffer.scala 516:135] + wire _T_3582 = _T_3581 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 516:148] + wire _GEN_52 = _T_3570 & _T_3582; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3566 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3543 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 473:105] + wire _T_2623 = ~_T_2622; // @[el2_lsu_bus_buffer.scala 473:80] + wire _T_2624 = buf_ageQ_3[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 473:78] + wire [3:0] buf_age_3 = {_T_2639,_T_2634,_T_2629,_T_2624}; // @[Cat.scala 29:58] + wire _T_2738 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 474:91] + wire _T_2740 = _T_2738 & _T_19; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2732 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 474:91] + wire _T_2734 = _T_2732 & _T_12; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2726 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 474:91] + wire _T_2728 = _T_2726 & _T_5; // @[el2_lsu_bus_buffer.scala 474:106] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2740,_T_2734,_T_2728}; // @[Cat.scala 29:58] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 206:97] reg [31:0] ibuf_addr; // @[el2_lib.scala 491:16] - wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 211:51] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 212:51] reg ibuf_write; // @[Reg.scala 27:20] - wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 211:73] - reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 296:24] - wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 211:86] - wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 211:99] + wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 212:73] + reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 297:24] + wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 212:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 212:99] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] - wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 216:48] - wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 216:65] - wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 216:25 el2_lsu_bus_buffer.scala 216:25 el2_lsu_bus_buffer.scala 216:25 el2_lsu_bus_buffer.scala 216:25] - wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 205:150] - wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 205:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 553:60] - wire _T_2613 = buf_ageQ_2[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 465:78] - wire _T_2608 = buf_ageQ_2[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 465:78] - wire _T_2603 = buf_ageQ_2[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 465:78] - wire _T_2598 = buf_ageQ_2[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 465:78] - wire [3:0] buf_age_2 = {_T_2613,_T_2608,_T_2603,_T_2598}; // @[Cat.scala 29:58] - wire _T_2714 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 466:91] - wire _T_2716 = _T_2714 & _T_26; // @[el2_lsu_bus_buffer.scala 466:106] - wire _T_2702 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 466:91] - wire _T_2704 = _T_2702 & _T_12; // @[el2_lsu_bus_buffer.scala 466:106] - wire _T_2696 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 466:91] - wire _T_2698 = _T_2696 & _T_5; // @[el2_lsu_bus_buffer.scala 466:106] - wire [3:0] buf_age_younger_2 = {_T_2716,1'h0,_T_2704,_T_2698}; // @[Cat.scala 29:58] - wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 205:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 553:60] - wire _T_2590 = buf_ageQ_1[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 465:78] - wire _T_2585 = buf_ageQ_1[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 465:78] - wire _T_2580 = buf_ageQ_1[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 465:78] - wire _T_2575 = buf_ageQ_1[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 465:78] - wire [3:0] buf_age_1 = {_T_2590,_T_2585,_T_2580,_T_2575}; // @[Cat.scala 29:58] - wire _T_2687 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 466:91] - wire _T_2689 = _T_2687 & _T_26; // @[el2_lsu_bus_buffer.scala 466:106] - wire _T_2681 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 466:91] - wire _T_2683 = _T_2681 & _T_19; // @[el2_lsu_bus_buffer.scala 466:106] - wire _T_2669 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 466:91] - wire _T_2671 = _T_2669 & _T_5; // @[el2_lsu_bus_buffer.scala 466:106] - wire [3:0] buf_age_younger_1 = {_T_2689,_T_2683,1'h0,_T_2671}; // @[Cat.scala 29:58] - wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 205:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 553:60] - wire _T_2567 = buf_ageQ_0[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 465:78] - wire _T_2562 = buf_ageQ_0[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 465:78] - wire _T_2557 = buf_ageQ_0[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 465:78] - wire _T_2552 = buf_ageQ_0[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 465:78] - wire [3:0] buf_age_0 = {_T_2567,_T_2562,_T_2557,_T_2552}; // @[Cat.scala 29:58] - wire _T_2660 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 466:91] - wire _T_2662 = _T_2660 & _T_26; // @[el2_lsu_bus_buffer.scala 466:106] - wire _T_2654 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 466:91] - wire _T_2656 = _T_2654 & _T_19; // @[el2_lsu_bus_buffer.scala 466:106] - wire _T_2648 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 466:91] - wire _T_2650 = _T_2648 & _T_12; // @[el2_lsu_bus_buffer.scala 466:106] - wire [3:0] buf_age_younger_0 = {_T_2662,_T_2656,_T_2650,1'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 205:148] + wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 217:48] + wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 217:65] + wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 206:150] + wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 561:60] + wire _T_2616 = buf_ageQ_2[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2611 = buf_ageQ_2[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2606 = buf_ageQ_2[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2601 = buf_ageQ_2[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 473:78] + wire [3:0] buf_age_2 = {_T_2616,_T_2611,_T_2606,_T_2601}; // @[Cat.scala 29:58] + wire _T_2717 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 474:91] + wire _T_2719 = _T_2717 & _T_26; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2705 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 474:91] + wire _T_2707 = _T_2705 & _T_12; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2699 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 474:91] + wire _T_2701 = _T_2699 & _T_5; // @[el2_lsu_bus_buffer.scala 474:106] + wire [3:0] buf_age_younger_2 = {_T_2719,1'h0,_T_2707,_T_2701}; // @[Cat.scala 29:58] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 561:60] + wire _T_2593 = buf_ageQ_1[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2588 = buf_ageQ_1[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2583 = buf_ageQ_1[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2578 = buf_ageQ_1[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 473:78] + wire [3:0] buf_age_1 = {_T_2593,_T_2588,_T_2583,_T_2578}; // @[Cat.scala 29:58] + wire _T_2690 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 474:91] + wire _T_2692 = _T_2690 & _T_26; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2684 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 474:91] + wire _T_2686 = _T_2684 & _T_19; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2672 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 474:91] + wire _T_2674 = _T_2672 & _T_5; // @[el2_lsu_bus_buffer.scala 474:106] + wire [3:0] buf_age_younger_1 = {_T_2692,_T_2686,1'h0,_T_2674}; // @[Cat.scala 29:58] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 561:60] + wire _T_2570 = buf_ageQ_0[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2565 = buf_ageQ_0[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2560 = buf_ageQ_0[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2555 = buf_ageQ_0[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 473:78] + wire [3:0] buf_age_0 = {_T_2570,_T_2565,_T_2560,_T_2555}; // @[Cat.scala 29:58] + wire _T_2663 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 474:91] + wire _T_2665 = _T_2663 & _T_26; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2657 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 474:91] + wire _T_2659 = _T_2657 & _T_19; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2651 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 474:91] + wire _T_2653 = _T_2651 & _T_12; // @[el2_lsu_bus_buffer.scala 474:106] + wire [3:0] buf_age_younger_0 = {_T_2665,_T_2659,_T_2653,1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] - wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 197:73] - wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 197:77] - wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 198:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 198:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 201:114] wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] - wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 205:150] - wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 206:150] + wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 206:148] wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] - wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 197:73] - wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 197:77] - wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 198:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 198:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 201:114] wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] - wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 205:150] - wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 206:150] + wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 206:148] wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] - wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 197:73] - wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 197:77] - wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 198:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 198:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 201:114] wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] - wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 205:150] - wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 206:150] + wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 206:148] wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] - wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 197:73] - wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 197:77] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 198:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 198:77] wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] - wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] - wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] - wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] - wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 202:114] wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] - wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 212:51] - wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 212:73] - wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 212:86] - wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 212:99] - wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 217:48] - wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 217:65] - wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25] - wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 206:150] - wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 206:148] - wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 206:148] - wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 206:148] - wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 213:51] + wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 213:73] + wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 213:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 213:99] + wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 218:48] + wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 218:25 el2_lsu_bus_buffer.scala 218:25 el2_lsu_bus_buffer.scala 218:25 el2_lsu_bus_buffer.scala 218:25] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 207:150] + wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 207:148] wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] - wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 198:73] - wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 198:77] - wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 201:114] - wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 201:114] - wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 201:114] - wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 199:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 199:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 202:114] wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] - wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 206:150] - wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 206:148] - wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 206:148] - wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 206:148] - wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 207:150] + wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 207:148] wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] - wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 198:73] - wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 198:77] - wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 201:114] - wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 201:114] - wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 201:114] - wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 199:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 199:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 202:114] wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] - wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 206:150] - wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 206:148] - wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 206:148] - wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 206:148] - wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 207:150] + wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 207:148] wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] - wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 198:73] - wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 198:77] - wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 201:114] - wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 201:114] - wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 201:114] - wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 201:95] - wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 199:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 199:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 202:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 202:114] wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] - wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 206:150] - wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 206:148] - wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 206:148] - wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 206:148] - wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] - wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 206:144] - wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 206:97] - wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 207:150] + wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 207:122] + wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 207:144] + wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 207:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 207:97] + wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 207:148] wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] - wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 198:73] - wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 198:77] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 199:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 199:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_0; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 223:91] - wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 223:91] + wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 224:91] + wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 224:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_1; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 223:91] - wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 223:91] + wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 224:91] + wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 224:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_2; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 223:91] - wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 223:91] + wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 224:91] + wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 224:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_3; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 223:91] - wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 223:91] - wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 223:123] - wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 223:123] - wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 223:123] + wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 224:91] + wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 224:91] + wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 224:123] + wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 224:123] + wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 224:123] wire [7:0] _T_577 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 225:65] wire [7:0] _T_582 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 225:65] wire [7:0] _T_587 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 225:65] wire [7:0] _T_592 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 224:65] - wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 224:97] - wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 224:97] - wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 224:97] + wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 225:97] + wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 225:97] + wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 225:97] wire [7:0] _T_600 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 226:65] wire [7:0] _T_605 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 226:65] wire [7:0] _T_610 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 226:65] wire [7:0] _T_615 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 225:65] - wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 225:96] - wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 225:96] - wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 225:96] + wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 226:96] + wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 226:96] + wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 226:96] wire [7:0] _T_623 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 227:65] wire [7:0] _T_628 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 227:65] wire [7:0] _T_633 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 227:65] wire [7:0] _T_638 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 226:65] - wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 226:95] - wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 226:95] - wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 226:95] + wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 227:95] + wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 227:95] + wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 227:95] wire [32:0] _T_646 = {_T_574,_T_597,_T_620,_T_643}; // @[Cat.scala 29:58] wire [7:0] _T_649 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 228:91] - wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 228:91] + wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 229:91] + wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 229:91] wire [7:0] _T_654 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 228:91] - wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 228:91] + wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 229:91] + wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 229:91] wire [7:0] _T_659 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 228:91] - wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 228:91] + wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 229:91] + wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 229:91] wire [7:0] _T_664 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 228:91] - wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 228:91] - wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 228:123] - wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 228:123] - wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 228:123] + wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 229:91] + wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 229:91] + wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 229:123] + wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 229:123] + wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 229:123] wire [7:0] _T_672 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 229:65] + wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 230:65] wire [7:0] _T_677 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 229:65] + wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 230:65] wire [7:0] _T_682 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 229:65] + wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 230:65] wire [7:0] _T_687 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 229:65] - wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 229:97] - wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 229:97] - wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 229:97] + wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 230:65] + wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 230:97] + wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 230:97] + wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 230:97] wire [7:0] _T_695 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 230:65] + wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 231:65] wire [7:0] _T_700 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 230:65] + wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 231:65] wire [7:0] _T_705 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 230:65] + wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 231:65] wire [7:0] _T_710 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 230:65] - wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 230:96] - wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 230:96] - wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 230:96] + wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 231:65] + wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 231:96] + wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 231:96] + wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 231:96] wire [7:0] _T_718 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 231:65] + wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 232:65] wire [7:0] _T_723 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 231:65] + wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 232:65] wire [7:0] _T_728 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 231:65] + wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 232:65] wire [7:0] _T_733 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 231:65] - wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 231:95] - wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 231:95] - wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 231:95] + wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 232:65] + wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 232:95] + wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 232:95] + wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 232:95] wire [32:0] _T_741 = {_T_669,_T_692,_T_715,_T_738}; // @[Cat.scala 29:58] wire [3:0] _T_742 = io_lsu_pkt_r_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_743 = io_lsu_pkt_r_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_744 = io_lsu_pkt_r_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_745 = _T_742 | _T_743; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_r = _T_745 | _T_744; // @[Mux.scala 27:72] - wire _T_748 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 238:55] - wire _T_750 = io_lsu_addr_r[1:0] == 2'h1; // @[el2_lsu_bus_buffer.scala 239:55] + wire _T_748 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 239:55] + wire _T_750 = io_lsu_addr_r[1:0] == 2'h1; // @[el2_lsu_bus_buffer.scala 240:55] wire [3:0] _T_752 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] - wire _T_754 = io_lsu_addr_r[1:0] == 2'h2; // @[el2_lsu_bus_buffer.scala 240:55] + wire _T_754 = io_lsu_addr_r[1:0] == 2'h2; // @[el2_lsu_bus_buffer.scala 241:55] wire [3:0] _T_756 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] - wire _T_758 = io_lsu_addr_r[1:0] == 2'h3; // @[el2_lsu_bus_buffer.scala 241:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h3; // @[el2_lsu_bus_buffer.scala 242:55] wire [3:0] _T_760 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] wire [3:0] _T_762 = _T_750 ? _T_752 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_763 = _T_754 ? _T_756 : 4'h0; // @[Mux.scala 27:72] @@ -913,164 +914,164 @@ module el2_lsu_bus_buffer( wire [31:0] _T_828 = _T_824 | _T_825; // @[Mux.scala 27:72] wire [31:0] _T_829 = _T_828 | _T_826; // @[Mux.scala 27:72] wire [31:0] store_data_lo_r = _T_829 | _T_827; // @[Mux.scala 27:72] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 259:40] - wire _T_836 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 261:26] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 260:40] + wire _T_836 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 262:26] wire _T_837 = io_lsu_pkt_r_word & _T_748; // @[Mux.scala 27:72] wire _T_838 = io_lsu_pkt_r_half & _T_836; // @[Mux.scala 27:72] wire _T_840 = _T_837 | _T_838; // @[Mux.scala 27:72] wire is_aligned_r = _T_840 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] - wire _T_842 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 263:55] - wire _T_843 = io_lsu_busreq_r & _T_842; // @[el2_lsu_bus_buffer.scala 263:34] - wire _T_844 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 263:79] - wire ibuf_byp = _T_843 & _T_844; // @[el2_lsu_bus_buffer.scala 263:77] - wire _T_845 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 264:36] - wire _T_846 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 264:56] - wire ibuf_wr_en = _T_845 & _T_846; // @[el2_lsu_bus_buffer.scala 264:54] - wire _T_847 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 266:36] - reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 309:59] - wire _T_856 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 272:62] - wire _T_857 = ibuf_wr_en | _T_856; // @[el2_lsu_bus_buffer.scala 272:48] - wire _T_921 = _T_845 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 291:54] - wire _T_922 = _T_921 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 291:75] - wire _T_923 = _T_922 & ibuf_write; // @[el2_lsu_bus_buffer.scala 291:88] - wire _T_926 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 291:124] - wire _T_927 = _T_923 & _T_926; // @[el2_lsu_bus_buffer.scala 291:101] - wire _T_928 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 291:147] - wire _T_929 = _T_927 & _T_928; // @[el2_lsu_bus_buffer.scala 291:145] - wire _T_930 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 291:170] - wire ibuf_merge_en = _T_929 & _T_930; // @[el2_lsu_bus_buffer.scala 291:168] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 292:20] - wire _T_858 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 272:98] - wire _T_859 = ~_T_858; // @[el2_lsu_bus_buffer.scala 272:82] - wire _T_860 = _T_857 & _T_859; // @[el2_lsu_bus_buffer.scala 272:80] - wire _T_861 = _T_860 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 273:5] - wire _T_849 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 267:44] - wire _T_850 = io_lsu_busreq_m & _T_849; // @[el2_lsu_bus_buffer.scala 267:42] - wire _T_851 = _T_850 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 267:61] - wire _T_854 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 267:115] - wire _T_855 = io_lsu_pkt_m_load | _T_854; // @[el2_lsu_bus_buffer.scala 267:95] - wire ibuf_force_drain = _T_851 & _T_855; // @[el2_lsu_bus_buffer.scala 267:74] - wire _T_862 = _T_861 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 273:16] + wire _T_842 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 264:55] + wire _T_843 = io_lsu_busreq_r & _T_842; // @[el2_lsu_bus_buffer.scala 264:34] + wire _T_844 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 264:79] + wire ibuf_byp = _T_843 & _T_844; // @[el2_lsu_bus_buffer.scala 264:77] + wire _T_845 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 265:36] + wire _T_846 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 265:56] + wire ibuf_wr_en = _T_845 & _T_846; // @[el2_lsu_bus_buffer.scala 265:54] + wire _T_847 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 267:36] + reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 310:59] + wire _T_856 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 273:62] + wire _T_857 = ibuf_wr_en | _T_856; // @[el2_lsu_bus_buffer.scala 273:48] + wire _T_921 = _T_845 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 292:54] + wire _T_922 = _T_921 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 292:75] + wire _T_923 = _T_922 & ibuf_write; // @[el2_lsu_bus_buffer.scala 292:88] + wire _T_926 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 292:124] + wire _T_927 = _T_923 & _T_926; // @[el2_lsu_bus_buffer.scala 292:101] + wire _T_928 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 292:147] + wire _T_929 = _T_927 & _T_928; // @[el2_lsu_bus_buffer.scala 292:145] + wire _T_930 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 292:170] + wire ibuf_merge_en = _T_929 & _T_930; // @[el2_lsu_bus_buffer.scala 292:168] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 293:20] + wire _T_858 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 273:98] + wire _T_859 = ~_T_858; // @[el2_lsu_bus_buffer.scala 273:82] + wire _T_860 = _T_857 & _T_859; // @[el2_lsu_bus_buffer.scala 273:80] + wire _T_861 = _T_860 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 274:5] + wire _T_849 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 268:44] + wire _T_850 = io_lsu_busreq_m & _T_849; // @[el2_lsu_bus_buffer.scala 268:42] + wire _T_851 = _T_850 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 268:61] + wire _T_854 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 268:115] + wire _T_855 = io_lsu_pkt_m_load | _T_854; // @[el2_lsu_bus_buffer.scala 268:95] + wire ibuf_force_drain = _T_851 & _T_855; // @[el2_lsu_bus_buffer.scala 268:74] + wire _T_862 = _T_861 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 274:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_863 = _T_862 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 273:35] - wire _T_864 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 273:55] - wire _T_865 = _T_863 | _T_864; // @[el2_lsu_bus_buffer.scala 273:53] - wire _T_866 = _T_865 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 273:67] - wire ibuf_drain_vld = ibuf_valid & _T_866; // @[el2_lsu_bus_buffer.scala 272:32] - wire _T_848 = ibuf_drain_vld & _T_847; // @[el2_lsu_bus_buffer.scala 266:34] - wire ibuf_rst = _T_848 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 266:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 670:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 669:49] + wire _T_863 = _T_862 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 274:35] + wire _T_864 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 274:55] + wire _T_865 = _T_863 | _T_864; // @[el2_lsu_bus_buffer.scala 274:53] + wire _T_866 = _T_865 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 274:67] + wire ibuf_drain_vld = ibuf_valid & _T_866; // @[el2_lsu_bus_buffer.scala 273:32] + wire _T_848 = ibuf_drain_vld & _T_847; // @[el2_lsu_bus_buffer.scala 267:34] + wire ibuf_rst = _T_848 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 267:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 678:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 677:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] - wire [3:0] _T_873 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 282:77] + wire [3:0] _T_873 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 283:77] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] - wire [7:0] _T_881 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 287:8] - wire [7:0] _T_884 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 288:8] - wire [7:0] _T_885 = _T_858 ? _T_881 : _T_884; // @[el2_lsu_bus_buffer.scala 286:46] - wire [7:0] _T_890 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 287:8] - wire [7:0] _T_893 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 288:8] - wire [7:0] _T_894 = _T_858 ? _T_890 : _T_893; // @[el2_lsu_bus_buffer.scala 286:46] - wire [7:0] _T_899 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 287:8] - wire [7:0] _T_902 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 288:8] - wire [7:0] _T_903 = _T_858 ? _T_899 : _T_902; // @[el2_lsu_bus_buffer.scala 286:46] - wire [7:0] _T_908 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 287:8] - wire [7:0] _T_911 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 288:8] - wire [7:0] _T_912 = _T_858 ? _T_908 : _T_911; // @[el2_lsu_bus_buffer.scala 286:46] + wire [7:0] _T_881 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 288:8] + wire [7:0] _T_884 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 289:8] + wire [7:0] _T_885 = _T_858 ? _T_881 : _T_884; // @[el2_lsu_bus_buffer.scala 287:46] + wire [7:0] _T_890 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 288:8] + wire [7:0] _T_893 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 289:8] + wire [7:0] _T_894 = _T_858 ? _T_890 : _T_893; // @[el2_lsu_bus_buffer.scala 287:46] + wire [7:0] _T_899 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 288:8] + wire [7:0] _T_902 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 289:8] + wire [7:0] _T_903 = _T_858 ? _T_899 : _T_902; // @[el2_lsu_bus_buffer.scala 287:46] + wire [7:0] _T_908 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 288:8] + wire [7:0] _T_911 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 289:8] + wire [7:0] _T_912 = _T_858 ? _T_908 : _T_911; // @[el2_lsu_bus_buffer.scala 287:46] wire [23:0] _T_914 = {_T_912,_T_903,_T_894}; // @[Cat.scala 29:58] - wire _T_915 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 289:59] - wire [2:0] _T_918 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 289:93] - wire _T_933 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 293:65] - wire _T_934 = ibuf_merge_en & _T_933; // @[el2_lsu_bus_buffer.scala 293:63] - wire _T_937 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 293:96] - wire _T_939 = _T_934 ? _T_937 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 293:48] - wire _T_944 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 293:96] - wire _T_946 = _T_934 ? _T_944 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 293:48] - wire _T_951 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 293:96] - wire _T_953 = _T_934 ? _T_951 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 293:48] - wire _T_958 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 293:96] - wire _T_960 = _T_934 ? _T_958 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 293:48] + wire _T_915 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 290:59] + wire [2:0] _T_918 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 290:93] + wire _T_933 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 294:65] + wire _T_934 = ibuf_merge_en & _T_933; // @[el2_lsu_bus_buffer.scala 294:63] + wire _T_937 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 294:96] + wire _T_939 = _T_934 ? _T_937 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 294:48] + wire _T_944 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 294:96] + wire _T_946 = _T_934 ? _T_944 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 294:48] + wire _T_951 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 294:96] + wire _T_953 = _T_934 ? _T_951 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 294:48] + wire _T_958 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 294:96] + wire _T_960 = _T_934 ? _T_958 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 294:48] wire [3:0] ibuf_byteen_out = {_T_960,_T_953,_T_946,_T_939}; // @[Cat.scala 29:58] - wire [7:0] _T_970 = _T_934 ? _T_881 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 294:45] - wire [7:0] _T_978 = _T_934 ? _T_890 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 294:45] - wire [7:0] _T_986 = _T_934 ? _T_899 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 294:45] - wire [7:0] _T_994 = _T_934 ? _T_908 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 294:45] + wire [7:0] _T_970 = _T_934 ? _T_881 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 295:45] + wire [7:0] _T_978 = _T_934 ? _T_890 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 295:45] + wire [7:0] _T_986 = _T_934 ? _T_899 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 295:45] + wire [7:0] _T_994 = _T_934 ? _T_908 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 295:45] wire [31:0] ibuf_data_out = {_T_994,_T_986,_T_978,_T_970}; // @[Cat.scala 29:58] - wire _T_997 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 296:28] - wire _T_998 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 296:63] - wire _T_1003 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 297:89] + wire _T_997 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 297:28] + wire _T_998 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 297:63] + wire _T_1003 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 298:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4453 = buf_write[3] & _T_2633; // @[el2_lsu_bus_buffer.scala 575:64] - wire _T_4454 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 575:91] - wire _T_4455 = _T_4453 & _T_4454; // @[el2_lsu_bus_buffer.scala 575:89] - wire _T_4448 = buf_write[2] & _T_2628; // @[el2_lsu_bus_buffer.scala 575:64] - wire _T_4449 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 575:91] - wire _T_4450 = _T_4448 & _T_4449; // @[el2_lsu_bus_buffer.scala 575:89] - wire [1:0] _T_4456 = _T_4455 + _T_4450; // @[el2_lsu_bus_buffer.scala 575:142] - wire _T_4443 = buf_write[1] & _T_2623; // @[el2_lsu_bus_buffer.scala 575:64] - wire _T_4444 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 575:91] - wire _T_4445 = _T_4443 & _T_4444; // @[el2_lsu_bus_buffer.scala 575:89] - wire [1:0] _GEN_362 = {{1'd0}, _T_4445}; // @[el2_lsu_bus_buffer.scala 575:142] - wire [2:0] _T_4457 = _T_4456 + _GEN_362; // @[el2_lsu_bus_buffer.scala 575:142] - wire _T_4438 = buf_write[0] & _T_2618; // @[el2_lsu_bus_buffer.scala 575:64] - wire _T_4439 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 575:91] - wire _T_4440 = _T_4438 & _T_4439; // @[el2_lsu_bus_buffer.scala 575:89] - wire [2:0] _GEN_363 = {{2'd0}, _T_4440}; // @[el2_lsu_bus_buffer.scala 575:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4457 + _GEN_363; // @[el2_lsu_bus_buffer.scala 575:142] - wire _T_1029 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 319:43] - wire _T_4470 = _T_2633 & _T_4454; // @[el2_lsu_bus_buffer.scala 576:73] - wire _T_4467 = _T_2628 & _T_4449; // @[el2_lsu_bus_buffer.scala 576:73] - wire [1:0] _T_4471 = _T_4470 + _T_4467; // @[el2_lsu_bus_buffer.scala 576:126] - wire _T_4464 = _T_2623 & _T_4444; // @[el2_lsu_bus_buffer.scala 576:73] - wire [1:0] _GEN_364 = {{1'd0}, _T_4464}; // @[el2_lsu_bus_buffer.scala 576:126] - wire [2:0] _T_4472 = _T_4471 + _GEN_364; // @[el2_lsu_bus_buffer.scala 576:126] - wire _T_4461 = _T_2618 & _T_4439; // @[el2_lsu_bus_buffer.scala 576:73] - wire [2:0] _GEN_365 = {{2'd0}, _T_4461}; // @[el2_lsu_bus_buffer.scala 576:126] - wire [3:0] buf_numvld_cmd_any = _T_4472 + _GEN_365; // @[el2_lsu_bus_buffer.scala 576:126] - wire _T_1030 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 319:72] - wire _T_1031 = _T_1029 & _T_1030; // @[el2_lsu_bus_buffer.scala 319:51] - reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 418:54] - wire _T_1032 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 319:97] - wire _T_1033 = _T_1031 & _T_1032; // @[el2_lsu_bus_buffer.scala 319:80] - wire _T_1035 = _T_1033 & _T_930; // @[el2_lsu_bus_buffer.scala 319:114] - wire _T_1991 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 430:58] - wire _T_1992 = ~_T_1991; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_1994 = _T_1992 & _T_2633; // @[el2_lsu_bus_buffer.scala 430:63] - wire _T_1996 = _T_1994 & _T_4454; // @[el2_lsu_bus_buffer.scala 430:88] - wire _T_1985 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 430:58] - wire _T_1986 = ~_T_1985; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_1988 = _T_1986 & _T_2628; // @[el2_lsu_bus_buffer.scala 430:63] - wire _T_1990 = _T_1988 & _T_4449; // @[el2_lsu_bus_buffer.scala 430:88] - wire _T_1979 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 430:58] - wire _T_1980 = ~_T_1979; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_1982 = _T_1980 & _T_2623; // @[el2_lsu_bus_buffer.scala 430:63] - wire _T_1984 = _T_1982 & _T_4444; // @[el2_lsu_bus_buffer.scala 430:88] - wire _T_1973 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 430:58] - wire _T_1974 = ~_T_1973; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_1976 = _T_1974 & _T_2618; // @[el2_lsu_bus_buffer.scala 430:63] - wire _T_1978 = _T_1976 & _T_4439; // @[el2_lsu_bus_buffer.scala 430:88] - wire [3:0] CmdPtr0Dec = {_T_1996,_T_1990,_T_1984,_T_1978}; // @[Cat.scala 29:58] - wire [7:0] _T_2066 = {4'h0,_T_1996,_T_1990,_T_1984,_T_1978}; // @[Cat.scala 29:58] - wire _T_2069 = _T_2066[4] | _T_2066[5]; // @[el2_lsu_bus_buffer.scala 438:42] - wire _T_2071 = _T_2069 | _T_2066[6]; // @[el2_lsu_bus_buffer.scala 438:48] - wire _T_2073 = _T_2071 | _T_2066[7]; // @[el2_lsu_bus_buffer.scala 438:54] - wire _T_2076 = _T_2066[2] | _T_2066[3]; // @[el2_lsu_bus_buffer.scala 438:67] - wire _T_2078 = _T_2076 | _T_2066[6]; // @[el2_lsu_bus_buffer.scala 438:73] - wire _T_2080 = _T_2078 | _T_2066[7]; // @[el2_lsu_bus_buffer.scala 438:79] - wire _T_2083 = _T_2066[1] | _T_2066[3]; // @[el2_lsu_bus_buffer.scala 438:92] - wire _T_2085 = _T_2083 | _T_2066[5]; // @[el2_lsu_bus_buffer.scala 438:98] - wire _T_2087 = _T_2085 | _T_2066[7]; // @[el2_lsu_bus_buffer.scala 438:104] - wire [2:0] _T_2089 = {_T_2073,_T_2080,_T_2087}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr0 = _T_2089[1:0]; // @[el2_lsu_bus_buffer.scala 444:11] - wire _T_1036 = CmdPtr0 == 2'h0; // @[el2_lsu_bus_buffer.scala 320:114] - wire _T_1037 = CmdPtr0 == 2'h1; // @[el2_lsu_bus_buffer.scala 320:114] - wire _T_1038 = CmdPtr0 == 2'h2; // @[el2_lsu_bus_buffer.scala 320:114] - wire _T_1039 = CmdPtr0 == 2'h3; // @[el2_lsu_bus_buffer.scala 320:114] + wire _T_4456 = buf_write[3] & _T_2636; // @[el2_lsu_bus_buffer.scala 583:64] + wire _T_4457 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 583:91] + wire _T_4458 = _T_4456 & _T_4457; // @[el2_lsu_bus_buffer.scala 583:89] + wire _T_4451 = buf_write[2] & _T_2631; // @[el2_lsu_bus_buffer.scala 583:64] + wire _T_4452 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 583:91] + wire _T_4453 = _T_4451 & _T_4452; // @[el2_lsu_bus_buffer.scala 583:89] + wire [1:0] _T_4459 = _T_4458 + _T_4453; // @[el2_lsu_bus_buffer.scala 583:142] + wire _T_4446 = buf_write[1] & _T_2626; // @[el2_lsu_bus_buffer.scala 583:64] + wire _T_4447 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 583:91] + wire _T_4448 = _T_4446 & _T_4447; // @[el2_lsu_bus_buffer.scala 583:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4448}; // @[el2_lsu_bus_buffer.scala 583:142] + wire [2:0] _T_4460 = _T_4459 + _GEN_362; // @[el2_lsu_bus_buffer.scala 583:142] + wire _T_4441 = buf_write[0] & _T_2621; // @[el2_lsu_bus_buffer.scala 583:64] + wire _T_4442 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 583:91] + wire _T_4443 = _T_4441 & _T_4442; // @[el2_lsu_bus_buffer.scala 583:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4443}; // @[el2_lsu_bus_buffer.scala 583:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4460 + _GEN_363; // @[el2_lsu_bus_buffer.scala 583:142] + wire _T_1029 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 320:43] + wire _T_4473 = _T_2636 & _T_4457; // @[el2_lsu_bus_buffer.scala 584:73] + wire _T_4470 = _T_2631 & _T_4452; // @[el2_lsu_bus_buffer.scala 584:73] + wire [1:0] _T_4474 = _T_4473 + _T_4470; // @[el2_lsu_bus_buffer.scala 584:126] + wire _T_4467 = _T_2626 & _T_4447; // @[el2_lsu_bus_buffer.scala 584:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4467}; // @[el2_lsu_bus_buffer.scala 584:126] + wire [2:0] _T_4475 = _T_4474 + _GEN_364; // @[el2_lsu_bus_buffer.scala 584:126] + wire _T_4464 = _T_2621 & _T_4442; // @[el2_lsu_bus_buffer.scala 584:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4464}; // @[el2_lsu_bus_buffer.scala 584:126] + wire [3:0] buf_numvld_cmd_any = _T_4475 + _GEN_365; // @[el2_lsu_bus_buffer.scala 584:126] + wire _T_1030 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 320:72] + wire _T_1031 = _T_1029 & _T_1030; // @[el2_lsu_bus_buffer.scala 320:51] + reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 419:54] + wire _T_1032 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 320:97] + wire _T_1033 = _T_1031 & _T_1032; // @[el2_lsu_bus_buffer.scala 320:80] + wire _T_1035 = _T_1033 & _T_930; // @[el2_lsu_bus_buffer.scala 320:114] + wire _T_1994 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 438:58] + wire _T_1995 = ~_T_1994; // @[el2_lsu_bus_buffer.scala 438:45] + wire _T_1997 = _T_1995 & _T_2636; // @[el2_lsu_bus_buffer.scala 438:63] + wire _T_1999 = _T_1997 & _T_4457; // @[el2_lsu_bus_buffer.scala 438:88] + wire _T_1988 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 438:58] + wire _T_1989 = ~_T_1988; // @[el2_lsu_bus_buffer.scala 438:45] + wire _T_1991 = _T_1989 & _T_2631; // @[el2_lsu_bus_buffer.scala 438:63] + wire _T_1993 = _T_1991 & _T_4452; // @[el2_lsu_bus_buffer.scala 438:88] + wire _T_1982 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 438:58] + wire _T_1983 = ~_T_1982; // @[el2_lsu_bus_buffer.scala 438:45] + wire _T_1985 = _T_1983 & _T_2626; // @[el2_lsu_bus_buffer.scala 438:63] + wire _T_1987 = _T_1985 & _T_4447; // @[el2_lsu_bus_buffer.scala 438:88] + wire _T_1976 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 438:58] + wire _T_1977 = ~_T_1976; // @[el2_lsu_bus_buffer.scala 438:45] + wire _T_1979 = _T_1977 & _T_2621; // @[el2_lsu_bus_buffer.scala 438:63] + wire _T_1981 = _T_1979 & _T_4442; // @[el2_lsu_bus_buffer.scala 438:88] + wire [3:0] CmdPtr0Dec = {_T_1999,_T_1993,_T_1987,_T_1981}; // @[Cat.scala 29:58] + wire [7:0] _T_2069 = {4'h0,_T_1999,_T_1993,_T_1987,_T_1981}; // @[Cat.scala 29:58] + wire _T_2072 = _T_2069[4] | _T_2069[5]; // @[el2_lsu_bus_buffer.scala 446:42] + wire _T_2074 = _T_2072 | _T_2069[6]; // @[el2_lsu_bus_buffer.scala 446:48] + wire _T_2076 = _T_2074 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 446:54] + wire _T_2079 = _T_2069[2] | _T_2069[3]; // @[el2_lsu_bus_buffer.scala 446:67] + wire _T_2081 = _T_2079 | _T_2069[6]; // @[el2_lsu_bus_buffer.scala 446:73] + wire _T_2083 = _T_2081 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 446:79] + wire _T_2086 = _T_2069[1] | _T_2069[3]; // @[el2_lsu_bus_buffer.scala 446:92] + wire _T_2088 = _T_2086 | _T_2069[5]; // @[el2_lsu_bus_buffer.scala 446:98] + wire _T_2090 = _T_2088 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 446:104] + wire [2:0] _T_2092 = {_T_2076,_T_2083,_T_2090}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr0 = _T_2092[1:0]; // @[el2_lsu_bus_buffer.scala 452:11] + wire _T_1036 = CmdPtr0 == 2'h0; // @[el2_lsu_bus_buffer.scala 321:114] + wire _T_1037 = CmdPtr0 == 2'h1; // @[el2_lsu_bus_buffer.scala 321:114] + wire _T_1038 = CmdPtr0 == 2'h2; // @[el2_lsu_bus_buffer.scala 321:114] + wire _T_1039 = CmdPtr0 == 2'h3; // @[el2_lsu_bus_buffer.scala 321:114] reg buf_nomerge_0; // @[Reg.scala 27:20] wire _T_1040 = _T_1036 & buf_nomerge_0; // @[Mux.scala 27:72] reg buf_nomerge_1; // @[Reg.scala 27:20] @@ -1082,13 +1083,13 @@ module el2_lsu_bus_buffer( wire _T_1044 = _T_1040 | _T_1041; // @[Mux.scala 27:72] wire _T_1045 = _T_1044 | _T_1042; // @[Mux.scala 27:72] wire _T_1046 = _T_1045 | _T_1043; // @[Mux.scala 27:72] - wire _T_1048 = ~_T_1046; // @[el2_lsu_bus_buffer.scala 320:31] - wire _T_1049 = _T_1035 & _T_1048; // @[el2_lsu_bus_buffer.scala 320:29] + wire _T_1048 = ~_T_1046; // @[el2_lsu_bus_buffer.scala 321:31] + wire _T_1049 = _T_1035 & _T_1048; // @[el2_lsu_bus_buffer.scala 321:29] + reg _T_4345; // @[Reg.scala 27:20] reg _T_4342; // @[Reg.scala 27:20] reg _T_4339; // @[Reg.scala 27:20] reg _T_4336; // @[Reg.scala 27:20] - reg _T_4333; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4342,_T_4339,_T_4336,_T_4333}; // @[Cat.scala 29:58] + wire [3:0] buf_sideeffect = {_T_4345,_T_4342,_T_4339,_T_4336}; // @[Cat.scala 29:58] wire _T_1058 = _T_1036 & buf_sideeffect[0]; // @[Mux.scala 27:72] wire _T_1059 = _T_1037 & buf_sideeffect[1]; // @[Mux.scala 27:72] wire _T_1060 = _T_1038 & buf_sideeffect[2]; // @[Mux.scala 27:72] @@ -1096,10 +1097,10 @@ module el2_lsu_bus_buffer( wire _T_1062 = _T_1058 | _T_1059; // @[Mux.scala 27:72] wire _T_1063 = _T_1062 | _T_1060; // @[Mux.scala 27:72] wire _T_1064 = _T_1063 | _T_1061; // @[Mux.scala 27:72] - wire _T_1066 = ~_T_1064; // @[el2_lsu_bus_buffer.scala 321:5] - wire _T_1067 = _T_1049 & _T_1066; // @[el2_lsu_bus_buffer.scala 320:140] - wire _T_1078 = _T_850 & _T_844; // @[el2_lsu_bus_buffer.scala 323:58] - wire _T_1080 = _T_1078 & _T_1030; // @[el2_lsu_bus_buffer.scala 323:72] + wire _T_1066 = ~_T_1064; // @[el2_lsu_bus_buffer.scala 322:5] + wire _T_1067 = _T_1049 & _T_1066; // @[el2_lsu_bus_buffer.scala 321:140] + wire _T_1078 = _T_850 & _T_844; // @[el2_lsu_bus_buffer.scala 324:58] + wire _T_1080 = _T_1078 & _T_1030; // @[el2_lsu_bus_buffer.scala 324:72] wire [29:0] _T_1090 = _T_1036 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1091 = _T_1037 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1094 = _T_1090 | _T_1091; // @[Mux.scala 27:72] @@ -1107,51 +1108,51 @@ module el2_lsu_bus_buffer( wire [29:0] _T_1095 = _T_1094 | _T_1092; // @[Mux.scala 27:72] wire [29:0] _T_1093 = _T_1039 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1096 = _T_1095 | _T_1093; // @[Mux.scala 27:72] - wire _T_1098 = io_lsu_addr_m[31:2] != _T_1096; // @[el2_lsu_bus_buffer.scala 323:123] - wire obuf_force_wr_en = _T_1080 & _T_1098; // @[el2_lsu_bus_buffer.scala 323:101] - wire _T_1068 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 321:119] - wire obuf_wr_wait = _T_1067 & _T_1068; // @[el2_lsu_bus_buffer.scala 321:117] - wire _T_1069 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 322:75] - wire _T_1070 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 322:95] - wire _T_1071 = _T_1069 & _T_1070; // @[el2_lsu_bus_buffer.scala 322:79] - wire [2:0] _T_1073 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 322:121] - wire _T_4489 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 577:63] - wire _T_4493 = _T_4489 | _T_4470; // @[el2_lsu_bus_buffer.scala 577:74] - wire _T_4484 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 577:63] - wire _T_4488 = _T_4484 | _T_4467; // @[el2_lsu_bus_buffer.scala 577:74] - wire [1:0] _T_4494 = _T_4493 + _T_4488; // @[el2_lsu_bus_buffer.scala 577:154] - wire _T_4479 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 577:63] - wire _T_4483 = _T_4479 | _T_4464; // @[el2_lsu_bus_buffer.scala 577:74] - wire [1:0] _GEN_366 = {{1'd0}, _T_4483}; // @[el2_lsu_bus_buffer.scala 577:154] - wire [2:0] _T_4495 = _T_4494 + _GEN_366; // @[el2_lsu_bus_buffer.scala 577:154] - wire _T_4474 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 577:63] - wire _T_4478 = _T_4474 | _T_4461; // @[el2_lsu_bus_buffer.scala 577:74] - wire [2:0] _GEN_367 = {{2'd0}, _T_4478}; // @[el2_lsu_bus_buffer.scala 577:154] - wire [3:0] buf_numvld_pend_any = _T_4495 + _GEN_367; // @[el2_lsu_bus_buffer.scala 577:154] - wire _T_1100 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 325:53] - wire _T_1101 = ibuf_byp & _T_1100; // @[el2_lsu_bus_buffer.scala 325:31] - wire _T_1102 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 325:64] - wire _T_1103 = _T_1102 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 325:84] - wire ibuf_buf_byp = _T_1101 & _T_1103; // @[el2_lsu_bus_buffer.scala 325:61] - wire _T_1104 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 340:32] - wire _T_4785 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 606:62] - wire _T_4787 = _T_4785 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 606:73] - wire _T_4788 = _T_4787 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 606:93] - wire _T_4789 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 606:62] - wire _T_4791 = _T_4789 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 606:73] - wire _T_4792 = _T_4791 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 606:93] - wire _T_4801 = _T_4788 | _T_4792; // @[el2_lsu_bus_buffer.scala 606:141] - wire _T_4793 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 606:62] - wire _T_4795 = _T_4793 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 606:73] - wire _T_4796 = _T_4795 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 606:93] - wire _T_4802 = _T_4801 | _T_4796; // @[el2_lsu_bus_buffer.scala 606:141] - wire _T_4797 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 606:62] - wire _T_4799 = _T_4797 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 606:73] - wire _T_4800 = _T_4799 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 606:93] - wire bus_sideeffect_pend = _T_4802 | _T_4800; // @[el2_lsu_bus_buffer.scala 606:141] - wire _T_1105 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 340:74] - wire _T_1106 = ~_T_1105; // @[el2_lsu_bus_buffer.scala 340:52] - wire _T_1107 = _T_1104 & _T_1106; // @[el2_lsu_bus_buffer.scala 340:50] + wire _T_1098 = io_lsu_addr_m[31:2] != _T_1096; // @[el2_lsu_bus_buffer.scala 324:123] + wire obuf_force_wr_en = _T_1080 & _T_1098; // @[el2_lsu_bus_buffer.scala 324:101] + wire _T_1068 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 322:119] + wire obuf_wr_wait = _T_1067 & _T_1068; // @[el2_lsu_bus_buffer.scala 322:117] + wire _T_1069 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 323:75] + wire _T_1070 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 323:95] + wire _T_1071 = _T_1069 & _T_1070; // @[el2_lsu_bus_buffer.scala 323:79] + wire [2:0] _T_1073 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 323:121] + wire _T_4492 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 585:63] + wire _T_4496 = _T_4492 | _T_4473; // @[el2_lsu_bus_buffer.scala 585:74] + wire _T_4487 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 585:63] + wire _T_4491 = _T_4487 | _T_4470; // @[el2_lsu_bus_buffer.scala 585:74] + wire [1:0] _T_4497 = _T_4496 + _T_4491; // @[el2_lsu_bus_buffer.scala 585:154] + wire _T_4482 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 585:63] + wire _T_4486 = _T_4482 | _T_4467; // @[el2_lsu_bus_buffer.scala 585:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4486}; // @[el2_lsu_bus_buffer.scala 585:154] + wire [2:0] _T_4498 = _T_4497 + _GEN_366; // @[el2_lsu_bus_buffer.scala 585:154] + wire _T_4477 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 585:63] + wire _T_4481 = _T_4477 | _T_4464; // @[el2_lsu_bus_buffer.scala 585:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4481}; // @[el2_lsu_bus_buffer.scala 585:154] + wire [3:0] buf_numvld_pend_any = _T_4498 + _GEN_367; // @[el2_lsu_bus_buffer.scala 585:154] + wire _T_1100 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 326:53] + wire _T_1101 = ibuf_byp & _T_1100; // @[el2_lsu_bus_buffer.scala 326:31] + wire _T_1102 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 326:64] + wire _T_1103 = _T_1102 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 326:84] + wire ibuf_buf_byp = _T_1101 & _T_1103; // @[el2_lsu_bus_buffer.scala 326:61] + wire _T_1104 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 341:32] + wire _T_4788 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 614:62] + wire _T_4790 = _T_4788 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 614:73] + wire _T_4791 = _T_4790 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 614:93] + wire _T_4792 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 614:62] + wire _T_4794 = _T_4792 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 614:73] + wire _T_4795 = _T_4794 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 614:93] + wire _T_4804 = _T_4791 | _T_4795; // @[el2_lsu_bus_buffer.scala 614:141] + wire _T_4796 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 614:62] + wire _T_4798 = _T_4796 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 614:73] + wire _T_4799 = _T_4798 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 614:93] + wire _T_4805 = _T_4804 | _T_4799; // @[el2_lsu_bus_buffer.scala 614:141] + wire _T_4800 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 614:62] + wire _T_4802 = _T_4800 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 614:73] + wire _T_4803 = _T_4802 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 614:93] + wire bus_sideeffect_pend = _T_4805 | _T_4803; // @[el2_lsu_bus_buffer.scala 614:141] + wire _T_1105 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 341:74] + wire _T_1106 = ~_T_1105; // @[el2_lsu_bus_buffer.scala 341:52] + wire _T_1107 = _T_1104 & _T_1106; // @[el2_lsu_bus_buffer.scala 341:50] wire [2:0] _T_1112 = _T_1036 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1113 = _T_1037 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1116 = _T_1112 | _T_1113; // @[Mux.scala 27:72] @@ -1159,9 +1160,9 @@ module el2_lsu_bus_buffer( wire [2:0] _T_1117 = _T_1116 | _T_1114; // @[Mux.scala 27:72] wire [2:0] _T_1115 = _T_1039 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1118 = _T_1117 | _T_1115; // @[Mux.scala 27:72] - wire _T_1120 = _T_1118 == 3'h2; // @[el2_lsu_bus_buffer.scala 341:36] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 435:31] - wire _T_1121 = _T_1120 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 341:47] + wire _T_1120 = _T_1118 == 3'h2; // @[el2_lsu_bus_buffer.scala 342:36] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 443:31] + wire _T_1121 = _T_1120 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 342:47] wire [3:0] _T_1124 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1133 = _T_1036 & _T_1124[0]; // @[Mux.scala 27:72] wire _T_1134 = _T_1037 & _T_1124[1]; // @[Mux.scala 27:72] @@ -1170,11 +1171,11 @@ module el2_lsu_bus_buffer( wire _T_1138 = _T_1137 | _T_1135; // @[Mux.scala 27:72] wire _T_1136 = _T_1039 & _T_1124[3]; // @[Mux.scala 27:72] wire _T_1139 = _T_1138 | _T_1136; // @[Mux.scala 27:72] - wire _T_1141 = ~_T_1139; // @[el2_lsu_bus_buffer.scala 342:23] - wire _T_1142 = _T_1121 & _T_1141; // @[el2_lsu_bus_buffer.scala 342:21] - wire _T_1159 = _T_1064 & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 342:141] - wire _T_1160 = ~_T_1159; // @[el2_lsu_bus_buffer.scala 342:105] - wire _T_1161 = _T_1142 & _T_1160; // @[el2_lsu_bus_buffer.scala 342:103] + wire _T_1141 = ~_T_1139; // @[el2_lsu_bus_buffer.scala 343:23] + wire _T_1142 = _T_1121 & _T_1141; // @[el2_lsu_bus_buffer.scala 343:21] + wire _T_1159 = _T_1064 & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 343:141] + wire _T_1160 = ~_T_1159; // @[el2_lsu_bus_buffer.scala 343:105] + wire _T_1161 = _T_1142 & _T_1160; // @[el2_lsu_bus_buffer.scala 343:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] @@ -1199,7 +1200,7 @@ module el2_lsu_bus_buffer( wire _T_1197 = _T_1196 | _T_1194; // @[Mux.scala 27:72] wire _T_1195 = _T_1039 & _T_1183[3]; // @[Mux.scala 27:72] wire _T_1198 = _T_1197 | _T_1195; // @[Mux.scala 27:72] - wire _T_1200 = _T_1179 & _T_1198; // @[el2_lsu_bus_buffer.scala 343:77] + wire _T_1200 = _T_1179 & _T_1198; // @[el2_lsu_bus_buffer.scala 344:77] wire _T_1209 = _T_1036 & buf_write[0]; // @[Mux.scala 27:72] wire _T_1210 = _T_1037 & buf_write[1]; // @[Mux.scala 27:72] wire _T_1213 = _T_1209 | _T_1210; // @[Mux.scala 27:72] @@ -1207,41 +1208,41 @@ module el2_lsu_bus_buffer( wire _T_1214 = _T_1213 | _T_1211; // @[Mux.scala 27:72] wire _T_1212 = _T_1039 & buf_write[3]; // @[Mux.scala 27:72] wire _T_1215 = _T_1214 | _T_1212; // @[Mux.scala 27:72] - wire _T_1217 = ~_T_1215; // @[el2_lsu_bus_buffer.scala 343:150] - wire _T_1218 = _T_1200 & _T_1217; // @[el2_lsu_bus_buffer.scala 343:148] - wire _T_1219 = ~_T_1218; // @[el2_lsu_bus_buffer.scala 343:8] - wire [3:0] _T_2032 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 431:62] - wire [3:0] _T_2033 = buf_age_3 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] - wire _T_2034 = |_T_2033; // @[el2_lsu_bus_buffer.scala 431:76] - wire _T_2035 = ~_T_2034; // @[el2_lsu_bus_buffer.scala 431:45] - wire _T_2037 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 431:83] - wire _T_2038 = _T_2035 & _T_2037; // @[el2_lsu_bus_buffer.scala 431:81] - wire _T_2040 = _T_2038 & _T_2633; // @[el2_lsu_bus_buffer.scala 431:98] - wire _T_2042 = _T_2040 & _T_4454; // @[el2_lsu_bus_buffer.scala 431:123] - wire [3:0] _T_2022 = buf_age_2 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] - wire _T_2023 = |_T_2022; // @[el2_lsu_bus_buffer.scala 431:76] - wire _T_2024 = ~_T_2023; // @[el2_lsu_bus_buffer.scala 431:45] - wire _T_2026 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 431:83] - wire _T_2027 = _T_2024 & _T_2026; // @[el2_lsu_bus_buffer.scala 431:81] - wire _T_2029 = _T_2027 & _T_2628; // @[el2_lsu_bus_buffer.scala 431:98] - wire _T_2031 = _T_2029 & _T_4449; // @[el2_lsu_bus_buffer.scala 431:123] - wire [3:0] _T_2011 = buf_age_1 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] - wire _T_2012 = |_T_2011; // @[el2_lsu_bus_buffer.scala 431:76] - wire _T_2013 = ~_T_2012; // @[el2_lsu_bus_buffer.scala 431:45] - wire _T_2015 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 431:83] - wire _T_2016 = _T_2013 & _T_2015; // @[el2_lsu_bus_buffer.scala 431:81] - wire _T_2018 = _T_2016 & _T_2623; // @[el2_lsu_bus_buffer.scala 431:98] - wire _T_2020 = _T_2018 & _T_4444; // @[el2_lsu_bus_buffer.scala 431:123] - wire [3:0] _T_2000 = buf_age_0 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] - wire _T_2001 = |_T_2000; // @[el2_lsu_bus_buffer.scala 431:76] - wire _T_2002 = ~_T_2001; // @[el2_lsu_bus_buffer.scala 431:45] - wire _T_2004 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 431:83] - wire _T_2005 = _T_2002 & _T_2004; // @[el2_lsu_bus_buffer.scala 431:81] - wire _T_2007 = _T_2005 & _T_2618; // @[el2_lsu_bus_buffer.scala 431:98] - wire _T_2009 = _T_2007 & _T_4439; // @[el2_lsu_bus_buffer.scala 431:123] - wire [3:0] CmdPtr1Dec = {_T_2042,_T_2031,_T_2020,_T_2009}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 436:31] - wire _T_1220 = _T_1219 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 343:181] + wire _T_1217 = ~_T_1215; // @[el2_lsu_bus_buffer.scala 344:150] + wire _T_1218 = _T_1200 & _T_1217; // @[el2_lsu_bus_buffer.scala 344:148] + wire _T_1219 = ~_T_1218; // @[el2_lsu_bus_buffer.scala 344:8] + wire [3:0] _T_2035 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 439:62] + wire [3:0] _T_2036 = buf_age_3 & _T_2035; // @[el2_lsu_bus_buffer.scala 439:59] + wire _T_2037 = |_T_2036; // @[el2_lsu_bus_buffer.scala 439:76] + wire _T_2038 = ~_T_2037; // @[el2_lsu_bus_buffer.scala 439:45] + wire _T_2040 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 439:83] + wire _T_2041 = _T_2038 & _T_2040; // @[el2_lsu_bus_buffer.scala 439:81] + wire _T_2043 = _T_2041 & _T_2636; // @[el2_lsu_bus_buffer.scala 439:98] + wire _T_2045 = _T_2043 & _T_4457; // @[el2_lsu_bus_buffer.scala 439:123] + wire [3:0] _T_2025 = buf_age_2 & _T_2035; // @[el2_lsu_bus_buffer.scala 439:59] + wire _T_2026 = |_T_2025; // @[el2_lsu_bus_buffer.scala 439:76] + wire _T_2027 = ~_T_2026; // @[el2_lsu_bus_buffer.scala 439:45] + wire _T_2029 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 439:83] + wire _T_2030 = _T_2027 & _T_2029; // @[el2_lsu_bus_buffer.scala 439:81] + wire _T_2032 = _T_2030 & _T_2631; // @[el2_lsu_bus_buffer.scala 439:98] + wire _T_2034 = _T_2032 & _T_4452; // @[el2_lsu_bus_buffer.scala 439:123] + wire [3:0] _T_2014 = buf_age_1 & _T_2035; // @[el2_lsu_bus_buffer.scala 439:59] + wire _T_2015 = |_T_2014; // @[el2_lsu_bus_buffer.scala 439:76] + wire _T_2016 = ~_T_2015; // @[el2_lsu_bus_buffer.scala 439:45] + wire _T_2018 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 439:83] + wire _T_2019 = _T_2016 & _T_2018; // @[el2_lsu_bus_buffer.scala 439:81] + wire _T_2021 = _T_2019 & _T_2626; // @[el2_lsu_bus_buffer.scala 439:98] + wire _T_2023 = _T_2021 & _T_4447; // @[el2_lsu_bus_buffer.scala 439:123] + wire [3:0] _T_2003 = buf_age_0 & _T_2035; // @[el2_lsu_bus_buffer.scala 439:59] + wire _T_2004 = |_T_2003; // @[el2_lsu_bus_buffer.scala 439:76] + wire _T_2005 = ~_T_2004; // @[el2_lsu_bus_buffer.scala 439:45] + wire _T_2007 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 439:83] + wire _T_2008 = _T_2005 & _T_2007; // @[el2_lsu_bus_buffer.scala 439:81] + wire _T_2010 = _T_2008 & _T_2621; // @[el2_lsu_bus_buffer.scala 439:98] + wire _T_2012 = _T_2010 & _T_4442; // @[el2_lsu_bus_buffer.scala 439:123] + wire [3:0] CmdPtr1Dec = {_T_2045,_T_2034,_T_2023,_T_2012}; // @[Cat.scala 29:58] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 444:31] + wire _T_1220 = _T_1219 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 344:181] wire [3:0] _T_1223 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1232 = _T_1036 & _T_1223[0]; // @[Mux.scala 27:72] wire _T_1233 = _T_1037 & _T_1223[1]; // @[Mux.scala 27:72] @@ -1250,77 +1251,77 @@ module el2_lsu_bus_buffer( wire _T_1237 = _T_1236 | _T_1234; // @[Mux.scala 27:72] wire _T_1235 = _T_1039 & _T_1223[3]; // @[Mux.scala 27:72] wire _T_1238 = _T_1237 | _T_1235; // @[Mux.scala 27:72] - wire _T_1240 = _T_1220 | _T_1238; // @[el2_lsu_bus_buffer.scala 343:197] - wire _T_1241 = _T_1240 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 343:269] - wire _T_1242 = _T_1161 & _T_1241; // @[el2_lsu_bus_buffer.scala 342:164] - wire _T_1243 = _T_1107 | _T_1242; // @[el2_lsu_bus_buffer.scala 340:98] + wire _T_1240 = _T_1220 | _T_1238; // @[el2_lsu_bus_buffer.scala 344:197] + wire _T_1241 = _T_1240 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 344:269] + wire _T_1242 = _T_1161 & _T_1241; // @[el2_lsu_bus_buffer.scala 343:164] + wire _T_1243 = _T_1107 | _T_1242; // @[el2_lsu_bus_buffer.scala 341:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 405:54] - reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 406:55] - wire _T_4860 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 610:54] - wire _T_4861 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 610:75] - wire _T_4863 = _T_4860 ? _T_4861 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 610:39] - wire bus_cmd_ready = obuf_write ? _T_4863 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 610:23] - wire _T_1244 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 344:48] - wire _T_1245 = bus_cmd_ready | _T_1244; // @[el2_lsu_bus_buffer.scala 344:46] + reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 406:54] + reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 407:55] + wire _T_4863 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 618:54] + wire _T_4864 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 618:75] + wire _T_4866 = _T_4863 ? _T_4864 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 618:39] + wire bus_cmd_ready = obuf_write ? _T_4866 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 618:23] + wire _T_1244 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 345:48] + wire _T_1245 = bus_cmd_ready | _T_1244; // @[el2_lsu_bus_buffer.scala 345:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1246 = _T_1245 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 344:60] - wire _T_1247 = _T_1243 & _T_1246; // @[el2_lsu_bus_buffer.scala 344:29] - wire _T_1248 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 344:77] - wire _T_1249 = _T_1247 & _T_1248; // @[el2_lsu_bus_buffer.scala 344:75] + wire _T_1246 = _T_1245 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 345:60] + wire _T_1247 = _T_1243 & _T_1246; // @[el2_lsu_bus_buffer.scala 345:29] + wire _T_1248 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 345:77] + wire _T_1249 = _T_1247 & _T_1248; // @[el2_lsu_bus_buffer.scala 345:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4808 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 608:56] - wire _T_4809 = obuf_valid & _T_4808; // @[el2_lsu_bus_buffer.scala 608:38] - wire _T_4811 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 608:126] - wire _T_4812 = obuf_merge & _T_4811; // @[el2_lsu_bus_buffer.scala 608:114] - wire _T_4813 = _T_3574 | _T_4812; // @[el2_lsu_bus_buffer.scala 608:100] - wire _T_4814 = ~_T_4813; // @[el2_lsu_bus_buffer.scala 608:80] - wire _T_4815 = _T_4809 & _T_4814; // @[el2_lsu_bus_buffer.scala 608:78] - wire _T_4852 = _T_4785 & _T_4815; // @[Mux.scala 27:72] - wire _T_4820 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 608:56] - wire _T_4821 = obuf_valid & _T_4820; // @[el2_lsu_bus_buffer.scala 608:38] - wire _T_4823 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 608:126] - wire _T_4824 = obuf_merge & _T_4823; // @[el2_lsu_bus_buffer.scala 608:114] - wire _T_4825 = _T_3767 | _T_4824; // @[el2_lsu_bus_buffer.scala 608:100] - wire _T_4826 = ~_T_4825; // @[el2_lsu_bus_buffer.scala 608:80] - wire _T_4827 = _T_4821 & _T_4826; // @[el2_lsu_bus_buffer.scala 608:78] - wire _T_4853 = _T_4789 & _T_4827; // @[Mux.scala 27:72] - wire _T_4856 = _T_4852 | _T_4853; // @[Mux.scala 27:72] - wire _T_4832 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 608:56] - wire _T_4833 = obuf_valid & _T_4832; // @[el2_lsu_bus_buffer.scala 608:38] - wire _T_4835 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 608:126] - wire _T_4836 = obuf_merge & _T_4835; // @[el2_lsu_bus_buffer.scala 608:114] - wire _T_4837 = _T_3960 | _T_4836; // @[el2_lsu_bus_buffer.scala 608:100] - wire _T_4838 = ~_T_4837; // @[el2_lsu_bus_buffer.scala 608:80] - wire _T_4839 = _T_4833 & _T_4838; // @[el2_lsu_bus_buffer.scala 608:78] - wire _T_4854 = _T_4793 & _T_4839; // @[Mux.scala 27:72] - wire _T_4857 = _T_4856 | _T_4854; // @[Mux.scala 27:72] - wire _T_4844 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 608:56] - wire _T_4845 = obuf_valid & _T_4844; // @[el2_lsu_bus_buffer.scala 608:38] - wire _T_4847 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 608:126] - wire _T_4848 = obuf_merge & _T_4847; // @[el2_lsu_bus_buffer.scala 608:114] - wire _T_4849 = _T_4153 | _T_4848; // @[el2_lsu_bus_buffer.scala 608:100] - wire _T_4850 = ~_T_4849; // @[el2_lsu_bus_buffer.scala 608:80] - wire _T_4851 = _T_4845 & _T_4850; // @[el2_lsu_bus_buffer.scala 608:78] - wire _T_4855 = _T_4797 & _T_4851; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4857 | _T_4855; // @[Mux.scala 27:72] - wire _T_1252 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 344:118] - wire _T_1253 = _T_1249 & _T_1252; // @[el2_lsu_bus_buffer.scala 344:116] - wire obuf_wr_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 344:142] - wire _T_1255 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 346:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 611:39] - wire _T_4867 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 613:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 612:39] - wire _T_4868 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 613:70] - wire _T_4869 = _T_4867 & _T_4868; // @[el2_lsu_bus_buffer.scala 613:52] - wire _T_4870 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 613:111] - wire bus_cmd_sent = _T_4869 | _T_4870; // @[el2_lsu_bus_buffer.scala 613:89] - wire _T_1256 = bus_cmd_sent | _T_1255; // @[el2_lsu_bus_buffer.scala 346:33] - wire _T_1257 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 346:65] - wire _T_1258 = _T_1256 & _T_1257; // @[el2_lsu_bus_buffer.scala 346:63] - wire _T_1259 = _T_1258 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 346:77] - wire obuf_rst = _T_1259 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 346:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : _T_1215; // @[el2_lsu_bus_buffer.scala 347:26] + wire _T_4811 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 616:56] + wire _T_4812 = obuf_valid & _T_4811; // @[el2_lsu_bus_buffer.scala 616:38] + wire _T_4814 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 616:126] + wire _T_4815 = obuf_merge & _T_4814; // @[el2_lsu_bus_buffer.scala 616:114] + wire _T_4816 = _T_3577 | _T_4815; // @[el2_lsu_bus_buffer.scala 616:100] + wire _T_4817 = ~_T_4816; // @[el2_lsu_bus_buffer.scala 616:80] + wire _T_4818 = _T_4812 & _T_4817; // @[el2_lsu_bus_buffer.scala 616:78] + wire _T_4855 = _T_4788 & _T_4818; // @[Mux.scala 27:72] + wire _T_4823 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 616:56] + wire _T_4824 = obuf_valid & _T_4823; // @[el2_lsu_bus_buffer.scala 616:38] + wire _T_4826 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 616:126] + wire _T_4827 = obuf_merge & _T_4826; // @[el2_lsu_bus_buffer.scala 616:114] + wire _T_4828 = _T_3770 | _T_4827; // @[el2_lsu_bus_buffer.scala 616:100] + wire _T_4829 = ~_T_4828; // @[el2_lsu_bus_buffer.scala 616:80] + wire _T_4830 = _T_4824 & _T_4829; // @[el2_lsu_bus_buffer.scala 616:78] + wire _T_4856 = _T_4792 & _T_4830; // @[Mux.scala 27:72] + wire _T_4859 = _T_4855 | _T_4856; // @[Mux.scala 27:72] + wire _T_4835 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 616:56] + wire _T_4836 = obuf_valid & _T_4835; // @[el2_lsu_bus_buffer.scala 616:38] + wire _T_4838 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 616:126] + wire _T_4839 = obuf_merge & _T_4838; // @[el2_lsu_bus_buffer.scala 616:114] + wire _T_4840 = _T_3963 | _T_4839; // @[el2_lsu_bus_buffer.scala 616:100] + wire _T_4841 = ~_T_4840; // @[el2_lsu_bus_buffer.scala 616:80] + wire _T_4842 = _T_4836 & _T_4841; // @[el2_lsu_bus_buffer.scala 616:78] + wire _T_4857 = _T_4796 & _T_4842; // @[Mux.scala 27:72] + wire _T_4860 = _T_4859 | _T_4857; // @[Mux.scala 27:72] + wire _T_4847 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 616:56] + wire _T_4848 = obuf_valid & _T_4847; // @[el2_lsu_bus_buffer.scala 616:38] + wire _T_4850 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 616:126] + wire _T_4851 = obuf_merge & _T_4850; // @[el2_lsu_bus_buffer.scala 616:114] + wire _T_4852 = _T_4156 | _T_4851; // @[el2_lsu_bus_buffer.scala 616:100] + wire _T_4853 = ~_T_4852; // @[el2_lsu_bus_buffer.scala 616:80] + wire _T_4854 = _T_4848 & _T_4853; // @[el2_lsu_bus_buffer.scala 616:78] + wire _T_4858 = _T_4800 & _T_4854; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4860 | _T_4858; // @[Mux.scala 27:72] + wire _T_1252 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 345:118] + wire _T_1253 = _T_1249 & _T_1252; // @[el2_lsu_bus_buffer.scala 345:116] + wire obuf_wr_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 345:142] + wire _T_1255 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 347:47] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 619:39] + wire _T_4870 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 621:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 620:39] + wire _T_4871 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 621:70] + wire _T_4872 = _T_4870 & _T_4871; // @[el2_lsu_bus_buffer.scala 621:52] + wire _T_4873 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 621:111] + wire bus_cmd_sent = _T_4872 | _T_4873; // @[el2_lsu_bus_buffer.scala 621:89] + wire _T_1256 = bus_cmd_sent | _T_1255; // @[el2_lsu_bus_buffer.scala 347:33] + wire _T_1257 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 347:65] + wire _T_1258 = _T_1256 & _T_1257; // @[el2_lsu_bus_buffer.scala 347:63] + wire _T_1259 = _T_1258 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 347:77] + wire obuf_rst = _T_1259 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 347:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : _T_1215; // @[el2_lsu_bus_buffer.scala 348:26] wire [31:0] _T_1296 = _T_1036 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1297 = _T_1037 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1298 = _T_1038 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -1328,7 +1329,7 @@ module el2_lsu_bus_buffer( wire [31:0] _T_1300 = _T_1296 | _T_1297; // @[Mux.scala 27:72] wire [31:0] _T_1301 = _T_1300 | _T_1298; // @[Mux.scala 27:72] wire [31:0] _T_1302 = _T_1301 | _T_1299; // @[Mux.scala 27:72] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1302; // @[el2_lsu_bus_buffer.scala 349:25] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1302; // @[el2_lsu_bus_buffer.scala 350:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] wire [1:0] _T_1309 = _T_1036 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] @@ -1340,52 +1341,52 @@ module el2_lsu_bus_buffer( wire [1:0] _T_1313 = _T_1309 | _T_1310; // @[Mux.scala 27:72] wire [1:0] _T_1314 = _T_1313 | _T_1311; // @[Mux.scala 27:72] wire [1:0] _T_1315 = _T_1314 | _T_1312; // @[Mux.scala 27:72] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1315; // @[el2_lsu_bus_buffer.scala 352:23] - wire _T_1317 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 361:39] - wire _T_1318 = ~_T_1317; // @[el2_lsu_bus_buffer.scala 361:26] - wire _T_1324 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 365:72] - wire _T_1327 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 365:98] - wire _T_1328 = obuf_sz_in[0] & _T_1327; // @[el2_lsu_bus_buffer.scala 365:96] - wire _T_1329 = _T_1324 | _T_1328; // @[el2_lsu_bus_buffer.scala 365:79] - wire _T_1332 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 365:153] - wire _T_1333 = ~_T_1332; // @[el2_lsu_bus_buffer.scala 365:134] - wire _T_1334 = obuf_sz_in[1] & _T_1333; // @[el2_lsu_bus_buffer.scala 365:132] - wire _T_1335 = _T_1329 | _T_1334; // @[el2_lsu_bus_buffer.scala 365:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1335; // @[el2_lsu_bus_buffer.scala 365:28] - wire _T_1352 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 379:40] - wire _T_1353 = _T_1352 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 379:60] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1315; // @[el2_lsu_bus_buffer.scala 353:23] + wire _T_1317 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 362:39] + wire _T_1318 = ~_T_1317; // @[el2_lsu_bus_buffer.scala 362:26] + wire _T_1324 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 366:72] + wire _T_1327 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 366:98] + wire _T_1328 = obuf_sz_in[0] & _T_1327; // @[el2_lsu_bus_buffer.scala 366:96] + wire _T_1329 = _T_1324 | _T_1328; // @[el2_lsu_bus_buffer.scala 366:79] + wire _T_1332 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 366:153] + wire _T_1333 = ~_T_1332; // @[el2_lsu_bus_buffer.scala 366:134] + wire _T_1334 = obuf_sz_in[1] & _T_1333; // @[el2_lsu_bus_buffer.scala 366:132] + wire _T_1335 = _T_1329 | _T_1334; // @[el2_lsu_bus_buffer.scala 366:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1335; // @[el2_lsu_bus_buffer.scala 366:28] + wire _T_1352 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 380:40] + wire _T_1353 = _T_1352 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 380:60] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1354 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 379:80] - wire _T_1355 = _T_1353 & _T_1354; // @[el2_lsu_bus_buffer.scala 379:78] - wire _T_1356 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 379:99] - wire _T_1357 = _T_1355 & _T_1356; // @[el2_lsu_bus_buffer.scala 379:97] - wire _T_1358 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 379:113] - wire _T_1359 = _T_1357 & _T_1358; // @[el2_lsu_bus_buffer.scala 379:111] - wire _T_1360 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 379:130] - wire _T_1361 = _T_1359 & _T_1360; // @[el2_lsu_bus_buffer.scala 379:128] - wire _T_1362 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 380:20] - wire _T_1363 = obuf_valid & _T_1362; // @[el2_lsu_bus_buffer.scala 380:18] - reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 407:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 614:37] - reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 408:55] - wire _T_1364 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 380:90] - wire _T_1365 = bus_rsp_read & _T_1364; // @[el2_lsu_bus_buffer.scala 380:70] - wire _T_1366 = ~_T_1365; // @[el2_lsu_bus_buffer.scala 380:55] - wire _T_1367 = obuf_rdrsp_pend & _T_1366; // @[el2_lsu_bus_buffer.scala 380:53] - wire _T_1368 = _T_1363 | _T_1367; // @[el2_lsu_bus_buffer.scala 380:34] - wire obuf_nosend_in = _T_1361 & _T_1368; // @[el2_lsu_bus_buffer.scala 379:165] - wire _T_1336 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 373:44] - wire _T_1337 = obuf_wr_en & _T_1336; // @[el2_lsu_bus_buffer.scala 373:42] - wire _T_1338 = ~_T_1337; // @[el2_lsu_bus_buffer.scala 373:29] - wire _T_1339 = _T_1338 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 373:61] - wire _T_1343 = _T_1339 & _T_1366; // @[el2_lsu_bus_buffer.scala 373:79] - wire _T_1345 = bus_cmd_sent & _T_1356; // @[el2_lsu_bus_buffer.scala 374:20] - wire _T_1346 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 374:37] - wire _T_1347 = _T_1345 & _T_1346; // @[el2_lsu_bus_buffer.scala 374:35] - wire _T_1349 = bus_cmd_sent | _T_1356; // @[el2_lsu_bus_buffer.scala 376:44] + wire _T_1354 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 380:80] + wire _T_1355 = _T_1353 & _T_1354; // @[el2_lsu_bus_buffer.scala 380:78] + wire _T_1356 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 380:99] + wire _T_1357 = _T_1355 & _T_1356; // @[el2_lsu_bus_buffer.scala 380:97] + wire _T_1358 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 380:113] + wire _T_1359 = _T_1357 & _T_1358; // @[el2_lsu_bus_buffer.scala 380:111] + wire _T_1360 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 380:130] + wire _T_1361 = _T_1359 & _T_1360; // @[el2_lsu_bus_buffer.scala 380:128] + wire _T_1362 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 381:20] + wire _T_1363 = obuf_valid & _T_1362; // @[el2_lsu_bus_buffer.scala 381:18] + reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 408:56] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 622:37] + reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 409:55] + wire _T_1364 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 381:90] + wire _T_1365 = bus_rsp_read & _T_1364; // @[el2_lsu_bus_buffer.scala 381:70] + wire _T_1366 = ~_T_1365; // @[el2_lsu_bus_buffer.scala 381:55] + wire _T_1367 = obuf_rdrsp_pend & _T_1366; // @[el2_lsu_bus_buffer.scala 381:53] + wire _T_1368 = _T_1363 | _T_1367; // @[el2_lsu_bus_buffer.scala 381:34] + wire obuf_nosend_in = _T_1361 & _T_1368; // @[el2_lsu_bus_buffer.scala 380:165] + wire _T_1336 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 374:44] + wire _T_1337 = obuf_wr_en & _T_1336; // @[el2_lsu_bus_buffer.scala 374:42] + wire _T_1338 = ~_T_1337; // @[el2_lsu_bus_buffer.scala 374:29] + wire _T_1339 = _T_1338 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 374:61] + wire _T_1343 = _T_1339 & _T_1366; // @[el2_lsu_bus_buffer.scala 374:79] + wire _T_1345 = bus_cmd_sent & _T_1356; // @[el2_lsu_bus_buffer.scala 375:20] + wire _T_1346 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 375:37] + wire _T_1347 = _T_1345 & _T_1346; // @[el2_lsu_bus_buffer.scala 375:35] + wire _T_1349 = bus_cmd_sent | _T_1356; // @[el2_lsu_bus_buffer.scala 377:44] wire [7:0] _T_1371 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1372 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1373 = io_lsu_addr_r[2] ? _T_1371 : _T_1372; // @[el2_lsu_bus_buffer.scala 381:46] + wire [7:0] _T_1373 = io_lsu_addr_r[2] ? _T_1371 : _T_1372; // @[el2_lsu_bus_buffer.scala 382:46] wire [3:0] _T_1392 = _T_1036 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_1393 = _T_1037 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_1394 = _T_1038 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] @@ -1395,18 +1396,18 @@ module el2_lsu_bus_buffer( wire [3:0] _T_1398 = _T_1397 | _T_1395; // @[Mux.scala 27:72] wire [7:0] _T_1400 = {_T_1398,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1413 = {4'h0,_T_1398}; // @[Cat.scala 29:58] - wire [7:0] _T_1414 = _T_1302[2] ? _T_1400 : _T_1413; // @[el2_lsu_bus_buffer.scala 382:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1373 : _T_1414; // @[el2_lsu_bus_buffer.scala 381:28] + wire [7:0] _T_1414 = _T_1302[2] ? _T_1400 : _T_1413; // @[el2_lsu_bus_buffer.scala 383:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1373 : _T_1414; // @[el2_lsu_bus_buffer.scala 382:28] wire [7:0] _T_1416 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1417 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1418 = io_end_addr_r[2] ? _T_1416 : _T_1417; // @[el2_lsu_bus_buffer.scala 383:46] + wire [7:0] _T_1418 = io_end_addr_r[2] ? _T_1416 : _T_1417; // @[el2_lsu_bus_buffer.scala 384:46] wire [7:0] _T_1445 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1458 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1459 = buf_addr_0[2] ? _T_1445 : _T_1458; // @[el2_lsu_bus_buffer.scala 384:8] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1418 : _T_1459; // @[el2_lsu_bus_buffer.scala 383:28] + wire [7:0] _T_1459 = buf_addr_0[2] ? _T_1445 : _T_1458; // @[el2_lsu_bus_buffer.scala 385:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1418 : _T_1459; // @[el2_lsu_bus_buffer.scala 384:28] wire [63:0] _T_1461 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1462 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1463 = io_lsu_addr_r[2] ? _T_1461 : _T_1462; // @[el2_lsu_bus_buffer.scala 386:44] + wire [63:0] _T_1463 = io_lsu_addr_r[2] ? _T_1461 : _T_1462; // @[el2_lsu_bus_buffer.scala 387:44] wire [31:0] _T_1482 = _T_1036 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1483 = _T_1037 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1484 = _T_1038 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -1416,23 +1417,23 @@ module el2_lsu_bus_buffer( wire [31:0] _T_1488 = _T_1487 | _T_1485; // @[Mux.scala 27:72] wire [63:0] _T_1490 = {_T_1488,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1503 = {32'h0,_T_1488}; // @[Cat.scala 29:58] - wire [63:0] _T_1504 = _T_1302[2] ? _T_1490 : _T_1503; // @[el2_lsu_bus_buffer.scala 387:8] - wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1463 : _T_1504; // @[el2_lsu_bus_buffer.scala 386:26] + wire [63:0] _T_1504 = _T_1302[2] ? _T_1490 : _T_1503; // @[el2_lsu_bus_buffer.scala 388:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1463 : _T_1504; // @[el2_lsu_bus_buffer.scala 387:26] wire [63:0] _T_1506 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1507 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1508 = io_lsu_addr_r[2] ? _T_1506 : _T_1507; // @[el2_lsu_bus_buffer.scala 388:44] + wire [63:0] _T_1508 = io_lsu_addr_r[2] ? _T_1506 : _T_1507; // @[el2_lsu_bus_buffer.scala 389:44] wire [63:0] _T_1535 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1548 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1549 = buf_addr_0[2] ? _T_1535 : _T_1548; // @[el2_lsu_bus_buffer.scala 389:8] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1508 : _T_1549; // @[el2_lsu_bus_buffer.scala 388:26] - wire _T_1634 = CmdPtr0 != 2'h0; // @[el2_lsu_bus_buffer.scala 395:30] - wire _T_1635 = _T_1634 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 395:43] - wire _T_1636 = _T_1635 & found_cmdptr1; // @[el2_lsu_bus_buffer.scala 395:59] - wire _T_1650 = _T_1636 & _T_1120; // @[el2_lsu_bus_buffer.scala 395:75] - wire _T_1664 = _T_1650 & _T_2618; // @[el2_lsu_bus_buffer.scala 395:118] - wire _T_1685 = _T_1664 & _T_1141; // @[el2_lsu_bus_buffer.scala 395:161] - wire _T_1703 = _T_1685 & _T_1066; // @[el2_lsu_bus_buffer.scala 396:83] - wire _T_1805 = _T_1217 & _T_1179; // @[el2_lsu_bus_buffer.scala 399:36] + wire [63:0] _T_1549 = buf_addr_0[2] ? _T_1535 : _T_1548; // @[el2_lsu_bus_buffer.scala 390:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1508 : _T_1549; // @[el2_lsu_bus_buffer.scala 389:26] + wire _T_1634 = CmdPtr0 != 2'h0; // @[el2_lsu_bus_buffer.scala 396:30] + wire _T_1635 = _T_1634 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 396:43] + wire _T_1636 = _T_1635 & found_cmdptr1; // @[el2_lsu_bus_buffer.scala 396:59] + wire _T_1650 = _T_1636 & _T_1120; // @[el2_lsu_bus_buffer.scala 396:75] + wire _T_1664 = _T_1650 & _T_2621; // @[el2_lsu_bus_buffer.scala 396:118] + wire _T_1685 = _T_1664 & _T_1141; // @[el2_lsu_bus_buffer.scala 396:161] + wire _T_1703 = _T_1685 & _T_1066; // @[el2_lsu_bus_buffer.scala 397:83] + wire _T_1805 = _T_1217 & _T_1179; // @[el2_lsu_bus_buffer.scala 400:36] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] @@ -1445,1192 +1446,1197 @@ module el2_lsu_bus_buffer( wire _T_1822 = _T_1821 | _T_1819; // @[Mux.scala 27:72] wire _T_1820 = _T_1039 & _T_1808[3]; // @[Mux.scala 27:72] wire _T_1823 = _T_1822 | _T_1820; // @[Mux.scala 27:72] - wire _T_1825 = ~_T_1823; // @[el2_lsu_bus_buffer.scala 399:107] - wire _T_1826 = _T_1805 & _T_1825; // @[el2_lsu_bus_buffer.scala 399:105] - wire _T_1846 = _T_1826 & _T_1198; // @[el2_lsu_bus_buffer.scala 399:177] - wire _T_1848 = _T_1703 & _T_1846; // @[el2_lsu_bus_buffer.scala 396:120] - wire _T_1849 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 400:19] - wire _T_1850 = _T_1849 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 400:35] - wire obuf_merge_en = _T_1848 | _T_1850; // @[el2_lsu_bus_buffer.scala 399:251] - wire _T_1552 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 390:80] - wire _T_1553 = obuf_byteen0_in[0] | _T_1552; // @[el2_lsu_bus_buffer.scala 390:63] - wire _T_1556 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 390:80] - wire _T_1557 = obuf_byteen0_in[1] | _T_1556; // @[el2_lsu_bus_buffer.scala 390:63] - wire _T_1560 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 390:80] - wire _T_1561 = obuf_byteen0_in[2] | _T_1560; // @[el2_lsu_bus_buffer.scala 390:63] - wire _T_1564 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 390:80] - wire _T_1565 = obuf_byteen0_in[3] | _T_1564; // @[el2_lsu_bus_buffer.scala 390:63] - wire _T_1568 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 390:80] - wire _T_1569 = obuf_byteen0_in[4] | _T_1568; // @[el2_lsu_bus_buffer.scala 390:63] - wire _T_1572 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 390:80] - wire _T_1573 = obuf_byteen0_in[5] | _T_1572; // @[el2_lsu_bus_buffer.scala 390:63] - wire _T_1576 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 390:80] - wire _T_1577 = obuf_byteen0_in[6] | _T_1576; // @[el2_lsu_bus_buffer.scala 390:63] - wire _T_1580 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 390:80] - wire _T_1581 = obuf_byteen0_in[7] | _T_1580; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1825 = ~_T_1823; // @[el2_lsu_bus_buffer.scala 400:107] + wire _T_1826 = _T_1805 & _T_1825; // @[el2_lsu_bus_buffer.scala 400:105] + wire _T_1846 = _T_1826 & _T_1198; // @[el2_lsu_bus_buffer.scala 400:177] + wire _T_1848 = _T_1703 & _T_1846; // @[el2_lsu_bus_buffer.scala 397:120] + wire _T_1849 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 401:19] + wire _T_1850 = _T_1849 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 401:35] + wire obuf_merge_en = _T_1848 | _T_1850; // @[el2_lsu_bus_buffer.scala 400:251] + wire _T_1552 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 391:80] + wire _T_1553 = obuf_byteen0_in[0] | _T_1552; // @[el2_lsu_bus_buffer.scala 391:63] + wire _T_1556 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 391:80] + wire _T_1557 = obuf_byteen0_in[1] | _T_1556; // @[el2_lsu_bus_buffer.scala 391:63] + wire _T_1560 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 391:80] + wire _T_1561 = obuf_byteen0_in[2] | _T_1560; // @[el2_lsu_bus_buffer.scala 391:63] + wire _T_1564 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 391:80] + wire _T_1565 = obuf_byteen0_in[3] | _T_1564; // @[el2_lsu_bus_buffer.scala 391:63] + wire _T_1568 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 391:80] + wire _T_1569 = obuf_byteen0_in[4] | _T_1568; // @[el2_lsu_bus_buffer.scala 391:63] + wire _T_1572 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 391:80] + wire _T_1573 = obuf_byteen0_in[5] | _T_1572; // @[el2_lsu_bus_buffer.scala 391:63] + wire _T_1576 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 391:80] + wire _T_1577 = obuf_byteen0_in[6] | _T_1576; // @[el2_lsu_bus_buffer.scala 391:63] + wire _T_1580 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 391:80] + wire _T_1581 = obuf_byteen0_in[7] | _T_1580; // @[el2_lsu_bus_buffer.scala 391:63] wire [7:0] obuf_byteen_in = {_T_1581,_T_1577,_T_1573,_T_1569,_T_1565,_T_1561,_T_1557,_T_1553}; // @[Cat.scala 29:58] - wire [7:0] _T_1592 = _T_1552 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 391:44] - wire [7:0] _T_1597 = _T_1556 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 391:44] - wire [7:0] _T_1602 = _T_1560 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 391:44] - wire [7:0] _T_1607 = _T_1564 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 391:44] - wire [7:0] _T_1612 = _T_1568 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 391:44] - wire [7:0] _T_1617 = _T_1572 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 391:44] - wire [7:0] _T_1622 = _T_1576 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 391:44] - wire [7:0] _T_1627 = _T_1580 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1592 = _T_1552 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 392:44] + wire [7:0] _T_1597 = _T_1556 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 392:44] + wire [7:0] _T_1602 = _T_1560 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 392:44] + wire [7:0] _T_1607 = _T_1564 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 392:44] + wire [7:0] _T_1612 = _T_1568 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 392:44] + wire [7:0] _T_1617 = _T_1572 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 392:44] + wire [7:0] _T_1622 = _T_1576 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 392:44] + wire [7:0] _T_1627 = _T_1580 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 392:44] wire [55:0] _T_1633 = {_T_1627,_T_1622,_T_1617,_T_1612,_T_1607,_T_1602,_T_1597}; // @[Cat.scala 29:58] - wire _T_1852 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 403:58] - wire _T_1853 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 403:93] + wire _T_1852 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 404:58] + wire _T_1853 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 404:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1866 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 420:59] - wire _T_1867 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 420:97] - wire _T_1868 = ibuf_valid & _T_1867; // @[el2_lsu_bus_buffer.scala 420:86] - wire _T_1869 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 421:33] - wire _T_1870 = io_lsu_busreq_r & _T_1869; // @[el2_lsu_bus_buffer.scala 421:22] - wire _T_1871 = _T_1868 | _T_1870; // @[el2_lsu_bus_buffer.scala 420:106] - wire _T_1872 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 421:72] - wire _T_1873 = io_ldst_dual_r & _T_1872; // @[el2_lsu_bus_buffer.scala 421:60] - wire _T_1874 = _T_1871 | _T_1873; // @[el2_lsu_bus_buffer.scala 421:42] - wire _T_1875 = ~_T_1874; // @[el2_lsu_bus_buffer.scala 420:72] - wire _T_1876 = _T_1866 & _T_1875; // @[el2_lsu_bus_buffer.scala 420:70] - wire _T_1877 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 420:59] - wire _T_1878 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 420:97] - wire _T_1879 = ibuf_valid & _T_1878; // @[el2_lsu_bus_buffer.scala 420:86] - wire _T_1880 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 421:33] - wire _T_1881 = io_lsu_busreq_r & _T_1880; // @[el2_lsu_bus_buffer.scala 421:22] - wire _T_1882 = _T_1879 | _T_1881; // @[el2_lsu_bus_buffer.scala 420:106] - wire _T_1883 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 421:72] - wire _T_1884 = io_ldst_dual_r & _T_1883; // @[el2_lsu_bus_buffer.scala 421:60] - wire _T_1885 = _T_1882 | _T_1884; // @[el2_lsu_bus_buffer.scala 421:42] - wire _T_1886 = ~_T_1885; // @[el2_lsu_bus_buffer.scala 420:72] - wire _T_1887 = _T_1877 & _T_1886; // @[el2_lsu_bus_buffer.scala 420:70] - wire _T_1888 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 420:59] - wire _T_1889 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 420:97] - wire _T_1890 = ibuf_valid & _T_1889; // @[el2_lsu_bus_buffer.scala 420:86] - wire _T_1891 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 421:33] - wire _T_1892 = io_lsu_busreq_r & _T_1891; // @[el2_lsu_bus_buffer.scala 421:22] - wire _T_1893 = _T_1890 | _T_1892; // @[el2_lsu_bus_buffer.scala 420:106] - wire _T_1894 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 421:72] - wire _T_1895 = io_ldst_dual_r & _T_1894; // @[el2_lsu_bus_buffer.scala 421:60] - wire _T_1896 = _T_1893 | _T_1895; // @[el2_lsu_bus_buffer.scala 421:42] - wire _T_1897 = ~_T_1896; // @[el2_lsu_bus_buffer.scala 420:72] - wire _T_1898 = _T_1888 & _T_1897; // @[el2_lsu_bus_buffer.scala 420:70] - wire _T_1899 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 420:59] - wire _T_1900 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 420:97] - wire _T_1901 = ibuf_valid & _T_1900; // @[el2_lsu_bus_buffer.scala 420:86] - wire _T_1902 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 421:33] - wire _T_1903 = io_lsu_busreq_r & _T_1902; // @[el2_lsu_bus_buffer.scala 421:22] - wire _T_1904 = _T_1901 | _T_1903; // @[el2_lsu_bus_buffer.scala 420:106] - wire _T_1905 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 421:72] - wire _T_1906 = io_ldst_dual_r & _T_1905; // @[el2_lsu_bus_buffer.scala 421:60] - wire _T_1907 = _T_1904 | _T_1906; // @[el2_lsu_bus_buffer.scala 421:42] - wire _T_1908 = ~_T_1907; // @[el2_lsu_bus_buffer.scala 420:72] - wire _T_1909 = _T_1899 & _T_1908; // @[el2_lsu_bus_buffer.scala 420:70] + wire _T_1866 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:59] + wire _T_1867 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 422:97] + wire _T_1868 = ibuf_valid & _T_1867; // @[el2_lsu_bus_buffer.scala 422:86] + wire _T_1869 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 423:34] + wire _T_1870 = io_lsu_busreq_r & _T_1869; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1871 = _T_1868 | _T_1870; // @[el2_lsu_bus_buffer.scala 422:106] + wire _T_1872 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1873 = io_ldst_dual_r & _T_1872; // @[el2_lsu_bus_buffer.scala 424:21] + wire _T_1874 = _T_1871 | _T_1873; // @[el2_lsu_bus_buffer.scala 423:44] + wire _T_1875 = ~_T_1874; // @[el2_lsu_bus_buffer.scala 422:72] + wire _T_1876 = _T_1866 & _T_1875; // @[el2_lsu_bus_buffer.scala 422:70] + wire _T_1877 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:59] + wire _T_1878 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 422:97] + wire _T_1879 = ibuf_valid & _T_1878; // @[el2_lsu_bus_buffer.scala 422:86] + wire _T_1880 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 423:34] + wire _T_1881 = io_lsu_busreq_r & _T_1880; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1882 = _T_1879 | _T_1881; // @[el2_lsu_bus_buffer.scala 422:106] + wire _T_1883 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1884 = io_ldst_dual_r & _T_1883; // @[el2_lsu_bus_buffer.scala 424:21] + wire _T_1885 = _T_1882 | _T_1884; // @[el2_lsu_bus_buffer.scala 423:44] + wire _T_1886 = ~_T_1885; // @[el2_lsu_bus_buffer.scala 422:72] + wire _T_1887 = _T_1877 & _T_1886; // @[el2_lsu_bus_buffer.scala 422:70] + wire _T_1888 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:59] + wire _T_1889 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 422:97] + wire _T_1890 = ibuf_valid & _T_1889; // @[el2_lsu_bus_buffer.scala 422:86] + wire _T_1891 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 423:34] + wire _T_1892 = io_lsu_busreq_r & _T_1891; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1893 = _T_1890 | _T_1892; // @[el2_lsu_bus_buffer.scala 422:106] + wire _T_1894 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1895 = io_ldst_dual_r & _T_1894; // @[el2_lsu_bus_buffer.scala 424:21] + wire _T_1896 = _T_1893 | _T_1895; // @[el2_lsu_bus_buffer.scala 423:44] + wire _T_1897 = ~_T_1896; // @[el2_lsu_bus_buffer.scala 422:72] + wire _T_1898 = _T_1888 & _T_1897; // @[el2_lsu_bus_buffer.scala 422:70] + wire _T_1899 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:59] + wire _T_1900 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 422:97] + wire _T_1901 = ibuf_valid & _T_1900; // @[el2_lsu_bus_buffer.scala 422:86] + wire _T_1902 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 423:34] + wire _T_1903 = io_lsu_busreq_r & _T_1902; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1904 = _T_1901 | _T_1903; // @[el2_lsu_bus_buffer.scala 422:106] + wire _T_1905 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1906 = io_ldst_dual_r & _T_1905; // @[el2_lsu_bus_buffer.scala 424:21] + wire _T_1907 = _T_1904 | _T_1906; // @[el2_lsu_bus_buffer.scala 423:44] + wire _T_1908 = ~_T_1907; // @[el2_lsu_bus_buffer.scala 422:72] + wire _T_1909 = _T_1899 & _T_1908; // @[el2_lsu_bus_buffer.scala 422:70] wire [1:0] _T_1910 = _T_1909 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] wire [1:0] _T_1911 = _T_1898 ? 2'h2 : _T_1910; // @[Mux.scala 98:16] wire [1:0] _T_1912 = _T_1887 ? 2'h1 : _T_1911; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1876 ? 2'h0 : _T_1912; // @[Mux.scala 98:16] - wire _T_1917 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1918 = io_lsu_busreq_m & _T_1917; // @[el2_lsu_bus_buffer.scala 424:22] - wire _T_1919 = _T_1868 | _T_1918; // @[el2_lsu_bus_buffer.scala 423:106] - wire _T_1922 = _T_1919 | _T_1870; // @[el2_lsu_bus_buffer.scala 424:42] - wire _T_1925 = _T_1922 | _T_1873; // @[el2_lsu_bus_buffer.scala 424:83] - wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 423:72] - wire _T_1927 = _T_1866 & _T_1926; // @[el2_lsu_bus_buffer.scala 423:70] - wire _T_1931 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1932 = io_lsu_busreq_m & _T_1931; // @[el2_lsu_bus_buffer.scala 424:22] - wire _T_1933 = _T_1879 | _T_1932; // @[el2_lsu_bus_buffer.scala 423:106] - wire _T_1936 = _T_1933 | _T_1881; // @[el2_lsu_bus_buffer.scala 424:42] - wire _T_1939 = _T_1936 | _T_1884; // @[el2_lsu_bus_buffer.scala 424:83] - wire _T_1940 = ~_T_1939; // @[el2_lsu_bus_buffer.scala 423:72] - wire _T_1941 = _T_1877 & _T_1940; // @[el2_lsu_bus_buffer.scala 423:70] - wire _T_1945 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1946 = io_lsu_busreq_m & _T_1945; // @[el2_lsu_bus_buffer.scala 424:22] - wire _T_1947 = _T_1890 | _T_1946; // @[el2_lsu_bus_buffer.scala 423:106] - wire _T_1950 = _T_1947 | _T_1892; // @[el2_lsu_bus_buffer.scala 424:42] - wire _T_1953 = _T_1950 | _T_1895; // @[el2_lsu_bus_buffer.scala 424:83] - wire _T_1954 = ~_T_1953; // @[el2_lsu_bus_buffer.scala 423:72] - wire _T_1955 = _T_1888 & _T_1954; // @[el2_lsu_bus_buffer.scala 423:70] - wire _T_1959 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1960 = io_lsu_busreq_m & _T_1959; // @[el2_lsu_bus_buffer.scala 424:22] - wire _T_1961 = _T_1901 | _T_1960; // @[el2_lsu_bus_buffer.scala 423:106] - wire _T_1964 = _T_1961 | _T_1903; // @[el2_lsu_bus_buffer.scala 424:42] - wire _T_1967 = _T_1964 | _T_1906; // @[el2_lsu_bus_buffer.scala 424:83] - wire _T_1968 = ~_T_1967; // @[el2_lsu_bus_buffer.scala 423:72] - wire _T_1969 = _T_1899 & _T_1968; // @[el2_lsu_bus_buffer.scala 423:70] - wire [1:0] _T_1970 = _T_1969 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1971 = _T_1955 ? 2'h2 : _T_1970; // @[Mux.scala 98:16] - wire [1:0] _T_1972 = _T_1941 ? 2'h1 : _T_1971; // @[Mux.scala 98:16] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 554:63] - wire _T_2758 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 467:104] - wire _T_2759 = buf_rspageQ_0[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 467:89] - wire _T_2755 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 467:104] - wire _T_2756 = buf_rspageQ_0[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 467:89] - wire _T_2752 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 467:104] - wire _T_2753 = buf_rspageQ_0[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 467:89] - wire _T_2749 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 467:104] - wire _T_2750 = buf_rspageQ_0[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 467:89] - wire [3:0] buf_rsp_pickage_0 = {_T_2759,_T_2756,_T_2753,_T_2750}; // @[Cat.scala 29:58] - wire _T_2045 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 434:65] - wire _T_2046 = ~_T_2045; // @[el2_lsu_bus_buffer.scala 434:44] - wire _T_2048 = _T_2046 & _T_2749; // @[el2_lsu_bus_buffer.scala 434:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 554:63] - wire _T_2774 = buf_rspageQ_1[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 467:89] - wire _T_2771 = buf_rspageQ_1[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 467:89] - wire _T_2768 = buf_rspageQ_1[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 467:89] - wire _T_2765 = buf_rspageQ_1[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 467:89] - wire [3:0] buf_rsp_pickage_1 = {_T_2774,_T_2771,_T_2768,_T_2765}; // @[Cat.scala 29:58] - wire _T_2049 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 434:65] - wire _T_2050 = ~_T_2049; // @[el2_lsu_bus_buffer.scala 434:44] - wire _T_2052 = _T_2050 & _T_2752; // @[el2_lsu_bus_buffer.scala 434:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 554:63] - wire _T_2789 = buf_rspageQ_2[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 467:89] - wire _T_2786 = buf_rspageQ_2[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 467:89] - wire _T_2783 = buf_rspageQ_2[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 467:89] - wire _T_2780 = buf_rspageQ_2[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 467:89] - wire [3:0] buf_rsp_pickage_2 = {_T_2789,_T_2786,_T_2783,_T_2780}; // @[Cat.scala 29:58] - wire _T_2053 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 434:65] - wire _T_2054 = ~_T_2053; // @[el2_lsu_bus_buffer.scala 434:44] - wire _T_2056 = _T_2054 & _T_2755; // @[el2_lsu_bus_buffer.scala 434:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 554:63] - wire _T_2804 = buf_rspageQ_3[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 467:89] - wire _T_2801 = buf_rspageQ_3[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 467:89] - wire _T_2798 = buf_rspageQ_3[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 467:89] - wire _T_2795 = buf_rspageQ_3[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 467:89] - wire [3:0] buf_rsp_pickage_3 = {_T_2804,_T_2801,_T_2798,_T_2795}; // @[Cat.scala 29:58] - wire _T_2057 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 434:65] - wire _T_2058 = ~_T_2057; // @[el2_lsu_bus_buffer.scala 434:44] - wire _T_2060 = _T_2058 & _T_2758; // @[el2_lsu_bus_buffer.scala 434:70] - wire [7:0] _T_2116 = {4'h0,_T_2060,_T_2056,_T_2052,_T_2048}; // @[Cat.scala 29:58] - wire _T_2119 = _T_2116[4] | _T_2116[5]; // @[el2_lsu_bus_buffer.scala 438:42] - wire _T_2121 = _T_2119 | _T_2116[6]; // @[el2_lsu_bus_buffer.scala 438:48] - wire _T_2123 = _T_2121 | _T_2116[7]; // @[el2_lsu_bus_buffer.scala 438:54] - wire _T_2126 = _T_2116[2] | _T_2116[3]; // @[el2_lsu_bus_buffer.scala 438:67] - wire _T_2128 = _T_2126 | _T_2116[6]; // @[el2_lsu_bus_buffer.scala 438:73] - wire _T_2130 = _T_2128 | _T_2116[7]; // @[el2_lsu_bus_buffer.scala 438:79] - wire _T_2133 = _T_2116[1] | _T_2116[3]; // @[el2_lsu_bus_buffer.scala 438:92] - wire _T_2135 = _T_2133 | _T_2116[5]; // @[el2_lsu_bus_buffer.scala 438:98] - wire _T_2137 = _T_2135 | _T_2116[7]; // @[el2_lsu_bus_buffer.scala 438:104] - wire [2:0] _T_2139 = {_T_2123,_T_2130,_T_2137}; // @[Cat.scala 29:58] - wire _T_3544 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 497:77] - wire _T_3545 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 497:97] - wire _T_3546 = _T_3544 & _T_3545; // @[el2_lsu_bus_buffer.scala 497:95] - wire _T_3547 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 497:117] - wire _T_3548 = _T_3546 & _T_3547; // @[el2_lsu_bus_buffer.scala 497:112] - wire _T_3549 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 497:144] - wire _T_3550 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 497:166] - wire _T_3551 = _T_3549 & _T_3550; // @[el2_lsu_bus_buffer.scala 497:161] - wire _T_3552 = _T_3548 | _T_3551; // @[el2_lsu_bus_buffer.scala 497:132] - wire _T_3553 = _T_845 & _T_3552; // @[el2_lsu_bus_buffer.scala 497:63] - wire _T_3554 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 497:206] - wire _T_3555 = ibuf_drain_vld & _T_3554; // @[el2_lsu_bus_buffer.scala 497:201] - wire _T_3556 = _T_3553 | _T_3555; // @[el2_lsu_bus_buffer.scala 497:183] - wire _T_3566 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 504:46] - wire _T_3601 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 615:38] - wire _T_3646 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 522:73] - wire _T_3647 = bus_rsp_write & _T_3646; // @[el2_lsu_bus_buffer.scala 522:52] - wire _T_3648 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 523:46] - reg _T_4319; // @[Reg.scala 27:20] - reg _T_4317; // @[Reg.scala 27:20] - reg _T_4315; // @[Reg.scala 27:20] - reg _T_4313; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4319,_T_4317,_T_4315,_T_4313}; // @[Cat.scala 29:58] + wire [8:0] _T_1915 = {buf_state_0,buf_state_1,buf_state_2}; // @[Cat.scala 29:58] + wire _T_1920 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 430:33] + wire _T_1921 = io_lsu_busreq_m & _T_1920; // @[el2_lsu_bus_buffer.scala 430:22] + wire _T_1922 = _T_1868 | _T_1921; // @[el2_lsu_bus_buffer.scala 429:115] + wire _T_1926 = _T_1869 | _T_1873; // @[el2_lsu_bus_buffer.scala 431:46] + wire _T_1927 = io_lsu_busreq_r & _T_1926; // @[el2_lsu_bus_buffer.scala 431:22] + wire _T_1928 = _T_1922 | _T_1927; // @[el2_lsu_bus_buffer.scala 430:42] + wire _T_1929 = ~_T_1928; // @[el2_lsu_bus_buffer.scala 429:81] + wire _T_1930 = _T_1866 & _T_1929; // @[el2_lsu_bus_buffer.scala 429:79] + wire _T_1934 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 430:33] + wire _T_1935 = io_lsu_busreq_m & _T_1934; // @[el2_lsu_bus_buffer.scala 430:22] + wire _T_1936 = _T_1879 | _T_1935; // @[el2_lsu_bus_buffer.scala 429:115] + wire _T_1940 = _T_1880 | _T_1884; // @[el2_lsu_bus_buffer.scala 431:46] + wire _T_1941 = io_lsu_busreq_r & _T_1940; // @[el2_lsu_bus_buffer.scala 431:22] + wire _T_1942 = _T_1936 | _T_1941; // @[el2_lsu_bus_buffer.scala 430:42] + wire _T_1943 = ~_T_1942; // @[el2_lsu_bus_buffer.scala 429:81] + wire _T_1944 = _T_1877 & _T_1943; // @[el2_lsu_bus_buffer.scala 429:79] + wire _T_1948 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 430:33] + wire _T_1949 = io_lsu_busreq_m & _T_1948; // @[el2_lsu_bus_buffer.scala 430:22] + wire _T_1950 = _T_1890 | _T_1949; // @[el2_lsu_bus_buffer.scala 429:115] + wire _T_1954 = _T_1891 | _T_1895; // @[el2_lsu_bus_buffer.scala 431:46] + wire _T_1955 = io_lsu_busreq_r & _T_1954; // @[el2_lsu_bus_buffer.scala 431:22] + wire _T_1956 = _T_1950 | _T_1955; // @[el2_lsu_bus_buffer.scala 430:42] + wire _T_1957 = ~_T_1956; // @[el2_lsu_bus_buffer.scala 429:81] + wire _T_1958 = _T_1888 & _T_1957; // @[el2_lsu_bus_buffer.scala 429:79] + wire _T_1962 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 430:33] + wire _T_1963 = io_lsu_busreq_m & _T_1962; // @[el2_lsu_bus_buffer.scala 430:22] + wire _T_1964 = _T_1901 | _T_1963; // @[el2_lsu_bus_buffer.scala 429:115] + wire _T_1968 = _T_1902 | _T_1906; // @[el2_lsu_bus_buffer.scala 431:46] + wire _T_1969 = io_lsu_busreq_r & _T_1968; // @[el2_lsu_bus_buffer.scala 431:22] + wire _T_1970 = _T_1964 | _T_1969; // @[el2_lsu_bus_buffer.scala 430:42] + wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 429:81] + wire _T_1972 = _T_1899 & _T_1971; // @[el2_lsu_bus_buffer.scala 429:79] + wire [1:0] _T_1973 = _T_1972 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1974 = _T_1958 ? 2'h2 : _T_1973; // @[Mux.scala 98:16] + wire [1:0] _T_1975 = _T_1944 ? 2'h1 : _T_1974; // @[Mux.scala 98:16] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 562:63] + wire _T_2761 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 475:104] + wire _T_2762 = buf_rspageQ_0[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2758 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 475:104] + wire _T_2759 = buf_rspageQ_0[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2755 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 475:104] + wire _T_2756 = buf_rspageQ_0[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2752 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 475:104] + wire _T_2753 = buf_rspageQ_0[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 475:89] + wire [3:0] buf_rsp_pickage_0 = {_T_2762,_T_2759,_T_2756,_T_2753}; // @[Cat.scala 29:58] + wire _T_2048 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 442:65] + wire _T_2049 = ~_T_2048; // @[el2_lsu_bus_buffer.scala 442:44] + wire _T_2051 = _T_2049 & _T_2752; // @[el2_lsu_bus_buffer.scala 442:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 562:63] + wire _T_2777 = buf_rspageQ_1[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2774 = buf_rspageQ_1[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2771 = buf_rspageQ_1[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2768 = buf_rspageQ_1[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 475:89] + wire [3:0] buf_rsp_pickage_1 = {_T_2777,_T_2774,_T_2771,_T_2768}; // @[Cat.scala 29:58] + wire _T_2052 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 442:65] + wire _T_2053 = ~_T_2052; // @[el2_lsu_bus_buffer.scala 442:44] + wire _T_2055 = _T_2053 & _T_2755; // @[el2_lsu_bus_buffer.scala 442:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 562:63] + wire _T_2792 = buf_rspageQ_2[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2789 = buf_rspageQ_2[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2786 = buf_rspageQ_2[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2783 = buf_rspageQ_2[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 475:89] + wire [3:0] buf_rsp_pickage_2 = {_T_2792,_T_2789,_T_2786,_T_2783}; // @[Cat.scala 29:58] + wire _T_2056 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 442:65] + wire _T_2057 = ~_T_2056; // @[el2_lsu_bus_buffer.scala 442:44] + wire _T_2059 = _T_2057 & _T_2758; // @[el2_lsu_bus_buffer.scala 442:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 562:63] + wire _T_2807 = buf_rspageQ_3[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2804 = buf_rspageQ_3[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2801 = buf_rspageQ_3[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2798 = buf_rspageQ_3[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 475:89] + wire [3:0] buf_rsp_pickage_3 = {_T_2807,_T_2804,_T_2801,_T_2798}; // @[Cat.scala 29:58] + wire _T_2060 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 442:65] + wire _T_2061 = ~_T_2060; // @[el2_lsu_bus_buffer.scala 442:44] + wire _T_2063 = _T_2061 & _T_2761; // @[el2_lsu_bus_buffer.scala 442:70] + wire [7:0] _T_2119 = {4'h0,_T_2063,_T_2059,_T_2055,_T_2051}; // @[Cat.scala 29:58] + wire _T_2122 = _T_2119[4] | _T_2119[5]; // @[el2_lsu_bus_buffer.scala 446:42] + wire _T_2124 = _T_2122 | _T_2119[6]; // @[el2_lsu_bus_buffer.scala 446:48] + wire _T_2126 = _T_2124 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 446:54] + wire _T_2129 = _T_2119[2] | _T_2119[3]; // @[el2_lsu_bus_buffer.scala 446:67] + wire _T_2131 = _T_2129 | _T_2119[6]; // @[el2_lsu_bus_buffer.scala 446:73] + wire _T_2133 = _T_2131 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 446:79] + wire _T_2136 = _T_2119[1] | _T_2119[3]; // @[el2_lsu_bus_buffer.scala 446:92] + wire _T_2138 = _T_2136 | _T_2119[5]; // @[el2_lsu_bus_buffer.scala 446:98] + wire _T_2140 = _T_2138 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 446:104] + wire [2:0] _T_2142 = {_T_2126,_T_2133,_T_2140}; // @[Cat.scala 29:58] + wire _T_3547 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 505:77] + wire _T_3548 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 505:97] + wire _T_3549 = _T_3547 & _T_3548; // @[el2_lsu_bus_buffer.scala 505:95] + wire _T_3550 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 505:117] + wire _T_3551 = _T_3549 & _T_3550; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_3552 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 505:144] + wire _T_3553 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 505:166] + wire _T_3554 = _T_3552 & _T_3553; // @[el2_lsu_bus_buffer.scala 505:161] + wire _T_3555 = _T_3551 | _T_3554; // @[el2_lsu_bus_buffer.scala 505:132] + wire _T_3556 = _T_845 & _T_3555; // @[el2_lsu_bus_buffer.scala 505:63] + wire _T_3557 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 505:206] + wire _T_3558 = ibuf_drain_vld & _T_3557; // @[el2_lsu_bus_buffer.scala 505:201] + wire _T_3559 = _T_3556 | _T_3558; // @[el2_lsu_bus_buffer.scala 505:183] + wire _T_3569 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:46] + wire _T_3604 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 623:38] + wire _T_3649 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 530:73] + wire _T_3650 = bus_rsp_write & _T_3649; // @[el2_lsu_bus_buffer.scala 530:52] + wire _T_3651 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 531:46] + reg _T_4322; // @[Reg.scala 27:20] + reg _T_4320; // @[Reg.scala 27:20] + reg _T_4318; // @[Reg.scala 27:20] + reg _T_4316; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4322,_T_4320,_T_4318,_T_4316}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 524:47] - wire _T_3650 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 524:47] - wire _T_3651 = buf_ldfwd[0] & _T_3650; // @[el2_lsu_bus_buffer.scala 524:27] - wire _T_3652 = _T_3648 | _T_3651; // @[el2_lsu_bus_buffer.scala 523:77] - wire _T_3653 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 525:26] - wire _T_3655 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 525:44] - wire _T_3656 = _T_3653 & _T_3655; // @[el2_lsu_bus_buffer.scala 525:42] - wire _T_3657 = _T_3656 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 525:58] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 532:47] + wire _T_3653 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 532:47] + wire _T_3654 = buf_ldfwd[0] & _T_3653; // @[el2_lsu_bus_buffer.scala 532:27] + wire _T_3655 = _T_3651 | _T_3654; // @[el2_lsu_bus_buffer.scala 531:77] + wire _T_3656 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 533:26] + wire _T_3658 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 533:44] + wire _T_3659 = _T_3656 & _T_3658; // @[el2_lsu_bus_buffer.scala 533:42] + wire _T_3660 = _T_3659 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 533:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 525:94] - wire _T_3658 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 525:94] - wire _T_3659 = _T_3657 & _T_3658; // @[el2_lsu_bus_buffer.scala 525:74] - wire _T_3660 = _T_3652 | _T_3659; // @[el2_lsu_bus_buffer.scala 524:71] - wire _T_3661 = bus_rsp_read & _T_3660; // @[el2_lsu_bus_buffer.scala 523:25] - wire _T_3662 = _T_3647 | _T_3661; // @[el2_lsu_bus_buffer.scala 522:105] - wire _GEN_42 = _T_3601 & _T_3662; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3567 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3563 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3540 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3688 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3698 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 537:21] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 533:94] + wire _T_3661 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 533:94] + wire _T_3662 = _T_3660 & _T_3661; // @[el2_lsu_bus_buffer.scala 533:74] + wire _T_3663 = _T_3655 | _T_3662; // @[el2_lsu_bus_buffer.scala 532:71] + wire _T_3664 = bus_rsp_read & _T_3663; // @[el2_lsu_bus_buffer.scala 531:25] + wire _T_3665 = _T_3650 | _T_3664; // @[el2_lsu_bus_buffer.scala 530:105] + wire _GEN_42 = _T_3604 & _T_3665; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3570 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3566 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3543 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3691 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3701 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 545:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 537:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 537:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 537:58] - wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 537:58] - wire _T_3700 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 537:58] - wire _T_3701 = _T_3698[0] & _T_3700; // @[el2_lsu_bus_buffer.scala 537:38] - wire _T_3702 = _T_3658 | _T_3701; // @[el2_lsu_bus_buffer.scala 536:95] - wire _T_3703 = bus_rsp_read & _T_3702; // @[el2_lsu_bus_buffer.scala 536:45] - wire _GEN_36 = _T_3688 & _T_3703; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3601 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_3567 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3563 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3540 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3580 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 510:49] - wire _T_3581 = _T_3580 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 510:70] - wire _T_3706 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2139[1:0]; // @[el2_lsu_bus_buffer.scala 447:10] - wire _T_3709 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 542:37] - wire _T_3710 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 542:98] - wire _T_3711 = buf_dual_0 & _T_3710; // @[el2_lsu_bus_buffer.scala 542:80] - wire _T_3712 = _T_3709 | _T_3711; // @[el2_lsu_bus_buffer.scala 542:65] - wire _T_3713 = _T_3712 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 542:112] - wire _T_3714 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_31 = _T_3706 ? _T_3713 : _T_3714; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_3688 ? _T_3581 : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_44 = _T_3601 ? _T_3581 : _GEN_37; // @[Conditional.scala 39:67] - wire _GEN_54 = _T_3567 ? _T_3581 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3563 ? _T_3566 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3540 ? _T_3556 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2141 = _T_1866 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 459:94] - wire _T_2147 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 461:23] - wire _T_2149 = _T_2147 & _T_3544; // @[el2_lsu_bus_buffer.scala 461:41] - wire _T_2151 = _T_2149 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:71] - wire _T_2153 = _T_2151 & _T_1867; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2154 = _T_4478 | _T_2153; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2155 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 462:17] - wire _T_2156 = _T_2155 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 462:35] - wire _T_2158 = _T_2156 & _T_1872; // @[el2_lsu_bus_buffer.scala 462:52] - wire _T_2160 = _T_2158 & _T_1869; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2161 = _T_2154 | _T_2160; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2162 = _T_2141 & _T_2161; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2164 = _T_2162 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 462:97] - wire _T_2178 = _T_2151 & _T_1878; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2179 = _T_4483 | _T_2178; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2185 = _T_2158 & _T_1880; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2186 = _T_2179 | _T_2185; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2187 = _T_2141 & _T_2186; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2189 = _T_2187 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 462:97] - wire _T_2203 = _T_2151 & _T_1889; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2204 = _T_4488 | _T_2203; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2210 = _T_2158 & _T_1891; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2211 = _T_2204 | _T_2210; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2212 = _T_2141 & _T_2211; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2214 = _T_2212 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 462:97] - wire _T_2228 = _T_2151 & _T_1900; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2229 = _T_4493 | _T_2228; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2235 = _T_2158 & _T_1902; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2236 = _T_2229 | _T_2235; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2237 = _T_2141 & _T_2236; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2239 = _T_2237 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 462:97] - wire [2:0] _T_2241 = {_T_2239,_T_2214,_T_2189}; // @[Cat.scala 29:58] - wire _T_3740 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 497:117] - wire _T_3741 = _T_3546 & _T_3740; // @[el2_lsu_bus_buffer.scala 497:112] - wire _T_3743 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 497:166] - wire _T_3744 = _T_3549 & _T_3743; // @[el2_lsu_bus_buffer.scala 497:161] - wire _T_3745 = _T_3741 | _T_3744; // @[el2_lsu_bus_buffer.scala 497:132] - wire _T_3746 = _T_845 & _T_3745; // @[el2_lsu_bus_buffer.scala 497:63] - wire _T_3747 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 497:206] - wire _T_3748 = ibuf_drain_vld & _T_3747; // @[el2_lsu_bus_buffer.scala 497:201] - wire _T_3749 = _T_3746 | _T_3748; // @[el2_lsu_bus_buffer.scala 497:183] - wire _T_3794 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3839 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 522:73] - wire _T_3840 = bus_rsp_write & _T_3839; // @[el2_lsu_bus_buffer.scala 522:52] - wire _T_3841 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 523:46] - wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 524:47] - wire _T_3843 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 524:47] - wire _T_3844 = buf_ldfwd[1] & _T_3843; // @[el2_lsu_bus_buffer.scala 524:27] - wire _T_3845 = _T_3841 | _T_3844; // @[el2_lsu_bus_buffer.scala 523:77] - wire _T_3846 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 525:26] - wire _T_3848 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 525:44] - wire _T_3849 = _T_3846 & _T_3848; // @[el2_lsu_bus_buffer.scala 525:42] - wire _T_3850 = _T_3849 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 525:58] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 545:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 545:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 545:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 545:58] + wire _T_3703 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 545:58] + wire _T_3704 = _T_3701[0] & _T_3703; // @[el2_lsu_bus_buffer.scala 545:38] + wire _T_3705 = _T_3661 | _T_3704; // @[el2_lsu_bus_buffer.scala 544:95] + wire _T_3706 = bus_rsp_read & _T_3705; // @[el2_lsu_bus_buffer.scala 544:45] + wire _GEN_36 = _T_3691 & _T_3706; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3604 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3570 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3566 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3543 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3583 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 518:49] + wire _T_3584 = _T_3583 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 518:70] + wire _T_3709 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire [1:0] RspPtr = _T_2142[1:0]; // @[el2_lsu_bus_buffer.scala 455:10] + wire _T_3712 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 550:37] + wire _T_3713 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 550:98] + wire _T_3714 = buf_dual_0 & _T_3713; // @[el2_lsu_bus_buffer.scala 550:80] + wire _T_3715 = _T_3712 | _T_3714; // @[el2_lsu_bus_buffer.scala 550:65] + wire _T_3716 = _T_3715 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 550:112] + wire _T_3717 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3709 ? _T_3716 : _T_3717; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3691 ? _T_3584 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3604 ? _T_3584 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3570 ? _T_3584 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3566 ? _T_3569 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3543 ? _T_3559 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2144 = _T_1866 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 467:94] + wire _T_2150 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 469:23] + wire _T_2152 = _T_2150 & _T_3547; // @[el2_lsu_bus_buffer.scala 469:41] + wire _T_2154 = _T_2152 & _T_1869; // @[el2_lsu_bus_buffer.scala 469:71] + wire _T_2156 = _T_2154 & _T_1867; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2157 = _T_4481 | _T_2156; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2158 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 470:17] + wire _T_2159 = _T_2158 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 470:35] + wire _T_2161 = _T_2159 & _T_1872; // @[el2_lsu_bus_buffer.scala 470:52] + wire _T_2163 = _T_2161 & _T_1869; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2164 = _T_2157 | _T_2163; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2165 = _T_2144 & _T_2164; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2167 = _T_2165 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2181 = _T_2154 & _T_1878; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2182 = _T_4486 | _T_2181; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2188 = _T_2161 & _T_1880; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2189 = _T_2182 | _T_2188; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2190 = _T_2144 & _T_2189; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2192 = _T_2190 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2206 = _T_2154 & _T_1889; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2207 = _T_4491 | _T_2206; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2213 = _T_2161 & _T_1891; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2214 = _T_2207 | _T_2213; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2215 = _T_2144 & _T_2214; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2217 = _T_2215 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2231 = _T_2154 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2232 = _T_4496 | _T_2231; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2238 = _T_2161 & _T_1902; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2239 = _T_2232 | _T_2238; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2240 = _T_2144 & _T_2239; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2242 = _T_2240 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 470:97] + wire [2:0] _T_2244 = {_T_2242,_T_2217,_T_2192}; // @[Cat.scala 29:58] + wire _T_3743 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 505:117] + wire _T_3744 = _T_3549 & _T_3743; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_3746 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 505:166] + wire _T_3747 = _T_3552 & _T_3746; // @[el2_lsu_bus_buffer.scala 505:161] + wire _T_3748 = _T_3744 | _T_3747; // @[el2_lsu_bus_buffer.scala 505:132] + wire _T_3749 = _T_845 & _T_3748; // @[el2_lsu_bus_buffer.scala 505:63] + wire _T_3750 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 505:206] + wire _T_3751 = ibuf_drain_vld & _T_3750; // @[el2_lsu_bus_buffer.scala 505:201] + wire _T_3752 = _T_3749 | _T_3751; // @[el2_lsu_bus_buffer.scala 505:183] + wire _T_3797 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3842 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 530:73] + wire _T_3843 = bus_rsp_write & _T_3842; // @[el2_lsu_bus_buffer.scala 530:52] + wire _T_3844 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 531:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 532:47] + wire _T_3846 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 532:47] + wire _T_3847 = buf_ldfwd[1] & _T_3846; // @[el2_lsu_bus_buffer.scala 532:27] + wire _T_3848 = _T_3844 | _T_3847; // @[el2_lsu_bus_buffer.scala 531:77] + wire _T_3849 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 533:26] + wire _T_3851 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 533:44] + wire _T_3852 = _T_3849 & _T_3851; // @[el2_lsu_bus_buffer.scala 533:42] + wire _T_3853 = _T_3852 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 533:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 525:94] - wire _T_3851 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 525:94] - wire _T_3852 = _T_3850 & _T_3851; // @[el2_lsu_bus_buffer.scala 525:74] - wire _T_3853 = _T_3845 | _T_3852; // @[el2_lsu_bus_buffer.scala 524:71] - wire _T_3854 = bus_rsp_read & _T_3853; // @[el2_lsu_bus_buffer.scala 523:25] - wire _T_3855 = _T_3840 | _T_3854; // @[el2_lsu_bus_buffer.scala 522:105] - wire _GEN_118 = _T_3794 & _T_3855; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3760 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3756 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3733 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_3881 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3891 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 537:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 537:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 537:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 537:58] - wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 537:58] - wire _T_3893 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 537:58] - wire _T_3894 = _T_3891[0] & _T_3893; // @[el2_lsu_bus_buffer.scala 537:38] - wire _T_3895 = _T_3851 | _T_3894; // @[el2_lsu_bus_buffer.scala 536:95] - wire _T_3896 = bus_rsp_read & _T_3895; // @[el2_lsu_bus_buffer.scala 536:45] - wire _GEN_112 = _T_3881 & _T_3896; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3794 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3760 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_142 = _T_3756 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3733 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3773 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 510:49] - wire _T_3774 = _T_3773 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 510:70] - wire _T_3899 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3902 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 542:37] - wire _T_3903 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 542:98] - wire _T_3904 = buf_dual_1 & _T_3903; // @[el2_lsu_bus_buffer.scala 542:80] - wire _T_3905 = _T_3902 | _T_3904; // @[el2_lsu_bus_buffer.scala 542:65] - wire _T_3906 = _T_3905 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 542:112] - wire _T_3907 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_107 = _T_3899 ? _T_3906 : _T_3907; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3881 ? _T_3774 : _GEN_107; // @[Conditional.scala 39:67] - wire _GEN_120 = _T_3794 ? _T_3774 : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_130 = _T_3760 ? _T_3774 : _GEN_120; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3756 ? _T_3566 : _GEN_130; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3733 ? _T_3749 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2243 = _T_1877 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 459:94] - wire _T_2253 = _T_2149 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:71] - wire _T_2255 = _T_2253 & _T_1867; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2256 = _T_4478 | _T_2255; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2260 = _T_2156 & _T_1883; // @[el2_lsu_bus_buffer.scala 462:52] - wire _T_2262 = _T_2260 & _T_1869; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2263 = _T_2256 | _T_2262; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2264 = _T_2243 & _T_2263; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2266 = _T_2264 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 462:97] - wire _T_2280 = _T_2253 & _T_1878; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2281 = _T_4483 | _T_2280; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2287 = _T_2260 & _T_1880; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2288 = _T_2281 | _T_2287; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2289 = _T_2243 & _T_2288; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2291 = _T_2289 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 462:97] - wire _T_2305 = _T_2253 & _T_1889; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2306 = _T_4488 | _T_2305; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2312 = _T_2260 & _T_1891; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2313 = _T_2306 | _T_2312; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2314 = _T_2243 & _T_2313; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2316 = _T_2314 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 462:97] - wire _T_2330 = _T_2253 & _T_1900; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2331 = _T_4493 | _T_2330; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2337 = _T_2260 & _T_1902; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2338 = _T_2331 | _T_2337; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2339 = _T_2243 & _T_2338; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2341 = _T_2339 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 462:97] - wire [2:0] _T_2343 = {_T_2341,_T_2316,_T_2291}; // @[Cat.scala 29:58] - wire _T_3933 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 497:117] - wire _T_3934 = _T_3546 & _T_3933; // @[el2_lsu_bus_buffer.scala 497:112] - wire _T_3936 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 497:166] - wire _T_3937 = _T_3549 & _T_3936; // @[el2_lsu_bus_buffer.scala 497:161] - wire _T_3938 = _T_3934 | _T_3937; // @[el2_lsu_bus_buffer.scala 497:132] - wire _T_3939 = _T_845 & _T_3938; // @[el2_lsu_bus_buffer.scala 497:63] - wire _T_3940 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 497:206] - wire _T_3941 = ibuf_drain_vld & _T_3940; // @[el2_lsu_bus_buffer.scala 497:201] - wire _T_3942 = _T_3939 | _T_3941; // @[el2_lsu_bus_buffer.scala 497:183] - wire _T_3987 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4032 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 522:73] - wire _T_4033 = bus_rsp_write & _T_4032; // @[el2_lsu_bus_buffer.scala 522:52] - wire _T_4034 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 523:46] - wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 524:47] - wire _T_4036 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 524:47] - wire _T_4037 = buf_ldfwd[2] & _T_4036; // @[el2_lsu_bus_buffer.scala 524:27] - wire _T_4038 = _T_4034 | _T_4037; // @[el2_lsu_bus_buffer.scala 523:77] - wire _T_4039 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 525:26] - wire _T_4041 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 525:44] - wire _T_4042 = _T_4039 & _T_4041; // @[el2_lsu_bus_buffer.scala 525:42] - wire _T_4043 = _T_4042 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 525:58] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 533:94] + wire _T_3854 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 533:94] + wire _T_3855 = _T_3853 & _T_3854; // @[el2_lsu_bus_buffer.scala 533:74] + wire _T_3856 = _T_3848 | _T_3855; // @[el2_lsu_bus_buffer.scala 532:71] + wire _T_3857 = bus_rsp_read & _T_3856; // @[el2_lsu_bus_buffer.scala 531:25] + wire _T_3858 = _T_3843 | _T_3857; // @[el2_lsu_bus_buffer.scala 530:105] + wire _GEN_118 = _T_3797 & _T_3858; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3763 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3759 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3736 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3884 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3894 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 545:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 545:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 545:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 545:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 545:58] + wire _T_3896 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 545:58] + wire _T_3897 = _T_3894[0] & _T_3896; // @[el2_lsu_bus_buffer.scala 545:38] + wire _T_3898 = _T_3854 | _T_3897; // @[el2_lsu_bus_buffer.scala 544:95] + wire _T_3899 = bus_rsp_read & _T_3898; // @[el2_lsu_bus_buffer.scala 544:45] + wire _GEN_112 = _T_3884 & _T_3899; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3797 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3763 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3759 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3736 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3776 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 518:49] + wire _T_3777 = _T_3776 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 518:70] + wire _T_3902 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3905 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 550:37] + wire _T_3906 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 550:98] + wire _T_3907 = buf_dual_1 & _T_3906; // @[el2_lsu_bus_buffer.scala 550:80] + wire _T_3908 = _T_3905 | _T_3907; // @[el2_lsu_bus_buffer.scala 550:65] + wire _T_3909 = _T_3908 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 550:112] + wire _T_3910 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3902 ? _T_3909 : _T_3910; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3884 ? _T_3777 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3797 ? _T_3777 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3763 ? _T_3777 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3759 ? _T_3569 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3736 ? _T_3752 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2246 = _T_1877 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 467:94] + wire _T_2256 = _T_2152 & _T_1880; // @[el2_lsu_bus_buffer.scala 469:71] + wire _T_2258 = _T_2256 & _T_1867; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2259 = _T_4481 | _T_2258; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2263 = _T_2159 & _T_1883; // @[el2_lsu_bus_buffer.scala 470:52] + wire _T_2265 = _T_2263 & _T_1869; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2266 = _T_2259 | _T_2265; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2267 = _T_2246 & _T_2266; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2269 = _T_2267 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2283 = _T_2256 & _T_1878; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2284 = _T_4486 | _T_2283; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2290 = _T_2263 & _T_1880; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2291 = _T_2284 | _T_2290; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2292 = _T_2246 & _T_2291; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2294 = _T_2292 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2308 = _T_2256 & _T_1889; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2309 = _T_4491 | _T_2308; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2315 = _T_2263 & _T_1891; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2316 = _T_2309 | _T_2315; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2317 = _T_2246 & _T_2316; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2319 = _T_2317 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2333 = _T_2256 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2334 = _T_4496 | _T_2333; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2340 = _T_2263 & _T_1902; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2341 = _T_2334 | _T_2340; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2342 = _T_2246 & _T_2341; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2344 = _T_2342 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 470:97] + wire [2:0] _T_2346 = {_T_2344,_T_2319,_T_2294}; // @[Cat.scala 29:58] + wire _T_3936 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 505:117] + wire _T_3937 = _T_3549 & _T_3936; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_3939 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 505:166] + wire _T_3940 = _T_3552 & _T_3939; // @[el2_lsu_bus_buffer.scala 505:161] + wire _T_3941 = _T_3937 | _T_3940; // @[el2_lsu_bus_buffer.scala 505:132] + wire _T_3942 = _T_845 & _T_3941; // @[el2_lsu_bus_buffer.scala 505:63] + wire _T_3943 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 505:206] + wire _T_3944 = ibuf_drain_vld & _T_3943; // @[el2_lsu_bus_buffer.scala 505:201] + wire _T_3945 = _T_3942 | _T_3944; // @[el2_lsu_bus_buffer.scala 505:183] + wire _T_3990 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4035 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 530:73] + wire _T_4036 = bus_rsp_write & _T_4035; // @[el2_lsu_bus_buffer.scala 530:52] + wire _T_4037 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 531:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 532:47] + wire _T_4039 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 532:47] + wire _T_4040 = buf_ldfwd[2] & _T_4039; // @[el2_lsu_bus_buffer.scala 532:27] + wire _T_4041 = _T_4037 | _T_4040; // @[el2_lsu_bus_buffer.scala 531:77] + wire _T_4042 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 533:26] + wire _T_4044 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 533:44] + wire _T_4045 = _T_4042 & _T_4044; // @[el2_lsu_bus_buffer.scala 533:42] + wire _T_4046 = _T_4045 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 533:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 525:94] - wire _T_4044 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 525:94] - wire _T_4045 = _T_4043 & _T_4044; // @[el2_lsu_bus_buffer.scala 525:74] - wire _T_4046 = _T_4038 | _T_4045; // @[el2_lsu_bus_buffer.scala 524:71] - wire _T_4047 = bus_rsp_read & _T_4046; // @[el2_lsu_bus_buffer.scala 523:25] - wire _T_4048 = _T_4033 | _T_4047; // @[el2_lsu_bus_buffer.scala 522:105] - wire _GEN_194 = _T_3987 & _T_4048; // @[Conditional.scala 39:67] - wire _GEN_213 = _T_3953 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] - wire _GEN_225 = _T_3949 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3926 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_4074 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4084 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 537:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 537:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 537:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 537:58] - wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 537:58] - wire _T_4086 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 537:58] - wire _T_4087 = _T_4084[0] & _T_4086; // @[el2_lsu_bus_buffer.scala 537:38] - wire _T_4088 = _T_4044 | _T_4087; // @[el2_lsu_bus_buffer.scala 536:95] - wire _T_4089 = bus_rsp_read & _T_4088; // @[el2_lsu_bus_buffer.scala 536:45] - wire _GEN_188 = _T_4074 & _T_4089; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_3987 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3953 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3949 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3926 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3966 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 510:49] - wire _T_3967 = _T_3966 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 510:70] - wire _T_4092 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4095 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 542:37] - wire _T_4096 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 542:98] - wire _T_4097 = buf_dual_2 & _T_4096; // @[el2_lsu_bus_buffer.scala 542:80] - wire _T_4098 = _T_4095 | _T_4097; // @[el2_lsu_bus_buffer.scala 542:65] - wire _T_4099 = _T_4098 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 542:112] - wire _T_4100 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_183 = _T_4092 ? _T_4099 : _T_4100; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_4074 ? _T_3967 : _GEN_183; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_3987 ? _T_3967 : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3953 ? _T_3967 : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_216 = _T_3949 ? _T_3566 : _GEN_206; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3926 ? _T_3942 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2345 = _T_1888 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 459:94] - wire _T_2355 = _T_2149 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:71] - wire _T_2357 = _T_2355 & _T_1867; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2358 = _T_4478 | _T_2357; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2362 = _T_2156 & _T_1894; // @[el2_lsu_bus_buffer.scala 462:52] - wire _T_2364 = _T_2362 & _T_1869; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2365 = _T_2358 | _T_2364; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2366 = _T_2345 & _T_2365; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2368 = _T_2366 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 462:97] - wire _T_2382 = _T_2355 & _T_1878; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2383 = _T_4483 | _T_2382; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2389 = _T_2362 & _T_1880; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2390 = _T_2383 | _T_2389; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2391 = _T_2345 & _T_2390; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2393 = _T_2391 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 462:97] - wire _T_2407 = _T_2355 & _T_1889; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2408 = _T_4488 | _T_2407; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2414 = _T_2362 & _T_1891; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2415 = _T_2408 | _T_2414; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2416 = _T_2345 & _T_2415; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2418 = _T_2416 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 462:97] - wire _T_2432 = _T_2355 & _T_1900; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2433 = _T_4493 | _T_2432; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2439 = _T_2362 & _T_1902; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2440 = _T_2433 | _T_2439; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2441 = _T_2345 & _T_2440; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2443 = _T_2441 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 462:97] - wire [2:0] _T_2445 = {_T_2443,_T_2418,_T_2393}; // @[Cat.scala 29:58] - wire _T_4126 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 497:117] - wire _T_4127 = _T_3546 & _T_4126; // @[el2_lsu_bus_buffer.scala 497:112] - wire _T_4129 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 497:166] - wire _T_4130 = _T_3549 & _T_4129; // @[el2_lsu_bus_buffer.scala 497:161] - wire _T_4131 = _T_4127 | _T_4130; // @[el2_lsu_bus_buffer.scala 497:132] - wire _T_4132 = _T_845 & _T_4131; // @[el2_lsu_bus_buffer.scala 497:63] - wire _T_4133 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 497:206] - wire _T_4134 = ibuf_drain_vld & _T_4133; // @[el2_lsu_bus_buffer.scala 497:201] - wire _T_4135 = _T_4132 | _T_4134; // @[el2_lsu_bus_buffer.scala 497:183] - wire _T_4180 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4225 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 522:73] - wire _T_4226 = bus_rsp_write & _T_4225; // @[el2_lsu_bus_buffer.scala 522:52] - wire _T_4227 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 523:46] - wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 524:47] - wire _T_4229 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 524:47] - wire _T_4230 = buf_ldfwd[3] & _T_4229; // @[el2_lsu_bus_buffer.scala 524:27] - wire _T_4231 = _T_4227 | _T_4230; // @[el2_lsu_bus_buffer.scala 523:77] - wire _T_4232 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 525:26] - wire _T_4234 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 525:44] - wire _T_4235 = _T_4232 & _T_4234; // @[el2_lsu_bus_buffer.scala 525:42] - wire _T_4236 = _T_4235 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 525:58] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 533:94] + wire _T_4047 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 533:94] + wire _T_4048 = _T_4046 & _T_4047; // @[el2_lsu_bus_buffer.scala 533:74] + wire _T_4049 = _T_4041 | _T_4048; // @[el2_lsu_bus_buffer.scala 532:71] + wire _T_4050 = bus_rsp_read & _T_4049; // @[el2_lsu_bus_buffer.scala 531:25] + wire _T_4051 = _T_4036 | _T_4050; // @[el2_lsu_bus_buffer.scala 530:105] + wire _GEN_194 = _T_3990 & _T_4051; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3956 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3952 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3929 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_4077 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_4087 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 545:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 545:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 545:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 545:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 545:58] + wire _T_4089 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 545:58] + wire _T_4090 = _T_4087[0] & _T_4089; // @[el2_lsu_bus_buffer.scala 545:38] + wire _T_4091 = _T_4047 | _T_4090; // @[el2_lsu_bus_buffer.scala 544:95] + wire _T_4092 = bus_rsp_read & _T_4091; // @[el2_lsu_bus_buffer.scala 544:45] + wire _GEN_188 = _T_4077 & _T_4092; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3990 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3956 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3952 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3929 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3969 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 518:49] + wire _T_3970 = _T_3969 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 518:70] + wire _T_4095 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4098 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 550:37] + wire _T_4099 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 550:98] + wire _T_4100 = buf_dual_2 & _T_4099; // @[el2_lsu_bus_buffer.scala 550:80] + wire _T_4101 = _T_4098 | _T_4100; // @[el2_lsu_bus_buffer.scala 550:65] + wire _T_4102 = _T_4101 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 550:112] + wire _T_4103 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_4095 ? _T_4102 : _T_4103; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4077 ? _T_3970 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3990 ? _T_3970 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3956 ? _T_3970 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3952 ? _T_3569 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3929 ? _T_3945 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2348 = _T_1888 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 467:94] + wire _T_2358 = _T_2152 & _T_1891; // @[el2_lsu_bus_buffer.scala 469:71] + wire _T_2360 = _T_2358 & _T_1867; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2361 = _T_4481 | _T_2360; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2365 = _T_2159 & _T_1894; // @[el2_lsu_bus_buffer.scala 470:52] + wire _T_2367 = _T_2365 & _T_1869; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2368 = _T_2361 | _T_2367; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2369 = _T_2348 & _T_2368; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2371 = _T_2369 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2385 = _T_2358 & _T_1878; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2386 = _T_4486 | _T_2385; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2392 = _T_2365 & _T_1880; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2393 = _T_2386 | _T_2392; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2394 = _T_2348 & _T_2393; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2396 = _T_2394 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2410 = _T_2358 & _T_1889; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2411 = _T_4491 | _T_2410; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2417 = _T_2365 & _T_1891; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2418 = _T_2411 | _T_2417; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2419 = _T_2348 & _T_2418; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2421 = _T_2419 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2435 = _T_2358 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2436 = _T_4496 | _T_2435; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2442 = _T_2365 & _T_1902; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2443 = _T_2436 | _T_2442; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2444 = _T_2348 & _T_2443; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2446 = _T_2444 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 470:97] + wire [2:0] _T_2448 = {_T_2446,_T_2421,_T_2396}; // @[Cat.scala 29:58] + wire _T_4129 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 505:117] + wire _T_4130 = _T_3549 & _T_4129; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_4132 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 505:166] + wire _T_4133 = _T_3552 & _T_4132; // @[el2_lsu_bus_buffer.scala 505:161] + wire _T_4134 = _T_4130 | _T_4133; // @[el2_lsu_bus_buffer.scala 505:132] + wire _T_4135 = _T_845 & _T_4134; // @[el2_lsu_bus_buffer.scala 505:63] + wire _T_4136 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 505:206] + wire _T_4137 = ibuf_drain_vld & _T_4136; // @[el2_lsu_bus_buffer.scala 505:201] + wire _T_4138 = _T_4135 | _T_4137; // @[el2_lsu_bus_buffer.scala 505:183] + wire _T_4183 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4228 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 530:73] + wire _T_4229 = bus_rsp_write & _T_4228; // @[el2_lsu_bus_buffer.scala 530:52] + wire _T_4230 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 531:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 532:47] + wire _T_4232 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 532:47] + wire _T_4233 = buf_ldfwd[3] & _T_4232; // @[el2_lsu_bus_buffer.scala 532:27] + wire _T_4234 = _T_4230 | _T_4233; // @[el2_lsu_bus_buffer.scala 531:77] + wire _T_4235 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 533:26] + wire _T_4237 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 533:44] + wire _T_4238 = _T_4235 & _T_4237; // @[el2_lsu_bus_buffer.scala 533:42] + wire _T_4239 = _T_4238 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 533:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 525:94] - wire _T_4237 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 525:94] - wire _T_4238 = _T_4236 & _T_4237; // @[el2_lsu_bus_buffer.scala 525:74] - wire _T_4239 = _T_4231 | _T_4238; // @[el2_lsu_bus_buffer.scala 524:71] - wire _T_4240 = bus_rsp_read & _T_4239; // @[el2_lsu_bus_buffer.scala 523:25] - wire _T_4241 = _T_4226 | _T_4240; // @[el2_lsu_bus_buffer.scala 522:105] - wire _GEN_270 = _T_4180 & _T_4241; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_4146 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_4142 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_4119 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] - wire _T_4267 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4277 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 537:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 537:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 537:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 537:58] - wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 537:58] - wire _T_4279 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 537:58] - wire _T_4280 = _T_4277[0] & _T_4279; // @[el2_lsu_bus_buffer.scala 537:38] - wire _T_4281 = _T_4237 | _T_4280; // @[el2_lsu_bus_buffer.scala 536:95] - wire _T_4282 = bus_rsp_read & _T_4281; // @[el2_lsu_bus_buffer.scala 536:45] - wire _GEN_264 = _T_4267 & _T_4282; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4180 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_281 = _T_4146 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_4142 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_4119 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4159 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 510:49] - wire _T_4160 = _T_4159 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 510:70] - wire _T_4285 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4288 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 542:37] - wire _T_4289 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 542:98] - wire _T_4290 = buf_dual_3 & _T_4289; // @[el2_lsu_bus_buffer.scala 542:80] - wire _T_4291 = _T_4288 | _T_4290; // @[el2_lsu_bus_buffer.scala 542:65] - wire _T_4292 = _T_4291 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 542:112] - wire _T_4293 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_259 = _T_4285 ? _T_4292 : _T_4293; // @[Conditional.scala 39:67] - wire _GEN_265 = _T_4267 ? _T_4160 : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4180 ? _T_4160 : _GEN_265; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_4146 ? _T_4160 : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_4142 ? _T_3566 : _GEN_282; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_4119 ? _T_4135 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2447 = _T_1899 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 459:94] - wire _T_2457 = _T_2149 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:71] - wire _T_2459 = _T_2457 & _T_1867; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2460 = _T_4478 | _T_2459; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2464 = _T_2156 & _T_1905; // @[el2_lsu_bus_buffer.scala 462:52] - wire _T_2466 = _T_2464 & _T_1869; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2467 = _T_2460 | _T_2466; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2468 = _T_2447 & _T_2467; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2470 = _T_2468 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 462:97] - wire _T_2484 = _T_2457 & _T_1878; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2485 = _T_4483 | _T_2484; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2491 = _T_2464 & _T_1880; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2492 = _T_2485 | _T_2491; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2493 = _T_2447 & _T_2492; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2495 = _T_2493 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 462:97] - wire _T_2509 = _T_2457 & _T_1889; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2510 = _T_4488 | _T_2509; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2516 = _T_2464 & _T_1891; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2517 = _T_2510 | _T_2516; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2518 = _T_2447 & _T_2517; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2520 = _T_2518 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 462:97] - wire _T_2534 = _T_2457 & _T_1900; // @[el2_lsu_bus_buffer.scala 461:92] - wire _T_2535 = _T_4493 | _T_2534; // @[el2_lsu_bus_buffer.scala 460:86] - wire _T_2541 = _T_2464 & _T_1902; // @[el2_lsu_bus_buffer.scala 462:73] - wire _T_2542 = _T_2535 | _T_2541; // @[el2_lsu_bus_buffer.scala 461:114] - wire _T_2543 = _T_2447 & _T_2542; // @[el2_lsu_bus_buffer.scala 459:113] - wire _T_2545 = _T_2543 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 462:97] - wire [2:0] _T_2547 = {_T_2545,_T_2520,_T_2495}; // @[Cat.scala 29:58] - wire _T_2811 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 470:49] - wire _T_2812 = _T_1866 | _T_2811; // @[el2_lsu_bus_buffer.scala 470:34] - wire _T_2813 = ~_T_2812; // @[el2_lsu_bus_buffer.scala 470:8] - wire _T_2821 = _T_2813 | _T_2153; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_2828 = _T_2821 | _T_2160; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_2829 = _T_2141 & _T_2828; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2833 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 470:49] - wire _T_2834 = _T_1877 | _T_2833; // @[el2_lsu_bus_buffer.scala 470:34] - wire _T_2835 = ~_T_2834; // @[el2_lsu_bus_buffer.scala 470:8] - wire _T_2843 = _T_2835 | _T_2178; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_2850 = _T_2843 | _T_2185; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_2851 = _T_2141 & _T_2850; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2855 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 470:49] - wire _T_2856 = _T_1888 | _T_2855; // @[el2_lsu_bus_buffer.scala 470:34] - wire _T_2857 = ~_T_2856; // @[el2_lsu_bus_buffer.scala 470:8] - wire _T_2865 = _T_2857 | _T_2203; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_2872 = _T_2865 | _T_2210; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_2873 = _T_2141 & _T_2872; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2877 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 470:49] - wire _T_2878 = _T_1899 | _T_2877; // @[el2_lsu_bus_buffer.scala 470:34] - wire _T_2879 = ~_T_2878; // @[el2_lsu_bus_buffer.scala 470:8] - wire _T_2887 = _T_2879 | _T_2228; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_2894 = _T_2887 | _T_2235; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_2895 = _T_2141 & _T_2894; // @[el2_lsu_bus_buffer.scala 469:114] - wire [3:0] buf_rspage_set_0 = {_T_2895,_T_2873,_T_2851,_T_2829}; // @[Cat.scala 29:58] - wire _T_2912 = _T_2813 | _T_2255; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_2919 = _T_2912 | _T_2262; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_2920 = _T_2243 & _T_2919; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2934 = _T_2835 | _T_2280; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_2941 = _T_2934 | _T_2287; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_2942 = _T_2243 & _T_2941; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2956 = _T_2857 | _T_2305; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_2963 = _T_2956 | _T_2312; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_2964 = _T_2243 & _T_2963; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2978 = _T_2879 | _T_2330; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_2985 = _T_2978 | _T_2337; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_2986 = _T_2243 & _T_2985; // @[el2_lsu_bus_buffer.scala 469:114] - wire [3:0] buf_rspage_set_1 = {_T_2986,_T_2964,_T_2942,_T_2920}; // @[Cat.scala 29:58] - wire _T_3003 = _T_2813 | _T_2357; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_3010 = _T_3003 | _T_2364; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_3011 = _T_2345 & _T_3010; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_3025 = _T_2835 | _T_2382; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_3032 = _T_3025 | _T_2389; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_3033 = _T_2345 & _T_3032; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_3047 = _T_2857 | _T_2407; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_3054 = _T_3047 | _T_2414; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_3055 = _T_2345 & _T_3054; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_3069 = _T_2879 | _T_2432; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_3076 = _T_3069 | _T_2439; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_3077 = _T_2345 & _T_3076; // @[el2_lsu_bus_buffer.scala 469:114] - wire [3:0] buf_rspage_set_2 = {_T_3077,_T_3055,_T_3033,_T_3011}; // @[Cat.scala 29:58] - wire _T_3094 = _T_2813 | _T_2459; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_3101 = _T_3094 | _T_2466; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_3102 = _T_2447 & _T_3101; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_3116 = _T_2835 | _T_2484; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_3123 = _T_3116 | _T_2491; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_3124 = _T_2447 & _T_3123; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_3138 = _T_2857 | _T_2509; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_3145 = _T_3138 | _T_2516; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_3146 = _T_2447 & _T_3145; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_3160 = _T_2879 | _T_2534; // @[el2_lsu_bus_buffer.scala 470:61] - wire _T_3167 = _T_3160 | _T_2541; // @[el2_lsu_bus_buffer.scala 471:112] - wire _T_3168 = _T_2447 & _T_3167; // @[el2_lsu_bus_buffer.scala 469:114] - wire [3:0] buf_rspage_set_3 = {_T_3168,_T_3146,_T_3124,_T_3102}; // @[Cat.scala 29:58] - wire _T_3253 = _T_2877 | _T_1899; // @[el2_lsu_bus_buffer.scala 474:112] - wire _T_3254 = ~_T_3253; // @[el2_lsu_bus_buffer.scala 474:86] - wire _T_3255 = buf_rspageQ_0[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 474:84] - wire _T_3247 = _T_2855 | _T_1888; // @[el2_lsu_bus_buffer.scala 474:112] - wire _T_3248 = ~_T_3247; // @[el2_lsu_bus_buffer.scala 474:86] - wire _T_3249 = buf_rspageQ_0[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 474:84] - wire _T_3241 = _T_2833 | _T_1877; // @[el2_lsu_bus_buffer.scala 474:112] - wire _T_3242 = ~_T_3241; // @[el2_lsu_bus_buffer.scala 474:86] - wire _T_3243 = buf_rspageQ_0[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 474:84] - wire _T_3235 = _T_2811 | _T_1866; // @[el2_lsu_bus_buffer.scala 474:112] - wire _T_3236 = ~_T_3235; // @[el2_lsu_bus_buffer.scala 474:86] - wire _T_3237 = buf_rspageQ_0[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 474:84] - wire [3:0] buf_rspage_0 = {_T_3255,_T_3249,_T_3243,_T_3237}; // @[Cat.scala 29:58] - wire _T_3174 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 473:90] - wire _T_3177 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 473:90] - wire _T_3180 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 473:90] - wire _T_3183 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 473:90] - wire [2:0] _T_3185 = {_T_3183,_T_3180,_T_3177}; // @[Cat.scala 29:58] - wire _T_3282 = buf_rspageQ_1[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 474:84] - wire _T_3276 = buf_rspageQ_1[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 474:84] - wire _T_3270 = buf_rspageQ_1[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 474:84] - wire _T_3264 = buf_rspageQ_1[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 474:84] - wire [3:0] buf_rspage_1 = {_T_3282,_T_3276,_T_3270,_T_3264}; // @[Cat.scala 29:58] - wire _T_3189 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 473:90] - wire _T_3192 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 473:90] - wire _T_3195 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 473:90] - wire _T_3198 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 473:90] - wire [2:0] _T_3200 = {_T_3198,_T_3195,_T_3192}; // @[Cat.scala 29:58] - wire _T_3309 = buf_rspageQ_2[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 474:84] - wire _T_3303 = buf_rspageQ_2[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 474:84] - wire _T_3297 = buf_rspageQ_2[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 474:84] - wire _T_3291 = buf_rspageQ_2[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 474:84] - wire [3:0] buf_rspage_2 = {_T_3309,_T_3303,_T_3297,_T_3291}; // @[Cat.scala 29:58] - wire _T_3204 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 473:90] - wire _T_3207 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 473:90] - wire _T_3210 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 473:90] - wire _T_3213 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 473:90] - wire [2:0] _T_3215 = {_T_3213,_T_3210,_T_3207}; // @[Cat.scala 29:58] - wire _T_3336 = buf_rspageQ_3[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 474:84] - wire _T_3330 = buf_rspageQ_3[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 474:84] - wire _T_3324 = buf_rspageQ_3[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 474:84] - wire _T_3318 = buf_rspageQ_3[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 474:84] - wire [3:0] buf_rspage_3 = {_T_3336,_T_3330,_T_3324,_T_3318}; // @[Cat.scala 29:58] - wire _T_3219 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 473:90] - wire _T_3222 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 473:90] - wire _T_3225 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 473:90] - wire _T_3228 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 473:90] - wire [2:0] _T_3230 = {_T_3228,_T_3225,_T_3222}; // @[Cat.scala 29:58] - wire _T_3341 = ibuf_drain_vld & _T_1867; // @[el2_lsu_bus_buffer.scala 479:65] - wire _T_3343 = ibuf_drain_vld & _T_1878; // @[el2_lsu_bus_buffer.scala 479:65] - wire _T_3345 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 479:65] - wire _T_3347 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 479:65] - wire [3:0] ibuf_drainvec_vld = {_T_3347,_T_3345,_T_3343,_T_3341}; // @[Cat.scala 29:58] - wire _T_3355 = _T_3549 & _T_1872; // @[el2_lsu_bus_buffer.scala 481:37] - wire _T_3364 = _T_3549 & _T_1883; // @[el2_lsu_bus_buffer.scala 481:37] - wire _T_3373 = _T_3549 & _T_1894; // @[el2_lsu_bus_buffer.scala 481:37] - wire _T_3382 = _T_3549 & _T_1905; // @[el2_lsu_bus_buffer.scala 481:37] - wire _T_3412 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 483:47] - wire _T_3414 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 483:47] - wire _T_3416 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 483:47] - wire _T_3418 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 483:47] - wire [3:0] buf_dual_in = {_T_3418,_T_3416,_T_3414,_T_3412}; // @[Cat.scala 29:58] - wire _T_3423 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 484:49] - wire _T_3425 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 484:49] - wire _T_3427 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 484:49] - wire _T_3429 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 484:49] - wire [3:0] buf_samedw_in = {_T_3429,_T_3427,_T_3425,_T_3423}; // @[Cat.scala 29:58] - wire _T_3434 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 485:86] - wire _T_3435 = ibuf_drainvec_vld[0] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 485:50] - wire _T_3438 = ibuf_drainvec_vld[1] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 485:50] - wire _T_3441 = ibuf_drainvec_vld[2] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 485:50] - wire _T_3444 = ibuf_drainvec_vld[3] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 485:50] - wire [3:0] buf_nomerge_in = {_T_3444,_T_3441,_T_3438,_T_3435}; // @[Cat.scala 29:58] - wire _T_3452 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3355; // @[el2_lsu_bus_buffer.scala 486:49] - wire _T_3457 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3364; // @[el2_lsu_bus_buffer.scala 486:49] - wire _T_3462 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3373; // @[el2_lsu_bus_buffer.scala 486:49] - wire _T_3467 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3382; // @[el2_lsu_bus_buffer.scala 486:49] - wire [3:0] buf_dualhi_in = {_T_3467,_T_3462,_T_3457,_T_3452}; // @[Cat.scala 29:58] - wire _T_3496 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 488:53] - wire _T_3498 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 488:53] - wire _T_3500 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 488:53] - wire _T_3502 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 488:53] - wire [3:0] buf_sideeffect_in = {_T_3502,_T_3500,_T_3498,_T_3496}; // @[Cat.scala 29:58] - wire _T_3507 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 489:49] - wire _T_3509 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 489:49] - wire _T_3511 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 489:49] - wire _T_3513 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 489:49] - wire [3:0] buf_unsign_in = {_T_3513,_T_3511,_T_3509,_T_3507}; // @[Cat.scala 29:58] - wire _T_3530 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 491:48] - wire _T_3532 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 491:48] - wire _T_3534 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 491:48] - wire _T_3536 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 491:48] - wire [3:0] buf_write_in = {_T_3536,_T_3534,_T_3532,_T_3530}; // @[Cat.scala 29:58] - wire _T_3569 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 507:89] - wire _T_3571 = _T_3569 & _T_1364; // @[el2_lsu_bus_buffer.scala 507:104] - wire _T_3584 = buf_state_en_0 & _T_3655; // @[el2_lsu_bus_buffer.scala 512:44] - wire _T_3585 = _T_3584 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:60] - wire _T_3587 = _T_3585 & _T_1346; // @[el2_lsu_bus_buffer.scala 512:74] - wire _T_3590 = _T_3580 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 514:67] - wire _T_3591 = _T_3590 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 514:81] - wire _T_4876 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 619:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4876; // @[el2_lsu_bus_buffer.scala 619:38] - wire _T_3594 = _T_3590 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 515:82] - wire _T_3669 = bus_rsp_read_error & _T_3648; // @[el2_lsu_bus_buffer.scala 529:91] - wire _T_3671 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 530:31] - wire _T_3673 = _T_3671 & _T_3650; // @[el2_lsu_bus_buffer.scala 530:46] - wire _T_3674 = _T_3669 | _T_3673; // @[el2_lsu_bus_buffer.scala 529:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4876; // @[el2_lsu_bus_buffer.scala 618:40] - wire _T_3677 = bus_rsp_write_error & _T_3646; // @[el2_lsu_bus_buffer.scala 531:53] - wire _T_3678 = _T_3674 | _T_3677; // @[el2_lsu_bus_buffer.scala 530:88] - wire _T_3679 = _T_3580 & _T_3678; // @[el2_lsu_bus_buffer.scala 529:68] - wire _GEN_46 = _T_3601 & _T_3679; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3567 ? _T_3594 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3563 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3540 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3604 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 519:73] - wire _T_3605 = buf_write[0] & _T_3604; // @[el2_lsu_bus_buffer.scala 519:71] - wire _T_3606 = io_dec_tlu_force_halt | _T_3605; // @[el2_lsu_bus_buffer.scala 519:55] - wire _T_3608 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 520:30] - wire _T_3609 = buf_dual_0 & _T_3608; // @[el2_lsu_bus_buffer.scala 520:28] - wire _T_3612 = _T_3609 & _T_3655; // @[el2_lsu_bus_buffer.scala 520:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 520:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 520:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 520:90] - wire _T_3613 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 520:90] - wire _T_3614 = _T_3612 & _T_3613; // @[el2_lsu_bus_buffer.scala 520:61] - wire _T_4501 = _T_2758 | _T_2755; // @[el2_lsu_bus_buffer.scala 578:93] - wire _T_4502 = _T_4501 | _T_2752; // @[el2_lsu_bus_buffer.scala 578:93] - wire any_done_wait_state = _T_4502 | _T_2749; // @[el2_lsu_bus_buffer.scala 578:93] - wire _T_3616 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:31] - wire _T_3622 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3624 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3626 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3628 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3630 = _T_3622 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3631 = _T_3624 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3632 = _T_3626 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3633 = _T_3628 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3634 = _T_3630 | _T_3631; // @[Mux.scala 27:72] - wire _T_3635 = _T_3634 | _T_3632; // @[Mux.scala 27:72] - wire _T_3636 = _T_3635 | _T_3633; // @[Mux.scala 27:72] - wire _T_3638 = _T_3612 & _T_3636; // @[el2_lsu_bus_buffer.scala 521:101] - wire _T_3639 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 521:167] - wire _T_3640 = _T_3638 & _T_3639; // @[el2_lsu_bus_buffer.scala 521:138] - wire _T_3641 = _T_3640 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:187] - wire _T_3642 = _T_3616 | _T_3641; // @[el2_lsu_bus_buffer.scala 521:53] - wire _T_3665 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 528:47] - wire _T_3666 = _T_3665 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 528:62] - wire _T_3680 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 532:50] - wire _T_3681 = buf_state_en_0 & _T_3680; // @[el2_lsu_bus_buffer.scala 532:48] - wire _T_3693 = buf_ldfwd[0] | _T_3698[0]; // @[el2_lsu_bus_buffer.scala 535:90] - wire _T_3694 = _T_3693 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 535:118] - wire _GEN_29 = _T_3714 & buf_state_en_0; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_3706 ? 1'h0 : _T_3714; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_3706 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_3688 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_3688 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3601 & _T_3666; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_3601 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_50 = _T_3601 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3567 ? _T_3587 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3567 ? _T_3591 : _GEN_45; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_3567 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3563 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3563 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_3563 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3540 & buf_state_en_0; // @[Conditional.scala 40:58] - wire buf_data_en_0 = _T_3540 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3540 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3540 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3777 = buf_state_en_1 & _T_3848; // @[el2_lsu_bus_buffer.scala 512:44] - wire _T_3778 = _T_3777 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:60] - wire _T_3780 = _T_3778 & _T_1346; // @[el2_lsu_bus_buffer.scala 512:74] - wire _T_3783 = _T_3773 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 514:67] - wire _T_3784 = _T_3783 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 514:81] - wire _T_3787 = _T_3783 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 515:82] - wire _T_3862 = bus_rsp_read_error & _T_3841; // @[el2_lsu_bus_buffer.scala 529:91] - wire _T_3864 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 530:31] - wire _T_3866 = _T_3864 & _T_3843; // @[el2_lsu_bus_buffer.scala 530:46] - wire _T_3867 = _T_3862 | _T_3866; // @[el2_lsu_bus_buffer.scala 529:143] - wire _T_3870 = bus_rsp_write_error & _T_3839; // @[el2_lsu_bus_buffer.scala 531:53] - wire _T_3871 = _T_3867 | _T_3870; // @[el2_lsu_bus_buffer.scala 530:88] - wire _T_3872 = _T_3773 & _T_3871; // @[el2_lsu_bus_buffer.scala 529:68] - wire _GEN_122 = _T_3794 & _T_3872; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3760 ? _T_3787 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3756 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3733 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3798 = buf_write[1] & _T_3604; // @[el2_lsu_bus_buffer.scala 519:71] - wire _T_3799 = io_dec_tlu_force_halt | _T_3798; // @[el2_lsu_bus_buffer.scala 519:55] - wire _T_3801 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 520:30] - wire _T_3802 = buf_dual_1 & _T_3801; // @[el2_lsu_bus_buffer.scala 520:28] - wire _T_3805 = _T_3802 & _T_3848; // @[el2_lsu_bus_buffer.scala 520:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 520:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 520:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 520:90] - wire _T_3806 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 520:90] - wire _T_3807 = _T_3805 & _T_3806; // @[el2_lsu_bus_buffer.scala 520:61] - wire _T_3809 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:31] - wire _T_3815 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3817 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3819 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3821 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3823 = _T_3815 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3824 = _T_3817 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3825 = _T_3819 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3826 = _T_3821 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3827 = _T_3823 | _T_3824; // @[Mux.scala 27:72] - wire _T_3828 = _T_3827 | _T_3825; // @[Mux.scala 27:72] - wire _T_3829 = _T_3828 | _T_3826; // @[Mux.scala 27:72] - wire _T_3831 = _T_3805 & _T_3829; // @[el2_lsu_bus_buffer.scala 521:101] - wire _T_3832 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 521:167] - wire _T_3833 = _T_3831 & _T_3832; // @[el2_lsu_bus_buffer.scala 521:138] - wire _T_3834 = _T_3833 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:187] - wire _T_3835 = _T_3809 | _T_3834; // @[el2_lsu_bus_buffer.scala 521:53] - wire _T_3858 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 528:47] - wire _T_3859 = _T_3858 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 528:62] - wire _T_3873 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 532:50] - wire _T_3874 = buf_state_en_1 & _T_3873; // @[el2_lsu_bus_buffer.scala 532:48] - wire _T_3886 = buf_ldfwd[1] | _T_3891[0]; // @[el2_lsu_bus_buffer.scala 535:90] - wire _T_3887 = _T_3886 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 535:118] - wire _GEN_105 = _T_3907 & buf_state_en_1; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3899 ? 1'h0 : _T_3907; // @[Conditional.scala 39:67] - wire _GEN_110 = _T_3899 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_114 = _T_3881 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3881 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_121 = _T_3794 & _T_3859; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3794 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] - wire _GEN_126 = _T_3794 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3760 ? _T_3780 : _GEN_126; // @[Conditional.scala 39:67] - wire _GEN_134 = _T_3760 ? _T_3784 : _GEN_121; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3760 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3756 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_146 = _T_3756 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3756 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3733 & buf_state_en_1; // @[Conditional.scala 40:58] - wire buf_data_en_1 = _T_3733 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3733 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3733 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3970 = buf_state_en_2 & _T_4041; // @[el2_lsu_bus_buffer.scala 512:44] - wire _T_3971 = _T_3970 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:60] - wire _T_3973 = _T_3971 & _T_1346; // @[el2_lsu_bus_buffer.scala 512:74] - wire _T_3976 = _T_3966 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 514:67] - wire _T_3977 = _T_3976 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 514:81] - wire _T_3980 = _T_3976 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 515:82] - wire _T_4055 = bus_rsp_read_error & _T_4034; // @[el2_lsu_bus_buffer.scala 529:91] - wire _T_4057 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 530:31] - wire _T_4059 = _T_4057 & _T_4036; // @[el2_lsu_bus_buffer.scala 530:46] - wire _T_4060 = _T_4055 | _T_4059; // @[el2_lsu_bus_buffer.scala 529:143] - wire _T_4063 = bus_rsp_write_error & _T_4032; // @[el2_lsu_bus_buffer.scala 531:53] - wire _T_4064 = _T_4060 | _T_4063; // @[el2_lsu_bus_buffer.scala 530:88] - wire _T_4065 = _T_3966 & _T_4064; // @[el2_lsu_bus_buffer.scala 529:68] - wire _GEN_198 = _T_3987 & _T_4065; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3953 ? _T_3980 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3949 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3926 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_3991 = buf_write[2] & _T_3604; // @[el2_lsu_bus_buffer.scala 519:71] - wire _T_3992 = io_dec_tlu_force_halt | _T_3991; // @[el2_lsu_bus_buffer.scala 519:55] - wire _T_3994 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 520:30] - wire _T_3995 = buf_dual_2 & _T_3994; // @[el2_lsu_bus_buffer.scala 520:28] - wire _T_3998 = _T_3995 & _T_4041; // @[el2_lsu_bus_buffer.scala 520:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 520:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 520:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 520:90] - wire _T_3999 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 520:90] - wire _T_4000 = _T_3998 & _T_3999; // @[el2_lsu_bus_buffer.scala 520:61] - wire _T_4002 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:31] - wire _T_4008 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4010 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4012 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4014 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4016 = _T_4008 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4017 = _T_4010 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4018 = _T_4012 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4019 = _T_4014 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4020 = _T_4016 | _T_4017; // @[Mux.scala 27:72] - wire _T_4021 = _T_4020 | _T_4018; // @[Mux.scala 27:72] - wire _T_4022 = _T_4021 | _T_4019; // @[Mux.scala 27:72] - wire _T_4024 = _T_3998 & _T_4022; // @[el2_lsu_bus_buffer.scala 521:101] - wire _T_4025 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 521:167] - wire _T_4026 = _T_4024 & _T_4025; // @[el2_lsu_bus_buffer.scala 521:138] - wire _T_4027 = _T_4026 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:187] - wire _T_4028 = _T_4002 | _T_4027; // @[el2_lsu_bus_buffer.scala 521:53] - wire _T_4051 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 528:47] - wire _T_4052 = _T_4051 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 528:62] - wire _T_4066 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 532:50] - wire _T_4067 = buf_state_en_2 & _T_4066; // @[el2_lsu_bus_buffer.scala 532:48] - wire _T_4079 = buf_ldfwd[2] | _T_4084[0]; // @[el2_lsu_bus_buffer.scala 535:90] - wire _T_4080 = _T_4079 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 535:118] - wire _GEN_181 = _T_4100 & buf_state_en_2; // @[Conditional.scala 39:67] - wire _GEN_184 = _T_4092 ? 1'h0 : _T_4100; // @[Conditional.scala 39:67] - wire _GEN_186 = _T_4092 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_4074 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] - wire _GEN_192 = _T_4074 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] - wire _GEN_197 = _T_3987 & _T_4052; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_3987 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_202 = _T_3987 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_208 = _T_3953 ? _T_3973 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3953 ? _T_3977 : _GEN_197; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3953 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3949 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3949 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3949 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3926 & buf_state_en_2; // @[Conditional.scala 40:58] - wire buf_data_en_2 = _T_3926 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3926 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3926 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4163 = buf_state_en_3 & _T_4234; // @[el2_lsu_bus_buffer.scala 512:44] - wire _T_4164 = _T_4163 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:60] - wire _T_4166 = _T_4164 & _T_1346; // @[el2_lsu_bus_buffer.scala 512:74] - wire _T_4169 = _T_4159 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 514:67] - wire _T_4170 = _T_4169 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 514:81] - wire _T_4173 = _T_4169 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 515:82] - wire _T_4248 = bus_rsp_read_error & _T_4227; // @[el2_lsu_bus_buffer.scala 529:91] - wire _T_4250 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 530:31] - wire _T_4252 = _T_4250 & _T_4229; // @[el2_lsu_bus_buffer.scala 530:46] - wire _T_4253 = _T_4248 | _T_4252; // @[el2_lsu_bus_buffer.scala 529:143] - wire _T_4256 = bus_rsp_write_error & _T_4225; // @[el2_lsu_bus_buffer.scala 531:53] - wire _T_4257 = _T_4253 | _T_4256; // @[el2_lsu_bus_buffer.scala 530:88] - wire _T_4258 = _T_4159 & _T_4257; // @[el2_lsu_bus_buffer.scala 529:68] - wire _GEN_274 = _T_4180 & _T_4258; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4146 ? _T_4173 : _GEN_274; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4142 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_4119 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4184 = buf_write[3] & _T_3604; // @[el2_lsu_bus_buffer.scala 519:71] - wire _T_4185 = io_dec_tlu_force_halt | _T_4184; // @[el2_lsu_bus_buffer.scala 519:55] - wire _T_4187 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 520:30] - wire _T_4188 = buf_dual_3 & _T_4187; // @[el2_lsu_bus_buffer.scala 520:28] - wire _T_4191 = _T_4188 & _T_4234; // @[el2_lsu_bus_buffer.scala 520:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 520:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 520:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 520:90] - wire _T_4192 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 520:90] - wire _T_4193 = _T_4191 & _T_4192; // @[el2_lsu_bus_buffer.scala 520:61] - wire _T_4195 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:31] - wire _T_4201 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4203 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4205 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4207 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4209 = _T_4201 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4210 = _T_4203 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4211 = _T_4205 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4212 = _T_4207 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4213 = _T_4209 | _T_4210; // @[Mux.scala 27:72] - wire _T_4214 = _T_4213 | _T_4211; // @[Mux.scala 27:72] - wire _T_4215 = _T_4214 | _T_4212; // @[Mux.scala 27:72] - wire _T_4217 = _T_4191 & _T_4215; // @[el2_lsu_bus_buffer.scala 521:101] - wire _T_4218 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 521:167] - wire _T_4219 = _T_4217 & _T_4218; // @[el2_lsu_bus_buffer.scala 521:138] - wire _T_4220 = _T_4219 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:187] - wire _T_4221 = _T_4195 | _T_4220; // @[el2_lsu_bus_buffer.scala 521:53] - wire _T_4244 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 528:47] - wire _T_4245 = _T_4244 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 528:62] - wire _T_4259 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 532:50] - wire _T_4260 = buf_state_en_3 & _T_4259; // @[el2_lsu_bus_buffer.scala 532:48] - wire _T_4272 = buf_ldfwd[3] | _T_4277[0]; // @[el2_lsu_bus_buffer.scala 535:90] - wire _T_4273 = _T_4272 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 535:118] - wire _GEN_257 = _T_4293 & buf_state_en_3; // @[Conditional.scala 39:67] - wire _GEN_260 = _T_4285 ? 1'h0 : _T_4293; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4285 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4267 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] - wire _GEN_268 = _T_4267 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4180 & _T_4245; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4180 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4180 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] - wire _GEN_284 = _T_4146 ? _T_4166 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_4146 ? _T_4170 : _GEN_273; // @[Conditional.scala 39:67] - wire _GEN_290 = _T_4146 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_296 = _T_4142 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_4142 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire _GEN_302 = _T_4142 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_4119 & buf_state_en_3; // @[Conditional.scala 40:58] - wire buf_data_en_3 = _T_4119 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_4119 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_4119 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] - reg _T_4348; // @[Reg.scala 27:20] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 533:94] + wire _T_4240 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 533:94] + wire _T_4241 = _T_4239 & _T_4240; // @[el2_lsu_bus_buffer.scala 533:74] + wire _T_4242 = _T_4234 | _T_4241; // @[el2_lsu_bus_buffer.scala 532:71] + wire _T_4243 = bus_rsp_read & _T_4242; // @[el2_lsu_bus_buffer.scala 531:25] + wire _T_4244 = _T_4229 | _T_4243; // @[el2_lsu_bus_buffer.scala 530:105] + wire _GEN_270 = _T_4183 & _T_4244; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4149 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4145 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4122 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4270 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4280 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 545:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 545:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 545:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 545:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 545:58] + wire _T_4282 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 545:58] + wire _T_4283 = _T_4280[0] & _T_4282; // @[el2_lsu_bus_buffer.scala 545:38] + wire _T_4284 = _T_4240 | _T_4283; // @[el2_lsu_bus_buffer.scala 544:95] + wire _T_4285 = bus_rsp_read & _T_4284; // @[el2_lsu_bus_buffer.scala 544:45] + wire _GEN_264 = _T_4270 & _T_4285; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4183 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4149 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4145 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4122 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4162 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 518:49] + wire _T_4163 = _T_4162 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 518:70] + wire _T_4288 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4291 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 550:37] + wire _T_4292 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 550:98] + wire _T_4293 = buf_dual_3 & _T_4292; // @[el2_lsu_bus_buffer.scala 550:80] + wire _T_4294 = _T_4291 | _T_4293; // @[el2_lsu_bus_buffer.scala 550:65] + wire _T_4295 = _T_4294 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 550:112] + wire _T_4296 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4288 ? _T_4295 : _T_4296; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4270 ? _T_4163 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4183 ? _T_4163 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4149 ? _T_4163 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4145 ? _T_3569 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4122 ? _T_4138 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2450 = _T_1899 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 467:94] + wire _T_2460 = _T_2152 & _T_1902; // @[el2_lsu_bus_buffer.scala 469:71] + wire _T_2462 = _T_2460 & _T_1867; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2463 = _T_4481 | _T_2462; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2467 = _T_2159 & _T_1905; // @[el2_lsu_bus_buffer.scala 470:52] + wire _T_2469 = _T_2467 & _T_1869; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2470 = _T_2463 | _T_2469; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2471 = _T_2450 & _T_2470; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2473 = _T_2471 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2487 = _T_2460 & _T_1878; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2488 = _T_4486 | _T_2487; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2494 = _T_2467 & _T_1880; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2495 = _T_2488 | _T_2494; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2496 = _T_2450 & _T_2495; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2498 = _T_2496 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2512 = _T_2460 & _T_1889; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2513 = _T_4491 | _T_2512; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2519 = _T_2467 & _T_1891; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2520 = _T_2513 | _T_2519; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2521 = _T_2450 & _T_2520; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2523 = _T_2521 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2537 = _T_2460 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:92] + wire _T_2538 = _T_4496 | _T_2537; // @[el2_lsu_bus_buffer.scala 468:86] + wire _T_2544 = _T_2467 & _T_1902; // @[el2_lsu_bus_buffer.scala 470:73] + wire _T_2545 = _T_2538 | _T_2544; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2546 = _T_2450 & _T_2545; // @[el2_lsu_bus_buffer.scala 467:113] + wire _T_2548 = _T_2546 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 470:97] + wire [2:0] _T_2550 = {_T_2548,_T_2523,_T_2498}; // @[Cat.scala 29:58] + wire _T_2814 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 478:49] + wire _T_2815 = _T_1866 | _T_2814; // @[el2_lsu_bus_buffer.scala 478:34] + wire _T_2816 = ~_T_2815; // @[el2_lsu_bus_buffer.scala 478:8] + wire _T_2824 = _T_2816 | _T_2156; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_2831 = _T_2824 | _T_2163; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_2832 = _T_2144 & _T_2831; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_2836 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 478:49] + wire _T_2837 = _T_1877 | _T_2836; // @[el2_lsu_bus_buffer.scala 478:34] + wire _T_2838 = ~_T_2837; // @[el2_lsu_bus_buffer.scala 478:8] + wire _T_2846 = _T_2838 | _T_2181; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_2853 = _T_2846 | _T_2188; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_2854 = _T_2144 & _T_2853; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_2858 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 478:49] + wire _T_2859 = _T_1888 | _T_2858; // @[el2_lsu_bus_buffer.scala 478:34] + wire _T_2860 = ~_T_2859; // @[el2_lsu_bus_buffer.scala 478:8] + wire _T_2868 = _T_2860 | _T_2206; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_2875 = _T_2868 | _T_2213; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_2876 = _T_2144 & _T_2875; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_2880 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 478:49] + wire _T_2881 = _T_1899 | _T_2880; // @[el2_lsu_bus_buffer.scala 478:34] + wire _T_2882 = ~_T_2881; // @[el2_lsu_bus_buffer.scala 478:8] + wire _T_2890 = _T_2882 | _T_2231; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_2897 = _T_2890 | _T_2238; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_2898 = _T_2144 & _T_2897; // @[el2_lsu_bus_buffer.scala 477:114] + wire [3:0] buf_rspage_set_0 = {_T_2898,_T_2876,_T_2854,_T_2832}; // @[Cat.scala 29:58] + wire _T_2915 = _T_2816 | _T_2258; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_2922 = _T_2915 | _T_2265; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_2923 = _T_2246 & _T_2922; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_2937 = _T_2838 | _T_2283; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_2944 = _T_2937 | _T_2290; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_2945 = _T_2246 & _T_2944; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_2959 = _T_2860 | _T_2308; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_2966 = _T_2959 | _T_2315; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_2967 = _T_2246 & _T_2966; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_2981 = _T_2882 | _T_2333; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_2988 = _T_2981 | _T_2340; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_2989 = _T_2246 & _T_2988; // @[el2_lsu_bus_buffer.scala 477:114] + wire [3:0] buf_rspage_set_1 = {_T_2989,_T_2967,_T_2945,_T_2923}; // @[Cat.scala 29:58] + wire _T_3006 = _T_2816 | _T_2360; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_3013 = _T_3006 | _T_2367; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_3014 = _T_2348 & _T_3013; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_3028 = _T_2838 | _T_2385; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_3035 = _T_3028 | _T_2392; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_3036 = _T_2348 & _T_3035; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_3050 = _T_2860 | _T_2410; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_3057 = _T_3050 | _T_2417; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_3058 = _T_2348 & _T_3057; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_3072 = _T_2882 | _T_2435; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_3079 = _T_3072 | _T_2442; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_3080 = _T_2348 & _T_3079; // @[el2_lsu_bus_buffer.scala 477:114] + wire [3:0] buf_rspage_set_2 = {_T_3080,_T_3058,_T_3036,_T_3014}; // @[Cat.scala 29:58] + wire _T_3097 = _T_2816 | _T_2462; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_3104 = _T_3097 | _T_2469; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_3105 = _T_2450 & _T_3104; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_3119 = _T_2838 | _T_2487; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_3126 = _T_3119 | _T_2494; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_3127 = _T_2450 & _T_3126; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_3141 = _T_2860 | _T_2512; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_3148 = _T_3141 | _T_2519; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_3149 = _T_2450 & _T_3148; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_3163 = _T_2882 | _T_2537; // @[el2_lsu_bus_buffer.scala 478:61] + wire _T_3170 = _T_3163 | _T_2544; // @[el2_lsu_bus_buffer.scala 479:112] + wire _T_3171 = _T_2450 & _T_3170; // @[el2_lsu_bus_buffer.scala 477:114] + wire [3:0] buf_rspage_set_3 = {_T_3171,_T_3149,_T_3127,_T_3105}; // @[Cat.scala 29:58] + wire _T_3256 = _T_2880 | _T_1899; // @[el2_lsu_bus_buffer.scala 482:112] + wire _T_3257 = ~_T_3256; // @[el2_lsu_bus_buffer.scala 482:86] + wire _T_3258 = buf_rspageQ_0[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3250 = _T_2858 | _T_1888; // @[el2_lsu_bus_buffer.scala 482:112] + wire _T_3251 = ~_T_3250; // @[el2_lsu_bus_buffer.scala 482:86] + wire _T_3252 = buf_rspageQ_0[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3244 = _T_2836 | _T_1877; // @[el2_lsu_bus_buffer.scala 482:112] + wire _T_3245 = ~_T_3244; // @[el2_lsu_bus_buffer.scala 482:86] + wire _T_3246 = buf_rspageQ_0[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3238 = _T_2814 | _T_1866; // @[el2_lsu_bus_buffer.scala 482:112] + wire _T_3239 = ~_T_3238; // @[el2_lsu_bus_buffer.scala 482:86] + wire _T_3240 = buf_rspageQ_0[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 482:84] + wire [3:0] buf_rspage_0 = {_T_3258,_T_3252,_T_3246,_T_3240}; // @[Cat.scala 29:58] + wire _T_3177 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3180 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3183 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3186 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 481:90] + wire [2:0] _T_3188 = {_T_3186,_T_3183,_T_3180}; // @[Cat.scala 29:58] + wire _T_3285 = buf_rspageQ_1[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3279 = buf_rspageQ_1[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3273 = buf_rspageQ_1[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3267 = buf_rspageQ_1[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 482:84] + wire [3:0] buf_rspage_1 = {_T_3285,_T_3279,_T_3273,_T_3267}; // @[Cat.scala 29:58] + wire _T_3192 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3195 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3198 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3201 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 481:90] + wire [2:0] _T_3203 = {_T_3201,_T_3198,_T_3195}; // @[Cat.scala 29:58] + wire _T_3312 = buf_rspageQ_2[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3306 = buf_rspageQ_2[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3300 = buf_rspageQ_2[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3294 = buf_rspageQ_2[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 482:84] + wire [3:0] buf_rspage_2 = {_T_3312,_T_3306,_T_3300,_T_3294}; // @[Cat.scala 29:58] + wire _T_3207 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3210 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3213 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3216 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 481:90] + wire [2:0] _T_3218 = {_T_3216,_T_3213,_T_3210}; // @[Cat.scala 29:58] + wire _T_3339 = buf_rspageQ_3[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3333 = buf_rspageQ_3[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3327 = buf_rspageQ_3[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3321 = buf_rspageQ_3[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 482:84] + wire [3:0] buf_rspage_3 = {_T_3339,_T_3333,_T_3327,_T_3321}; // @[Cat.scala 29:58] + wire _T_3222 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3225 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3228 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3231 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 481:90] + wire [2:0] _T_3233 = {_T_3231,_T_3228,_T_3225}; // @[Cat.scala 29:58] + wire _T_3344 = ibuf_drain_vld & _T_1867; // @[el2_lsu_bus_buffer.scala 487:65] + wire _T_3346 = ibuf_drain_vld & _T_1878; // @[el2_lsu_bus_buffer.scala 487:65] + wire _T_3348 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 487:65] + wire _T_3350 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 487:65] + wire [3:0] ibuf_drainvec_vld = {_T_3350,_T_3348,_T_3346,_T_3344}; // @[Cat.scala 29:58] + wire _T_3358 = _T_3552 & _T_1872; // @[el2_lsu_bus_buffer.scala 489:37] + wire _T_3367 = _T_3552 & _T_1883; // @[el2_lsu_bus_buffer.scala 489:37] + wire _T_3376 = _T_3552 & _T_1894; // @[el2_lsu_bus_buffer.scala 489:37] + wire _T_3385 = _T_3552 & _T_1905; // @[el2_lsu_bus_buffer.scala 489:37] + wire _T_3415 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 491:47] + wire _T_3417 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 491:47] + wire _T_3419 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 491:47] + wire _T_3421 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 491:47] + wire [3:0] buf_dual_in = {_T_3421,_T_3419,_T_3417,_T_3415}; // @[Cat.scala 29:58] + wire _T_3426 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 492:49] + wire _T_3428 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 492:49] + wire _T_3430 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 492:49] + wire _T_3432 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 492:49] + wire [3:0] buf_samedw_in = {_T_3432,_T_3430,_T_3428,_T_3426}; // @[Cat.scala 29:58] + wire _T_3437 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 493:86] + wire _T_3438 = ibuf_drainvec_vld[0] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 493:50] + wire _T_3441 = ibuf_drainvec_vld[1] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 493:50] + wire _T_3444 = ibuf_drainvec_vld[2] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 493:50] + wire _T_3447 = ibuf_drainvec_vld[3] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 493:50] + wire [3:0] buf_nomerge_in = {_T_3447,_T_3444,_T_3441,_T_3438}; // @[Cat.scala 29:58] + wire _T_3455 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3358; // @[el2_lsu_bus_buffer.scala 494:49] + wire _T_3460 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3367; // @[el2_lsu_bus_buffer.scala 494:49] + wire _T_3465 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3376; // @[el2_lsu_bus_buffer.scala 494:49] + wire _T_3470 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3385; // @[el2_lsu_bus_buffer.scala 494:49] + wire [3:0] buf_dualhi_in = {_T_3470,_T_3465,_T_3460,_T_3455}; // @[Cat.scala 29:58] + wire _T_3499 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 496:53] + wire _T_3501 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 496:53] + wire _T_3503 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 496:53] + wire _T_3505 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 496:53] + wire [3:0] buf_sideeffect_in = {_T_3505,_T_3503,_T_3501,_T_3499}; // @[Cat.scala 29:58] + wire _T_3510 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 497:49] + wire _T_3512 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 497:49] + wire _T_3514 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 497:49] + wire _T_3516 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 497:49] + wire [3:0] buf_unsign_in = {_T_3516,_T_3514,_T_3512,_T_3510}; // @[Cat.scala 29:58] + wire _T_3533 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 499:48] + wire _T_3535 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 499:48] + wire _T_3537 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 499:48] + wire _T_3539 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 499:48] + wire [3:0] buf_write_in = {_T_3539,_T_3537,_T_3535,_T_3533}; // @[Cat.scala 29:58] + wire _T_3572 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 515:89] + wire _T_3574 = _T_3572 & _T_1364; // @[el2_lsu_bus_buffer.scala 515:104] + wire _T_3587 = buf_state_en_0 & _T_3658; // @[el2_lsu_bus_buffer.scala 520:44] + wire _T_3588 = _T_3587 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:60] + wire _T_3590 = _T_3588 & _T_1346; // @[el2_lsu_bus_buffer.scala 520:74] + wire _T_3593 = _T_3583 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 522:67] + wire _T_3594 = _T_3593 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 522:81] + wire _T_4879 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 627:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4879; // @[el2_lsu_bus_buffer.scala 627:38] + wire _T_3597 = _T_3593 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 523:82] + wire _T_3672 = bus_rsp_read_error & _T_3651; // @[el2_lsu_bus_buffer.scala 537:91] + wire _T_3674 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 538:31] + wire _T_3676 = _T_3674 & _T_3653; // @[el2_lsu_bus_buffer.scala 538:46] + wire _T_3677 = _T_3672 | _T_3676; // @[el2_lsu_bus_buffer.scala 537:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4879; // @[el2_lsu_bus_buffer.scala 626:40] + wire _T_3680 = bus_rsp_write_error & _T_3649; // @[el2_lsu_bus_buffer.scala 539:53] + wire _T_3681 = _T_3677 | _T_3680; // @[el2_lsu_bus_buffer.scala 538:88] + wire _T_3682 = _T_3583 & _T_3681; // @[el2_lsu_bus_buffer.scala 537:68] + wire _GEN_46 = _T_3604 & _T_3682; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3570 ? _T_3597 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3566 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3543 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire _T_3607 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 527:73] + wire _T_3608 = buf_write[0] & _T_3607; // @[el2_lsu_bus_buffer.scala 527:71] + wire _T_3609 = io_dec_tlu_force_halt | _T_3608; // @[el2_lsu_bus_buffer.scala 527:55] + wire _T_3611 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 528:30] + wire _T_3612 = buf_dual_0 & _T_3611; // @[el2_lsu_bus_buffer.scala 528:28] + wire _T_3615 = _T_3612 & _T_3658; // @[el2_lsu_bus_buffer.scala 528:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 528:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 528:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 528:90] + wire _T_3616 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 528:90] + wire _T_3617 = _T_3615 & _T_3616; // @[el2_lsu_bus_buffer.scala 528:61] + wire _T_4504 = _T_2761 | _T_2758; // @[el2_lsu_bus_buffer.scala 586:93] + wire _T_4505 = _T_4504 | _T_2755; // @[el2_lsu_bus_buffer.scala 586:93] + wire any_done_wait_state = _T_4505 | _T_2752; // @[el2_lsu_bus_buffer.scala 586:93] + wire _T_3619 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_3625 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3627 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3629 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3631 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3633 = _T_3625 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3634 = _T_3627 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3635 = _T_3629 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3636 = _T_3631 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3637 = _T_3633 | _T_3634; // @[Mux.scala 27:72] + wire _T_3638 = _T_3637 | _T_3635; // @[Mux.scala 27:72] + wire _T_3639 = _T_3638 | _T_3636; // @[Mux.scala 27:72] + wire _T_3641 = _T_3615 & _T_3639; // @[el2_lsu_bus_buffer.scala 529:101] + wire _T_3642 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 529:167] + wire _T_3643 = _T_3641 & _T_3642; // @[el2_lsu_bus_buffer.scala 529:138] + wire _T_3644 = _T_3643 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:187] + wire _T_3645 = _T_3619 | _T_3644; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_3668 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 536:47] + wire _T_3669 = _T_3668 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 536:62] + wire _T_3683 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 540:50] + wire _T_3684 = buf_state_en_0 & _T_3683; // @[el2_lsu_bus_buffer.scala 540:48] + wire _T_3696 = buf_ldfwd[0] | _T_3701[0]; // @[el2_lsu_bus_buffer.scala 543:90] + wire _T_3697 = _T_3696 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 543:118] + wire _GEN_29 = _T_3717 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3709 ? 1'h0 : _T_3717; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3709 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3691 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3691 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3604 & _T_3669; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3604 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3604 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3570 ? _T_3590 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3570 ? _T_3594 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3570 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3566 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3566 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3566 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3543 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_data_en_0 = _T_3543 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3543 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3543 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire _T_3780 = buf_state_en_1 & _T_3851; // @[el2_lsu_bus_buffer.scala 520:44] + wire _T_3781 = _T_3780 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:60] + wire _T_3783 = _T_3781 & _T_1346; // @[el2_lsu_bus_buffer.scala 520:74] + wire _T_3786 = _T_3776 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 522:67] + wire _T_3787 = _T_3786 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 522:81] + wire _T_3790 = _T_3786 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 523:82] + wire _T_3865 = bus_rsp_read_error & _T_3844; // @[el2_lsu_bus_buffer.scala 537:91] + wire _T_3867 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 538:31] + wire _T_3869 = _T_3867 & _T_3846; // @[el2_lsu_bus_buffer.scala 538:46] + wire _T_3870 = _T_3865 | _T_3869; // @[el2_lsu_bus_buffer.scala 537:143] + wire _T_3873 = bus_rsp_write_error & _T_3842; // @[el2_lsu_bus_buffer.scala 539:53] + wire _T_3874 = _T_3870 | _T_3873; // @[el2_lsu_bus_buffer.scala 538:88] + wire _T_3875 = _T_3776 & _T_3874; // @[el2_lsu_bus_buffer.scala 537:68] + wire _GEN_122 = _T_3797 & _T_3875; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3763 ? _T_3790 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3759 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3736 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire _T_3801 = buf_write[1] & _T_3607; // @[el2_lsu_bus_buffer.scala 527:71] + wire _T_3802 = io_dec_tlu_force_halt | _T_3801; // @[el2_lsu_bus_buffer.scala 527:55] + wire _T_3804 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 528:30] + wire _T_3805 = buf_dual_1 & _T_3804; // @[el2_lsu_bus_buffer.scala 528:28] + wire _T_3808 = _T_3805 & _T_3851; // @[el2_lsu_bus_buffer.scala 528:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 528:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 528:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 528:90] + wire _T_3809 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 528:90] + wire _T_3810 = _T_3808 & _T_3809; // @[el2_lsu_bus_buffer.scala 528:61] + wire _T_3812 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_3818 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3820 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3822 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3824 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_3826 = _T_3818 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3827 = _T_3820 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3828 = _T_3822 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3829 = _T_3824 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3830 = _T_3826 | _T_3827; // @[Mux.scala 27:72] + wire _T_3831 = _T_3830 | _T_3828; // @[Mux.scala 27:72] + wire _T_3832 = _T_3831 | _T_3829; // @[Mux.scala 27:72] + wire _T_3834 = _T_3808 & _T_3832; // @[el2_lsu_bus_buffer.scala 529:101] + wire _T_3835 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 529:167] + wire _T_3836 = _T_3834 & _T_3835; // @[el2_lsu_bus_buffer.scala 529:138] + wire _T_3837 = _T_3836 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:187] + wire _T_3838 = _T_3812 | _T_3837; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_3861 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 536:47] + wire _T_3862 = _T_3861 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 536:62] + wire _T_3876 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 540:50] + wire _T_3877 = buf_state_en_1 & _T_3876; // @[el2_lsu_bus_buffer.scala 540:48] + wire _T_3889 = buf_ldfwd[1] | _T_3894[0]; // @[el2_lsu_bus_buffer.scala 543:90] + wire _T_3890 = _T_3889 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 543:118] + wire _GEN_105 = _T_3910 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3902 ? 1'h0 : _T_3910; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3902 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3884 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3884 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3797 & _T_3862; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3797 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3797 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3763 ? _T_3783 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3763 ? _T_3787 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3763 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3759 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3759 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3759 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3736 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_data_en_1 = _T_3736 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3736 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3736 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire _T_3973 = buf_state_en_2 & _T_4044; // @[el2_lsu_bus_buffer.scala 520:44] + wire _T_3974 = _T_3973 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:60] + wire _T_3976 = _T_3974 & _T_1346; // @[el2_lsu_bus_buffer.scala 520:74] + wire _T_3979 = _T_3969 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 522:67] + wire _T_3980 = _T_3979 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 522:81] + wire _T_3983 = _T_3979 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 523:82] + wire _T_4058 = bus_rsp_read_error & _T_4037; // @[el2_lsu_bus_buffer.scala 537:91] + wire _T_4060 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 538:31] + wire _T_4062 = _T_4060 & _T_4039; // @[el2_lsu_bus_buffer.scala 538:46] + wire _T_4063 = _T_4058 | _T_4062; // @[el2_lsu_bus_buffer.scala 537:143] + wire _T_4066 = bus_rsp_write_error & _T_4035; // @[el2_lsu_bus_buffer.scala 539:53] + wire _T_4067 = _T_4063 | _T_4066; // @[el2_lsu_bus_buffer.scala 538:88] + wire _T_4068 = _T_3969 & _T_4067; // @[el2_lsu_bus_buffer.scala 537:68] + wire _GEN_198 = _T_3990 & _T_4068; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3956 ? _T_3983 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3952 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3929 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire _T_3994 = buf_write[2] & _T_3607; // @[el2_lsu_bus_buffer.scala 527:71] + wire _T_3995 = io_dec_tlu_force_halt | _T_3994; // @[el2_lsu_bus_buffer.scala 527:55] + wire _T_3997 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 528:30] + wire _T_3998 = buf_dual_2 & _T_3997; // @[el2_lsu_bus_buffer.scala 528:28] + wire _T_4001 = _T_3998 & _T_4044; // @[el2_lsu_bus_buffer.scala 528:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 528:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 528:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 528:90] + wire _T_4002 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 528:90] + wire _T_4003 = _T_4001 & _T_4002; // @[el2_lsu_bus_buffer.scala 528:61] + wire _T_4005 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_4011 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4013 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4015 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4017 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4019 = _T_4011 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4020 = _T_4013 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4021 = _T_4015 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4022 = _T_4017 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4023 = _T_4019 | _T_4020; // @[Mux.scala 27:72] + wire _T_4024 = _T_4023 | _T_4021; // @[Mux.scala 27:72] + wire _T_4025 = _T_4024 | _T_4022; // @[Mux.scala 27:72] + wire _T_4027 = _T_4001 & _T_4025; // @[el2_lsu_bus_buffer.scala 529:101] + wire _T_4028 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 529:167] + wire _T_4029 = _T_4027 & _T_4028; // @[el2_lsu_bus_buffer.scala 529:138] + wire _T_4030 = _T_4029 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:187] + wire _T_4031 = _T_4005 | _T_4030; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_4054 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 536:47] + wire _T_4055 = _T_4054 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 536:62] + wire _T_4069 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 540:50] + wire _T_4070 = buf_state_en_2 & _T_4069; // @[el2_lsu_bus_buffer.scala 540:48] + wire _T_4082 = buf_ldfwd[2] | _T_4087[0]; // @[el2_lsu_bus_buffer.scala 543:90] + wire _T_4083 = _T_4082 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 543:118] + wire _GEN_181 = _T_4103 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4095 ? 1'h0 : _T_4103; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_4095 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_4077 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4077 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3990 & _T_4055; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3990 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3990 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3956 ? _T_3976 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3956 ? _T_3980 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3956 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3952 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3952 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3952 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3929 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_data_en_2 = _T_3929 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3929 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3929 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_4166 = buf_state_en_3 & _T_4237; // @[el2_lsu_bus_buffer.scala 520:44] + wire _T_4167 = _T_4166 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:60] + wire _T_4169 = _T_4167 & _T_1346; // @[el2_lsu_bus_buffer.scala 520:74] + wire _T_4172 = _T_4162 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 522:67] + wire _T_4173 = _T_4172 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 522:81] + wire _T_4176 = _T_4172 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 523:82] + wire _T_4251 = bus_rsp_read_error & _T_4230; // @[el2_lsu_bus_buffer.scala 537:91] + wire _T_4253 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 538:31] + wire _T_4255 = _T_4253 & _T_4232; // @[el2_lsu_bus_buffer.scala 538:46] + wire _T_4256 = _T_4251 | _T_4255; // @[el2_lsu_bus_buffer.scala 537:143] + wire _T_4259 = bus_rsp_write_error & _T_4228; // @[el2_lsu_bus_buffer.scala 539:53] + wire _T_4260 = _T_4256 | _T_4259; // @[el2_lsu_bus_buffer.scala 538:88] + wire _T_4261 = _T_4162 & _T_4260; // @[el2_lsu_bus_buffer.scala 537:68] + wire _GEN_274 = _T_4183 & _T_4261; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4149 ? _T_4176 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4145 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4122 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire _T_4187 = buf_write[3] & _T_3607; // @[el2_lsu_bus_buffer.scala 527:71] + wire _T_4188 = io_dec_tlu_force_halt | _T_4187; // @[el2_lsu_bus_buffer.scala 527:55] + wire _T_4190 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 528:30] + wire _T_4191 = buf_dual_3 & _T_4190; // @[el2_lsu_bus_buffer.scala 528:28] + wire _T_4194 = _T_4191 & _T_4237; // @[el2_lsu_bus_buffer.scala 528:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 528:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 528:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 528:90] + wire _T_4195 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 528:90] + wire _T_4196 = _T_4194 & _T_4195; // @[el2_lsu_bus_buffer.scala 528:61] + wire _T_4198 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_4204 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4206 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4208 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4210 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] + wire _T_4212 = _T_4204 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4213 = _T_4206 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4214 = _T_4208 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4215 = _T_4210 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4216 = _T_4212 | _T_4213; // @[Mux.scala 27:72] + wire _T_4217 = _T_4216 | _T_4214; // @[Mux.scala 27:72] + wire _T_4218 = _T_4217 | _T_4215; // @[Mux.scala 27:72] + wire _T_4220 = _T_4194 & _T_4218; // @[el2_lsu_bus_buffer.scala 529:101] + wire _T_4221 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 529:167] + wire _T_4222 = _T_4220 & _T_4221; // @[el2_lsu_bus_buffer.scala 529:138] + wire _T_4223 = _T_4222 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:187] + wire _T_4224 = _T_4198 | _T_4223; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_4247 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 536:47] + wire _T_4248 = _T_4247 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 536:62] + wire _T_4262 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 540:50] + wire _T_4263 = buf_state_en_3 & _T_4262; // @[el2_lsu_bus_buffer.scala 540:48] + wire _T_4275 = buf_ldfwd[3] | _T_4280[0]; // @[el2_lsu_bus_buffer.scala 543:90] + wire _T_4276 = _T_4275 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 543:118] + wire _GEN_257 = _T_4296 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4288 ? 1'h0 : _T_4296; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4288 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4270 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4270 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4183 & _T_4248; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4183 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4183 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4149 ? _T_4169 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4149 ? _T_4173 : _GEN_273; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4149 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4145 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4145 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4145 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4122 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_data_en_3 = _T_4122 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4122 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4122 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] reg _T_4351; // @[Reg.scala 27:20] reg _T_4354; // @[Reg.scala 27:20] reg _T_4357; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4357,_T_4354,_T_4351,_T_4348}; // @[Cat.scala 29:58] - reg _T_4423; // @[el2_lsu_bus_buffer.scala 571:82] - reg _T_4418; // @[el2_lsu_bus_buffer.scala 571:82] - reg _T_4413; // @[el2_lsu_bus_buffer.scala 571:82] - reg _T_4408; // @[el2_lsu_bus_buffer.scala 571:82] - wire [3:0] buf_error = {_T_4423,_T_4418,_T_4413,_T_4408}; // @[Cat.scala 29:58] - wire _T_4405 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 571:86] - wire _T_4406 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 571:128] - wire _T_4410 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 571:86] - wire _T_4411 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 571:128] - wire _T_4415 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 571:86] - wire _T_4416 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 571:128] - wire _T_4420 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 571:86] - wire _T_4421 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 571:128] - wire [2:0] _T_4428 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4434 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 574:96] - wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 574:96] - wire [2:0] _T_4435 = _T_4434 + _GEN_388; // @[el2_lsu_bus_buffer.scala 574:96] - wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 574:96] - wire [3:0] buf_numvld_any = _T_4435 + _GEN_389; // @[el2_lsu_bus_buffer.scala 574:96] - wire _T_4505 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 580:52] - wire _T_4506 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 580:92] - wire _T_4507 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 580:119] - wire _T_4509 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 581:52] - wire _T_4510 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 581:52] - wire _T_4511 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 581:52] - wire _T_4512 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 581:52] - wire _T_4513 = _T_4509 | _T_4510; // @[el2_lsu_bus_buffer.scala 581:65] - wire _T_4514 = _T_4513 | _T_4511; // @[el2_lsu_bus_buffer.scala 581:65] - wire _T_4515 = _T_4514 | _T_4512; // @[el2_lsu_bus_buffer.scala 581:65] - wire _T_4516 = ~_T_4515; // @[el2_lsu_bus_buffer.scala 581:34] - wire _T_4518 = _T_4516 & _T_844; // @[el2_lsu_bus_buffer.scala 581:70] - wire _T_4521 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 583:51] - wire _T_4522 = _T_4521 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 583:72] - wire _T_4523 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 583:94] - wire _T_4524 = _T_4522 & _T_4523; // @[el2_lsu_bus_buffer.scala 583:92] - wire _T_4525 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 583:111] - wire _T_4527 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 586:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 672:66] - wire _T_4545 = _T_2811 & _T_3655; // @[Mux.scala 27:72] - wire _T_4546 = _T_2833 & _T_3848; // @[Mux.scala 27:72] - wire _T_4547 = _T_2855 & _T_4041; // @[Mux.scala 27:72] - wire _T_4548 = _T_2877 & _T_4234; // @[Mux.scala 27:72] - wire _T_4549 = _T_4545 | _T_4546; // @[Mux.scala 27:72] - wire _T_4550 = _T_4549 | _T_4547; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4550 | _T_4548; // @[Mux.scala 27:72] - wire _T_4556 = buf_error[0] & _T_3655; // @[el2_lsu_bus_buffer.scala 589:108] - wire _T_4561 = buf_error[1] & _T_3848; // @[el2_lsu_bus_buffer.scala 589:108] - wire _T_4566 = buf_error[2] & _T_4041; // @[el2_lsu_bus_buffer.scala 589:108] - wire _T_4571 = buf_error[3] & _T_4234; // @[el2_lsu_bus_buffer.scala 589:108] - wire _T_4572 = _T_2811 & _T_4556; // @[Mux.scala 27:72] - wire _T_4573 = _T_2833 & _T_4561; // @[Mux.scala 27:72] - wire _T_4574 = _T_2855 & _T_4566; // @[Mux.scala 27:72] - wire _T_4575 = _T_2877 & _T_4571; // @[Mux.scala 27:72] - wire _T_4576 = _T_4572 | _T_4573; // @[Mux.scala 27:72] - wire _T_4577 = _T_4576 | _T_4574; // @[Mux.scala 27:72] - wire _T_4584 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 590:109] - wire _T_4585 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 590:124] - wire _T_4586 = _T_4584 | _T_4585; // @[el2_lsu_bus_buffer.scala 590:122] - wire _T_4587 = _T_4545 & _T_4586; // @[el2_lsu_bus_buffer.scala 590:106] - wire _T_4592 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 590:109] - wire _T_4593 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 590:124] - wire _T_4594 = _T_4592 | _T_4593; // @[el2_lsu_bus_buffer.scala 590:122] - wire _T_4595 = _T_4546 & _T_4594; // @[el2_lsu_bus_buffer.scala 590:106] - wire _T_4600 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 590:109] - wire _T_4601 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 590:124] - wire _T_4602 = _T_4600 | _T_4601; // @[el2_lsu_bus_buffer.scala 590:122] - wire _T_4603 = _T_4547 & _T_4602; // @[el2_lsu_bus_buffer.scala 590:106] - wire _T_4608 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 590:109] - wire _T_4609 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 590:124] - wire _T_4610 = _T_4608 | _T_4609; // @[el2_lsu_bus_buffer.scala 590:122] - wire _T_4611 = _T_4548 & _T_4610; // @[el2_lsu_bus_buffer.scala 590:106] - wire [1:0] _T_4614 = _T_4603 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4615 = _T_4611 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_390 = {{1'd0}, _T_4595}; // @[Mux.scala 27:72] - wire [1:0] _T_4617 = _GEN_390 | _T_4614; // @[Mux.scala 27:72] - wire [31:0] _T_4652 = _T_4587 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4653 = _T_4595 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4654 = _T_4603 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4655 = _T_4611 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4656 = _T_4652 | _T_4653; // @[Mux.scala 27:72] - wire [31:0] _T_4657 = _T_4656 | _T_4654; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4657 | _T_4655; // @[Mux.scala 27:72] - wire _T_4663 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 592:120] - wire _T_4664 = _T_4545 & _T_4663; // @[el2_lsu_bus_buffer.scala 592:105] - wire _T_4669 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 592:120] - wire _T_4670 = _T_4546 & _T_4669; // @[el2_lsu_bus_buffer.scala 592:105] - wire _T_4675 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 592:120] - wire _T_4676 = _T_4547 & _T_4675; // @[el2_lsu_bus_buffer.scala 592:105] - wire _T_4681 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 592:120] - wire _T_4682 = _T_4548 & _T_4681; // @[el2_lsu_bus_buffer.scala 592:105] - wire [31:0] _T_4683 = _T_4664 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4684 = _T_4670 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4685 = _T_4676 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4686 = _T_4682 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4687 = _T_4683 | _T_4684; // @[Mux.scala 27:72] - wire [31:0] _T_4688 = _T_4687 | _T_4685; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4688 | _T_4686; // @[Mux.scala 27:72] - wire _T_4690 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4691 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4692 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4693 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 119:123] - wire [31:0] _T_4694 = _T_4690 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4695 = _T_4691 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4696 = _T_4692 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4697 = _T_4693 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4698 = _T_4694 | _T_4695; // @[Mux.scala 27:72] - wire [31:0] _T_4699 = _T_4698 | _T_4696; // @[Mux.scala 27:72] - wire [31:0] _T_4700 = _T_4699 | _T_4697; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4700[1:0]; // @[el2_lsu_bus_buffer.scala 593:83] - wire [1:0] _T_4706 = _T_4690 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4707 = _T_4691 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4708 = _T_4692 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4709 = _T_4693 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4710 = _T_4706 | _T_4707; // @[Mux.scala 27:72] - wire [1:0] _T_4711 = _T_4710 | _T_4708; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4711 | _T_4709; // @[Mux.scala 27:72] - wire _T_4721 = _T_4690 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4722 = _T_4691 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4723 = _T_4692 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4724 = _T_4693 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4725 = _T_4721 | _T_4722; // @[Mux.scala 27:72] - wire _T_4726 = _T_4725 | _T_4723; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4726 | _T_4724; // @[Mux.scala 27:72] - wire [63:0] _T_4746 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 597:121] - wire [5:0] _T_4747 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 597:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4746 >> _T_4747; // @[el2_lsu_bus_buffer.scala 597:92] - wire _T_4748 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 600:69] - wire _T_4750 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 601:81] - wire _T_4751 = lsu_nonblock_unsign & _T_4750; // @[el2_lsu_bus_buffer.scala 601:63] - wire [31:0] _T_4753 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4754 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 602:45] - wire _T_4755 = lsu_nonblock_unsign & _T_4754; // @[el2_lsu_bus_buffer.scala 602:26] - wire [31:0] _T_4757 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4758 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 603:6] - wire _T_4760 = _T_4758 & _T_4750; // @[el2_lsu_bus_buffer.scala 603:27] - wire [23:0] _T_4763 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4765 = {_T_4763,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4768 = _T_4758 & _T_4754; // @[el2_lsu_bus_buffer.scala 604:27] - wire [15:0] _T_4771 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4773 = {_T_4771,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4774 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 605:21] - wire [31:0] _T_4775 = _T_4751 ? _T_4753 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4776 = _T_4755 ? _T_4757 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4777 = _T_4760 ? _T_4765 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4778 = _T_4768 ? _T_4773 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4779 = _T_4774 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4780 = _T_4775 | _T_4776; // @[Mux.scala 27:72] - wire [31:0] _T_4781 = _T_4780 | _T_4777; // @[Mux.scala 27:72] - wire [31:0] _T_4782 = _T_4781 | _T_4778; // @[Mux.scala 27:72] - wire [63:0] _GEN_392 = {{32'd0}, _T_4782}; // @[Mux.scala 27:72] - wire [63:0] _T_4783 = _GEN_392 | _T_4779; // @[Mux.scala 27:72] - wire _T_4878 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 623:36] - wire _T_4879 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 623:51] - wire _T_4880 = _T_4878 & _T_4879; // @[el2_lsu_bus_buffer.scala 623:49] - wire [31:0] _T_4884 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4886 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4891 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 635:50] - wire _T_4892 = _T_4878 & _T_4891; // @[el2_lsu_bus_buffer.scala 635:48] - wire [7:0] _T_4896 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4899 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 640:36] - wire _T_4901 = _T_4899 & _T_1362; // @[el2_lsu_bus_buffer.scala 640:50] - wire _T_4913 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 653:114] - wire _T_4915 = _T_4913 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 653:129] - wire _T_4918 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 653:114] - wire _T_4920 = _T_4918 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 653:129] - wire _T_4923 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 653:114] - wire _T_4925 = _T_4923 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 653:129] - wire _T_4928 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 653:114] - wire _T_4930 = _T_4928 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 653:129] - wire _T_4931 = _T_2811 & _T_4915; // @[Mux.scala 27:72] - wire _T_4932 = _T_2833 & _T_4920; // @[Mux.scala 27:72] - wire _T_4933 = _T_2855 & _T_4925; // @[Mux.scala 27:72] - wire _T_4934 = _T_2877 & _T_4930; // @[Mux.scala 27:72] - wire _T_4935 = _T_4931 | _T_4932; // @[Mux.scala 27:72] - wire _T_4936 = _T_4935 | _T_4933; // @[Mux.scala 27:72] - wire _T_4946 = _T_2833 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 654:98] - wire lsu_imprecise_error_store_tag = _T_4946 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 654:113] - wire _T_4952 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 656:72] - wire _T_4954 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 119:123] - wire [31:0] _T_4956 = _T_4954 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4957 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4958 = _T_4956 | _T_4957; // @[Mux.scala 27:72] - wire _T_4975 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 663:68] - wire _T_4978 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 664:48] - wire _T_4981 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 667:48] - wire _T_4982 = io_lsu_axi_awvalid & _T_4981; // @[el2_lsu_bus_buffer.scala 667:46] - wire _T_4983 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 667:92] - wire _T_4984 = io_lsu_axi_wvalid & _T_4983; // @[el2_lsu_bus_buffer.scala 667:90] - wire _T_4985 = _T_4982 | _T_4984; // @[el2_lsu_bus_buffer.scala 667:69] - wire _T_4986 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 667:136] - wire _T_4987 = io_lsu_axi_arvalid & _T_4986; // @[el2_lsu_bus_buffer.scala 667:134] - wire _T_4991 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 671:75] - wire _T_4992 = io_lsu_busreq_m & _T_4991; // @[el2_lsu_bus_buffer.scala 671:73] - reg _T_4995; // @[el2_lsu_bus_buffer.scala 671:56] + reg _T_4360; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4360,_T_4357,_T_4354,_T_4351}; // @[Cat.scala 29:58] + reg _T_4426; // @[el2_lsu_bus_buffer.scala 579:82] + reg _T_4421; // @[el2_lsu_bus_buffer.scala 579:82] + reg _T_4416; // @[el2_lsu_bus_buffer.scala 579:82] + reg _T_4411; // @[el2_lsu_bus_buffer.scala 579:82] + wire [3:0] buf_error = {_T_4426,_T_4421,_T_4416,_T_4411}; // @[Cat.scala 29:58] + wire _T_4408 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 579:86] + wire _T_4409 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 579:128] + wire _T_4413 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 579:86] + wire _T_4414 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 579:128] + wire _T_4418 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 579:86] + wire _T_4419 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 579:128] + wire _T_4423 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 579:86] + wire _T_4424 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 579:128] + wire [2:0] _T_4431 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] + wire [1:0] _T_4437 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 582:96] + wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 582:96] + wire [2:0] _T_4438 = _T_4437 + _GEN_388; // @[el2_lsu_bus_buffer.scala 582:96] + wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 582:96] + wire [3:0] buf_numvld_any = _T_4438 + _GEN_389; // @[el2_lsu_bus_buffer.scala 582:96] + wire _T_4508 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 588:52] + wire _T_4509 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 588:92] + wire _T_4510 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 588:119] + wire _T_4512 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 589:52] + wire _T_4513 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 589:52] + wire _T_4514 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 589:52] + wire _T_4515 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 589:52] + wire _T_4516 = _T_4512 | _T_4513; // @[el2_lsu_bus_buffer.scala 589:65] + wire _T_4517 = _T_4516 | _T_4514; // @[el2_lsu_bus_buffer.scala 589:65] + wire _T_4518 = _T_4517 | _T_4515; // @[el2_lsu_bus_buffer.scala 589:65] + wire _T_4519 = ~_T_4518; // @[el2_lsu_bus_buffer.scala 589:34] + wire _T_4521 = _T_4519 & _T_844; // @[el2_lsu_bus_buffer.scala 589:70] + wire _T_4524 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 591:51] + wire _T_4525 = _T_4524 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 591:72] + wire _T_4526 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 591:94] + wire _T_4527 = _T_4525 & _T_4526; // @[el2_lsu_bus_buffer.scala 591:92] + wire _T_4528 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 591:111] + wire _T_4530 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 594:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 680:66] + wire _T_4548 = _T_2814 & _T_3658; // @[Mux.scala 27:72] + wire _T_4549 = _T_2836 & _T_3851; // @[Mux.scala 27:72] + wire _T_4550 = _T_2858 & _T_4044; // @[Mux.scala 27:72] + wire _T_4551 = _T_2880 & _T_4237; // @[Mux.scala 27:72] + wire _T_4552 = _T_4548 | _T_4549; // @[Mux.scala 27:72] + wire _T_4553 = _T_4552 | _T_4550; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4553 | _T_4551; // @[Mux.scala 27:72] + wire _T_4559 = buf_error[0] & _T_3658; // @[el2_lsu_bus_buffer.scala 597:108] + wire _T_4564 = buf_error[1] & _T_3851; // @[el2_lsu_bus_buffer.scala 597:108] + wire _T_4569 = buf_error[2] & _T_4044; // @[el2_lsu_bus_buffer.scala 597:108] + wire _T_4574 = buf_error[3] & _T_4237; // @[el2_lsu_bus_buffer.scala 597:108] + wire _T_4575 = _T_2814 & _T_4559; // @[Mux.scala 27:72] + wire _T_4576 = _T_2836 & _T_4564; // @[Mux.scala 27:72] + wire _T_4577 = _T_2858 & _T_4569; // @[Mux.scala 27:72] + wire _T_4578 = _T_2880 & _T_4574; // @[Mux.scala 27:72] + wire _T_4579 = _T_4575 | _T_4576; // @[Mux.scala 27:72] + wire _T_4580 = _T_4579 | _T_4577; // @[Mux.scala 27:72] + wire _T_4587 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 598:109] + wire _T_4588 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 598:124] + wire _T_4589 = _T_4587 | _T_4588; // @[el2_lsu_bus_buffer.scala 598:122] + wire _T_4590 = _T_4548 & _T_4589; // @[el2_lsu_bus_buffer.scala 598:106] + wire _T_4595 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 598:109] + wire _T_4596 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 598:124] + wire _T_4597 = _T_4595 | _T_4596; // @[el2_lsu_bus_buffer.scala 598:122] + wire _T_4598 = _T_4549 & _T_4597; // @[el2_lsu_bus_buffer.scala 598:106] + wire _T_4603 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 598:109] + wire _T_4604 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 598:124] + wire _T_4605 = _T_4603 | _T_4604; // @[el2_lsu_bus_buffer.scala 598:122] + wire _T_4606 = _T_4550 & _T_4605; // @[el2_lsu_bus_buffer.scala 598:106] + wire _T_4611 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 598:109] + wire _T_4612 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 598:124] + wire _T_4613 = _T_4611 | _T_4612; // @[el2_lsu_bus_buffer.scala 598:122] + wire _T_4614 = _T_4551 & _T_4613; // @[el2_lsu_bus_buffer.scala 598:106] + wire [1:0] _T_4617 = _T_4606 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4618 = _T_4614 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_390 = {{1'd0}, _T_4598}; // @[Mux.scala 27:72] + wire [1:0] _T_4620 = _GEN_390 | _T_4617; // @[Mux.scala 27:72] + wire [31:0] _T_4655 = _T_4590 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4656 = _T_4598 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4657 = _T_4606 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4658 = _T_4614 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4659 = _T_4655 | _T_4656; // @[Mux.scala 27:72] + wire [31:0] _T_4660 = _T_4659 | _T_4657; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4660 | _T_4658; // @[Mux.scala 27:72] + wire _T_4666 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 600:120] + wire _T_4667 = _T_4548 & _T_4666; // @[el2_lsu_bus_buffer.scala 600:105] + wire _T_4672 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 600:120] + wire _T_4673 = _T_4549 & _T_4672; // @[el2_lsu_bus_buffer.scala 600:105] + wire _T_4678 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 600:120] + wire _T_4679 = _T_4550 & _T_4678; // @[el2_lsu_bus_buffer.scala 600:105] + wire _T_4684 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 600:120] + wire _T_4685 = _T_4551 & _T_4684; // @[el2_lsu_bus_buffer.scala 600:105] + wire [31:0] _T_4686 = _T_4667 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4687 = _T_4673 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4688 = _T_4679 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4689 = _T_4685 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4690 = _T_4686 | _T_4687; // @[Mux.scala 27:72] + wire [31:0] _T_4691 = _T_4690 | _T_4688; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4691 | _T_4689; // @[Mux.scala 27:72] + wire _T_4693 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 120:123] + wire _T_4694 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 120:123] + wire _T_4695 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 120:123] + wire _T_4696 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 120:123] + wire [31:0] _T_4697 = _T_4693 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4698 = _T_4694 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4699 = _T_4695 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4700 = _T_4696 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4701 = _T_4697 | _T_4698; // @[Mux.scala 27:72] + wire [31:0] _T_4702 = _T_4701 | _T_4699; // @[Mux.scala 27:72] + wire [31:0] _T_4703 = _T_4702 | _T_4700; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4703[1:0]; // @[el2_lsu_bus_buffer.scala 601:83] + wire [1:0] _T_4709 = _T_4693 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4710 = _T_4694 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4711 = _T_4695 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4712 = _T_4696 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4713 = _T_4709 | _T_4710; // @[Mux.scala 27:72] + wire [1:0] _T_4714 = _T_4713 | _T_4711; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4714 | _T_4712; // @[Mux.scala 27:72] + wire _T_4724 = _T_4693 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4725 = _T_4694 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4726 = _T_4695 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4727 = _T_4696 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4728 = _T_4724 | _T_4725; // @[Mux.scala 27:72] + wire _T_4729 = _T_4728 | _T_4726; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4729 | _T_4727; // @[Mux.scala 27:72] + wire [63:0] _T_4749 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 605:121] + wire [5:0] _T_4750 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 605:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4749 >> _T_4750; // @[el2_lsu_bus_buffer.scala 605:92] + wire _T_4751 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 608:69] + wire _T_4753 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 609:81] + wire _T_4754 = lsu_nonblock_unsign & _T_4753; // @[el2_lsu_bus_buffer.scala 609:63] + wire [31:0] _T_4756 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4757 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 610:45] + wire _T_4758 = lsu_nonblock_unsign & _T_4757; // @[el2_lsu_bus_buffer.scala 610:26] + wire [31:0] _T_4760 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4761 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 611:6] + wire _T_4763 = _T_4761 & _T_4753; // @[el2_lsu_bus_buffer.scala 611:27] + wire [23:0] _T_4766 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4768 = {_T_4766,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4771 = _T_4761 & _T_4757; // @[el2_lsu_bus_buffer.scala 612:27] + wire [15:0] _T_4774 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4776 = {_T_4774,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4777 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 613:21] + wire [31:0] _T_4778 = _T_4754 ? _T_4756 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4779 = _T_4758 ? _T_4760 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4780 = _T_4763 ? _T_4768 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4781 = _T_4771 ? _T_4776 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4782 = _T_4777 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4783 = _T_4778 | _T_4779; // @[Mux.scala 27:72] + wire [31:0] _T_4784 = _T_4783 | _T_4780; // @[Mux.scala 27:72] + wire [31:0] _T_4785 = _T_4784 | _T_4781; // @[Mux.scala 27:72] + wire [63:0] _GEN_392 = {{32'd0}, _T_4785}; // @[Mux.scala 27:72] + wire [63:0] _T_4786 = _GEN_392 | _T_4782; // @[Mux.scala 27:72] + wire _T_4881 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 631:36] + wire _T_4882 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 631:51] + wire _T_4883 = _T_4881 & _T_4882; // @[el2_lsu_bus_buffer.scala 631:49] + wire [31:0] _T_4887 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4889 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4894 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 643:50] + wire _T_4895 = _T_4881 & _T_4894; // @[el2_lsu_bus_buffer.scala 643:48] + wire [7:0] _T_4899 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4902 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 648:36] + wire _T_4904 = _T_4902 & _T_1362; // @[el2_lsu_bus_buffer.scala 648:50] + wire _T_4916 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 661:114] + wire _T_4918 = _T_4916 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 661:129] + wire _T_4921 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 661:114] + wire _T_4923 = _T_4921 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 661:129] + wire _T_4926 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 661:114] + wire _T_4928 = _T_4926 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 661:129] + wire _T_4931 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 661:114] + wire _T_4933 = _T_4931 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 661:129] + wire _T_4934 = _T_2814 & _T_4918; // @[Mux.scala 27:72] + wire _T_4935 = _T_2836 & _T_4923; // @[Mux.scala 27:72] + wire _T_4936 = _T_2858 & _T_4928; // @[Mux.scala 27:72] + wire _T_4937 = _T_2880 & _T_4933; // @[Mux.scala 27:72] + wire _T_4938 = _T_4934 | _T_4935; // @[Mux.scala 27:72] + wire _T_4939 = _T_4938 | _T_4936; // @[Mux.scala 27:72] + wire _T_4949 = _T_2836 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 662:98] + wire lsu_imprecise_error_store_tag = _T_4949 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 662:113] + wire _T_4955 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 664:72] + wire _T_4957 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 120:123] + wire [31:0] _T_4959 = _T_4957 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4960 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4961 = _T_4959 | _T_4960; // @[Mux.scala 27:72] + wire _T_4978 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 671:68] + wire _T_4981 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 672:48] + wire _T_4984 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 675:48] + wire _T_4985 = io_lsu_axi_awvalid & _T_4984; // @[el2_lsu_bus_buffer.scala 675:46] + wire _T_4986 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 675:92] + wire _T_4987 = io_lsu_axi_wvalid & _T_4986; // @[el2_lsu_bus_buffer.scala 675:90] + wire _T_4988 = _T_4985 | _T_4987; // @[el2_lsu_bus_buffer.scala 675:69] + wire _T_4989 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 675:136] + wire _T_4990 = io_lsu_axi_arvalid & _T_4989; // @[el2_lsu_bus_buffer.scala 675:134] + wire _T_4994 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 679:75] + wire _T_4995 = io_lsu_busreq_m & _T_4994; // @[el2_lsu_bus_buffer.scala 679:73] + reg _T_4998; // @[el2_lsu_bus_buffer.scala 679:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2703,67 +2709,68 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4995; // @[el2_lsu_bus_buffer.scala 671:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 579:30] - assign io_lsu_bus_buffer_full_any = _T_4505 ? _T_4506 : _T_4507; // @[el2_lsu_bus_buffer.scala 580:30] - assign io_lsu_bus_buffer_empty_any = _T_4518 & _T_1244; // @[el2_lsu_bus_buffer.scala 581:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 660:23] - assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 197:25] - assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 198:25] - assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 223:24] - assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 228:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4952; // @[el2_lsu_bus_buffer.scala 656:35] - assign io_lsu_imprecise_error_store_any = _T_4936 | _T_4934; // @[el2_lsu_bus_buffer.scala 653:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4958 : _T_4700; // @[el2_lsu_bus_buffer.scala 657:35] - assign io_lsu_nonblock_load_valid_m = _T_4524 & _T_4525; // @[el2_lsu_bus_buffer.scala 583:32] - assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 584:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4527; // @[el2_lsu_bus_buffer.scala 586:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 587:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4748; // @[el2_lsu_bus_buffer.scala 600:35] - assign io_lsu_nonblock_load_data_error = _T_4577 | _T_4575; // @[el2_lsu_bus_buffer.scala 589:35] - assign io_lsu_nonblock_load_data_tag = _T_4617 | _T_4615; // @[el2_lsu_bus_buffer.scala 590:33] - assign io_lsu_nonblock_load_data = _T_4783[31:0]; // @[el2_lsu_bus_buffer.scala 601:29] - assign io_lsu_pmu_bus_trxn = _T_4975 | _T_4870; // @[el2_lsu_bus_buffer.scala 663:23] - assign io_lsu_pmu_bus_misaligned = _T_4978 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 664:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 665:24] - assign io_lsu_pmu_bus_busy = _T_4985 | _T_4987; // @[el2_lsu_bus_buffer.scala 667:23] - assign io_lsu_axi_awvalid = _T_4880 & _T_1252; // @[el2_lsu_bus_buffer.scala 623:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 624:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4884; // @[el2_lsu_bus_buffer.scala 625:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 629:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 630:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4886 : 3'h3; // @[el2_lsu_bus_buffer.scala 626:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 631:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 633:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 628:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 627:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 632:20] - assign io_lsu_axi_wvalid = _T_4892 & _T_1252; // @[el2_lsu_bus_buffer.scala 635:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 637:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4896; // @[el2_lsu_bus_buffer.scala 636:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 638:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 651:21] - assign io_lsu_axi_arvalid = _T_4901 & _T_1252; // @[el2_lsu_bus_buffer.scala 640:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 641:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4884; // @[el2_lsu_bus_buffer.scala 642:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 646:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 647:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4886 : 3'h3; // @[el2_lsu_bus_buffer.scala 643:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 648:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 650:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 645:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 644:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 649:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 652:21] - assign io_test = _T_745 | _T_744; // @[el2_lsu_bus_buffer.scala 257:11] - assign io_data_hi = _T_4688 | _T_4686; // @[el2_lsu_bus_buffer.scala 598:14] - assign io_data_lo = _T_4657 | _T_4655; // @[el2_lsu_bus_buffer.scala 599:14] - assign io_data_en = {_T_4428,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 572:14] - assign io_Cmdptr0 = _T_2089[1:0]; // @[el2_lsu_bus_buffer.scala 441:14] - assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 357:14] - assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 277:15] - assign io_WrPtr1_m = _T_1927 ? 2'h0 : _T_1972; // @[el2_lsu_bus_buffer.scala 426:15] - assign io_wdata_in = {_T_1633,_T_1592}; // @[el2_lsu_bus_buffer.scala 392:15] + assign io_lsu_busreq_r = _T_4998; // @[el2_lsu_bus_buffer.scala 679:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 587:30] + assign io_lsu_bus_buffer_full_any = _T_4508 ? _T_4509 : _T_4510; // @[el2_lsu_bus_buffer.scala 588:30] + assign io_lsu_bus_buffer_empty_any = _T_4521 & _T_1244; // @[el2_lsu_bus_buffer.scala 589:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 668:23] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 198:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 199:25] + assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 224:24] + assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 229:24] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4955; // @[el2_lsu_bus_buffer.scala 664:35] + assign io_lsu_imprecise_error_store_any = _T_4939 | _T_4937; // @[el2_lsu_bus_buffer.scala 661:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4961 : _T_4703; // @[el2_lsu_bus_buffer.scala 665:35] + assign io_lsu_nonblock_load_valid_m = _T_4527 & _T_4528; // @[el2_lsu_bus_buffer.scala 591:32] + assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 592:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4530; // @[el2_lsu_bus_buffer.scala 594:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 595:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4751; // @[el2_lsu_bus_buffer.scala 608:35] + assign io_lsu_nonblock_load_data_error = _T_4580 | _T_4578; // @[el2_lsu_bus_buffer.scala 597:35] + assign io_lsu_nonblock_load_data_tag = _T_4620 | _T_4618; // @[el2_lsu_bus_buffer.scala 598:33] + assign io_lsu_nonblock_load_data = _T_4786[31:0]; // @[el2_lsu_bus_buffer.scala 609:29] + assign io_lsu_pmu_bus_trxn = _T_4978 | _T_4873; // @[el2_lsu_bus_buffer.scala 671:23] + assign io_lsu_pmu_bus_misaligned = _T_4981 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 672:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 673:24] + assign io_lsu_pmu_bus_busy = _T_4988 | _T_4990; // @[el2_lsu_bus_buffer.scala 675:23] + assign io_lsu_axi_awvalid = _T_4883 & _T_1252; // @[el2_lsu_bus_buffer.scala 631:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 632:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4887; // @[el2_lsu_bus_buffer.scala 633:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 637:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 638:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4889 : 3'h3; // @[el2_lsu_bus_buffer.scala 634:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 639:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 641:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 636:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 635:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 640:20] + assign io_lsu_axi_wvalid = _T_4895 & _T_1252; // @[el2_lsu_bus_buffer.scala 643:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 645:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4899; // @[el2_lsu_bus_buffer.scala 644:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 646:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 659:21] + assign io_lsu_axi_arvalid = _T_4904 & _T_1252; // @[el2_lsu_bus_buffer.scala 648:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 649:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4887; // @[el2_lsu_bus_buffer.scala 650:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 654:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 655:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4889 : 3'h3; // @[el2_lsu_bus_buffer.scala 651:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 656:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 658:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 653:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 652:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 657:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 660:21] + assign io_test = _T_745 | _T_744; // @[el2_lsu_bus_buffer.scala 258:11] + assign io_data_hi = _T_4691 | _T_4689; // @[el2_lsu_bus_buffer.scala 606:14] + assign io_data_lo = _T_4660 | _T_4658; // @[el2_lsu_bus_buffer.scala 607:14] + assign io_data_en = {_T_4431,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 580:14] + assign io_Cmdptr0 = _T_2092[1:0]; // @[el2_lsu_bus_buffer.scala 449:14] + assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 358:14] + assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 278:15] + assign io_WrPtr1_m = _T_1930 ? 2'h0 : _T_1975; // @[el2_lsu_bus_buffer.scala 434:15] + assign io_wdata_in = {_T_1633,_T_1592}; // @[el2_lsu_bus_buffer.scala 393:15] + assign io_buf_state = {_T_1915,buf_state_3}; // @[el2_lsu_bus_buffer.scala 427:16] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_845 & _T_846; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] @@ -2777,28 +2784,28 @@ module el2_lsu_bus_buffer( assign rvclkhdr_3_io_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_4_io_en = _T_3540 & buf_state_en_0; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_en = _T_3543 & buf_state_en_0; // @[el2_lib.scala 488:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_5_io_en = _T_3733 & buf_state_en_1; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_en = _T_3736 & buf_state_en_1; // @[el2_lib.scala 488:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_6_io_en = _T_3926 & buf_state_en_2; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_en = _T_3929 & buf_state_en_2; // @[el2_lib.scala 488:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_7_io_en = _T_4119 & buf_state_en_3; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_en = _T_4122 & buf_state_en_3; // @[el2_lib.scala 488:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_8_io_en = _T_3540 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_en = _T_3543 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_9_io_en = _T_3733 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_en = _T_3736 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_10_io_en = _T_3926 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_en = _T_3929 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_11_io_en = _T_4119 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_en = _T_4122 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -2838,13 +2845,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4372 = _RAND_1[0:0]; + _T_4375 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4369 = _RAND_2[0:0]; + _T_4372 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4366 = _RAND_3[0:0]; + _T_4369 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4363 = _RAND_4[0:0]; + _T_4366 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2936,13 +2943,13 @@ initial begin _RAND_49 = {1{`RANDOM}}; buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4342 = _RAND_50[0:0]; + _T_4345 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4339 = _RAND_51[0:0]; + _T_4342 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4336 = _RAND_52[0:0]; + _T_4339 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4333 = _RAND_53[0:0]; + _T_4336 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; buf_dual_3 = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; @@ -3006,13 +3013,13 @@ initial begin _RAND_84 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4319 = _RAND_85[0:0]; + _T_4322 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4317 = _RAND_86[0:0]; + _T_4320 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4315 = _RAND_87[0:0]; + _T_4318 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - _T_4313 = _RAND_88[0:0]; + _T_4316 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; @@ -3030,29 +3037,32 @@ initial begin _RAND_96 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4348 = _RAND_97[0:0]; + _T_4351 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4351 = _RAND_98[0:0]; + _T_4354 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4354 = _RAND_99[0:0]; + _T_4357 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4357 = _RAND_100[0:0]; + _T_4360 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4423 = _RAND_101[0:0]; + _T_4426 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4418 = _RAND_102[0:0]; + _T_4421 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4413 = _RAND_103[0:0]; + _T_4416 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4408 = _RAND_104[0:0]; + _T_4411 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4995 = _RAND_106[0:0]; + _T_4998 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end + if (reset) begin + _T_4375 = 1'h0; + end if (reset) begin _T_4372 = 1'h0; end @@ -3062,9 +3072,6 @@ initial begin if (reset) begin _T_4366 = 1'h0; end - if (reset) begin - _T_4363 = 1'h0; - end if (reset) begin buf_state_0 = 3'h0; end @@ -3200,6 +3207,9 @@ initial begin if (reset) begin buf_nomerge_3 = 1'h0; end + if (reset) begin + _T_4345 = 1'h0; + end if (reset) begin _T_4342 = 1'h0; end @@ -3209,9 +3219,6 @@ initial begin if (reset) begin _T_4336 = 1'h0; end - if (reset) begin - _T_4333 = 1'h0; - end if (reset) begin buf_dual_3 = 1'h0; end @@ -3306,16 +3313,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4319 = 1'h0; + _T_4322 = 1'h0; end if (reset) begin - _T_4317 = 1'h0; + _T_4320 = 1'h0; end if (reset) begin - _T_4315 = 1'h0; + _T_4318 = 1'h0; end if (reset) begin - _T_4313 = 1'h0; + _T_4316 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3341,9 +3348,6 @@ initial begin if (reset) begin buf_dualtag_3 = 2'h0; end - if (reset) begin - _T_4348 = 1'h0; - end if (reset) begin _T_4351 = 1'h0; end @@ -3354,22 +3358,25 @@ initial begin _T_4357 = 1'h0; end if (reset) begin - _T_4423 = 1'h0; + _T_4360 = 1'h0; end if (reset) begin - _T_4418 = 1'h0; + _T_4426 = 1'h0; end if (reset) begin - _T_4413 = 1'h0; + _T_4421 = 1'h0; end if (reset) begin - _T_4408 = 1'h0; + _T_4416 = 1'h0; + end + if (reset) begin + _T_4411 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4995 = 1'h0; + _T_4998 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3382,7 +3389,7 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3355) begin + end else if (_T_3358) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; @@ -3390,75 +3397,75 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4372 <= 1'h0; + _T_4375 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4372 <= buf_write_in[3]; + _T_4375 <= buf_write_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4372 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4372 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4369 <= 1'h0; - end else if (buf_wr_en_2) begin - _T_4369 <= buf_write_in[2]; + end else if (buf_wr_en_1) begin + _T_4369 <= buf_write_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4366 <= 1'h0; - end else if (buf_wr_en_1) begin - _T_4366 <= buf_write_in[1]; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4363 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4363 <= buf_write_in[0]; + _T_4366 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3540) begin + if (_T_3543) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3563) begin + end else if (_T_3566) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3567) begin + end else if (_T_3570) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3571) begin + end else if (_T_3574) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3601) begin - if (_T_3606) begin + end else if (_T_3604) begin + if (_T_3609) begin buf_state_0 <= 3'h0; - end else if (_T_3614) begin + end else if (_T_3617) begin buf_state_0 <= 3'h4; - end else if (_T_3642) begin + end else if (_T_3645) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3688) begin + end else if (_T_3691) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3694) begin + end else if (_T_3697) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3706) begin + end else if (_T_3709) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3474,7 +3481,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3364) begin + end else if (_T_3367) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3484,45 +3491,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3733) begin + if (_T_3736) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3756) begin + end else if (_T_3759) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3760) begin + end else if (_T_3763) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3571) begin + end else if (_T_3574) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3794) begin - if (_T_3799) begin + end else if (_T_3797) begin + if (_T_3802) begin buf_state_1 <= 3'h0; - end else if (_T_3807) begin + end else if (_T_3810) begin buf_state_1 <= 3'h4; - end else if (_T_3835) begin + end else if (_T_3838) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3881) begin + end else if (_T_3884) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3887) begin + end else if (_T_3890) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3899) begin + end else if (_T_3902) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3538,7 +3545,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3373) begin + end else if (_T_3376) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3548,45 +3555,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3926) begin + if (_T_3929) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3949) begin + end else if (_T_3952) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3953) begin + end else if (_T_3956) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3571) begin + end else if (_T_3574) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_3987) begin - if (_T_3992) begin + end else if (_T_3990) begin + if (_T_3995) begin buf_state_2 <= 3'h0; - end else if (_T_4000) begin + end else if (_T_4003) begin buf_state_2 <= 3'h4; - end else if (_T_4028) begin + end else if (_T_4031) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4074) begin + end else if (_T_4077) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_4080) begin + end else if (_T_4083) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4092) begin + end else if (_T_4095) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3602,7 +3609,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3382) begin + end else if (_T_3385) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3612,45 +3619,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_4119) begin + if (_T_4122) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_4142) begin + end else if (_T_4145) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_4146) begin + end else if (_T_4149) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3571) begin + end else if (_T_3574) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4180) begin - if (_T_4185) begin + end else if (_T_4183) begin + if (_T_4188) begin buf_state_3 <= 3'h0; - end else if (_T_4193) begin + end else if (_T_4196) begin buf_state_3 <= 3'h4; - end else if (_T_4221) begin + end else if (_T_4224) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4267) begin + end else if (_T_4270) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4273) begin + end else if (_T_4276) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4285) begin + end else if (_T_4288) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3667,7 +3674,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_byteen_3 <= ibuf_byteen_out; - end else if (_T_3382) begin + end else if (_T_3385) begin buf_byteen_3 <= ldst_byteen_hi_r; end else begin buf_byteen_3 <= ldst_byteen_lo_r; @@ -3680,7 +3687,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_byteen_2 <= ibuf_byteen_out; - end else if (_T_3373) begin + end else if (_T_3376) begin buf_byteen_2 <= ldst_byteen_hi_r; end else begin buf_byteen_2 <= ldst_byteen_lo_r; @@ -3693,7 +3700,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_byteen_1 <= ibuf_byteen_out; - end else if (_T_3364) begin + end else if (_T_3367) begin buf_byteen_1 <= ldst_byteen_hi_r; end else begin buf_byteen_1 <= ldst_byteen_lo_r; @@ -3706,7 +3713,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_byteen_0 <= ibuf_byteen_out; - end else if (_T_3355) begin + end else if (_T_3358) begin buf_byteen_0 <= ldst_byteen_hi_r; end else begin buf_byteen_0 <= ldst_byteen_lo_r; @@ -3717,7 +3724,7 @@ end // initial if (reset) begin buf_ageQ_3 <= 4'h0; end else begin - buf_ageQ_3 <= {_T_2547,_T_2470}; + buf_ageQ_3 <= {_T_2550,_T_2473}; end end always @(posedge io_lsu_busm_clk or posedge reset) begin @@ -3803,35 +3810,35 @@ end // initial if (reset) begin buf_ageQ_2 <= 4'h0; end else begin - buf_ageQ_2 <= {_T_2445,_T_2368}; + buf_ageQ_2 <= {_T_2448,_T_2371}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_1 <= 4'h0; end else begin - buf_ageQ_1 <= {_T_2343,_T_2266}; + buf_ageQ_1 <= {_T_2346,_T_2269}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_0 <= 4'h0; end else begin - buf_ageQ_0 <= {_T_2241,_T_2164}; + buf_ageQ_0 <= {_T_2244,_T_2167}; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin buf_data_0 <= 32'h0; - end else if (_T_3540) begin - if (_T_3555) begin + end else if (_T_3543) begin + if (_T_3558) begin buf_data_0 <= ibuf_data_out; end else begin buf_data_0 <= store_data_lo_r; end - end else if (_T_3563) begin + end else if (_T_3566) begin buf_data_0 <= 32'h0; - end else if (_T_3567) begin + end else if (_T_3570) begin if (buf_error_en_0) begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_0[2]) begin @@ -3839,8 +3846,8 @@ end // initial end else begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3601) begin - if (_T_3681) begin + end else if (_T_3604) begin + if (_T_3684) begin if (buf_addr_0[2]) begin buf_data_0 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3856,15 +3863,15 @@ end // initial always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin buf_data_1 <= 32'h0; - end else if (_T_3733) begin - if (_T_3748) begin + end else if (_T_3736) begin + if (_T_3751) begin buf_data_1 <= ibuf_data_out; end else begin buf_data_1 <= store_data_lo_r; end - end else if (_T_3756) begin + end else if (_T_3759) begin buf_data_1 <= 32'h0; - end else if (_T_3760) begin + end else if (_T_3763) begin if (buf_error_en_1) begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_1[2]) begin @@ -3872,8 +3879,8 @@ end // initial end else begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3794) begin - if (_T_3874) begin + end else if (_T_3797) begin + if (_T_3877) begin if (buf_addr_1[2]) begin buf_data_1 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3889,15 +3896,15 @@ end // initial always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin buf_data_2 <= 32'h0; - end else if (_T_3926) begin - if (_T_3941) begin + end else if (_T_3929) begin + if (_T_3944) begin buf_data_2 <= ibuf_data_out; end else begin buf_data_2 <= store_data_lo_r; end - end else if (_T_3949) begin + end else if (_T_3952) begin buf_data_2 <= 32'h0; - end else if (_T_3953) begin + end else if (_T_3956) begin if (buf_error_en_2) begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_2[2]) begin @@ -3905,8 +3912,8 @@ end // initial end else begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3987) begin - if (_T_4067) begin + end else if (_T_3990) begin + if (_T_4070) begin if (buf_addr_2[2]) begin buf_data_2 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3922,15 +3929,15 @@ end // initial always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin buf_data_3 <= 32'h0; - end else if (_T_4119) begin - if (_T_4134) begin + end else if (_T_4122) begin + if (_T_4137) begin buf_data_3 <= ibuf_data_out; end else begin buf_data_3 <= store_data_lo_r; end - end else if (_T_4142) begin + end else if (_T_4145) begin buf_data_3 <= 32'h0; - end else if (_T_4146) begin + end else if (_T_4149) begin if (buf_error_en_3) begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_3[2]) begin @@ -3938,8 +3945,8 @@ end // initial end else begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_4180) begin - if (_T_4260) begin + end else if (_T_4183) begin + if (_T_4263) begin if (buf_addr_3[2]) begin buf_data_3 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3971,13 +3978,13 @@ end // initial always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr1_r <= 2'h0; - end else if (_T_1927) begin + end else if (_T_1930) begin WrPtr1_r <= 2'h0; - end else if (_T_1941) begin + end else if (_T_1944) begin WrPtr1_r <= 2'h1; - end else if (_T_1955) begin + end else if (_T_1958) begin WrPtr1_r <= 2'h2; - end else if (_T_1969) begin + end else if (_T_1972) begin WrPtr1_r <= 2'h3; end else begin WrPtr1_r <= 2'h0; @@ -4099,30 +4106,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4342 <= 1'h0; + _T_4345 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4342 <= buf_sideeffect_in[3]; + _T_4345 <= buf_sideeffect_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4342 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4342 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4339 <= 1'h0; - end else if (buf_wr_en_2) begin - _T_4339 <= buf_sideeffect_in[2]; + end else if (buf_wr_en_1) begin + _T_4339 <= buf_sideeffect_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4336 <= 1'h0; - end else if (buf_wr_en_1) begin - _T_4336 <= buf_sideeffect_in[1]; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4333 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4333 <= buf_sideeffect_in[0]; + _T_4336 <= buf_sideeffect_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4196,14 +4203,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1318 & _T_4867; + obuf_cmd_done <= _T_1318 & _T_4870; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1318 & _T_4868; + obuf_data_done <= _T_1318 & _T_4871; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4348,79 +4355,79 @@ end // initial if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3185,_T_3174}; + buf_rspageQ_0 <= {_T_3188,_T_3177}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3200,_T_3189}; + buf_rspageQ_1 <= {_T_3203,_T_3192}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3215,_T_3204}; + buf_rspageQ_2 <= {_T_3218,_T_3207}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3230,_T_3219}; + buf_rspageQ_3 <= {_T_3233,_T_3222}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4319 <= 1'h0; + _T_4322 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4119) begin - _T_4319 <= 1'h0; - end else if (_T_4142) begin - _T_4319 <= 1'h0; + if (_T_4122) begin + _T_4322 <= 1'h0; + end else if (_T_4145) begin + _T_4322 <= 1'h0; end else begin - _T_4319 <= _T_4146; + _T_4322 <= _T_4149; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4317 <= 1'h0; + _T_4320 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3926) begin - _T_4317 <= 1'h0; - end else if (_T_3949) begin - _T_4317 <= 1'h0; + if (_T_3929) begin + _T_4320 <= 1'h0; + end else if (_T_3952) begin + _T_4320 <= 1'h0; end else begin - _T_4317 <= _T_3953; + _T_4320 <= _T_3956; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4315 <= 1'h0; + _T_4318 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3733) begin - _T_4315 <= 1'h0; - end else if (_T_3756) begin - _T_4315 <= 1'h0; + if (_T_3736) begin + _T_4318 <= 1'h0; + end else if (_T_3759) begin + _T_4318 <= 1'h0; end else begin - _T_4315 <= _T_3760; + _T_4318 <= _T_3763; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4313 <= 1'h0; + _T_4316 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3540) begin - _T_4313 <= 1'h0; - end else if (_T_3563) begin - _T_4313 <= 1'h0; + if (_T_3543) begin + _T_4316 <= 1'h0; + end else if (_T_3566) begin + _T_4316 <= 1'h0; end else begin - _T_4313 <= _T_3567; + _T_4316 <= _T_3570; end end end @@ -4428,11 +4435,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3540) begin + if (_T_3543) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3563) begin + end else if (_T_3566) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3567) begin + end else if (_T_3570) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4445,7 +4452,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3355) begin + end else if (_T_3358) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4456,11 +4463,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4119) begin + if (_T_4122) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4142) begin + end else if (_T_4145) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4146) begin + end else if (_T_4149) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4471,11 +4478,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3926) begin + if (_T_3929) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3949) begin + end else if (_T_3952) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3953) begin + end else if (_T_3956) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4486,11 +4493,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3733) begin + if (_T_3736) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3756) begin + end else if (_T_3759) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3760) begin + end else if (_T_3763) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4503,7 +4510,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3364) begin + end else if (_T_3367) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4516,7 +4523,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3373) begin + end else if (_T_3376) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4529,67 +4536,67 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3382) begin + end else if (_T_3385) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4348 <= 1'h0; - end else if (buf_wr_en_0) begin - _T_4348 <= buf_unsign_in[0]; - end - end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4351 <= 1'h0; - end else if (buf_wr_en_1) begin - _T_4351 <= buf_unsign_in[1]; + end else if (buf_wr_en_0) begin + _T_4351 <= buf_unsign_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4354 <= 1'h0; - end else if (buf_wr_en_2) begin - _T_4354 <= buf_unsign_in[2]; + end else if (buf_wr_en_1) begin + _T_4354 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4357 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4357 <= buf_unsign_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4360 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4357 <= buf_unsign_in[3]; + _T_4360 <= buf_unsign_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4423 <= 1'h0; + _T_4426 <= 1'h0; end else begin - _T_4423 <= _T_4420 & _T_4421; + _T_4426 <= _T_4423 & _T_4424; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4418 <= 1'h0; + _T_4421 <= 1'h0; end else begin - _T_4418 <= _T_4415 & _T_4416; + _T_4421 <= _T_4418 & _T_4419; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4413 <= 1'h0; + _T_4416 <= 1'h0; end else begin - _T_4413 <= _T_4410 & _T_4411; + _T_4416 <= _T_4413 & _T_4414; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4408 <= 1'h0; + _T_4411 <= 1'h0; end else begin - _T_4408 <= _T_4405 & _T_4406; + _T_4411 <= _T_4408 & _T_4409; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4601,9 +4608,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4995 <= 1'h0; + _T_4998 <= 1'h0; end else begin - _T_4995 <= _T_4992 & _T_4525; + _T_4998 <= _T_4995 & _T_4528; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 6b8114cd..428c5b44 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -114,6 +114,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val WrPtr1_r = Output(UInt()) val WrPtr1_m = Output(UInt()) val wdata_in = Output(UInt()) + val buf_state = Output(UInt()) }) def indexing(in : UInt, index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) def indexing(in : Vec[UInt], index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) @@ -417,12 +418,19 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val obuf_data = rvdffe(obuf_data_in, obuf_wr_en, io.lsu_busm_clk, io.scan_mode) obuf_wr_timer := withClock(io.lsu_busm_clk){RegNext(obuf_wr_timer_in, 0.U)} val WrPtr0_m = WireInit(UInt(DEPTH_LOG2.W), 0.U) + val found_array1 = (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | - (io.lsu_busreq_r & (WrPtr0_r===i.U)) | (io.ldst_dual_r & (WrPtr1_r === i.U))))->i.U) + (io.lsu_busreq_r & (WrPtr0_r === i.U)) | + (io.ldst_dual_r & (WrPtr1_r === i.U)))) -> i.U) + WrPtr0_m := MuxCase(0.U, found_array1) - val found_array2 = (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | - (io.lsu_busreq_m & (WrPtr0_m===i.U)) | (io.lsu_busreq_r & (WrPtr0_r === i.U)) | (io.ldst_dual_r & (WrPtr1_r===i.U))))->i.U) - val WrPtr1_m = MuxCase(0.U, found_array2) + io.buf_state := buf_state.reduce(Cat(_,_)) + + val WrPtr1_m = MuxCase(0.U, (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | + (io.lsu_busreq_m & (WrPtr0_m===i.U)) | + (io.lsu_busreq_r & (((WrPtr0_r === i.U)) | + (io.ldst_dual_r & (WrPtr1_r===i.U)))))) -> i.U)) + io.WrPtr1_m := WrPtr1_m val buf_age = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_age := buf_age.map(i=> 0.U) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 3f701d94..1eff955e 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$.class and b/target/scala-2.12/classes/lsu/BusBufmain$.class differ diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index 15d4cd9c..5800b103 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class and b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer$$anon$1.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer$$anon$1.class index bd11b469..2d1f073c 100644 Binary files a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer$$anon$1.class and b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer$$anon$1.class differ diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index 75b13b9d..0d3bd3fc 100644 Binary files a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class and b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class differ