From 23d3fe115c1f81a080c4f282bb998e7ccf02e03c Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Mon, 9 Nov 2020 13:11:23 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_dec.anno.json | 1706 ++ el2_dec.fir | 17171 ++++++++++++++++ el2_dec.v | 14144 +++++++++++++ el2_dec_decode_ctl.anno.json | 1492 ++ el2_dec_decode_ctl.fir | 5032 +++++ el2_dec_decode_ctl.v | 2824 ++- el2_dec_gpr_ctl.anno.json | 37 + el2_dec_gpr_ctl.fir | 2074 ++ el2_dec_gpr_ctl.v | 1522 ++ el2_dec_ib_ctl.anno.json | 183 + el2_dec_ib_ctl.fir | 71 + el2_dec_ib_ctl.v | 98 + el2_dec_tlu_ctl.anno.json | 508 + el2_dec_tlu_ctl.fir | 8034 ++++++++ el2_dec_tlu_ctl.v | 7181 +++++++ el2_dec_trigger.anno.json | 45 + el2_dec_trigger.fir | 1457 ++ el2_dec_trigger.v | 613 + src/main/scala/dec/el2_dec.scala | 713 + src/main/scala/dec/el2_dec_decode_ctl.scala | 827 + src/main/scala/dec/el2_dec_gpr_ctl.scala | 58 + src/main/scala/dec/el2_dec_ib_ctl.scala | 99 + src/main/scala/dec/el2_dec_tlu_ctl.scala | 2872 +++ src/main/scala/dec/el2_dec_trigger.scala | 20 + .../chisel-module-template.kotlin_module | Bin 16 -> 0 bytes target/scala-2.12/classes/dec/CSR_VAL.class | Bin 0 -> 4081 bytes target/scala-2.12/classes/dec/CSRs.class | Bin 0 -> 23329 bytes target/scala-2.12/classes/dec/csr_tlu.class | Bin 0 -> 214220 bytes .../scala-2.12/classes/dec/dec_decode$.class | Bin 0 -> 3900 bytes .../dec/dec_decode$delayedInit$body.class | Bin 0 -> 757 bytes .../scala-2.12/classes/dec/dec_decode.class | Bin 0 -> 799 bytes target/scala-2.12/classes/dec/dec_main$.class | Bin 0 -> 3856 bytes .../dec/dec_main$delayedInit$body.class | Bin 0 -> 734 bytes target/scala-2.12/classes/dec/dec_main.class | Bin 0 -> 777 bytes target/scala-2.12/classes/dec/dec_trig$.class | Bin 0 -> 3880 bytes .../dec/dec_trig$delayedInit$body.class | Bin 0 -> 742 bytes target/scala-2.12/classes/dec/dec_trig.class | Bin 0 -> 785 bytes .../scala-2.12/classes/dec/el2_CSR_IO.class | Bin 0 -> 82414 bytes target/scala-2.12/classes/dec/el2_dec.class | Bin 0 -> 211279 bytes .../scala-2.12/classes/dec/el2_dec_IO.class | Bin 0 -> 82218 bytes .../classes/dec/el2_dec_decode_csr_read.class | Bin 0 -> 58681 bytes .../dec/el2_dec_decode_csr_read_IO.class | Bin 0 -> 43478 bytes .../dec/el2_dec_decode_ctl$$anon$1.class | Bin 0 -> 17799 bytes .../classes/dec/el2_dec_decode_ctl.class | Bin 0 -> 558087 bytes .../classes/dec/el2_dec_gpr_ctl.class | Bin 0 -> 52629 bytes .../classes/dec/el2_dec_gpr_ctl_IO.class | Bin 0 -> 4008 bytes .../classes/dec/el2_dec_ib_ctl.class | Bin 0 -> 44105 bytes .../classes/dec/el2_dec_ib_ctl_IO.class | Bin 0 -> 42974 bytes .../classes/dec/el2_dec_timer_ctl.class | Bin 0 -> 60165 bytes .../classes/dec/el2_dec_timer_ctl_IO.class | Bin 0 -> 5579 bytes .../classes/dec/el2_dec_tlu_ctl.class | Bin 0 -> 183283 bytes .../classes/dec/el2_dec_tlu_ctl_IO.class | Bin 0 -> 75823 bytes .../classes/dec/el2_dec_trigger$$anon$1.class | Bin 0 -> 2383 bytes .../classes/dec/el2_dec_trigger.class | Bin 0 -> 52072 bytes target/scala-2.12/classes/dec/gpr_gen$.class | Bin 0 -> 3875 bytes .../dec/gpr_gen$delayedInit$body.class | Bin 0 -> 736 bytes target/scala-2.12/classes/dec/gpr_gen.class | Bin 0 -> 780 bytes target/scala-2.12/classes/dec/ib_gen$.class | Bin 0 -> 3867 bytes .../classes/dec/ib_gen$delayedInit$body.class | Bin 0 -> 729 bytes target/scala-2.12/classes/dec/ib_gen.class | Bin 0 -> 774 bytes target/scala-2.12/classes/dec/tlu_gen$.class | Bin 0 -> 3876 bytes .../dec/tlu_gen$delayedInit$body.class | Bin 0 -> 736 bytes target/scala-2.12/classes/dec/tlu_gen.class | Bin 0 -> 780 bytes 63 files changed, 67362 insertions(+), 1419 deletions(-) create mode 100644 el2_dec.anno.json create mode 100644 el2_dec.fir create mode 100644 el2_dec.v create mode 100644 el2_dec_decode_ctl.anno.json create mode 100644 el2_dec_decode_ctl.fir create mode 100644 el2_dec_gpr_ctl.anno.json create mode 100644 el2_dec_gpr_ctl.fir create mode 100644 el2_dec_gpr_ctl.v create mode 100644 el2_dec_ib_ctl.anno.json create mode 100644 el2_dec_ib_ctl.fir create mode 100644 el2_dec_ib_ctl.v create mode 100644 el2_dec_tlu_ctl.anno.json create mode 100644 el2_dec_tlu_ctl.fir create mode 100644 el2_dec_tlu_ctl.v create mode 100644 el2_dec_trigger.anno.json create mode 100644 el2_dec_trigger.fir create mode 100644 el2_dec_trigger.v create mode 100644 src/main/scala/dec/el2_dec.scala create mode 100644 src/main/scala/dec/el2_dec_decode_ctl.scala create mode 100644 src/main/scala/dec/el2_dec_gpr_ctl.scala create mode 100644 src/main/scala/dec/el2_dec_ib_ctl.scala create mode 100644 src/main/scala/dec/el2_dec_tlu_ctl.scala create mode 100644 src/main/scala/dec/el2_dec_trigger.scala delete mode 100644 target/scala-2.12/classes/META-INF/chisel-module-template.kotlin_module create mode 100644 target/scala-2.12/classes/dec/CSR_VAL.class create mode 100644 target/scala-2.12/classes/dec/CSRs.class create mode 100644 target/scala-2.12/classes/dec/csr_tlu.class create mode 100644 target/scala-2.12/classes/dec/dec_decode$.class create mode 100644 target/scala-2.12/classes/dec/dec_decode$delayedInit$body.class create mode 100644 target/scala-2.12/classes/dec/dec_decode.class create mode 100644 target/scala-2.12/classes/dec/dec_main$.class create mode 100644 target/scala-2.12/classes/dec/dec_main$delayedInit$body.class create mode 100644 target/scala-2.12/classes/dec/dec_main.class create mode 100644 target/scala-2.12/classes/dec/dec_trig$.class create mode 100644 target/scala-2.12/classes/dec/dec_trig$delayedInit$body.class create mode 100644 target/scala-2.12/classes/dec/dec_trig.class create mode 100644 target/scala-2.12/classes/dec/el2_CSR_IO.class create mode 100644 target/scala-2.12/classes/dec/el2_dec.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_IO.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_decode_csr_read.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_decode_csr_read_IO.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_decode_ctl$$anon$1.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_decode_ctl.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_gpr_ctl.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_gpr_ctl_IO.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_ib_ctl.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_ib_ctl_IO.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_timer_ctl.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_timer_ctl_IO.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_tlu_ctl.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_tlu_ctl_IO.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_trigger$$anon$1.class create mode 100644 target/scala-2.12/classes/dec/el2_dec_trigger.class create mode 100644 target/scala-2.12/classes/dec/gpr_gen$.class create mode 100644 target/scala-2.12/classes/dec/gpr_gen$delayedInit$body.class create mode 100644 target/scala-2.12/classes/dec/gpr_gen.class create mode 100644 target/scala-2.12/classes/dec/ib_gen$.class create mode 100644 target/scala-2.12/classes/dec/ib_gen$delayedInit$body.class create mode 100644 target/scala-2.12/classes/dec/ib_gen.class create mode 100644 target/scala-2.12/classes/dec/tlu_gen$.class create mode 100644 target/scala-2.12/classes/dec/tlu_gen$delayedInit$body.class create mode 100644 target/scala-2.12/classes/dec/tlu_gen.class diff --git a/el2_dec.anno.json b/el2_dec.anno.json new file mode 100644 index 00000000..8fcfe6bb --- /dev/null +++ b/el2_dec.anno.json @@ -0,0 +1,1706 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_mul_p_low", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_pc4", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_pc" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_br0_r_pkt_hist", + "sources":[ + "~el2_dec|el2_dec>io_exu_i0_br_hist_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_i0_commit_cmt", + "sources":[ + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_lsu_p_store_data_bypass_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_predict_t", + "sources":[ + "~el2_dec|el2_dec>io_i0_brp_hist", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_toffset" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_br_immed_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_pc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_hist", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_toffset" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_immed_d", + "sources":[ + "~el2_dec|el2_dec>io_core_id", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_csr_ren_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_debug_wdata_rs1_d", + "sources":[ + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_lsu_offset_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_pred_correct_npc_x", + "sources":[ + "~el2_dec|el2_dec>io_exu_i0_pc_x" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_slt", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_br0_r_pkt_br_start_error", + "sources":[ + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_sub", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_lsu_p_by", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_div_p_valid", + "sources":[ + "~el2_dec|el2_dec>io_lsu_nonblock_load_valid_m", + "~el2_dec|el2_dec>io_dbg_cmd_wrdata", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_error", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_ifu_i0_valid", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_decode_d", + "sources":[ + "~el2_dec|el2_dec>io_lsu_load_stall_any", + "~el2_dec|el2_dec>io_dma_dccm_stall_any", + "~el2_dec|el2_dec>io_lsu_store_stall_any", + "~el2_dec|el2_dec>io_lsu_nonblock_load_valid_m", + "~el2_dec|el2_dec>io_dbg_cmd_wrdata", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_error", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_ifu_i0_valid", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_rs1_en_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_rs1_bypass_data_d", + "sources":[ + "~el2_dec|el2_dec>io_lsu_nonblock_load_data", + "~el2_dec|el2_dec>io_lsu_result_m", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_flush_leak_one_r", + "sources":[ + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_valid", + "sources":[ + "~el2_dec|el2_dec>io_lsu_load_stall_any", + "~el2_dec|el2_dec>io_dma_dccm_stall_any", + "~el2_dec|el2_dec>io_lsu_store_stall_any", + "~el2_dec|el2_dec>io_lsu_nonblock_load_valid_m", + "~el2_dec|el2_dec>io_dbg_cmd_wrdata", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_error", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_ifu_i0_valid", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_flush_path_r", + "sources":[ + "~el2_dec|el2_dec>io_rst_vec", + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_nmi_vec", + "~el2_dec|el2_dec>io_lsu_fir_addr", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_exu_npc_r", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_type", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_lsu_p_word", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_rs1_bypass_en_d", + "sources":[ + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_lsu_p_unsign", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_select_pc_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_dbg_rddata", + "sources":[ + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_csr_write", + "sources":[ + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_lor", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_bge", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_div_p_rem", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_flush_lower_r", + "sources":[ + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_pja", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_rs2_bypass_data_d", + "sources":[ + "~el2_dec|el2_dec>io_lsu_nonblock_load_data", + "~el2_dec|el2_dec>io_lsu_result_m", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_lsu_valid_raw_d", + "sources":[ + "~el2_dec|el2_dec>io_dma_dccm_stall_any", + "~el2_dec|el2_dec>io_lsu_nonblock_load_valid_m", + "~el2_dec|el2_dec>io_dbg_cmd_wrdata", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_error", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_ifu_i0_valid", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_lsu_p_valid", + "sources":[ + "~el2_dec|el2_dec>io_lsu_load_stall_any", + "~el2_dec|el2_dec>io_dma_dccm_stall_any", + "~el2_dec|el2_dec>io_lsu_store_stall_any", + "~el2_dec|el2_dec>io_lsu_nonblock_load_valid_m", + "~el2_dec|el2_dec>io_dbg_cmd_wrdata", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_error", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_ifu_i0_valid", + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_toffset", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_br_start_error", + "sources":[ + "~el2_dec|el2_dec>io_lsu_load_stall_any", + "~el2_dec|el2_dec>io_dma_dccm_stall_any", + "~el2_dec|el2_dec>io_lsu_store_stall_any", + "~el2_dec|el2_dec>io_lsu_nonblock_load_valid_m", + "~el2_dec|el2_dec>io_dbg_cmd_wrdata", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_error", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_ifu_i0_valid", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_rs2_en_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_pret", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_lsu_p_load_ldst_bypass_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_div_cancel", + "sources":[ + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_br0_r_pkt_middle", + "sources":[ + "~el2_dec|el2_dec>io_exu_i0_br_middle_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_bne", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_br0_r_pkt_way", + "sources":[ + "~el2_dec|el2_dec>io_exu_i0_br_way_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_land", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_sll", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_br0_r_pkt_valid", + "sources":[ + "~el2_dec|el2_dec>io_exu_i0_br_valid_r", + "~el2_dec|el2_dec>io_exu_i0_br_mp_r", + "~el2_dec|el2_dec>io_exu_pmu_i0_br_ataken" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_data_en", + "sources":[ + "~el2_dec|el2_dec>io_lsu_load_stall_any", + "~el2_dec|el2_dec>io_dma_dccm_stall_any", + "~el2_dec|el2_dec>io_lsu_store_stall_any", + "~el2_dec|el2_dec>io_lsu_nonblock_load_valid_m", + "~el2_dec|el2_dec>io_dbg_cmd_wrdata", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_error", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_ifu_i0_valid", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_blt", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_mul_p_rs2_sign", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_alu_decode_d", + "sources":[ + "~el2_dec|el2_dec>io_lsu_nonblock_load_valid_m", + "~el2_dec|el2_dec>io_dbg_cmd_wrdata", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_error", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_ifu_i0_valid", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_add", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_lxor", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_beq", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_jal", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_div_p_unsign", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_mul_p_valid", + "sources":[ + "~el2_dec|el2_dec>io_lsu_nonblock_load_valid_m", + "~el2_dec|el2_dec>io_dbg_cmd_wrdata", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_error", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_ifu_i0_valid", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_br_error", + "sources":[ + "~el2_dec|el2_dec>io_lsu_load_stall_any", + "~el2_dec|el2_dec>io_dma_dccm_stall_any", + "~el2_dec|el2_dec>io_lsu_store_stall_any", + "~el2_dec|el2_dec>io_lsu_nonblock_load_valid_m", + "~el2_dec|el2_dec>io_dbg_cmd_wrdata", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_error", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist", + "~el2_dec|el2_dec>io_ifu_i0_valid", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_predict_index_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_bp_index" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_lsu_p_load", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_predict_btag_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_bp_btag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_prett", + "sources":[ + "~el2_dec|el2_dec>io_i0_brp_prett" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_csr_imm", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_dbg_cmd_fail", + "sources":[ + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_unsign", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_pcall", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_fence_i_r", + "sources":[ + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_br0_r_pkt_br_error", + "sources":[ + "~el2_dec|el2_dec>io_exu_i0_br_error_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_gpr_i0_rs2_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_hist", + "sources":[ + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_predict_fghr_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_bp_fghr" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_lsu_p_store", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_gpr_i0_rs1_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_predict_nt", + "sources":[ + "~el2_dec|el2_dec>io_i0_brp_hist", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_toffset" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_i0_kill_writeb_r", + "sources":[ + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_flush_noredir_r", + "sources":[ + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_srl", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_lsu_p_half", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_rs2_bypass_en_d", + "sources":[ + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_mul_p_rs1_sign", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_way", + "sources":[ + "~el2_dec|el2_dec>io_i0_brp_way" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_tlu_flush_err_r", + "sources":[ + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_i0_ap_sra", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_ctl_en", + "sources":[ + "~el2_dec|el2_dec>io_lsu_load_stall_any", + "~el2_dec|el2_dec>io_dma_dccm_stall_any", + "~el2_dec|el2_dec>io_lsu_store_stall_any", + "~el2_dec|el2_dec>io_lsu_nonblock_load_valid_m", + "~el2_dec|el2_dec>io_dbg_cmd_wrdata", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_valid", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_error", + "~el2_dec|el2_dec>io_lsu_nonblock_load_data_tag", + "~el2_dec|el2_dec>io_ifu_i0_valid", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_mpc_reset_run_req", + "~el2_dec|el2_dec>io_lsu_fastint_stall_any", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec|el2_dec>io_exu_i0_br_error_r", + "~el2_dec|el2_dec>io_exu_i0_br_start_error_r", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_inst_type", + "~el2_dec|el2_dec>io_lsu_fir_error", + "~el2_dec|el2_dec>io_mhwakeup", + "~el2_dec|el2_dec>io_lsu_error_pkt_r_exc_valid", + "~el2_dec|el2_dec>io_lsu_imprecise_error_load_any", + "~el2_dec|el2_dec>io_lsu_imprecise_error_store_any", + "~el2_dec|el2_dec>io_dbg_halt_req", + "~el2_dec|el2_dec>io_ifu_miss_state_idle", + "~el2_dec|el2_dec>io_lsu_idle_any", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_br_error", + "~el2_dec|el2_dec>io_i0_brp_ret", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_i0_brp_toffset", + "~el2_dec|el2_dec>io_i0_brp_hist", + "~el2_dec|el2_dec>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~el2_dec|el2_dec_trigger>io_dec_i0_trigger_match_d" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"el2_dec.TEC_RV_ICG", + "resourceId":"/vsrc/TEC_RV_ICG.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"el2_dec" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/el2_dec.fir b/el2_dec.fir new file mode 100644 index 00000000..026c8013 --- /dev/null +++ b/el2_dec.fir @@ -0,0 +1,17171 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit el2_dec : + module el2_dec_ib_ctl : + input clock : Clock + input reset : Reset + output io : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>, flip i0_brp : {valid : UInt<1>, toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}, flip ifu_i0_bp_index : UInt<8>, flip ifu_i0_bp_fghr : UInt<8>, flip ifu_i0_bp_btag : UInt<5>, flip ifu_i0_pc4 : UInt<1>, flip ifu_i0_valid : UInt<1>, flip ifu_i0_icaf : UInt<1>, flip ifu_i0_icaf_type : UInt<2>, flip ifu_i0_icaf_f1 : UInt<1>, flip ifu_i0_dbecc : UInt<1>, flip ifu_i0_instr : UInt<32>, flip ifu_i0_pc : UInt<31>, dec_ib0_valid_d : UInt<1>, dec_i0_icaf_type_d : UInt<2>, dec_i0_instr_d : UInt<32>, dec_i0_pc_d : UInt<31>, dec_i0_pc4_d : UInt<1>, dec_i0_brp : {valid : UInt<1>, toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}, dec_i0_bp_index : UInt<8>, dec_i0_bp_fghr : UInt<8>, dec_i0_bp_btag : UInt<5>, dec_i0_icaf_d : UInt<1>, dec_i0_icaf_f1_d : UInt<1>, dec_i0_dbecc_d : UInt<1>, dec_debug_wdata_rs1_d : UInt<1>, dec_debug_fence_d : UInt<1>} + + io.dec_i0_icaf_f1_d <= io.ifu_i0_icaf_f1 @[el2_dec_ib_ctl.scala 43:31] + io.dec_i0_dbecc_d <= io.ifu_i0_dbecc @[el2_dec_ib_ctl.scala 44:31] + io.dec_i0_icaf_d <= io.ifu_i0_icaf @[el2_dec_ib_ctl.scala 45:31] + io.dec_i0_pc_d <= io.ifu_i0_pc @[el2_dec_ib_ctl.scala 46:31] + io.dec_i0_pc4_d <= io.ifu_i0_pc4 @[el2_dec_ib_ctl.scala 47:31] + io.dec_i0_icaf_type_d <= io.ifu_i0_icaf_type @[el2_dec_ib_ctl.scala 48:31] + io.dec_i0_brp.ret <= io.i0_brp.ret @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.way <= io.i0_brp.way @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.prett <= io.i0_brp.prett @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.bank <= io.i0_brp.bank @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.br_start_error <= io.i0_brp.br_start_error @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.br_error <= io.i0_brp.br_error @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.hist <= io.i0_brp.hist @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.toffset <= io.i0_brp.toffset @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.valid <= io.i0_brp.valid @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_bp_index <= io.ifu_i0_bp_index @[el2_dec_ib_ctl.scala 50:31] + io.dec_i0_bp_fghr <= io.ifu_i0_bp_fghr @[el2_dec_ib_ctl.scala 51:31] + io.dec_i0_bp_btag <= io.ifu_i0_bp_btag @[el2_dec_ib_ctl.scala 52:31] + node _T = neq(io.dbg_cmd_type, UInt<2>("h02")) @[el2_dec_ib_ctl.scala 66:60] + node debug_valid = and(io.dbg_cmd_valid, _T) @[el2_dec_ib_ctl.scala 66:41] + node _T_1 = eq(io.dbg_cmd_write, UInt<1>("h00")) @[el2_dec_ib_ctl.scala 67:38] + node debug_read = and(debug_valid, _T_1) @[el2_dec_ib_ctl.scala 67:36] + node debug_write = and(debug_valid, io.dbg_cmd_write) @[el2_dec_ib_ctl.scala 68:36] + node _T_2 = eq(io.dbg_cmd_type, UInt<1>("h00")) @[el2_dec_ib_ctl.scala 70:55] + node debug_read_gpr = and(debug_read, _T_2) @[el2_dec_ib_ctl.scala 70:37] + node _T_3 = eq(io.dbg_cmd_type, UInt<1>("h00")) @[el2_dec_ib_ctl.scala 71:55] + node debug_write_gpr = and(debug_write, _T_3) @[el2_dec_ib_ctl.scala 71:37] + node _T_4 = eq(io.dbg_cmd_type, UInt<1>("h01")) @[el2_dec_ib_ctl.scala 72:55] + node debug_read_csr = and(debug_read, _T_4) @[el2_dec_ib_ctl.scala 72:37] + node _T_5 = eq(io.dbg_cmd_type, UInt<1>("h01")) @[el2_dec_ib_ctl.scala 73:55] + node debug_write_csr = and(debug_write, _T_5) @[el2_dec_ib_ctl.scala 73:37] + node dreg = bits(io.dbg_cmd_addr, 4, 0) @[el2_dec_ib_ctl.scala 75:40] + node dcsr = bits(io.dbg_cmd_addr, 11, 0) @[el2_dec_ib_ctl.scala 76:40] + node _T_6 = bits(debug_read_gpr, 0, 0) @[el2_dec_ib_ctl.scala 79:20] + node _T_7 = mux(UInt<1>("h00"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_8 = cat(_T_7, dreg) @[Cat.scala 29:58] + node _T_9 = cat(_T_8, UInt<15>("h06033")) @[Cat.scala 29:58] + node _T_10 = bits(debug_write_gpr, 0, 0) @[el2_dec_ib_ctl.scala 80:21] + node _T_11 = cat(UInt<20>("h06"), dreg) @[Cat.scala 29:58] + node _T_12 = cat(_T_11, UInt<7>("h033")) @[Cat.scala 29:58] + node _T_13 = bits(debug_read_csr, 0, 0) @[el2_dec_ib_ctl.scala 81:20] + node _T_14 = cat(dcsr, UInt<20>("h02073")) @[Cat.scala 29:58] + node _T_15 = bits(debug_write_csr, 0, 0) @[el2_dec_ib_ctl.scala 82:21] + node _T_16 = cat(dcsr, UInt<20>("h01073")) @[Cat.scala 29:58] + node _T_17 = mux(_T_6, _T_9, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_18 = mux(_T_10, _T_12, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_19 = mux(_T_13, _T_14, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20 = mux(_T_15, _T_16, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21 = or(_T_17, _T_18) @[Mux.scala 27:72] + node _T_22 = or(_T_21, _T_19) @[Mux.scala 27:72] + node _T_23 = or(_T_22, _T_20) @[Mux.scala 27:72] + wire ib0_debug_in : UInt<32> @[Mux.scala 27:72] + ib0_debug_in <= _T_23 @[Mux.scala 27:72] + node _T_24 = or(debug_write_gpr, debug_write_csr) @[el2_dec_ib_ctl.scala 86:47] + io.dec_debug_wdata_rs1_d <= _T_24 @[el2_dec_ib_ctl.scala 86:28] + node _T_25 = eq(dcsr, UInt<11>("h07c4")) @[el2_dec_ib_ctl.scala 89:51] + node _T_26 = and(debug_write_csr, _T_25) @[el2_dec_ib_ctl.scala 89:43] + io.dec_debug_fence_d <= _T_26 @[el2_dec_ib_ctl.scala 89:24] + node _T_27 = or(io.ifu_i0_valid, debug_valid) @[el2_dec_ib_ctl.scala 91:41] + io.dec_ib0_valid_d <= _T_27 @[el2_dec_ib_ctl.scala 91:22] + node _T_28 = bits(debug_valid, 0, 0) @[el2_dec_ib_ctl.scala 92:41] + node _T_29 = mux(_T_28, ib0_debug_in, io.ifu_i0_instr) @[el2_dec_ib_ctl.scala 92:28] + io.dec_i0_instr_d <= _T_29 @[el2_dec_ib_ctl.scala 92:22] + + extmodule TEC_RV_ICG : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + module el2_dec_dec_ctl : + input clock : Clock + input reset : Reset + output io : {flip ins : UInt<32>, out : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>}} + + node _T = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 72:23] + node _T_1 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 72:35] + node _T_2 = or(_T, _T_1) @[el2_dec_dec_ctl.scala 72:27] + node _T_3 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 72:49] + node _T_4 = eq(_T_3, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 72:42] + node _T_5 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 72:60] + node _T_6 = and(_T_4, _T_5) @[el2_dec_dec_ctl.scala 72:53] + node _T_7 = or(_T_2, _T_6) @[el2_dec_dec_ctl.scala 72:39] + node _T_8 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 72:75] + node _T_9 = eq(_T_8, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 72:68] + node _T_10 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 72:85] + node _T_11 = and(_T_9, _T_10) @[el2_dec_dec_ctl.scala 72:78] + node _T_12 = or(_T_7, _T_11) @[el2_dec_dec_ctl.scala 72:65] + io.out.alu <= _T_12 @[el2_dec_dec_ctl.scala 72:14] + node _T_13 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_14 = eq(_T_13, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_15 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_16 = eq(_T_15, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_17 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_18 = eq(_T_17, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_19 = and(_T_14, _T_16) @[el2_dec_dec_ctl.scala 73:51] + node _T_20 = and(_T_19, _T_18) @[el2_dec_dec_ctl.scala 73:51] + node _T_21 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_22 = eq(_T_21, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_23 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:33] + node _T_24 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_25 = eq(_T_24, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_26 = and(_T_22, _T_23) @[el2_dec_dec_ctl.scala 73:90] + node _T_27 = and(_T_26, _T_25) @[el2_dec_dec_ctl.scala 73:90] + node _T_28 = or(_T_20, _T_27) @[el2_dec_dec_ctl.scala 73:55] + node _T_29 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] + node _T_30 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_31 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_32 = eq(_T_31, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_33 = and(_T_29, _T_30) @[el2_dec_dec_ctl.scala 74:37] + node _T_34 = and(_T_33, _T_32) @[el2_dec_dec_ctl.scala 74:37] + node _T_35 = or(_T_28, _T_34) @[el2_dec_dec_ctl.scala 73:94] + node _T_36 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_37 = eq(_T_36, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_38 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:33] + node _T_39 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_40 = eq(_T_39, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_41 = and(_T_37, _T_38) @[el2_dec_dec_ctl.scala 74:76] + node _T_42 = and(_T_41, _T_40) @[el2_dec_dec_ctl.scala 74:76] + node _T_43 = or(_T_35, _T_42) @[el2_dec_dec_ctl.scala 74:41] + node _T_44 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] + node _T_45 = eq(_T_44, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_46 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_47 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_49 = and(_T_45, _T_46) @[el2_dec_dec_ctl.scala 75:38] + node _T_50 = and(_T_49, _T_48) @[el2_dec_dec_ctl.scala 75:38] + node _T_51 = or(_T_43, _T_50) @[el2_dec_dec_ctl.scala 74:80] + node _T_52 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_53 = eq(_T_52, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_54 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] + node _T_55 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_56 = eq(_T_55, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_57 = and(_T_53, _T_54) @[el2_dec_dec_ctl.scala 75:76] + node _T_58 = and(_T_57, _T_56) @[el2_dec_dec_ctl.scala 75:76] + node _T_59 = or(_T_51, _T_58) @[el2_dec_dec_ctl.scala 75:42] + node _T_60 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] + node _T_61 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_62 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_63 = eq(_T_62, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_64 = and(_T_60, _T_61) @[el2_dec_dec_ctl.scala 76:37] + node _T_65 = and(_T_64, _T_63) @[el2_dec_dec_ctl.scala 76:37] + node _T_66 = or(_T_59, _T_65) @[el2_dec_dec_ctl.scala 75:80] + node _T_67 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_68 = eq(_T_67, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_69 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:33] + node _T_70 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_71 = eq(_T_70, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_72 = and(_T_68, _T_69) @[el2_dec_dec_ctl.scala 76:75] + node _T_73 = and(_T_72, _T_71) @[el2_dec_dec_ctl.scala 76:75] + node _T_74 = or(_T_66, _T_73) @[el2_dec_dec_ctl.scala 76:41] + node _T_75 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] + node _T_76 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_77 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_78 = eq(_T_77, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_79 = and(_T_75, _T_76) @[el2_dec_dec_ctl.scala 77:37] + node _T_80 = and(_T_79, _T_78) @[el2_dec_dec_ctl.scala 77:37] + node _T_81 = or(_T_74, _T_80) @[el2_dec_dec_ctl.scala 76:79] + node _T_82 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_83 = eq(_T_82, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_84 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:33] + node _T_85 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_86 = eq(_T_85, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_87 = and(_T_83, _T_84) @[el2_dec_dec_ctl.scala 77:75] + node _T_88 = and(_T_87, _T_86) @[el2_dec_dec_ctl.scala 77:75] + node _T_89 = or(_T_81, _T_88) @[el2_dec_dec_ctl.scala 77:41] + node _T_90 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] + node _T_91 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_92 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_93 = eq(_T_92, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_94 = and(_T_90, _T_91) @[el2_dec_dec_ctl.scala 78:37] + node _T_95 = and(_T_94, _T_93) @[el2_dec_dec_ctl.scala 78:37] + node _T_96 = or(_T_89, _T_95) @[el2_dec_dec_ctl.scala 77:79] + node _T_97 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_98 = eq(_T_97, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_99 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_100 = eq(_T_99, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_101 = and(_T_98, _T_100) @[el2_dec_dec_ctl.scala 78:71] + node _T_102 = or(_T_96, _T_101) @[el2_dec_dec_ctl.scala 78:41] + node _T_103 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_104 = eq(_T_103, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_105 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_106 = eq(_T_105, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_107 = and(_T_104, _T_106) @[el2_dec_dec_ctl.scala 78:106] + node _T_108 = or(_T_102, _T_107) @[el2_dec_dec_ctl.scala 78:75] + io.out.rs1 <= _T_108 @[el2_dec_dec_ctl.scala 73:14] + node _T_109 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_110 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_111 = eq(_T_110, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_112 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_113 = eq(_T_112, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_114 = and(_T_109, _T_111) @[el2_dec_dec_ctl.scala 79:48] + node _T_115 = and(_T_114, _T_113) @[el2_dec_dec_ctl.scala 79:48] + node _T_116 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_117 = eq(_T_116, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_118 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_119 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_120 = eq(_T_119, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_121 = and(_T_117, _T_118) @[el2_dec_dec_ctl.scala 79:85] + node _T_122 = and(_T_121, _T_120) @[el2_dec_dec_ctl.scala 79:85] + node _T_123 = or(_T_115, _T_122) @[el2_dec_dec_ctl.scala 79:52] + io.out.rs2 <= _T_123 @[el2_dec_dec_ctl.scala 79:14] + node _T_124 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_125 = eq(_T_124, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_126 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_127 = eq(_T_126, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_128 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_129 = and(_T_125, _T_127) @[el2_dec_dec_ctl.scala 80:50] + node _T_130 = and(_T_129, _T_128) @[el2_dec_dec_ctl.scala 80:50] + node _T_131 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_132 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_133 = eq(_T_132, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_134 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_135 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_136 = eq(_T_135, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_137 = and(_T_131, _T_133) @[el2_dec_dec_ctl.scala 80:90] + node _T_138 = and(_T_137, _T_134) @[el2_dec_dec_ctl.scala 80:90] + node _T_139 = and(_T_138, _T_136) @[el2_dec_dec_ctl.scala 80:90] + node _T_140 = or(_T_130, _T_139) @[el2_dec_dec_ctl.scala 80:54] + node _T_141 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_142 = eq(_T_141, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_143 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_144 = eq(_T_143, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_145 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_146 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_147 = and(_T_142, _T_144) @[el2_dec_dec_ctl.scala 81:40] + node _T_148 = and(_T_147, _T_145) @[el2_dec_dec_ctl.scala 81:40] + node _T_149 = and(_T_148, _T_146) @[el2_dec_dec_ctl.scala 81:40] + node _T_150 = or(_T_140, _T_149) @[el2_dec_dec_ctl.scala 80:94] + node _T_151 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_152 = eq(_T_151, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_153 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_154 = eq(_T_153, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_155 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_156 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_157 = eq(_T_156, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_158 = and(_T_152, _T_154) @[el2_dec_dec_ctl.scala 81:81] + node _T_159 = and(_T_158, _T_155) @[el2_dec_dec_ctl.scala 81:81] + node _T_160 = and(_T_159, _T_157) @[el2_dec_dec_ctl.scala 81:81] + node _T_161 = or(_T_150, _T_160) @[el2_dec_dec_ctl.scala 81:44] + io.out.imm12 <= _T_161 @[el2_dec_dec_ctl.scala 80:16] + node _T_162 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 82:24] + node _T_163 = eq(_T_162, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 82:17] + node _T_164 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 82:37] + node _T_165 = eq(_T_164, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 82:30] + node _T_166 = and(_T_163, _T_165) @[el2_dec_dec_ctl.scala 82:28] + node _T_167 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 82:51] + node _T_168 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 82:63] + node _T_169 = and(_T_167, _T_168) @[el2_dec_dec_ctl.scala 82:55] + node _T_170 = or(_T_166, _T_169) @[el2_dec_dec_ctl.scala 82:42] + node _T_171 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 82:76] + node _T_172 = or(_T_170, _T_171) @[el2_dec_dec_ctl.scala 82:68] + io.out.rd <= _T_172 @[el2_dec_dec_ctl.scala 82:13] + node _T_173 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_174 = eq(_T_173, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_175 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_176 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_177 = eq(_T_176, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_178 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_179 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_180 = eq(_T_179, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_181 = and(_T_174, _T_175) @[el2_dec_dec_ctl.scala 83:58] + node _T_182 = and(_T_181, _T_177) @[el2_dec_dec_ctl.scala 83:58] + node _T_183 = and(_T_182, _T_178) @[el2_dec_dec_ctl.scala 83:58] + node _T_184 = and(_T_183, _T_180) @[el2_dec_dec_ctl.scala 83:58] + io.out.shimm5 <= _T_184 @[el2_dec_dec_ctl.scala 83:17] + node _T_185 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 84:26] + node _T_186 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 84:36] + node _T_187 = and(_T_185, _T_186) @[el2_dec_dec_ctl.scala 84:29] + node _T_188 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 84:50] + node _T_189 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 84:60] + node _T_190 = and(_T_188, _T_189) @[el2_dec_dec_ctl.scala 84:53] + node _T_191 = or(_T_187, _T_190) @[el2_dec_dec_ctl.scala 84:41] + io.out.imm20 <= _T_191 @[el2_dec_dec_ctl.scala 84:16] + node _T_192 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 85:24] + node _T_193 = eq(_T_192, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 85:17] + node _T_194 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 85:37] + node _T_195 = eq(_T_194, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 85:30] + node _T_196 = and(_T_193, _T_195) @[el2_dec_dec_ctl.scala 85:28] + node _T_197 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 85:49] + node _T_198 = and(_T_196, _T_197) @[el2_dec_dec_ctl.scala 85:41] + node _T_199 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 85:63] + node _T_200 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 85:75] + node _T_201 = and(_T_199, _T_200) @[el2_dec_dec_ctl.scala 85:67] + node _T_202 = or(_T_198, _T_201) @[el2_dec_dec_ctl.scala 85:54] + io.out.pc <= _T_202 @[el2_dec_dec_ctl.scala 85:13] + node _T_203 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_204 = eq(_T_203, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_205 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_206 = eq(_T_205, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_207 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_208 = eq(_T_207, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_209 = and(_T_204, _T_206) @[el2_dec_dec_ctl.scala 86:50] + node _T_210 = and(_T_209, _T_208) @[el2_dec_dec_ctl.scala 86:50] + io.out.load <= _T_210 @[el2_dec_dec_ctl.scala 86:15] + node _T_211 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_212 = eq(_T_211, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_213 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_214 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_215 = eq(_T_214, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_216 = and(_T_212, _T_213) @[el2_dec_dec_ctl.scala 87:50] + node _T_217 = and(_T_216, _T_215) @[el2_dec_dec_ctl.scala 87:50] + io.out.store <= _T_217 @[el2_dec_dec_ctl.scala 87:16] + node _T_218 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_219 = eq(_T_218, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_220 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_221 = eq(_T_220, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_222 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_223 = eq(_T_222, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_224 = and(_T_219, _T_221) @[el2_dec_dec_ctl.scala 88:49] + node _T_225 = and(_T_224, _T_223) @[el2_dec_dec_ctl.scala 88:49] + io.out.lsu <= _T_225 @[el2_dec_dec_ctl.scala 88:14] + node _T_226 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_227 = eq(_T_226, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_228 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_229 = eq(_T_228, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_230 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_231 = eq(_T_230, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_232 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_234 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_235 = and(_T_227, _T_229) @[el2_dec_dec_ctl.scala 89:57] + node _T_236 = and(_T_235, _T_231) @[el2_dec_dec_ctl.scala 89:57] + node _T_237 = and(_T_236, _T_233) @[el2_dec_dec_ctl.scala 89:57] + node _T_238 = and(_T_237, _T_234) @[el2_dec_dec_ctl.scala 89:57] + node _T_239 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_240 = eq(_T_239, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_241 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_242 = eq(_T_241, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_243 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_244 = and(_T_240, _T_242) @[el2_dec_dec_ctl.scala 89:94] + node _T_245 = and(_T_244, _T_243) @[el2_dec_dec_ctl.scala 89:94] + node _T_246 = or(_T_238, _T_245) @[el2_dec_dec_ctl.scala 89:61] + node _T_247 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_248 = eq(_T_247, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_249 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_250 = eq(_T_249, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_251 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_253 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_254 = eq(_T_253, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_255 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_256 = eq(_T_255, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_257 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_258 = eq(_T_257, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_259 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_260 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_261 = eq(_T_260, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_262 = and(_T_248, _T_250) @[el2_dec_dec_ctl.scala 90:56] + node _T_263 = and(_T_262, _T_252) @[el2_dec_dec_ctl.scala 90:56] + node _T_264 = and(_T_263, _T_254) @[el2_dec_dec_ctl.scala 90:56] + node _T_265 = and(_T_264, _T_256) @[el2_dec_dec_ctl.scala 90:56] + node _T_266 = and(_T_265, _T_258) @[el2_dec_dec_ctl.scala 90:56] + node _T_267 = and(_T_266, _T_259) @[el2_dec_dec_ctl.scala 90:56] + node _T_268 = and(_T_267, _T_261) @[el2_dec_dec_ctl.scala 90:56] + node _T_269 = or(_T_246, _T_268) @[el2_dec_dec_ctl.scala 89:98] + io.out.add <= _T_269 @[el2_dec_dec_ctl.scala 89:14] + node _T_270 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:33] + node _T_271 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_272 = eq(_T_271, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_273 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_274 = eq(_T_273, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_275 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_276 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_277 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_278 = eq(_T_277, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_279 = and(_T_270, _T_272) @[el2_dec_dec_ctl.scala 91:57] + node _T_280 = and(_T_279, _T_274) @[el2_dec_dec_ctl.scala 91:57] + node _T_281 = and(_T_280, _T_275) @[el2_dec_dec_ctl.scala 91:57] + node _T_282 = and(_T_281, _T_276) @[el2_dec_dec_ctl.scala 91:57] + node _T_283 = and(_T_282, _T_278) @[el2_dec_dec_ctl.scala 91:57] + node _T_284 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_285 = eq(_T_284, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_286 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_288 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_289 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_290 = eq(_T_289, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_291 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_292 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_293 = eq(_T_292, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_294 = and(_T_285, _T_287) @[el2_dec_dec_ctl.scala 91:105] + node _T_295 = and(_T_294, _T_288) @[el2_dec_dec_ctl.scala 91:105] + node _T_296 = and(_T_295, _T_290) @[el2_dec_dec_ctl.scala 91:105] + node _T_297 = and(_T_296, _T_291) @[el2_dec_dec_ctl.scala 91:105] + node _T_298 = and(_T_297, _T_293) @[el2_dec_dec_ctl.scala 91:105] + node _T_299 = or(_T_283, _T_298) @[el2_dec_dec_ctl.scala 91:61] + node _T_300 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_301 = eq(_T_300, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_302 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_303 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_304 = eq(_T_303, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_305 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_306 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_307 = eq(_T_306, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_308 = and(_T_301, _T_302) @[el2_dec_dec_ctl.scala 92:43] + node _T_309 = and(_T_308, _T_304) @[el2_dec_dec_ctl.scala 92:43] + node _T_310 = and(_T_309, _T_305) @[el2_dec_dec_ctl.scala 92:43] + node _T_311 = and(_T_310, _T_307) @[el2_dec_dec_ctl.scala 92:43] + node _T_312 = or(_T_299, _T_311) @[el2_dec_dec_ctl.scala 91:109] + node _T_313 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_314 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_315 = eq(_T_314, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_316 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_317 = eq(_T_316, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_318 = and(_T_313, _T_315) @[el2_dec_dec_ctl.scala 92:80] + node _T_319 = and(_T_318, _T_317) @[el2_dec_dec_ctl.scala 92:80] + node _T_320 = or(_T_312, _T_319) @[el2_dec_dec_ctl.scala 92:47] + io.out.sub <= _T_320 @[el2_dec_dec_ctl.scala 91:14] + node _T_321 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_322 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_323 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_324 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_326 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_328 = and(_T_321, _T_322) @[el2_dec_dec_ctl.scala 93:56] + node _T_329 = and(_T_328, _T_323) @[el2_dec_dec_ctl.scala 93:56] + node _T_330 = and(_T_329, _T_325) @[el2_dec_dec_ctl.scala 93:56] + node _T_331 = and(_T_330, _T_327) @[el2_dec_dec_ctl.scala 93:56] + node _T_332 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_333 = eq(_T_332, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_334 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_335 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_336 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_337 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_339 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_340 = eq(_T_339, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_341 = and(_T_333, _T_334) @[el2_dec_dec_ctl.scala 93:104] + node _T_342 = and(_T_341, _T_335) @[el2_dec_dec_ctl.scala 93:104] + node _T_343 = and(_T_342, _T_336) @[el2_dec_dec_ctl.scala 93:104] + node _T_344 = and(_T_343, _T_338) @[el2_dec_dec_ctl.scala 93:104] + node _T_345 = and(_T_344, _T_340) @[el2_dec_dec_ctl.scala 93:104] + node _T_346 = or(_T_331, _T_345) @[el2_dec_dec_ctl.scala 93:60] + io.out.land <= _T_346 @[el2_dec_dec_ctl.scala 93:15] + node _T_347 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_348 = eq(_T_347, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_349 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_350 = and(_T_348, _T_349) @[el2_dec_dec_ctl.scala 94:45] + node _T_351 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_352 = eq(_T_351, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_353 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_354 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_355 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_356 = eq(_T_355, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_357 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_358 = eq(_T_357, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_359 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_360 = eq(_T_359, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_361 = and(_T_352, _T_353) @[el2_dec_dec_ctl.scala 94:94] + node _T_362 = and(_T_361, _T_354) @[el2_dec_dec_ctl.scala 94:94] + node _T_363 = and(_T_362, _T_356) @[el2_dec_dec_ctl.scala 94:94] + node _T_364 = and(_T_363, _T_358) @[el2_dec_dec_ctl.scala 94:94] + node _T_365 = and(_T_364, _T_360) @[el2_dec_dec_ctl.scala 94:94] + node _T_366 = or(_T_350, _T_365) @[el2_dec_dec_ctl.scala 94:49] + node _T_367 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_368 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_369 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_370 = and(_T_367, _T_368) @[el2_dec_dec_ctl.scala 95:34] + node _T_371 = and(_T_370, _T_369) @[el2_dec_dec_ctl.scala 95:34] + node _T_372 = or(_T_366, _T_371) @[el2_dec_dec_ctl.scala 94:98] + node _T_373 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_374 = eq(_T_373, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_375 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_377 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_378 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_379 = and(_T_374, _T_376) @[el2_dec_dec_ctl.scala 95:75] + node _T_380 = and(_T_379, _T_377) @[el2_dec_dec_ctl.scala 95:75] + node _T_381 = and(_T_380, _T_378) @[el2_dec_dec_ctl.scala 95:75] + node _T_382 = or(_T_372, _T_381) @[el2_dec_dec_ctl.scala 95:38] + node _T_383 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_384 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_385 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_386 = eq(_T_385, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_387 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_388 = eq(_T_387, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_389 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_390 = eq(_T_389, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_391 = and(_T_383, _T_384) @[el2_dec_dec_ctl.scala 96:44] + node _T_392 = and(_T_391, _T_386) @[el2_dec_dec_ctl.scala 96:44] + node _T_393 = and(_T_392, _T_388) @[el2_dec_dec_ctl.scala 96:44] + node _T_394 = and(_T_393, _T_390) @[el2_dec_dec_ctl.scala 96:44] + node _T_395 = or(_T_382, _T_394) @[el2_dec_dec_ctl.scala 95:79] + io.out.lor <= _T_395 @[el2_dec_dec_ctl.scala 94:14] + node _T_396 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_398 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_399 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_401 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_402 = eq(_T_401, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_403 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_404 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_405 = eq(_T_404, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_406 = and(_T_397, _T_398) @[el2_dec_dec_ctl.scala 97:61] + node _T_407 = and(_T_406, _T_400) @[el2_dec_dec_ctl.scala 97:61] + node _T_408 = and(_T_407, _T_402) @[el2_dec_dec_ctl.scala 97:61] + node _T_409 = and(_T_408, _T_403) @[el2_dec_dec_ctl.scala 97:61] + node _T_410 = and(_T_409, _T_405) @[el2_dec_dec_ctl.scala 97:61] + node _T_411 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_412 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_413 = eq(_T_412, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_414 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_415 = eq(_T_414, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_416 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_417 = eq(_T_416, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_418 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_419 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_420 = eq(_T_419, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_421 = and(_T_411, _T_413) @[el2_dec_dec_ctl.scala 97:109] + node _T_422 = and(_T_421, _T_415) @[el2_dec_dec_ctl.scala 97:109] + node _T_423 = and(_T_422, _T_417) @[el2_dec_dec_ctl.scala 97:109] + node _T_424 = and(_T_423, _T_418) @[el2_dec_dec_ctl.scala 97:109] + node _T_425 = and(_T_424, _T_420) @[el2_dec_dec_ctl.scala 97:109] + node _T_426 = or(_T_410, _T_425) @[el2_dec_dec_ctl.scala 97:65] + io.out.lxor <= _T_426 @[el2_dec_dec_ctl.scala 97:15] + node _T_427 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_428 = eq(_T_427, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_429 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_430 = eq(_T_429, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_431 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_433 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_434 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_436 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_437 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_438 = eq(_T_437, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_439 = and(_T_428, _T_430) @[el2_dec_dec_ctl.scala 98:63] + node _T_440 = and(_T_439, _T_432) @[el2_dec_dec_ctl.scala 98:63] + node _T_441 = and(_T_440, _T_433) @[el2_dec_dec_ctl.scala 98:63] + node _T_442 = and(_T_441, _T_435) @[el2_dec_dec_ctl.scala 98:63] + node _T_443 = and(_T_442, _T_436) @[el2_dec_dec_ctl.scala 98:63] + node _T_444 = and(_T_443, _T_438) @[el2_dec_dec_ctl.scala 98:63] + io.out.sll <= _T_444 @[el2_dec_dec_ctl.scala 98:14] + node _T_445 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:33] + node _T_446 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_447 = eq(_T_446, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_448 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_449 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_450 = eq(_T_449, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_451 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_452 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_454 = and(_T_445, _T_447) @[el2_dec_dec_ctl.scala 99:58] + node _T_455 = and(_T_454, _T_448) @[el2_dec_dec_ctl.scala 99:58] + node _T_456 = and(_T_455, _T_450) @[el2_dec_dec_ctl.scala 99:58] + node _T_457 = and(_T_456, _T_451) @[el2_dec_dec_ctl.scala 99:58] + node _T_458 = and(_T_457, _T_453) @[el2_dec_dec_ctl.scala 99:58] + io.out.sra <= _T_458 @[el2_dec_dec_ctl.scala 99:14] + node _T_459 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_460 = eq(_T_459, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_461 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_463 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_464 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_465 = eq(_T_464, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_466 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_467 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_468 = eq(_T_467, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_469 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_470 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_471 = eq(_T_470, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_472 = and(_T_460, _T_462) @[el2_dec_dec_ctl.scala 100:66] + node _T_473 = and(_T_472, _T_463) @[el2_dec_dec_ctl.scala 100:66] + node _T_474 = and(_T_473, _T_465) @[el2_dec_dec_ctl.scala 100:66] + node _T_475 = and(_T_474, _T_466) @[el2_dec_dec_ctl.scala 100:66] + node _T_476 = and(_T_475, _T_468) @[el2_dec_dec_ctl.scala 100:66] + node _T_477 = and(_T_476, _T_469) @[el2_dec_dec_ctl.scala 100:66] + node _T_478 = and(_T_477, _T_471) @[el2_dec_dec_ctl.scala 100:66] + io.out.srl <= _T_478 @[el2_dec_dec_ctl.scala 100:14] + node _T_479 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_480 = eq(_T_479, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_481 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_482 = eq(_T_481, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_483 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_484 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_485 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_487 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_488 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_490 = and(_T_480, _T_482) @[el2_dec_dec_ctl.scala 101:62] + node _T_491 = and(_T_490, _T_483) @[el2_dec_dec_ctl.scala 101:62] + node _T_492 = and(_T_491, _T_484) @[el2_dec_dec_ctl.scala 101:62] + node _T_493 = and(_T_492, _T_486) @[el2_dec_dec_ctl.scala 101:62] + node _T_494 = and(_T_493, _T_487) @[el2_dec_dec_ctl.scala 101:62] + node _T_495 = and(_T_494, _T_489) @[el2_dec_dec_ctl.scala 101:62] + node _T_496 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_498 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_499 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_500 = eq(_T_499, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_501 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_502 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_503 = eq(_T_502, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_504 = and(_T_497, _T_498) @[el2_dec_dec_ctl.scala 101:106] + node _T_505 = and(_T_504, _T_500) @[el2_dec_dec_ctl.scala 101:106] + node _T_506 = and(_T_505, _T_501) @[el2_dec_dec_ctl.scala 101:106] + node _T_507 = and(_T_506, _T_503) @[el2_dec_dec_ctl.scala 101:106] + node _T_508 = or(_T_495, _T_507) @[el2_dec_dec_ctl.scala 101:66] + io.out.slt <= _T_508 @[el2_dec_dec_ctl.scala 101:14] + node _T_509 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_510 = eq(_T_509, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_511 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_512 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_513 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_515 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_516 = eq(_T_515, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_517 = and(_T_510, _T_511) @[el2_dec_dec_ctl.scala 102:59] + node _T_518 = and(_T_517, _T_512) @[el2_dec_dec_ctl.scala 102:59] + node _T_519 = and(_T_518, _T_514) @[el2_dec_dec_ctl.scala 102:59] + node _T_520 = and(_T_519, _T_516) @[el2_dec_dec_ctl.scala 102:59] + node _T_521 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_522 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_523 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_524 = eq(_T_523, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_525 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_526 = eq(_T_525, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_527 = and(_T_521, _T_522) @[el2_dec_dec_ctl.scala 102:99] + node _T_528 = and(_T_527, _T_524) @[el2_dec_dec_ctl.scala 102:99] + node _T_529 = and(_T_528, _T_526) @[el2_dec_dec_ctl.scala 102:99] + node _T_530 = or(_T_520, _T_529) @[el2_dec_dec_ctl.scala 102:63] + node _T_531 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_532 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_533 = eq(_T_532, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_534 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_535 = eq(_T_534, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_536 = and(_T_531, _T_533) @[el2_dec_dec_ctl.scala 103:37] + node _T_537 = and(_T_536, _T_535) @[el2_dec_dec_ctl.scala 103:37] + node _T_538 = or(_T_530, _T_537) @[el2_dec_dec_ctl.scala 102:103] + node _T_539 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_540 = eq(_T_539, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_541 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_542 = eq(_T_541, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_543 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_544 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_545 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_546 = eq(_T_545, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_547 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_548 = eq(_T_547, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_549 = and(_T_540, _T_542) @[el2_dec_dec_ctl.scala 103:86] + node _T_550 = and(_T_549, _T_543) @[el2_dec_dec_ctl.scala 103:86] + node _T_551 = and(_T_550, _T_544) @[el2_dec_dec_ctl.scala 103:86] + node _T_552 = and(_T_551, _T_546) @[el2_dec_dec_ctl.scala 103:86] + node _T_553 = and(_T_552, _T_548) @[el2_dec_dec_ctl.scala 103:86] + node _T_554 = or(_T_538, _T_553) @[el2_dec_dec_ctl.scala 103:41] + node _T_555 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_556 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_557 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_558 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_559 = eq(_T_558, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_560 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_561 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_562 = eq(_T_561, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_563 = and(_T_555, _T_556) @[el2_dec_dec_ctl.scala 104:45] + node _T_564 = and(_T_563, _T_557) @[el2_dec_dec_ctl.scala 104:45] + node _T_565 = and(_T_564, _T_559) @[el2_dec_dec_ctl.scala 104:45] + node _T_566 = and(_T_565, _T_560) @[el2_dec_dec_ctl.scala 104:45] + node _T_567 = and(_T_566, _T_562) @[el2_dec_dec_ctl.scala 104:45] + node _T_568 = or(_T_554, _T_567) @[el2_dec_dec_ctl.scala 103:90] + io.out.unsign <= _T_568 @[el2_dec_dec_ctl.scala 102:17] + node _T_569 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_570 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_571 = eq(_T_570, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_572 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_573 = eq(_T_572, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_574 = and(_T_569, _T_571) @[el2_dec_dec_ctl.scala 105:51] + node _T_575 = and(_T_574, _T_573) @[el2_dec_dec_ctl.scala 105:51] + io.out.condbr <= _T_575 @[el2_dec_dec_ctl.scala 105:17] + node _T_576 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_577 = eq(_T_576, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_578 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_579 = eq(_T_578, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_580 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_581 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_582 = eq(_T_581, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_583 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_584 = eq(_T_583, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_585 = and(_T_577, _T_579) @[el2_dec_dec_ctl.scala 106:56] + node _T_586 = and(_T_585, _T_580) @[el2_dec_dec_ctl.scala 106:56] + node _T_587 = and(_T_586, _T_582) @[el2_dec_dec_ctl.scala 106:56] + node _T_588 = and(_T_587, _T_584) @[el2_dec_dec_ctl.scala 106:56] + io.out.beq <= _T_588 @[el2_dec_dec_ctl.scala 106:14] + node _T_589 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_590 = eq(_T_589, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_591 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_592 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_593 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_594 = eq(_T_593, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_595 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_596 = eq(_T_595, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_597 = and(_T_590, _T_591) @[el2_dec_dec_ctl.scala 107:55] + node _T_598 = and(_T_597, _T_592) @[el2_dec_dec_ctl.scala 107:55] + node _T_599 = and(_T_598, _T_594) @[el2_dec_dec_ctl.scala 107:55] + node _T_600 = and(_T_599, _T_596) @[el2_dec_dec_ctl.scala 107:55] + io.out.bne <= _T_600 @[el2_dec_dec_ctl.scala 107:14] + node _T_601 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_602 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_603 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_604 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_605 = eq(_T_604, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_606 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_607 = eq(_T_606, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_608 = and(_T_601, _T_602) @[el2_dec_dec_ctl.scala 108:54] + node _T_609 = and(_T_608, _T_603) @[el2_dec_dec_ctl.scala 108:54] + node _T_610 = and(_T_609, _T_605) @[el2_dec_dec_ctl.scala 108:54] + node _T_611 = and(_T_610, _T_607) @[el2_dec_dec_ctl.scala 108:54] + io.out.bge <= _T_611 @[el2_dec_dec_ctl.scala 108:14] + node _T_612 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_613 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_614 = eq(_T_613, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_615 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_616 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_617 = eq(_T_616, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_618 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_619 = eq(_T_618, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_620 = and(_T_612, _T_614) @[el2_dec_dec_ctl.scala 109:55] + node _T_621 = and(_T_620, _T_615) @[el2_dec_dec_ctl.scala 109:55] + node _T_622 = and(_T_621, _T_617) @[el2_dec_dec_ctl.scala 109:55] + node _T_623 = and(_T_622, _T_619) @[el2_dec_dec_ctl.scala 109:55] + io.out.blt <= _T_623 @[el2_dec_dec_ctl.scala 109:14] + node _T_624 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_625 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_626 = and(_T_624, _T_625) @[el2_dec_dec_ctl.scala 110:44] + io.out.jal <= _T_626 @[el2_dec_dec_ctl.scala 110:14] + node _T_627 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_628 = eq(_T_627, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_629 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_630 = eq(_T_629, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_631 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_632 = eq(_T_631, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_633 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_634 = eq(_T_633, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_635 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_636 = eq(_T_635, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_637 = and(_T_628, _T_630) @[el2_dec_dec_ctl.scala 111:56] + node _T_638 = and(_T_637, _T_632) @[el2_dec_dec_ctl.scala 111:56] + node _T_639 = and(_T_638, _T_634) @[el2_dec_dec_ctl.scala 111:56] + node _T_640 = and(_T_639, _T_636) @[el2_dec_dec_ctl.scala 111:56] + io.out.by <= _T_640 @[el2_dec_dec_ctl.scala 111:13] + node _T_641 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_642 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_643 = eq(_T_642, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_644 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_645 = eq(_T_644, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_646 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_647 = eq(_T_646, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_648 = and(_T_641, _T_643) @[el2_dec_dec_ctl.scala 112:53] + node _T_649 = and(_T_648, _T_645) @[el2_dec_dec_ctl.scala 112:53] + node _T_650 = and(_T_649, _T_647) @[el2_dec_dec_ctl.scala 112:53] + io.out.half <= _T_650 @[el2_dec_dec_ctl.scala 112:15] + node _T_651 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_652 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_653 = eq(_T_652, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_654 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_655 = eq(_T_654, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_656 = and(_T_651, _T_653) @[el2_dec_dec_ctl.scala 113:50] + node _T_657 = and(_T_656, _T_655) @[el2_dec_dec_ctl.scala 113:50] + io.out.word <= _T_657 @[el2_dec_dec_ctl.scala 113:15] + node _T_658 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_659 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_660 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_661 = and(_T_658, _T_659) @[el2_dec_dec_ctl.scala 114:52] + node _T_662 = and(_T_661, _T_660) @[el2_dec_dec_ctl.scala 114:52] + node _T_663 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:33] + node _T_664 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_665 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_666 = and(_T_663, _T_664) @[el2_dec_dec_ctl.scala 114:87] + node _T_667 = and(_T_666, _T_665) @[el2_dec_dec_ctl.scala 114:87] + node _T_668 = or(_T_662, _T_667) @[el2_dec_dec_ctl.scala 114:56] + node _T_669 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:33] + node _T_670 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_671 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_672 = and(_T_669, _T_670) @[el2_dec_dec_ctl.scala 115:34] + node _T_673 = and(_T_672, _T_671) @[el2_dec_dec_ctl.scala 115:34] + node _T_674 = or(_T_668, _T_673) @[el2_dec_dec_ctl.scala 114:91] + node _T_675 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] + node _T_676 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_677 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_678 = and(_T_675, _T_676) @[el2_dec_dec_ctl.scala 115:69] + node _T_679 = and(_T_678, _T_677) @[el2_dec_dec_ctl.scala 115:69] + node _T_680 = or(_T_674, _T_679) @[el2_dec_dec_ctl.scala 115:38] + node _T_681 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:33] + node _T_682 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_683 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_684 = and(_T_681, _T_682) @[el2_dec_dec_ctl.scala 115:105] + node _T_685 = and(_T_684, _T_683) @[el2_dec_dec_ctl.scala 115:105] + node _T_686 = or(_T_680, _T_685) @[el2_dec_dec_ctl.scala 115:73] + node _T_687 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:33] + node _T_688 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_689 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_690 = and(_T_687, _T_688) @[el2_dec_dec_ctl.scala 116:35] + node _T_691 = and(_T_690, _T_689) @[el2_dec_dec_ctl.scala 116:35] + node _T_692 = or(_T_686, _T_691) @[el2_dec_dec_ctl.scala 115:109] + io.out.csr_read <= _T_692 @[el2_dec_dec_ctl.scala 114:19] + node _T_693 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] + node _T_694 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_695 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_696 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_697 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_698 = and(_T_693, _T_694) @[el2_dec_dec_ctl.scala 117:57] + node _T_699 = and(_T_698, _T_695) @[el2_dec_dec_ctl.scala 117:57] + node _T_700 = and(_T_699, _T_696) @[el2_dec_dec_ctl.scala 117:57] + node _T_701 = and(_T_700, _T_697) @[el2_dec_dec_ctl.scala 117:57] + node _T_702 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] + node _T_703 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_704 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_705 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_706 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_707 = and(_T_702, _T_703) @[el2_dec_dec_ctl.scala 117:99] + node _T_708 = and(_T_707, _T_704) @[el2_dec_dec_ctl.scala 117:99] + node _T_709 = and(_T_708, _T_705) @[el2_dec_dec_ctl.scala 117:99] + node _T_710 = and(_T_709, _T_706) @[el2_dec_dec_ctl.scala 117:99] + node _T_711 = or(_T_701, _T_710) @[el2_dec_dec_ctl.scala 117:61] + node _T_712 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] + node _T_713 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_714 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_715 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_716 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_717 = and(_T_712, _T_713) @[el2_dec_dec_ctl.scala 118:41] + node _T_718 = and(_T_717, _T_714) @[el2_dec_dec_ctl.scala 118:41] + node _T_719 = and(_T_718, _T_715) @[el2_dec_dec_ctl.scala 118:41] + node _T_720 = and(_T_719, _T_716) @[el2_dec_dec_ctl.scala 118:41] + node _T_721 = or(_T_711, _T_720) @[el2_dec_dec_ctl.scala 117:103] + node _T_722 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] + node _T_723 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_724 = eq(_T_723, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_725 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_726 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_727 = and(_T_722, _T_724) @[el2_dec_dec_ctl.scala 118:81] + node _T_728 = and(_T_727, _T_725) @[el2_dec_dec_ctl.scala 118:81] + node _T_729 = and(_T_728, _T_726) @[el2_dec_dec_ctl.scala 118:81] + node _T_730 = or(_T_721, _T_729) @[el2_dec_dec_ctl.scala 118:45] + node _T_731 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] + node _T_732 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_733 = eq(_T_732, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_734 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_735 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_736 = and(_T_731, _T_733) @[el2_dec_dec_ctl.scala 119:39] + node _T_737 = and(_T_736, _T_734) @[el2_dec_dec_ctl.scala 119:39] + node _T_738 = and(_T_737, _T_735) @[el2_dec_dec_ctl.scala 119:39] + node _T_739 = or(_T_730, _T_738) @[el2_dec_dec_ctl.scala 118:85] + io.out.csr_clr <= _T_739 @[el2_dec_dec_ctl.scala 117:18] + node _T_740 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_741 = eq(_T_740, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_742 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_743 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_744 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_745 = and(_T_741, _T_742) @[el2_dec_dec_ctl.scala 120:57] + node _T_746 = and(_T_745, _T_743) @[el2_dec_dec_ctl.scala 120:57] + node _T_747 = and(_T_746, _T_744) @[el2_dec_dec_ctl.scala 120:57] + io.out.csr_write <= _T_747 @[el2_dec_dec_ctl.scala 120:20] + node _T_748 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_749 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_750 = eq(_T_749, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_751 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_752 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_753 = and(_T_748, _T_750) @[el2_dec_dec_ctl.scala 121:55] + node _T_754 = and(_T_753, _T_751) @[el2_dec_dec_ctl.scala 121:55] + node _T_755 = and(_T_754, _T_752) @[el2_dec_dec_ctl.scala 121:55] + node _T_756 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] + node _T_757 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_758 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_759 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_760 = and(_T_756, _T_757) @[el2_dec_dec_ctl.scala 121:94] + node _T_761 = and(_T_760, _T_758) @[el2_dec_dec_ctl.scala 121:94] + node _T_762 = and(_T_761, _T_759) @[el2_dec_dec_ctl.scala 121:94] + node _T_763 = or(_T_755, _T_762) @[el2_dec_dec_ctl.scala 121:59] + node _T_764 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] + node _T_765 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_766 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_767 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_768 = and(_T_764, _T_765) @[el2_dec_dec_ctl.scala 122:38] + node _T_769 = and(_T_768, _T_766) @[el2_dec_dec_ctl.scala 122:38] + node _T_770 = and(_T_769, _T_767) @[el2_dec_dec_ctl.scala 122:38] + node _T_771 = or(_T_763, _T_770) @[el2_dec_dec_ctl.scala 121:98] + node _T_772 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] + node _T_773 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_774 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_775 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_776 = and(_T_772, _T_773) @[el2_dec_dec_ctl.scala 122:77] + node _T_777 = and(_T_776, _T_774) @[el2_dec_dec_ctl.scala 122:77] + node _T_778 = and(_T_777, _T_775) @[el2_dec_dec_ctl.scala 122:77] + node _T_779 = or(_T_771, _T_778) @[el2_dec_dec_ctl.scala 122:42] + node _T_780 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] + node _T_781 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_782 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_783 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_784 = and(_T_780, _T_781) @[el2_dec_dec_ctl.scala 123:38] + node _T_785 = and(_T_784, _T_782) @[el2_dec_dec_ctl.scala 123:38] + node _T_786 = and(_T_785, _T_783) @[el2_dec_dec_ctl.scala 123:38] + node _T_787 = or(_T_779, _T_786) @[el2_dec_dec_ctl.scala 122:81] + node _T_788 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] + node _T_789 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_790 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_791 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_792 = and(_T_788, _T_789) @[el2_dec_dec_ctl.scala 123:77] + node _T_793 = and(_T_792, _T_790) @[el2_dec_dec_ctl.scala 123:77] + node _T_794 = and(_T_793, _T_791) @[el2_dec_dec_ctl.scala 123:77] + node _T_795 = or(_T_787, _T_794) @[el2_dec_dec_ctl.scala 123:42] + io.out.csr_imm <= _T_795 @[el2_dec_dec_ctl.scala 121:18] + node _T_796 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] + node _T_797 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_799 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_800 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_801 = and(_T_796, _T_798) @[el2_dec_dec_ctl.scala 124:55] + node _T_802 = and(_T_801, _T_799) @[el2_dec_dec_ctl.scala 124:55] + node _T_803 = and(_T_802, _T_800) @[el2_dec_dec_ctl.scala 124:55] + node _T_804 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] + node _T_805 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_806 = eq(_T_805, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_807 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_808 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_809 = and(_T_804, _T_806) @[el2_dec_dec_ctl.scala 124:95] + node _T_810 = and(_T_809, _T_807) @[el2_dec_dec_ctl.scala 124:95] + node _T_811 = and(_T_810, _T_808) @[el2_dec_dec_ctl.scala 124:95] + node _T_812 = or(_T_803, _T_811) @[el2_dec_dec_ctl.scala 124:59] + node _T_813 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] + node _T_814 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_815 = eq(_T_814, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_816 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_817 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_818 = and(_T_813, _T_815) @[el2_dec_dec_ctl.scala 125:39] + node _T_819 = and(_T_818, _T_816) @[el2_dec_dec_ctl.scala 125:39] + node _T_820 = and(_T_819, _T_817) @[el2_dec_dec_ctl.scala 125:39] + node _T_821 = or(_T_812, _T_820) @[el2_dec_dec_ctl.scala 124:99] + node _T_822 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] + node _T_823 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_824 = eq(_T_823, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_825 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_826 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_827 = and(_T_822, _T_824) @[el2_dec_dec_ctl.scala 125:79] + node _T_828 = and(_T_827, _T_825) @[el2_dec_dec_ctl.scala 125:79] + node _T_829 = and(_T_828, _T_826) @[el2_dec_dec_ctl.scala 125:79] + node _T_830 = or(_T_821, _T_829) @[el2_dec_dec_ctl.scala 125:43] + node _T_831 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] + node _T_832 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_833 = eq(_T_832, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_834 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_835 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_836 = and(_T_831, _T_833) @[el2_dec_dec_ctl.scala 126:39] + node _T_837 = and(_T_836, _T_834) @[el2_dec_dec_ctl.scala 126:39] + node _T_838 = and(_T_837, _T_835) @[el2_dec_dec_ctl.scala 126:39] + node _T_839 = or(_T_830, _T_838) @[el2_dec_dec_ctl.scala 125:83] + io.out.csr_set <= _T_839 @[el2_dec_dec_ctl.scala 124:18] + node _T_840 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] + node _T_841 = eq(_T_840, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_842 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:33] + node _T_843 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_845 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_846 = eq(_T_845, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_847 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_848 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_849 = and(_T_841, _T_842) @[el2_dec_dec_ctl.scala 127:62] + node _T_850 = and(_T_849, _T_844) @[el2_dec_dec_ctl.scala 127:62] + node _T_851 = and(_T_850, _T_846) @[el2_dec_dec_ctl.scala 127:62] + node _T_852 = and(_T_851, _T_847) @[el2_dec_dec_ctl.scala 127:62] + node _T_853 = and(_T_852, _T_848) @[el2_dec_dec_ctl.scala 127:62] + io.out.ebreak <= _T_853 @[el2_dec_dec_ctl.scala 127:17] + node _T_854 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:52] + node _T_855 = eq(_T_854, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_856 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:52] + node _T_857 = eq(_T_856, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_858 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_859 = eq(_T_858, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_860 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_861 = eq(_T_860, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_862 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_863 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_864 = and(_T_855, _T_857) @[el2_dec_dec_ctl.scala 128:62] + node _T_865 = and(_T_864, _T_859) @[el2_dec_dec_ctl.scala 128:62] + node _T_866 = and(_T_865, _T_861) @[el2_dec_dec_ctl.scala 128:62] + node _T_867 = and(_T_866, _T_862) @[el2_dec_dec_ctl.scala 128:62] + node _T_868 = and(_T_867, _T_863) @[el2_dec_dec_ctl.scala 128:62] + io.out.ecall <= _T_868 @[el2_dec_dec_ctl.scala 128:16] + node _T_869 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:33] + node _T_870 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_871 = eq(_T_870, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_872 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_873 = eq(_T_872, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_874 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_875 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_876 = and(_T_869, _T_871) @[el2_dec_dec_ctl.scala 129:56] + node _T_877 = and(_T_876, _T_873) @[el2_dec_dec_ctl.scala 129:56] + node _T_878 = and(_T_877, _T_874) @[el2_dec_dec_ctl.scala 129:56] + node _T_879 = and(_T_878, _T_875) @[el2_dec_dec_ctl.scala 129:56] + io.out.mret <= _T_879 @[el2_dec_dec_ctl.scala 129:15] + node _T_880 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_881 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_882 = eq(_T_881, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_883 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_884 = eq(_T_883, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_885 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_886 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_887 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_888 = eq(_T_887, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_889 = and(_T_880, _T_882) @[el2_dec_dec_ctl.scala 130:57] + node _T_890 = and(_T_889, _T_884) @[el2_dec_dec_ctl.scala 130:57] + node _T_891 = and(_T_890, _T_885) @[el2_dec_dec_ctl.scala 130:57] + node _T_892 = and(_T_891, _T_886) @[el2_dec_dec_ctl.scala 130:57] + node _T_893 = and(_T_892, _T_888) @[el2_dec_dec_ctl.scala 130:57] + io.out.mul <= _T_893 @[el2_dec_dec_ctl.scala 130:14] + node _T_894 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_895 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_896 = eq(_T_895, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_897 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_898 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_899 = eq(_T_898, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_900 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_901 = eq(_T_900, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_902 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_903 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_904 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_905 = eq(_T_904, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_906 = and(_T_894, _T_896) @[el2_dec_dec_ctl.scala 131:69] + node _T_907 = and(_T_906, _T_897) @[el2_dec_dec_ctl.scala 131:69] + node _T_908 = and(_T_907, _T_899) @[el2_dec_dec_ctl.scala 131:69] + node _T_909 = and(_T_908, _T_901) @[el2_dec_dec_ctl.scala 131:69] + node _T_910 = and(_T_909, _T_902) @[el2_dec_dec_ctl.scala 131:69] + node _T_911 = and(_T_910, _T_903) @[el2_dec_dec_ctl.scala 131:69] + node _T_912 = and(_T_911, _T_905) @[el2_dec_dec_ctl.scala 131:69] + node _T_913 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_914 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_915 = eq(_T_914, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_916 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_917 = eq(_T_916, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_918 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_919 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_920 = eq(_T_919, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_921 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_922 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_923 = eq(_T_922, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_924 = and(_T_913, _T_915) @[el2_dec_dec_ctl.scala 132:50] + node _T_925 = and(_T_924, _T_917) @[el2_dec_dec_ctl.scala 132:50] + node _T_926 = and(_T_925, _T_918) @[el2_dec_dec_ctl.scala 132:50] + node _T_927 = and(_T_926, _T_920) @[el2_dec_dec_ctl.scala 132:50] + node _T_928 = and(_T_927, _T_921) @[el2_dec_dec_ctl.scala 132:50] + node _T_929 = and(_T_928, _T_923) @[el2_dec_dec_ctl.scala 132:50] + node _T_930 = or(_T_912, _T_929) @[el2_dec_dec_ctl.scala 131:73] + io.out.rs1_sign <= _T_930 @[el2_dec_dec_ctl.scala 131:19] + node _T_931 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_932 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_933 = eq(_T_932, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_934 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_935 = eq(_T_934, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_936 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_937 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_938 = eq(_T_937, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_939 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_940 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_941 = eq(_T_940, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_942 = and(_T_931, _T_933) @[el2_dec_dec_ctl.scala 133:67] + node _T_943 = and(_T_942, _T_935) @[el2_dec_dec_ctl.scala 133:67] + node _T_944 = and(_T_943, _T_936) @[el2_dec_dec_ctl.scala 133:67] + node _T_945 = and(_T_944, _T_938) @[el2_dec_dec_ctl.scala 133:67] + node _T_946 = and(_T_945, _T_939) @[el2_dec_dec_ctl.scala 133:67] + node _T_947 = and(_T_946, _T_941) @[el2_dec_dec_ctl.scala 133:67] + io.out.rs2_sign <= _T_947 @[el2_dec_dec_ctl.scala 133:19] + node _T_948 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_949 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_950 = eq(_T_949, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_951 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_952 = eq(_T_951, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_953 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_954 = eq(_T_953, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_955 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_956 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_957 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_958 = eq(_T_957, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_959 = and(_T_948, _T_950) @[el2_dec_dec_ctl.scala 134:62] + node _T_960 = and(_T_959, _T_952) @[el2_dec_dec_ctl.scala 134:62] + node _T_961 = and(_T_960, _T_954) @[el2_dec_dec_ctl.scala 134:62] + node _T_962 = and(_T_961, _T_955) @[el2_dec_dec_ctl.scala 134:62] + node _T_963 = and(_T_962, _T_956) @[el2_dec_dec_ctl.scala 134:62] + node _T_964 = and(_T_963, _T_958) @[el2_dec_dec_ctl.scala 134:62] + io.out.low <= _T_964 @[el2_dec_dec_ctl.scala 134:14] + node _T_965 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_966 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_967 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_968 = eq(_T_967, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_969 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_970 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_971 = eq(_T_970, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_972 = and(_T_965, _T_966) @[el2_dec_dec_ctl.scala 135:54] + node _T_973 = and(_T_972, _T_968) @[el2_dec_dec_ctl.scala 135:54] + node _T_974 = and(_T_973, _T_969) @[el2_dec_dec_ctl.scala 135:54] + node _T_975 = and(_T_974, _T_971) @[el2_dec_dec_ctl.scala 135:54] + io.out.div <= _T_975 @[el2_dec_dec_ctl.scala 135:14] + node _T_976 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_977 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_978 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_979 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_981 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_982 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_983 = eq(_T_982, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_984 = and(_T_976, _T_977) @[el2_dec_dec_ctl.scala 136:57] + node _T_985 = and(_T_984, _T_978) @[el2_dec_dec_ctl.scala 136:57] + node _T_986 = and(_T_985, _T_980) @[el2_dec_dec_ctl.scala 136:57] + node _T_987 = and(_T_986, _T_981) @[el2_dec_dec_ctl.scala 136:57] + node _T_988 = and(_T_987, _T_983) @[el2_dec_dec_ctl.scala 136:57] + io.out.rem <= _T_988 @[el2_dec_dec_ctl.scala 136:14] + node _T_989 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_990 = eq(_T_989, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_991 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_992 = and(_T_990, _T_991) @[el2_dec_dec_ctl.scala 137:47] + io.out.fence <= _T_992 @[el2_dec_dec_ctl.scala 137:16] + node _T_993 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_994 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_995 = eq(_T_994, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_996 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_997 = and(_T_993, _T_995) @[el2_dec_dec_ctl.scala 138:52] + node _T_998 = and(_T_997, _T_996) @[el2_dec_dec_ctl.scala 138:52] + io.out.fence_i <= _T_998 @[el2_dec_dec_ctl.scala 138:18] + node _T_999 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:33] + node _T_1000 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:33] + node _T_1001 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1003 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1004 = eq(_T_1003, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1005 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1006 = and(_T_999, _T_1000) @[el2_dec_dec_ctl.scala 139:59] + node _T_1007 = and(_T_1006, _T_1002) @[el2_dec_dec_ctl.scala 139:59] + node _T_1008 = and(_T_1007, _T_1004) @[el2_dec_dec_ctl.scala 139:59] + node _T_1009 = and(_T_1008, _T_1005) @[el2_dec_dec_ctl.scala 139:59] + node _T_1010 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1011 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_1012 = and(_T_1010, _T_1011) @[el2_dec_dec_ctl.scala 139:92] + node _T_1013 = or(_T_1009, _T_1012) @[el2_dec_dec_ctl.scala 139:63] + node _T_1014 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1015 = eq(_T_1014, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1016 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1017 = eq(_T_1016, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1018 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1019 = and(_T_1015, _T_1017) @[el2_dec_dec_ctl.scala 140:37] + node _T_1020 = and(_T_1019, _T_1018) @[el2_dec_dec_ctl.scala 140:37] + node _T_1021 = or(_T_1013, _T_1020) @[el2_dec_dec_ctl.scala 139:96] + node _T_1022 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1023 = eq(_T_1022, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1024 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1025 = and(_T_1023, _T_1024) @[el2_dec_dec_ctl.scala 140:71] + node _T_1026 = or(_T_1021, _T_1025) @[el2_dec_dec_ctl.scala 140:41] + io.out.pm_alu <= _T_1026 @[el2_dec_dec_ctl.scala 139:17] + node _T_1027 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1028 = eq(_T_1027, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1029 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_1030 = and(_T_1028, _T_1029) @[el2_dec_dec_ctl.scala 141:49] + node _T_1031 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1032 = eq(_T_1031, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1033 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:33] + node _T_1034 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1035 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1036 = and(_T_1032, _T_1033) @[el2_dec_dec_ctl.scala 141:88] + node _T_1037 = and(_T_1036, _T_1034) @[el2_dec_dec_ctl.scala 141:88] + node _T_1038 = and(_T_1037, _T_1035) @[el2_dec_dec_ctl.scala 141:88] + node _T_1039 = or(_T_1030, _T_1038) @[el2_dec_dec_ctl.scala 141:53] + node _T_1040 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1041 = eq(_T_1040, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1042 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:33] + node _T_1043 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1044 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1045 = and(_T_1041, _T_1042) @[el2_dec_dec_ctl.scala 142:38] + node _T_1046 = and(_T_1045, _T_1043) @[el2_dec_dec_ctl.scala 142:38] + node _T_1047 = and(_T_1046, _T_1044) @[el2_dec_dec_ctl.scala 142:38] + node _T_1048 = or(_T_1039, _T_1047) @[el2_dec_dec_ctl.scala 141:92] + node _T_1049 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1050 = eq(_T_1049, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1051 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] + node _T_1052 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1053 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1054 = and(_T_1050, _T_1051) @[el2_dec_dec_ctl.scala 142:77] + node _T_1055 = and(_T_1054, _T_1052) @[el2_dec_dec_ctl.scala 142:77] + node _T_1056 = and(_T_1055, _T_1053) @[el2_dec_dec_ctl.scala 142:77] + node _T_1057 = or(_T_1048, _T_1056) @[el2_dec_dec_ctl.scala 142:42] + node _T_1058 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1059 = eq(_T_1058, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1060 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] + node _T_1061 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1062 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1063 = and(_T_1059, _T_1060) @[el2_dec_dec_ctl.scala 143:38] + node _T_1064 = and(_T_1063, _T_1061) @[el2_dec_dec_ctl.scala 143:38] + node _T_1065 = and(_T_1064, _T_1062) @[el2_dec_dec_ctl.scala 143:38] + node _T_1066 = or(_T_1057, _T_1065) @[el2_dec_dec_ctl.scala 142:81] + node _T_1067 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1068 = eq(_T_1067, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1069 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:33] + node _T_1070 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1071 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1072 = and(_T_1068, _T_1069) @[el2_dec_dec_ctl.scala 143:78] + node _T_1073 = and(_T_1072, _T_1070) @[el2_dec_dec_ctl.scala 143:78] + node _T_1074 = and(_T_1073, _T_1071) @[el2_dec_dec_ctl.scala 143:78] + node _T_1075 = or(_T_1066, _T_1074) @[el2_dec_dec_ctl.scala 143:42] + node _T_1076 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1077 = eq(_T_1076, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1078 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:33] + node _T_1079 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1080 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1081 = and(_T_1077, _T_1078) @[el2_dec_dec_ctl.scala 144:39] + node _T_1082 = and(_T_1081, _T_1079) @[el2_dec_dec_ctl.scala 144:39] + node _T_1083 = and(_T_1082, _T_1080) @[el2_dec_dec_ctl.scala 144:39] + node _T_1084 = or(_T_1075, _T_1083) @[el2_dec_dec_ctl.scala 143:82] + node _T_1085 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] + node _T_1086 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1087 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1088 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1089 = and(_T_1085, _T_1086) @[el2_dec_dec_ctl.scala 144:78] + node _T_1090 = and(_T_1089, _T_1087) @[el2_dec_dec_ctl.scala 144:78] + node _T_1091 = and(_T_1090, _T_1088) @[el2_dec_dec_ctl.scala 144:78] + node _T_1092 = or(_T_1084, _T_1091) @[el2_dec_dec_ctl.scala 144:43] + node _T_1093 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] + node _T_1094 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1095 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1096 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1097 = and(_T_1093, _T_1094) @[el2_dec_dec_ctl.scala 145:38] + node _T_1098 = and(_T_1097, _T_1095) @[el2_dec_dec_ctl.scala 145:38] + node _T_1099 = and(_T_1098, _T_1096) @[el2_dec_dec_ctl.scala 145:38] + node _T_1100 = or(_T_1092, _T_1099) @[el2_dec_dec_ctl.scala 144:82] + node _T_1101 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] + node _T_1102 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1103 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1104 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1105 = and(_T_1101, _T_1102) @[el2_dec_dec_ctl.scala 145:77] + node _T_1106 = and(_T_1105, _T_1103) @[el2_dec_dec_ctl.scala 145:77] + node _T_1107 = and(_T_1106, _T_1104) @[el2_dec_dec_ctl.scala 145:77] + node _T_1108 = or(_T_1100, _T_1107) @[el2_dec_dec_ctl.scala 145:42] + node _T_1109 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] + node _T_1110 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1111 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1112 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1113 = and(_T_1109, _T_1110) @[el2_dec_dec_ctl.scala 146:38] + node _T_1114 = and(_T_1113, _T_1111) @[el2_dec_dec_ctl.scala 146:38] + node _T_1115 = and(_T_1114, _T_1112) @[el2_dec_dec_ctl.scala 146:38] + node _T_1116 = or(_T_1108, _T_1115) @[el2_dec_dec_ctl.scala 145:81] + node _T_1117 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] + node _T_1118 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1119 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1120 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1121 = and(_T_1117, _T_1118) @[el2_dec_dec_ctl.scala 146:77] + node _T_1122 = and(_T_1121, _T_1119) @[el2_dec_dec_ctl.scala 146:77] + node _T_1123 = and(_T_1122, _T_1120) @[el2_dec_dec_ctl.scala 146:77] + node _T_1124 = or(_T_1116, _T_1123) @[el2_dec_dec_ctl.scala 146:42] + io.out.presync <= _T_1124 @[el2_dec_dec_ctl.scala 141:18] + node _T_1125 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_1126 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1127 = eq(_T_1126, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1128 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_1129 = and(_T_1125, _T_1127) @[el2_dec_dec_ctl.scala 147:53] + node _T_1130 = and(_T_1129, _T_1128) @[el2_dec_dec_ctl.scala 147:53] + node _T_1131 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] + node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1133 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1134 = eq(_T_1133, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1135 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1136 = eq(_T_1135, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1137 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1138 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1139 = and(_T_1132, _T_1134) @[el2_dec_dec_ctl.scala 147:98] + node _T_1140 = and(_T_1139, _T_1136) @[el2_dec_dec_ctl.scala 147:98] + node _T_1141 = and(_T_1140, _T_1137) @[el2_dec_dec_ctl.scala 147:98] + node _T_1142 = and(_T_1141, _T_1138) @[el2_dec_dec_ctl.scala 147:98] + node _T_1143 = or(_T_1130, _T_1142) @[el2_dec_dec_ctl.scala 147:57] + node _T_1144 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1145 = eq(_T_1144, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1146 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:33] + node _T_1147 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1148 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1149 = and(_T_1145, _T_1146) @[el2_dec_dec_ctl.scala 148:38] + node _T_1150 = and(_T_1149, _T_1147) @[el2_dec_dec_ctl.scala 148:38] + node _T_1151 = and(_T_1150, _T_1148) @[el2_dec_dec_ctl.scala 148:38] + node _T_1152 = or(_T_1143, _T_1151) @[el2_dec_dec_ctl.scala 147:102] + node _T_1153 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1154 = eq(_T_1153, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1155 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:33] + node _T_1156 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1157 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1158 = and(_T_1154, _T_1155) @[el2_dec_dec_ctl.scala 148:77] + node _T_1159 = and(_T_1158, _T_1156) @[el2_dec_dec_ctl.scala 148:77] + node _T_1160 = and(_T_1159, _T_1157) @[el2_dec_dec_ctl.scala 148:77] + node _T_1161 = or(_T_1152, _T_1160) @[el2_dec_dec_ctl.scala 148:42] + node _T_1162 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1163 = eq(_T_1162, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1164 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] + node _T_1165 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1166 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1167 = and(_T_1163, _T_1164) @[el2_dec_dec_ctl.scala 149:38] + node _T_1168 = and(_T_1167, _T_1165) @[el2_dec_dec_ctl.scala 149:38] + node _T_1169 = and(_T_1168, _T_1166) @[el2_dec_dec_ctl.scala 149:38] + node _T_1170 = or(_T_1161, _T_1169) @[el2_dec_dec_ctl.scala 148:81] + node _T_1171 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1172 = eq(_T_1171, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1173 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:33] + node _T_1174 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1175 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1176 = and(_T_1172, _T_1173) @[el2_dec_dec_ctl.scala 149:78] + node _T_1177 = and(_T_1176, _T_1174) @[el2_dec_dec_ctl.scala 149:78] + node _T_1178 = and(_T_1177, _T_1175) @[el2_dec_dec_ctl.scala 149:78] + node _T_1179 = or(_T_1170, _T_1178) @[el2_dec_dec_ctl.scala 149:42] + node _T_1180 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1181 = eq(_T_1180, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1182 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:33] + node _T_1183 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1184 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1185 = and(_T_1181, _T_1182) @[el2_dec_dec_ctl.scala 150:39] + node _T_1186 = and(_T_1185, _T_1183) @[el2_dec_dec_ctl.scala 150:39] + node _T_1187 = and(_T_1186, _T_1184) @[el2_dec_dec_ctl.scala 150:39] + node _T_1188 = or(_T_1179, _T_1187) @[el2_dec_dec_ctl.scala 149:82] + node _T_1189 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] + node _T_1190 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1191 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1192 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1193 = and(_T_1189, _T_1190) @[el2_dec_dec_ctl.scala 150:78] + node _T_1194 = and(_T_1193, _T_1191) @[el2_dec_dec_ctl.scala 150:78] + node _T_1195 = and(_T_1194, _T_1192) @[el2_dec_dec_ctl.scala 150:78] + node _T_1196 = or(_T_1188, _T_1195) @[el2_dec_dec_ctl.scala 150:43] + node _T_1197 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] + node _T_1198 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1199 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1200 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1201 = and(_T_1197, _T_1198) @[el2_dec_dec_ctl.scala 151:38] + node _T_1202 = and(_T_1201, _T_1199) @[el2_dec_dec_ctl.scala 151:38] + node _T_1203 = and(_T_1202, _T_1200) @[el2_dec_dec_ctl.scala 151:38] + node _T_1204 = or(_T_1196, _T_1203) @[el2_dec_dec_ctl.scala 150:82] + node _T_1205 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] + node _T_1206 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1207 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1208 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1209 = and(_T_1205, _T_1206) @[el2_dec_dec_ctl.scala 151:77] + node _T_1210 = and(_T_1209, _T_1207) @[el2_dec_dec_ctl.scala 151:77] + node _T_1211 = and(_T_1210, _T_1208) @[el2_dec_dec_ctl.scala 151:77] + node _T_1212 = or(_T_1204, _T_1211) @[el2_dec_dec_ctl.scala 151:42] + node _T_1213 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] + node _T_1214 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1215 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1216 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1217 = and(_T_1213, _T_1214) @[el2_dec_dec_ctl.scala 152:38] + node _T_1218 = and(_T_1217, _T_1215) @[el2_dec_dec_ctl.scala 152:38] + node _T_1219 = and(_T_1218, _T_1216) @[el2_dec_dec_ctl.scala 152:38] + node _T_1220 = or(_T_1212, _T_1219) @[el2_dec_dec_ctl.scala 151:81] + node _T_1221 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] + node _T_1222 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1223 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1224 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1225 = and(_T_1221, _T_1222) @[el2_dec_dec_ctl.scala 152:77] + node _T_1226 = and(_T_1225, _T_1223) @[el2_dec_dec_ctl.scala 152:77] + node _T_1227 = and(_T_1226, _T_1224) @[el2_dec_dec_ctl.scala 152:77] + node _T_1228 = or(_T_1220, _T_1227) @[el2_dec_dec_ctl.scala 152:42] + io.out.postsync <= _T_1228 @[el2_dec_dec_ctl.scala 147:19] + node _T_1229 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1230 = eq(_T_1229, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1231 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1232 = eq(_T_1231, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1233 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:33] + node _T_1234 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:33] + node _T_1235 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1236 = eq(_T_1235, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1237 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1238 = eq(_T_1237, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1239 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1241 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 67:52] + node _T_1242 = eq(_T_1241, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1243 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 67:52] + node _T_1244 = eq(_T_1243, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1245 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] + node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1247 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:33] + node _T_1248 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:52] + node _T_1249 = eq(_T_1248, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1250 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] + node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1252 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] + node _T_1253 = eq(_T_1252, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1254 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] + node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1256 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] + node _T_1257 = eq(_T_1256, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1258 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] + node _T_1259 = eq(_T_1258, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1260 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1261 = eq(_T_1260, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1262 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] + node _T_1263 = eq(_T_1262, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1264 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] + node _T_1265 = eq(_T_1264, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1266 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] + node _T_1267 = eq(_T_1266, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1268 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] + node _T_1269 = eq(_T_1268, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1270 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] + node _T_1271 = eq(_T_1270, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1272 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1273 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1274 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1275 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1276 = eq(_T_1275, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1277 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1278 = eq(_T_1277, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1279 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1280 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1281 = eq(_T_1280, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1282 = and(_T_1230, _T_1232) @[el2_dec_dec_ctl.scala 153:144] + node _T_1283 = and(_T_1282, _T_1233) @[el2_dec_dec_ctl.scala 153:144] + node _T_1284 = and(_T_1283, _T_1234) @[el2_dec_dec_ctl.scala 153:144] + node _T_1285 = and(_T_1284, _T_1236) @[el2_dec_dec_ctl.scala 153:144] + node _T_1286 = and(_T_1285, _T_1238) @[el2_dec_dec_ctl.scala 153:144] + node _T_1287 = and(_T_1286, _T_1240) @[el2_dec_dec_ctl.scala 153:144] + node _T_1288 = and(_T_1287, _T_1242) @[el2_dec_dec_ctl.scala 153:144] + node _T_1289 = and(_T_1288, _T_1244) @[el2_dec_dec_ctl.scala 153:144] + node _T_1290 = and(_T_1289, _T_1246) @[el2_dec_dec_ctl.scala 153:144] + node _T_1291 = and(_T_1290, _T_1247) @[el2_dec_dec_ctl.scala 153:144] + node _T_1292 = and(_T_1291, _T_1249) @[el2_dec_dec_ctl.scala 153:144] + node _T_1293 = and(_T_1292, _T_1251) @[el2_dec_dec_ctl.scala 153:144] + node _T_1294 = and(_T_1293, _T_1253) @[el2_dec_dec_ctl.scala 153:144] + node _T_1295 = and(_T_1294, _T_1255) @[el2_dec_dec_ctl.scala 153:144] + node _T_1296 = and(_T_1295, _T_1257) @[el2_dec_dec_ctl.scala 153:144] + node _T_1297 = and(_T_1296, _T_1259) @[el2_dec_dec_ctl.scala 153:144] + node _T_1298 = and(_T_1297, _T_1261) @[el2_dec_dec_ctl.scala 153:144] + node _T_1299 = and(_T_1298, _T_1263) @[el2_dec_dec_ctl.scala 153:144] + node _T_1300 = and(_T_1299, _T_1265) @[el2_dec_dec_ctl.scala 153:144] + node _T_1301 = and(_T_1300, _T_1267) @[el2_dec_dec_ctl.scala 153:144] + node _T_1302 = and(_T_1301, _T_1269) @[el2_dec_dec_ctl.scala 153:144] + node _T_1303 = and(_T_1302, _T_1271) @[el2_dec_dec_ctl.scala 153:144] + node _T_1304 = and(_T_1303, _T_1272) @[el2_dec_dec_ctl.scala 153:144] + node _T_1305 = and(_T_1304, _T_1273) @[el2_dec_dec_ctl.scala 153:144] + node _T_1306 = and(_T_1305, _T_1274) @[el2_dec_dec_ctl.scala 153:144] + node _T_1307 = and(_T_1306, _T_1276) @[el2_dec_dec_ctl.scala 153:144] + node _T_1308 = and(_T_1307, _T_1278) @[el2_dec_dec_ctl.scala 153:144] + node _T_1309 = and(_T_1308, _T_1279) @[el2_dec_dec_ctl.scala 153:144] + node _T_1310 = and(_T_1309, _T_1281) @[el2_dec_dec_ctl.scala 153:144] + node _T_1311 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1312 = eq(_T_1311, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1313 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1315 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1316 = eq(_T_1315, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1317 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:33] + node _T_1318 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1319 = eq(_T_1318, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1320 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1321 = eq(_T_1320, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1322 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1324 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 67:52] + node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1326 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 67:52] + node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1328 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:33] + node _T_1329 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:52] + node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1331 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:33] + node _T_1332 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] + node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1334 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] + node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1336 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] + node _T_1337 = eq(_T_1336, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1338 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] + node _T_1339 = eq(_T_1338, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1340 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] + node _T_1341 = eq(_T_1340, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1342 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1343 = eq(_T_1342, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1344 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] + node _T_1345 = eq(_T_1344, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1346 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] + node _T_1347 = eq(_T_1346, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1348 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] + node _T_1349 = eq(_T_1348, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1350 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] + node _T_1351 = eq(_T_1350, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1352 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] + node _T_1353 = eq(_T_1352, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1354 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1355 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1356 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1357 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1358 = eq(_T_1357, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1359 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1360 = eq(_T_1359, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1361 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1362 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1363 = eq(_T_1362, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1364 = and(_T_1312, _T_1314) @[el2_dec_dec_ctl.scala 154:130] + node _T_1365 = and(_T_1364, _T_1316) @[el2_dec_dec_ctl.scala 154:130] + node _T_1366 = and(_T_1365, _T_1317) @[el2_dec_dec_ctl.scala 154:130] + node _T_1367 = and(_T_1366, _T_1319) @[el2_dec_dec_ctl.scala 154:130] + node _T_1368 = and(_T_1367, _T_1321) @[el2_dec_dec_ctl.scala 154:130] + node _T_1369 = and(_T_1368, _T_1323) @[el2_dec_dec_ctl.scala 154:130] + node _T_1370 = and(_T_1369, _T_1325) @[el2_dec_dec_ctl.scala 154:130] + node _T_1371 = and(_T_1370, _T_1327) @[el2_dec_dec_ctl.scala 154:130] + node _T_1372 = and(_T_1371, _T_1328) @[el2_dec_dec_ctl.scala 154:130] + node _T_1373 = and(_T_1372, _T_1330) @[el2_dec_dec_ctl.scala 154:130] + node _T_1374 = and(_T_1373, _T_1331) @[el2_dec_dec_ctl.scala 154:130] + node _T_1375 = and(_T_1374, _T_1333) @[el2_dec_dec_ctl.scala 154:130] + node _T_1376 = and(_T_1375, _T_1335) @[el2_dec_dec_ctl.scala 154:130] + node _T_1377 = and(_T_1376, _T_1337) @[el2_dec_dec_ctl.scala 154:130] + node _T_1378 = and(_T_1377, _T_1339) @[el2_dec_dec_ctl.scala 154:130] + node _T_1379 = and(_T_1378, _T_1341) @[el2_dec_dec_ctl.scala 154:130] + node _T_1380 = and(_T_1379, _T_1343) @[el2_dec_dec_ctl.scala 154:130] + node _T_1381 = and(_T_1380, _T_1345) @[el2_dec_dec_ctl.scala 154:130] + node _T_1382 = and(_T_1381, _T_1347) @[el2_dec_dec_ctl.scala 154:130] + node _T_1383 = and(_T_1382, _T_1349) @[el2_dec_dec_ctl.scala 154:130] + node _T_1384 = and(_T_1383, _T_1351) @[el2_dec_dec_ctl.scala 154:130] + node _T_1385 = and(_T_1384, _T_1353) @[el2_dec_dec_ctl.scala 154:130] + node _T_1386 = and(_T_1385, _T_1354) @[el2_dec_dec_ctl.scala 154:130] + node _T_1387 = and(_T_1386, _T_1355) @[el2_dec_dec_ctl.scala 154:130] + node _T_1388 = and(_T_1387, _T_1356) @[el2_dec_dec_ctl.scala 154:130] + node _T_1389 = and(_T_1388, _T_1358) @[el2_dec_dec_ctl.scala 154:130] + node _T_1390 = and(_T_1389, _T_1360) @[el2_dec_dec_ctl.scala 154:130] + node _T_1391 = and(_T_1390, _T_1361) @[el2_dec_dec_ctl.scala 154:130] + node _T_1392 = and(_T_1391, _T_1363) @[el2_dec_dec_ctl.scala 154:130] + node _T_1393 = or(_T_1310, _T_1392) @[el2_dec_dec_ctl.scala 153:148] + node _T_1394 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1395 = eq(_T_1394, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1396 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1397 = eq(_T_1396, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1398 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1399 = eq(_T_1398, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1400 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1401 = eq(_T_1400, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1402 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1403 = eq(_T_1402, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1404 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1405 = eq(_T_1404, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1406 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1407 = eq(_T_1406, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1408 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 67:52] + node _T_1409 = eq(_T_1408, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1410 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 67:52] + node _T_1411 = eq(_T_1410, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1412 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] + node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1414 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:52] + node _T_1415 = eq(_T_1414, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1416 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] + node _T_1417 = eq(_T_1416, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1418 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] + node _T_1419 = eq(_T_1418, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1420 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] + node _T_1421 = eq(_T_1420, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1422 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] + node _T_1423 = eq(_T_1422, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1424 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] + node _T_1425 = eq(_T_1424, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1426 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1427 = eq(_T_1426, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1428 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] + node _T_1429 = eq(_T_1428, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1430 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] + node _T_1431 = eq(_T_1430, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1432 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] + node _T_1433 = eq(_T_1432, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1434 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] + node _T_1435 = eq(_T_1434, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1436 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] + node _T_1437 = eq(_T_1436, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1438 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1439 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1440 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1441 = eq(_T_1440, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1442 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1443 = eq(_T_1442, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1444 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1445 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1446 = eq(_T_1445, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1447 = and(_T_1395, _T_1397) @[el2_dec_dec_ctl.scala 155:127] + node _T_1448 = and(_T_1447, _T_1399) @[el2_dec_dec_ctl.scala 155:127] + node _T_1449 = and(_T_1448, _T_1401) @[el2_dec_dec_ctl.scala 155:127] + node _T_1450 = and(_T_1449, _T_1403) @[el2_dec_dec_ctl.scala 155:127] + node _T_1451 = and(_T_1450, _T_1405) @[el2_dec_dec_ctl.scala 155:127] + node _T_1452 = and(_T_1451, _T_1407) @[el2_dec_dec_ctl.scala 155:127] + node _T_1453 = and(_T_1452, _T_1409) @[el2_dec_dec_ctl.scala 155:127] + node _T_1454 = and(_T_1453, _T_1411) @[el2_dec_dec_ctl.scala 155:127] + node _T_1455 = and(_T_1454, _T_1413) @[el2_dec_dec_ctl.scala 155:127] + node _T_1456 = and(_T_1455, _T_1415) @[el2_dec_dec_ctl.scala 155:127] + node _T_1457 = and(_T_1456, _T_1417) @[el2_dec_dec_ctl.scala 155:127] + node _T_1458 = and(_T_1457, _T_1419) @[el2_dec_dec_ctl.scala 155:127] + node _T_1459 = and(_T_1458, _T_1421) @[el2_dec_dec_ctl.scala 155:127] + node _T_1460 = and(_T_1459, _T_1423) @[el2_dec_dec_ctl.scala 155:127] + node _T_1461 = and(_T_1460, _T_1425) @[el2_dec_dec_ctl.scala 155:127] + node _T_1462 = and(_T_1461, _T_1427) @[el2_dec_dec_ctl.scala 155:127] + node _T_1463 = and(_T_1462, _T_1429) @[el2_dec_dec_ctl.scala 155:127] + node _T_1464 = and(_T_1463, _T_1431) @[el2_dec_dec_ctl.scala 155:127] + node _T_1465 = and(_T_1464, _T_1433) @[el2_dec_dec_ctl.scala 155:127] + node _T_1466 = and(_T_1465, _T_1435) @[el2_dec_dec_ctl.scala 155:127] + node _T_1467 = and(_T_1466, _T_1437) @[el2_dec_dec_ctl.scala 155:127] + node _T_1468 = and(_T_1467, _T_1438) @[el2_dec_dec_ctl.scala 155:127] + node _T_1469 = and(_T_1468, _T_1439) @[el2_dec_dec_ctl.scala 155:127] + node _T_1470 = and(_T_1469, _T_1441) @[el2_dec_dec_ctl.scala 155:127] + node _T_1471 = and(_T_1470, _T_1443) @[el2_dec_dec_ctl.scala 155:127] + node _T_1472 = and(_T_1471, _T_1444) @[el2_dec_dec_ctl.scala 155:127] + node _T_1473 = and(_T_1472, _T_1446) @[el2_dec_dec_ctl.scala 155:127] + node _T_1474 = or(_T_1393, _T_1473) @[el2_dec_dec_ctl.scala 154:134] + node _T_1475 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1476 = eq(_T_1475, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1477 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1478 = eq(_T_1477, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1479 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1480 = eq(_T_1479, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1481 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1482 = eq(_T_1481, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1483 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1484 = eq(_T_1483, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1485 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1486 = eq(_T_1485, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1487 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1488 = eq(_T_1487, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1489 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1490 = eq(_T_1489, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1491 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1492 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1494 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1495 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1496 = eq(_T_1495, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1497 = and(_T_1476, _T_1478) @[el2_dec_dec_ctl.scala 156:68] + node _T_1498 = and(_T_1497, _T_1480) @[el2_dec_dec_ctl.scala 156:68] + node _T_1499 = and(_T_1498, _T_1482) @[el2_dec_dec_ctl.scala 156:68] + node _T_1500 = and(_T_1499, _T_1484) @[el2_dec_dec_ctl.scala 156:68] + node _T_1501 = and(_T_1500, _T_1486) @[el2_dec_dec_ctl.scala 156:68] + node _T_1502 = and(_T_1501, _T_1488) @[el2_dec_dec_ctl.scala 156:68] + node _T_1503 = and(_T_1502, _T_1490) @[el2_dec_dec_ctl.scala 156:68] + node _T_1504 = and(_T_1503, _T_1491) @[el2_dec_dec_ctl.scala 156:68] + node _T_1505 = and(_T_1504, _T_1493) @[el2_dec_dec_ctl.scala 156:68] + node _T_1506 = and(_T_1505, _T_1494) @[el2_dec_dec_ctl.scala 156:68] + node _T_1507 = and(_T_1506, _T_1496) @[el2_dec_dec_ctl.scala 156:68] + node _T_1508 = or(_T_1474, _T_1507) @[el2_dec_dec_ctl.scala 155:131] + node _T_1509 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1510 = eq(_T_1509, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1511 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1512 = eq(_T_1511, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1513 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1514 = eq(_T_1513, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1515 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1516 = eq(_T_1515, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1517 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1518 = eq(_T_1517, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1519 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1520 = eq(_T_1519, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1521 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1522 = eq(_T_1521, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1523 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1524 = eq(_T_1523, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1525 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1526 = eq(_T_1525, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1527 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1528 = eq(_T_1527, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1529 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1530 = eq(_T_1529, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1531 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1532 = eq(_T_1531, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1533 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1534 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1536 = and(_T_1510, _T_1512) @[el2_dec_dec_ctl.scala 157:77] + node _T_1537 = and(_T_1536, _T_1514) @[el2_dec_dec_ctl.scala 157:77] + node _T_1538 = and(_T_1537, _T_1516) @[el2_dec_dec_ctl.scala 157:77] + node _T_1539 = and(_T_1538, _T_1518) @[el2_dec_dec_ctl.scala 157:77] + node _T_1540 = and(_T_1539, _T_1520) @[el2_dec_dec_ctl.scala 157:77] + node _T_1541 = and(_T_1540, _T_1522) @[el2_dec_dec_ctl.scala 157:77] + node _T_1542 = and(_T_1541, _T_1524) @[el2_dec_dec_ctl.scala 157:77] + node _T_1543 = and(_T_1542, _T_1526) @[el2_dec_dec_ctl.scala 157:77] + node _T_1544 = and(_T_1543, _T_1528) @[el2_dec_dec_ctl.scala 157:77] + node _T_1545 = and(_T_1544, _T_1530) @[el2_dec_dec_ctl.scala 157:77] + node _T_1546 = and(_T_1545, _T_1532) @[el2_dec_dec_ctl.scala 157:77] + node _T_1547 = and(_T_1546, _T_1533) @[el2_dec_dec_ctl.scala 157:77] + node _T_1548 = and(_T_1547, _T_1535) @[el2_dec_dec_ctl.scala 157:77] + node _T_1549 = or(_T_1508, _T_1548) @[el2_dec_dec_ctl.scala 156:72] + node _T_1550 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1551 = eq(_T_1550, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1552 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1553 = eq(_T_1552, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1554 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1555 = eq(_T_1554, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1556 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1557 = eq(_T_1556, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1558 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1559 = eq(_T_1558, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1560 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1561 = eq(_T_1560, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1562 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_1563 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1564 = eq(_T_1563, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1565 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_1566 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1567 = eq(_T_1566, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1568 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1569 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1571 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1572 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1573 = eq(_T_1572, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1574 = and(_T_1551, _T_1553) @[el2_dec_dec_ctl.scala 158:74] + node _T_1575 = and(_T_1574, _T_1555) @[el2_dec_dec_ctl.scala 158:74] + node _T_1576 = and(_T_1575, _T_1557) @[el2_dec_dec_ctl.scala 158:74] + node _T_1577 = and(_T_1576, _T_1559) @[el2_dec_dec_ctl.scala 158:74] + node _T_1578 = and(_T_1577, _T_1561) @[el2_dec_dec_ctl.scala 158:74] + node _T_1579 = and(_T_1578, _T_1562) @[el2_dec_dec_ctl.scala 158:74] + node _T_1580 = and(_T_1579, _T_1564) @[el2_dec_dec_ctl.scala 158:74] + node _T_1581 = and(_T_1580, _T_1565) @[el2_dec_dec_ctl.scala 158:74] + node _T_1582 = and(_T_1581, _T_1567) @[el2_dec_dec_ctl.scala 158:74] + node _T_1583 = and(_T_1582, _T_1568) @[el2_dec_dec_ctl.scala 158:74] + node _T_1584 = and(_T_1583, _T_1570) @[el2_dec_dec_ctl.scala 158:74] + node _T_1585 = and(_T_1584, _T_1571) @[el2_dec_dec_ctl.scala 158:74] + node _T_1586 = and(_T_1585, _T_1573) @[el2_dec_dec_ctl.scala 158:74] + node _T_1587 = or(_T_1549, _T_1586) @[el2_dec_dec_ctl.scala 157:81] + node _T_1588 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1589 = eq(_T_1588, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1590 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1591 = eq(_T_1590, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1592 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1593 = eq(_T_1592, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1594 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1595 = eq(_T_1594, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1596 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1598 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1599 = eq(_T_1598, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1600 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1601 = eq(_T_1600, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1602 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1603 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1604 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1605 = eq(_T_1604, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1606 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1607 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1608 = eq(_T_1607, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1609 = and(_T_1589, _T_1591) @[el2_dec_dec_ctl.scala 159:66] + node _T_1610 = and(_T_1609, _T_1593) @[el2_dec_dec_ctl.scala 159:66] + node _T_1611 = and(_T_1610, _T_1595) @[el2_dec_dec_ctl.scala 159:66] + node _T_1612 = and(_T_1611, _T_1597) @[el2_dec_dec_ctl.scala 159:66] + node _T_1613 = and(_T_1612, _T_1599) @[el2_dec_dec_ctl.scala 159:66] + node _T_1614 = and(_T_1613, _T_1601) @[el2_dec_dec_ctl.scala 159:66] + node _T_1615 = and(_T_1614, _T_1602) @[el2_dec_dec_ctl.scala 159:66] + node _T_1616 = and(_T_1615, _T_1603) @[el2_dec_dec_ctl.scala 159:66] + node _T_1617 = and(_T_1616, _T_1605) @[el2_dec_dec_ctl.scala 159:66] + node _T_1618 = and(_T_1617, _T_1606) @[el2_dec_dec_ctl.scala 159:66] + node _T_1619 = and(_T_1618, _T_1608) @[el2_dec_dec_ctl.scala 159:66] + node _T_1620 = or(_T_1587, _T_1619) @[el2_dec_dec_ctl.scala 158:78] + node _T_1621 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1622 = eq(_T_1621, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1623 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1624 = eq(_T_1623, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1625 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1626 = eq(_T_1625, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1627 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1628 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1629 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1630 = eq(_T_1629, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1631 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1632 = eq(_T_1631, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1633 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1634 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1635 = eq(_T_1634, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1636 = and(_T_1622, _T_1624) @[el2_dec_dec_ctl.scala 160:54] + node _T_1637 = and(_T_1636, _T_1626) @[el2_dec_dec_ctl.scala 160:54] + node _T_1638 = and(_T_1637, _T_1627) @[el2_dec_dec_ctl.scala 160:54] + node _T_1639 = and(_T_1638, _T_1628) @[el2_dec_dec_ctl.scala 160:54] + node _T_1640 = and(_T_1639, _T_1630) @[el2_dec_dec_ctl.scala 160:54] + node _T_1641 = and(_T_1640, _T_1632) @[el2_dec_dec_ctl.scala 160:54] + node _T_1642 = and(_T_1641, _T_1633) @[el2_dec_dec_ctl.scala 160:54] + node _T_1643 = and(_T_1642, _T_1635) @[el2_dec_dec_ctl.scala 160:54] + node _T_1644 = or(_T_1620, _T_1643) @[el2_dec_dec_ctl.scala 159:70] + node _T_1645 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_1646 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1647 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1648 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1649 = eq(_T_1648, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1650 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1651 = eq(_T_1650, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1652 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1653 = eq(_T_1652, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1654 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1655 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1656 = eq(_T_1655, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1657 = and(_T_1645, _T_1646) @[el2_dec_dec_ctl.scala 161:48] + node _T_1658 = and(_T_1657, _T_1647) @[el2_dec_dec_ctl.scala 161:48] + node _T_1659 = and(_T_1658, _T_1649) @[el2_dec_dec_ctl.scala 161:48] + node _T_1660 = and(_T_1659, _T_1651) @[el2_dec_dec_ctl.scala 161:48] + node _T_1661 = and(_T_1660, _T_1653) @[el2_dec_dec_ctl.scala 161:48] + node _T_1662 = and(_T_1661, _T_1654) @[el2_dec_dec_ctl.scala 161:48] + node _T_1663 = and(_T_1662, _T_1656) @[el2_dec_dec_ctl.scala 161:48] + node _T_1664 = or(_T_1644, _T_1663) @[el2_dec_dec_ctl.scala 160:58] + node _T_1665 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1666 = eq(_T_1665, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1667 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1668 = eq(_T_1667, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1669 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1670 = eq(_T_1669, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1671 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1672 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1673 = eq(_T_1672, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1674 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1675 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1676 = eq(_T_1675, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1677 = and(_T_1666, _T_1668) @[el2_dec_dec_ctl.scala 162:47] + node _T_1678 = and(_T_1677, _T_1670) @[el2_dec_dec_ctl.scala 162:47] + node _T_1679 = and(_T_1678, _T_1671) @[el2_dec_dec_ctl.scala 162:47] + node _T_1680 = and(_T_1679, _T_1673) @[el2_dec_dec_ctl.scala 162:47] + node _T_1681 = and(_T_1680, _T_1674) @[el2_dec_dec_ctl.scala 162:47] + node _T_1682 = and(_T_1681, _T_1676) @[el2_dec_dec_ctl.scala 162:47] + node _T_1683 = or(_T_1664, _T_1682) @[el2_dec_dec_ctl.scala 161:52] + node _T_1684 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1685 = eq(_T_1684, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1686 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1688 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1689 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1690 = eq(_T_1689, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1691 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1692 = eq(_T_1691, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1693 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1694 = eq(_T_1693, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1695 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1696 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1697 = eq(_T_1696, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1698 = and(_T_1685, _T_1687) @[el2_dec_dec_ctl.scala 162:99] + node _T_1699 = and(_T_1698, _T_1688) @[el2_dec_dec_ctl.scala 162:99] + node _T_1700 = and(_T_1699, _T_1690) @[el2_dec_dec_ctl.scala 162:99] + node _T_1701 = and(_T_1700, _T_1692) @[el2_dec_dec_ctl.scala 162:99] + node _T_1702 = and(_T_1701, _T_1694) @[el2_dec_dec_ctl.scala 162:99] + node _T_1703 = and(_T_1702, _T_1695) @[el2_dec_dec_ctl.scala 162:99] + node _T_1704 = and(_T_1703, _T_1697) @[el2_dec_dec_ctl.scala 162:99] + node _T_1705 = or(_T_1683, _T_1704) @[el2_dec_dec_ctl.scala 162:51] + node _T_1706 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_1707 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1708 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1709 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1710 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1711 = eq(_T_1710, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1712 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1713 = eq(_T_1712, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1714 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1715 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1716 = eq(_T_1715, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1717 = and(_T_1706, _T_1707) @[el2_dec_dec_ctl.scala 163:47] + node _T_1718 = and(_T_1717, _T_1708) @[el2_dec_dec_ctl.scala 163:47] + node _T_1719 = and(_T_1718, _T_1709) @[el2_dec_dec_ctl.scala 163:47] + node _T_1720 = and(_T_1719, _T_1711) @[el2_dec_dec_ctl.scala 163:47] + node _T_1721 = and(_T_1720, _T_1713) @[el2_dec_dec_ctl.scala 163:47] + node _T_1722 = and(_T_1721, _T_1714) @[el2_dec_dec_ctl.scala 163:47] + node _T_1723 = and(_T_1722, _T_1716) @[el2_dec_dec_ctl.scala 163:47] + node _T_1724 = or(_T_1705, _T_1723) @[el2_dec_dec_ctl.scala 162:103] + node _T_1725 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1726 = eq(_T_1725, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1727 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1728 = eq(_T_1727, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1729 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1730 = eq(_T_1729, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1731 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1732 = eq(_T_1731, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1733 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1734 = eq(_T_1733, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1735 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1736 = eq(_T_1735, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1737 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1739 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 67:52] + node _T_1740 = eq(_T_1739, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1741 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 67:52] + node _T_1742 = eq(_T_1741, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1743 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] + node _T_1744 = eq(_T_1743, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1745 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:52] + node _T_1746 = eq(_T_1745, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1747 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:52] + node _T_1748 = eq(_T_1747, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1749 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] + node _T_1750 = eq(_T_1749, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1751 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] + node _T_1752 = eq(_T_1751, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1753 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] + node _T_1754 = eq(_T_1753, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1755 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] + node _T_1756 = eq(_T_1755, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1757 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] + node _T_1758 = eq(_T_1757, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1759 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1760 = eq(_T_1759, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1761 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1762 = eq(_T_1761, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1763 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1764 = eq(_T_1763, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1765 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] + node _T_1766 = eq(_T_1765, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1767 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] + node _T_1768 = eq(_T_1767, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1769 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] + node _T_1770 = eq(_T_1769, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1771 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] + node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1773 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] + node _T_1774 = eq(_T_1773, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1775 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1776 = eq(_T_1775, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1777 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1778 = eq(_T_1777, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1779 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1780 = eq(_T_1779, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1781 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_1782 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_1783 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1784 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1785 = eq(_T_1784, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1786 = and(_T_1726, _T_1728) @[el2_dec_dec_ctl.scala 164:142] + node _T_1787 = and(_T_1786, _T_1730) @[el2_dec_dec_ctl.scala 164:142] + node _T_1788 = and(_T_1787, _T_1732) @[el2_dec_dec_ctl.scala 164:142] + node _T_1789 = and(_T_1788, _T_1734) @[el2_dec_dec_ctl.scala 164:142] + node _T_1790 = and(_T_1789, _T_1736) @[el2_dec_dec_ctl.scala 164:142] + node _T_1791 = and(_T_1790, _T_1738) @[el2_dec_dec_ctl.scala 164:142] + node _T_1792 = and(_T_1791, _T_1740) @[el2_dec_dec_ctl.scala 164:142] + node _T_1793 = and(_T_1792, _T_1742) @[el2_dec_dec_ctl.scala 164:142] + node _T_1794 = and(_T_1793, _T_1744) @[el2_dec_dec_ctl.scala 164:142] + node _T_1795 = and(_T_1794, _T_1746) @[el2_dec_dec_ctl.scala 164:142] + node _T_1796 = and(_T_1795, _T_1748) @[el2_dec_dec_ctl.scala 164:142] + node _T_1797 = and(_T_1796, _T_1750) @[el2_dec_dec_ctl.scala 164:142] + node _T_1798 = and(_T_1797, _T_1752) @[el2_dec_dec_ctl.scala 164:142] + node _T_1799 = and(_T_1798, _T_1754) @[el2_dec_dec_ctl.scala 164:142] + node _T_1800 = and(_T_1799, _T_1756) @[el2_dec_dec_ctl.scala 164:142] + node _T_1801 = and(_T_1800, _T_1758) @[el2_dec_dec_ctl.scala 164:142] + node _T_1802 = and(_T_1801, _T_1760) @[el2_dec_dec_ctl.scala 164:142] + node _T_1803 = and(_T_1802, _T_1762) @[el2_dec_dec_ctl.scala 164:142] + node _T_1804 = and(_T_1803, _T_1764) @[el2_dec_dec_ctl.scala 164:142] + node _T_1805 = and(_T_1804, _T_1766) @[el2_dec_dec_ctl.scala 164:142] + node _T_1806 = and(_T_1805, _T_1768) @[el2_dec_dec_ctl.scala 164:142] + node _T_1807 = and(_T_1806, _T_1770) @[el2_dec_dec_ctl.scala 164:142] + node _T_1808 = and(_T_1807, _T_1772) @[el2_dec_dec_ctl.scala 164:142] + node _T_1809 = and(_T_1808, _T_1774) @[el2_dec_dec_ctl.scala 164:142] + node _T_1810 = and(_T_1809, _T_1776) @[el2_dec_dec_ctl.scala 164:142] + node _T_1811 = and(_T_1810, _T_1778) @[el2_dec_dec_ctl.scala 164:142] + node _T_1812 = and(_T_1811, _T_1780) @[el2_dec_dec_ctl.scala 164:142] + node _T_1813 = and(_T_1812, _T_1781) @[el2_dec_dec_ctl.scala 164:142] + node _T_1814 = and(_T_1813, _T_1782) @[el2_dec_dec_ctl.scala 164:142] + node _T_1815 = and(_T_1814, _T_1783) @[el2_dec_dec_ctl.scala 164:142] + node _T_1816 = and(_T_1815, _T_1785) @[el2_dec_dec_ctl.scala 164:142] + node _T_1817 = or(_T_1724, _T_1816) @[el2_dec_dec_ctl.scala 163:51] + node _T_1818 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1819 = eq(_T_1818, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1820 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1822 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1823 = eq(_T_1822, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1824 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1825 = eq(_T_1824, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1826 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] + node _T_1827 = eq(_T_1826, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1828 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] + node _T_1829 = eq(_T_1828, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1830 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] + node _T_1831 = eq(_T_1830, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1832 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] + node _T_1833 = eq(_T_1832, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1834 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] + node _T_1835 = eq(_T_1834, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1836 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1837 = eq(_T_1836, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1838 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1840 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1841 = eq(_T_1840, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1842 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] + node _T_1843 = eq(_T_1842, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1844 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] + node _T_1845 = eq(_T_1844, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1846 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] + node _T_1847 = eq(_T_1846, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1848 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] + node _T_1849 = eq(_T_1848, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1850 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] + node _T_1851 = eq(_T_1850, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1852 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1854 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1855 = eq(_T_1854, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1856 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1857 = eq(_T_1856, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1858 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_1859 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_1860 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1861 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1862 = eq(_T_1861, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1863 = and(_T_1819, _T_1821) @[el2_dec_dec_ctl.scala 165:110] + node _T_1864 = and(_T_1863, _T_1823) @[el2_dec_dec_ctl.scala 165:110] + node _T_1865 = and(_T_1864, _T_1825) @[el2_dec_dec_ctl.scala 165:110] + node _T_1866 = and(_T_1865, _T_1827) @[el2_dec_dec_ctl.scala 165:110] + node _T_1867 = and(_T_1866, _T_1829) @[el2_dec_dec_ctl.scala 165:110] + node _T_1868 = and(_T_1867, _T_1831) @[el2_dec_dec_ctl.scala 165:110] + node _T_1869 = and(_T_1868, _T_1833) @[el2_dec_dec_ctl.scala 165:110] + node _T_1870 = and(_T_1869, _T_1835) @[el2_dec_dec_ctl.scala 165:110] + node _T_1871 = and(_T_1870, _T_1837) @[el2_dec_dec_ctl.scala 165:110] + node _T_1872 = and(_T_1871, _T_1839) @[el2_dec_dec_ctl.scala 165:110] + node _T_1873 = and(_T_1872, _T_1841) @[el2_dec_dec_ctl.scala 165:110] + node _T_1874 = and(_T_1873, _T_1843) @[el2_dec_dec_ctl.scala 165:110] + node _T_1875 = and(_T_1874, _T_1845) @[el2_dec_dec_ctl.scala 165:110] + node _T_1876 = and(_T_1875, _T_1847) @[el2_dec_dec_ctl.scala 165:110] + node _T_1877 = and(_T_1876, _T_1849) @[el2_dec_dec_ctl.scala 165:110] + node _T_1878 = and(_T_1877, _T_1851) @[el2_dec_dec_ctl.scala 165:110] + node _T_1879 = and(_T_1878, _T_1853) @[el2_dec_dec_ctl.scala 165:110] + node _T_1880 = and(_T_1879, _T_1855) @[el2_dec_dec_ctl.scala 165:110] + node _T_1881 = and(_T_1880, _T_1857) @[el2_dec_dec_ctl.scala 165:110] + node _T_1882 = and(_T_1881, _T_1858) @[el2_dec_dec_ctl.scala 165:110] + node _T_1883 = and(_T_1882, _T_1859) @[el2_dec_dec_ctl.scala 165:110] + node _T_1884 = and(_T_1883, _T_1860) @[el2_dec_dec_ctl.scala 165:110] + node _T_1885 = and(_T_1884, _T_1862) @[el2_dec_dec_ctl.scala 165:110] + node _T_1886 = or(_T_1817, _T_1885) @[el2_dec_dec_ctl.scala 164:146] + node _T_1887 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1888 = eq(_T_1887, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1889 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1890 = eq(_T_1889, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1891 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1892 = eq(_T_1891, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1893 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1895 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1896 = eq(_T_1895, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1897 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1898 = eq(_T_1897, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1899 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1900 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1901 = eq(_T_1900, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1902 = and(_T_1888, _T_1890) @[el2_dec_dec_ctl.scala 166:51] + node _T_1903 = and(_T_1902, _T_1892) @[el2_dec_dec_ctl.scala 166:51] + node _T_1904 = and(_T_1903, _T_1894) @[el2_dec_dec_ctl.scala 166:51] + node _T_1905 = and(_T_1904, _T_1896) @[el2_dec_dec_ctl.scala 166:51] + node _T_1906 = and(_T_1905, _T_1898) @[el2_dec_dec_ctl.scala 166:51] + node _T_1907 = and(_T_1906, _T_1899) @[el2_dec_dec_ctl.scala 166:51] + node _T_1908 = and(_T_1907, _T_1901) @[el2_dec_dec_ctl.scala 166:51] + node _T_1909 = or(_T_1886, _T_1908) @[el2_dec_dec_ctl.scala 165:114] + node _T_1910 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1911 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1912 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1914 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_1915 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_1916 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1917 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1918 = eq(_T_1917, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1919 = and(_T_1910, _T_1911) @[el2_dec_dec_ctl.scala 166:95] + node _T_1920 = and(_T_1919, _T_1913) @[el2_dec_dec_ctl.scala 166:95] + node _T_1921 = and(_T_1920, _T_1914) @[el2_dec_dec_ctl.scala 166:95] + node _T_1922 = and(_T_1921, _T_1915) @[el2_dec_dec_ctl.scala 166:95] + node _T_1923 = and(_T_1922, _T_1916) @[el2_dec_dec_ctl.scala 166:95] + node _T_1924 = and(_T_1923, _T_1918) @[el2_dec_dec_ctl.scala 166:95] + node _T_1925 = or(_T_1909, _T_1924) @[el2_dec_dec_ctl.scala 166:55] + node _T_1926 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1927 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1929 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1930 = eq(_T_1929, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1931 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1932 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1933 = eq(_T_1932, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1934 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1935 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1936 = eq(_T_1935, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1937 = and(_T_1926, _T_1928) @[el2_dec_dec_ctl.scala 167:46] + node _T_1938 = and(_T_1937, _T_1930) @[el2_dec_dec_ctl.scala 167:46] + node _T_1939 = and(_T_1938, _T_1931) @[el2_dec_dec_ctl.scala 167:46] + node _T_1940 = and(_T_1939, _T_1933) @[el2_dec_dec_ctl.scala 167:46] + node _T_1941 = and(_T_1940, _T_1934) @[el2_dec_dec_ctl.scala 167:46] + node _T_1942 = and(_T_1941, _T_1936) @[el2_dec_dec_ctl.scala 167:46] + node _T_1943 = or(_T_1925, _T_1942) @[el2_dec_dec_ctl.scala 166:99] + node _T_1944 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1945 = eq(_T_1944, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1946 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1947 = eq(_T_1946, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1948 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1949 = eq(_T_1948, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1950 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1951 = eq(_T_1950, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1952 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1953 = eq(_T_1952, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1954 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1956 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1957 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1958 = eq(_T_1957, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1959 = and(_T_1945, _T_1947) @[el2_dec_dec_ctl.scala 167:99] + node _T_1960 = and(_T_1959, _T_1949) @[el2_dec_dec_ctl.scala 167:99] + node _T_1961 = and(_T_1960, _T_1951) @[el2_dec_dec_ctl.scala 167:99] + node _T_1962 = and(_T_1961, _T_1953) @[el2_dec_dec_ctl.scala 167:99] + node _T_1963 = and(_T_1962, _T_1955) @[el2_dec_dec_ctl.scala 167:99] + node _T_1964 = and(_T_1963, _T_1956) @[el2_dec_dec_ctl.scala 167:99] + node _T_1965 = and(_T_1964, _T_1958) @[el2_dec_dec_ctl.scala 167:99] + node _T_1966 = or(_T_1943, _T_1965) @[el2_dec_dec_ctl.scala 167:50] + node _T_1967 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1969 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1970 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1972 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1974 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1975 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1976 = eq(_T_1975, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1977 = and(_T_1968, _T_1969) @[el2_dec_dec_ctl.scala 168:43] + node _T_1978 = and(_T_1977, _T_1971) @[el2_dec_dec_ctl.scala 168:43] + node _T_1979 = and(_T_1978, _T_1973) @[el2_dec_dec_ctl.scala 168:43] + node _T_1980 = and(_T_1979, _T_1974) @[el2_dec_dec_ctl.scala 168:43] + node _T_1981 = and(_T_1980, _T_1976) @[el2_dec_dec_ctl.scala 168:43] + node _T_1982 = or(_T_1966, _T_1981) @[el2_dec_dec_ctl.scala 167:103] + io.out.legal <= _T_1982 @[el2_dec_dec_ctl.scala 153:16] + + extmodule TEC_RV_ICG_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_1 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_2 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_3 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_4 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_5 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_6 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_7 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_8 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_9 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_10 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_11 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_12 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_13 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_14 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_15 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_15 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_15 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_16 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_16 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_16 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_17 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_17 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_17 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_18 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_18 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_18 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_19 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_19 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_19 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + module el2_dec_decode_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip dec_tlu_flush_extint : UInt<1>, flip dec_tlu_force_halt : UInt<1>, dec_extint_stall : UInt<1>, flip ifu_i0_cinst : UInt<16>, dec_i0_inst_wb1 : UInt<32>, dec_i0_pc_wb1 : UInt<31>, flip lsu_nonblock_load_valid_m : UInt<1>, flip lsu_nonblock_load_tag_m : UInt<2>, flip lsu_nonblock_load_inv_r : UInt<1>, flip lsu_nonblock_load_inv_tag_r : UInt<2>, flip lsu_nonblock_load_data_valid : UInt<1>, flip lsu_nonblock_load_data_error : UInt<1>, flip lsu_nonblock_load_data_tag : UInt<2>, flip lsu_nonblock_load_data : UInt<32>, flip dec_i0_trigger_match_d : UInt<4>, flip dec_tlu_wr_pause_r : UInt<1>, flip dec_tlu_pipelining_disable : UInt<1>, flip lsu_trigger_match_m : UInt<4>, flip lsu_pmu_misaligned_m : UInt<1>, flip dec_tlu_debug_stall : UInt<1>, flip dec_tlu_flush_leak_one_r : UInt<1>, flip dec_debug_fence_d : UInt<1>, flip dbg_cmd_wrdata : UInt<2>, flip dec_i0_icaf_d : UInt<1>, flip dec_i0_icaf_f1_d : UInt<1>, flip dec_i0_icaf_type_d : UInt<2>, flip dec_i0_dbecc_d : UInt<1>, flip dec_i0_brp : {valid : UInt<1>, toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}, flip dec_i0_bp_index : UInt<8>, flip dec_i0_bp_fghr : UInt<8>, flip dec_i0_bp_btag : UInt<5>, flip dec_i0_pc_d : UInt<31>, flip lsu_idle_any : UInt<1>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip dma_dccm_stall_any : UInt<1>, flip exu_div_wren : UInt<1>, flip dec_tlu_i0_kill_writeb_wb : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_i0_kill_writeb_r : UInt<1>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_pause_r : UInt<1>, flip dec_tlu_presync_d : UInt<1>, flip dec_tlu_postsync_d : UInt<1>, flip dec_i0_pc4_d : UInt<1>, flip dec_csr_rddata_d : UInt<32>, flip dec_csr_legal_d : UInt<1>, flip exu_csr_rs1_x : UInt<32>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip exu_flush_final : UInt<1>, flip exu_i0_pc_x : UInt<31>, flip dec_i0_instr_d : UInt<32>, flip dec_ib0_valid_d : UInt<1>, flip exu_i0_result_x : UInt<32>, flip free_clk : Clock, flip active_clk : Clock, flip clk_override : UInt<1>, dec_i0_rs1_en_d : UInt<1>, dec_i0_rs2_en_d : UInt<1>, dec_i0_rs1_d : UInt<5>, dec_i0_rs2_d : UInt<5>, dec_i0_immed_d : UInt<32>, dec_i0_br_immed_d : UInt<12>, i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, dec_i0_decode_d : UInt<1>, dec_i0_alu_decode_d : UInt<1>, dec_i0_rs1_bypass_data_d : UInt<32>, dec_i0_rs2_bypass_data_d : UInt<32>, dec_i0_waddr_r : UInt<5>, dec_i0_wen_r : UInt<1>, dec_i0_wdata_r : UInt<32>, dec_i0_select_pc_d : UInt<1>, dec_i0_rs1_bypass_en_d : UInt<2>, dec_i0_rs2_bypass_en_d : UInt<2>, lsu_p : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, mul_p : {valid : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}, div_p : {valid : UInt<1>, unsign : UInt<1>, rem : UInt<1>}, div_waddr_wb : UInt<5>, dec_div_cancel : UInt<1>, dec_lsu_valid_raw_d : UInt<1>, dec_lsu_offset_d : UInt<12>, dec_csr_ren_d : UInt<1>, dec_csr_wen_unq_d : UInt<1>, dec_csr_any_unq_d : UInt<1>, dec_csr_rdaddr_d : UInt<12>, dec_csr_wen_r : UInt<1>, dec_csr_wraddr_r : UInt<12>, dec_csr_wrdata_r : UInt<32>, dec_csr_stall_int_ff : UInt<1>, dec_tlu_i0_valid_r : UInt<1>, dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, dec_tlu_i0_pc_r : UInt<31>, dec_illegal_inst : UInt<32>, pred_correct_npc_x : UInt<31>, dec_i0_predict_p_d : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, valid : UInt<1>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}, i0_predict_fghr_d : UInt<8>, i0_predict_index_d : UInt<8>, i0_predict_btag_d : UInt<5>, dec_data_en : UInt<2>, dec_ctl_en : UInt<2>, dec_pmu_instr_decoded : UInt<1>, dec_pmu_decode_stall : UInt<1>, dec_pmu_presync_stall : UInt<1>, dec_pmu_postsync_stall : UInt<1>, dec_nonblock_load_wen : UInt<1>, dec_nonblock_load_waddr : UInt<5>, dec_pause_state : UInt<1>, dec_pause_state_cg : UInt<1>, dec_div_active : UInt<1>, flip scan_mode : UInt<1>} + + wire _T : {valid : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>} @[el2_dec_decode_ctl.scala 126:27] + _T.bfp <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.crc32c_w <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.crc32c_h <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.crc32c_b <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.crc32_w <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.crc32_h <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.crc32_b <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.unshfl <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.shfl <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.grev <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.clmulr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.clmulh <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.clmul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.bdep <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.bext <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.low <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.rs2_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.rs1_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + io.mul_p.bfp <= _T.bfp @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.crc32c_w <= _T.crc32c_w @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.crc32c_h <= _T.crc32c_h @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.crc32c_b <= _T.crc32c_b @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.crc32_w <= _T.crc32_w @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.crc32_h <= _T.crc32_h @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.crc32_b <= _T.crc32_b @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.unshfl <= _T.unshfl @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.shfl <= _T.shfl @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.grev <= _T.grev @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.clmulr <= _T.clmulr @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.clmulh <= _T.clmulh @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.clmul <= _T.clmul @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.bdep <= _T.bdep @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.bext <= _T.bext @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.low <= _T.low @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.rs2_sign <= _T.rs2_sign @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.rs1_sign <= _T.rs1_sign @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.valid <= _T.valid @[el2_dec_decode_ctl.scala 126:12] + wire leak1_i1_stall_in : UInt<1> + leak1_i1_stall_in <= UInt<1>("h00") + wire leak1_i0_stall_in : UInt<1> + leak1_i0_stall_in <= UInt<1>("h00") + wire i0r : {rs1 : UInt<5>, rs2 : UInt<5>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 130:17] + wire d_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 131:17] + wire x_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 132:17] + wire x_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 133:20] + wire r_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 134:17] + wire r_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 135:23] + wire d_d : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 136:17] + wire x_d : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 137:17] + wire r_d : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 138:17] + wire r_d_in : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 139:20] + wire wbd : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 140:17] + wire i0_d_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 141:20] + wire i0_rs1_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 142:28] + wire i0_rs2_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 143:28] + wire i0_rs1_depth_d : UInt<2> + i0_rs1_depth_d <= UInt<1>("h00") + wire i0_rs2_depth_d : UInt<2> + i0_rs2_depth_d <= UInt<1>("h00") + wire cam_wen : UInt<4> + cam_wen <= UInt<1>("h00") + wire cam : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}[4] @[el2_dec_decode_ctl.scala 147:17] + wire cam_write : UInt<1> + cam_write <= UInt<1>("h00") + wire cam_inv_reset_val : UInt<1>[4] @[el2_dec_decode_ctl.scala 149:29] + wire cam_data_reset_val : UInt<1>[4] @[el2_dec_decode_ctl.scala 150:30] + wire nonblock_load_write : UInt<1>[4] @[el2_dec_decode_ctl.scala 151:31] + wire cam_raw : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}[4] @[el2_dec_decode_ctl.scala 152:20] + wire cam_in : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}[4] @[el2_dec_decode_ctl.scala 153:20] + wire i0_dp : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[el2_dec_decode_ctl.scala 155:18] + wire i0_dp_raw : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[el2_dec_decode_ctl.scala 156:22] + wire i0_rs1bypass : UInt<3> + i0_rs1bypass <= UInt<1>("h00") + wire i0_rs2bypass : UInt<3> + i0_rs2bypass <= UInt<1>("h00") + wire illegal_lockout : UInt<1> + illegal_lockout <= UInt<1>("h00") + wire postsync_stall : UInt<1> + postsync_stall <= UInt<1>("h00") + wire ps_stall_in : UInt<1> + ps_stall_in <= UInt<1>("h00") + wire i0_pipe_en : UInt<4> + i0_pipe_en <= UInt<1>("h00") + wire i0_load_block_d : UInt<1> + i0_load_block_d <= UInt<1>("h00") + wire load_ldst_bypass_d : UInt<1> + load_ldst_bypass_d <= UInt<1>("h00") + wire store_data_bypass_d : UInt<1> + store_data_bypass_d <= UInt<1>("h00") + wire store_data_bypass_m : UInt<1> + store_data_bypass_m <= UInt<1>("h00") + wire tlu_wr_pause_r1 : UInt<1> + tlu_wr_pause_r1 <= UInt<1>("h00") + wire tlu_wr_pause_r2 : UInt<1> + tlu_wr_pause_r2 <= UInt<1>("h00") + wire leak1_i1_stall : UInt<1> + leak1_i1_stall <= UInt<1>("h00") + wire leak1_i0_stall : UInt<1> + leak1_i0_stall <= UInt<1>("h00") + wire pause_stall : UInt<1> + pause_stall <= UInt<1>("h00") + wire flush_final_r : UInt<1> + flush_final_r <= UInt<1>("h00") + wire illegal_lockout_in : UInt<1> + illegal_lockout_in <= UInt<1>("h00") + wire lsu_idle : UInt<1> + lsu_idle <= UInt<1>("h00") + wire pause_state_in : UInt<1> + pause_state_in <= UInt<1>("h00") + wire leak1_mode : UInt<1> + leak1_mode <= UInt<1>("h00") + wire i0_pcall : UInt<1> + i0_pcall <= UInt<1>("h00") + wire i0_pja : UInt<1> + i0_pja <= UInt<1>("h00") + wire i0_pret : UInt<1> + i0_pret <= UInt<1>("h00") + wire i0_legal_decode_d : UInt<1> + i0_legal_decode_d <= UInt<1>("h00") + wire i0_pcall_raw : UInt<1> + i0_pcall_raw <= UInt<1>("h00") + wire i0_pja_raw : UInt<1> + i0_pja_raw <= UInt<1>("h00") + wire i0_pret_raw : UInt<1> + i0_pret_raw <= UInt<1>("h00") + wire i0_br_offset : UInt<12> + i0_br_offset <= UInt<1>("h00") + wire i0_csr_write_only_d : UInt<1> + i0_csr_write_only_d <= UInt<1>("h00") + wire i0_jal : UInt<1> + i0_jal <= UInt<1>("h00") + wire i0_wen_r : UInt<1> + i0_wen_r <= UInt<1>("h00") + wire i0_x_ctl_en : UInt<1> + i0_x_ctl_en <= UInt<1>("h00") + wire i0_r_ctl_en : UInt<1> + i0_r_ctl_en <= UInt<1>("h00") + wire i0_wb_ctl_en : UInt<1> + i0_wb_ctl_en <= UInt<1>("h00") + wire i0_x_data_en : UInt<1> + i0_x_data_en <= UInt<1>("h00") + wire i0_r_data_en : UInt<1> + i0_r_data_en <= UInt<1>("h00") + wire i0_wb_data_en : UInt<1> + i0_wb_data_en <= UInt<1>("h00") + wire i0_wb1_data_en : UInt<1> + i0_wb1_data_en <= UInt<1>("h00") + wire i0_nonblock_load_stall : UInt<1> + i0_nonblock_load_stall <= UInt<1>("h00") + wire csr_read : UInt<1> + csr_read <= UInt<1>("h00") + wire lsu_decode_d : UInt<1> + lsu_decode_d <= UInt<1>("h00") + wire mul_decode_d : UInt<1> + mul_decode_d <= UInt<1>("h00") + wire div_decode_d : UInt<1> + div_decode_d <= UInt<1>("h00") + wire write_csr_data : UInt<32> + write_csr_data <= UInt<1>("h00") + wire i0_result_corr_r : UInt<32> + i0_result_corr_r <= UInt<1>("h00") + wire presync_stall : UInt<1> + presync_stall <= UInt<1>("h00") + wire i0_nonblock_div_stall : UInt<1> + i0_nonblock_div_stall <= UInt<1>("h00") + wire debug_fence : UInt<1> + debug_fence <= UInt<1>("h00") + wire i0_immed_d : UInt<32> + i0_immed_d <= UInt<1>("h00") + wire i0_result_x : UInt<32> + i0_result_x <= UInt<1>("h00") + wire i0_result_r : UInt<32> + i0_result_r <= UInt<1>("h00") + node _T_1 = xor(io.dec_tlu_wr_pause_r, tlu_wr_pause_r1) @[el2_dec_decode_ctl.scala 211:51] + node _T_2 = xor(tlu_wr_pause_r1, tlu_wr_pause_r2) @[el2_dec_decode_ctl.scala 212:32] + node _T_3 = or(_T_1, _T_2) @[el2_dec_decode_ctl.scala 211:73] + node _T_4 = xor(io.dec_tlu_flush_extint, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 213:32] + node _T_5 = or(_T_3, _T_4) @[el2_dec_decode_ctl.scala 212:56] + node _T_6 = xor(leak1_i1_stall_in, leak1_i1_stall) @[el2_dec_decode_ctl.scala 214:32] + node _T_7 = or(_T_5, _T_6) @[el2_dec_decode_ctl.scala 213:56] + node _T_8 = xor(leak1_i0_stall_in, leak1_i0_stall) @[el2_dec_decode_ctl.scala 215:32] + node _T_9 = or(_T_7, _T_8) @[el2_dec_decode_ctl.scala 214:56] + node _T_10 = xor(pause_state_in, pause_stall) @[el2_dec_decode_ctl.scala 216:32] + node _T_11 = or(_T_9, _T_10) @[el2_dec_decode_ctl.scala 215:56] + node _T_12 = xor(ps_stall_in, postsync_stall) @[el2_dec_decode_ctl.scala 217:32] + node _T_13 = or(_T_11, _T_12) @[el2_dec_decode_ctl.scala 216:56] + node _T_14 = xor(io.exu_flush_final, flush_final_r) @[el2_dec_decode_ctl.scala 218:32] + node _T_15 = or(_T_13, _T_14) @[el2_dec_decode_ctl.scala 217:56] + node _T_16 = xor(illegal_lockout_in, illegal_lockout) @[el2_dec_decode_ctl.scala 219:32] + node data_gate_en = or(_T_15, _T_16) @[el2_dec_decode_ctl.scala 218:56] + inst data_gated_cgc of rvclkhdr @[el2_dec_decode_ctl.scala 221:29] + data_gated_cgc.clock <= clock + data_gated_cgc.reset <= reset + data_gated_cgc.io.en <= data_gate_en @[el2_dec_decode_ctl.scala 222:31] + data_gated_cgc.io.scan_mode <= io.scan_mode @[el2_dec_decode_ctl.scala 223:31] + data_gated_cgc.io.clk <= clock @[el2_dec_decode_ctl.scala 224:31] + node _T_17 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 229:62] + node i0_brp_valid = and(io.dec_i0_brp.valid, _T_17) @[el2_dec_decode_ctl.scala 229:60] + io.dec_i0_predict_p_d.misp <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 230:38] + io.dec_i0_predict_p_d.ataken <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 231:38] + io.dec_i0_predict_p_d.boffset <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 232:38] + io.dec_i0_predict_p_d.pcall <= i0_pcall @[el2_dec_decode_ctl.scala 233:38] + io.dec_i0_predict_p_d.pja <= i0_pja @[el2_dec_decode_ctl.scala 234:38] + io.dec_i0_predict_p_d.pret <= i0_pret @[el2_dec_decode_ctl.scala 235:38] + io.dec_i0_predict_p_d.prett <= io.dec_i0_brp.prett @[el2_dec_decode_ctl.scala 236:38] + io.dec_i0_predict_p_d.pc4 <= io.dec_i0_pc4_d @[el2_dec_decode_ctl.scala 237:38] + io.dec_i0_predict_p_d.hist <= io.dec_i0_brp.hist @[el2_dec_decode_ctl.scala 238:38] + node _T_18 = and(i0_brp_valid, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 239:55] + io.dec_i0_predict_p_d.valid <= _T_18 @[el2_dec_decode_ctl.scala 239:38] + node _T_19 = or(i0_dp_raw.condbr, i0_pcall_raw) @[el2_dec_decode_ctl.scala 240:75] + node _T_20 = or(_T_19, i0_pja_raw) @[el2_dec_decode_ctl.scala 240:90] + node _T_21 = or(_T_20, i0_pret_raw) @[el2_dec_decode_ctl.scala 240:103] + node _T_22 = eq(_T_21, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 240:56] + node i0_notbr_error = and(i0_brp_valid, _T_22) @[el2_dec_decode_ctl.scala 240:54] + node _T_23 = bits(io.dec_i0_brp.hist, 1, 1) @[el2_dec_decode_ctl.scala 243:67] + node _T_24 = and(i0_brp_valid, _T_23) @[el2_dec_decode_ctl.scala 243:47] + node _T_25 = neq(io.dec_i0_brp.toffset, i0_br_offset) @[el2_dec_decode_ctl.scala 243:96] + node _T_26 = and(_T_24, _T_25) @[el2_dec_decode_ctl.scala 243:71] + node _T_27 = eq(i0_pret_raw, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 243:116] + node i0_br_toffset_error = and(_T_26, _T_27) @[el2_dec_decode_ctl.scala 243:114] + node _T_28 = and(i0_brp_valid, io.dec_i0_brp.ret) @[el2_dec_decode_ctl.scala 244:47] + node _T_29 = eq(i0_pret_raw, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 244:69] + node i0_ret_error = and(_T_28, _T_29) @[el2_dec_decode_ctl.scala 244:67] + node _T_30 = or(io.dec_i0_brp.br_error, i0_notbr_error) @[el2_dec_decode_ctl.scala 245:57] + node _T_31 = or(_T_30, i0_br_toffset_error) @[el2_dec_decode_ctl.scala 245:74] + node i0_br_error = or(_T_31, i0_ret_error) @[el2_dec_decode_ctl.scala 245:96] + node _T_32 = and(i0_br_error, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 246:67] + node _T_33 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 246:89] + node _T_34 = and(_T_32, _T_33) @[el2_dec_decode_ctl.scala 246:87] + io.dec_i0_predict_p_d.br_error <= _T_34 @[el2_dec_decode_ctl.scala 246:51] + node _T_35 = and(io.dec_i0_brp.br_start_error, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 247:84] + node _T_36 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 247:106] + node _T_37 = and(_T_35, _T_36) @[el2_dec_decode_ctl.scala 247:104] + io.dec_i0_predict_p_d.br_start_error <= _T_37 @[el2_dec_decode_ctl.scala 247:51] + io.i0_predict_index_d <= io.dec_i0_bp_index @[el2_dec_decode_ctl.scala 248:32] + io.i0_predict_btag_d <= io.dec_i0_bp_btag @[el2_dec_decode_ctl.scala 249:32] + node _T_38 = or(i0_br_error, io.dec_i0_brp.br_start_error) @[el2_dec_decode_ctl.scala 250:47] + node _T_39 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 250:81] + node i0_br_error_all = and(_T_38, _T_39) @[el2_dec_decode_ctl.scala 250:79] + io.dec_i0_predict_p_d.toffset <= i0_br_offset @[el2_dec_decode_ctl.scala 251:44] + io.i0_predict_fghr_d <= io.dec_i0_bp_fghr @[el2_dec_decode_ctl.scala 252:32] + io.dec_i0_predict_p_d.way <= io.dec_i0_brp.way @[el2_dec_decode_ctl.scala 253:51] + node i0_icaf_d = or(io.dec_i0_icaf_d, io.dec_i0_dbecc_d) @[el2_dec_decode_ctl.scala 259:36] + i0_dp.legal <= i0_dp_raw.legal @[el2_dec_decode_ctl.scala 262:9] + i0_dp.pm_alu <= i0_dp_raw.pm_alu @[el2_dec_decode_ctl.scala 262:9] + i0_dp.fence_i <= i0_dp_raw.fence_i @[el2_dec_decode_ctl.scala 262:9] + i0_dp.fence <= i0_dp_raw.fence @[el2_dec_decode_ctl.scala 262:9] + i0_dp.rem <= i0_dp_raw.rem @[el2_dec_decode_ctl.scala 262:9] + i0_dp.div <= i0_dp_raw.div @[el2_dec_decode_ctl.scala 262:9] + i0_dp.low <= i0_dp_raw.low @[el2_dec_decode_ctl.scala 262:9] + i0_dp.rs2_sign <= i0_dp_raw.rs2_sign @[el2_dec_decode_ctl.scala 262:9] + i0_dp.rs1_sign <= i0_dp_raw.rs1_sign @[el2_dec_decode_ctl.scala 262:9] + i0_dp.mul <= i0_dp_raw.mul @[el2_dec_decode_ctl.scala 262:9] + i0_dp.mret <= i0_dp_raw.mret @[el2_dec_decode_ctl.scala 262:9] + i0_dp.ecall <= i0_dp_raw.ecall @[el2_dec_decode_ctl.scala 262:9] + i0_dp.ebreak <= i0_dp_raw.ebreak @[el2_dec_decode_ctl.scala 262:9] + i0_dp.postsync <= i0_dp_raw.postsync @[el2_dec_decode_ctl.scala 262:9] + i0_dp.presync <= i0_dp_raw.presync @[el2_dec_decode_ctl.scala 262:9] + i0_dp.csr_imm <= i0_dp_raw.csr_imm @[el2_dec_decode_ctl.scala 262:9] + i0_dp.csr_write <= i0_dp_raw.csr_write @[el2_dec_decode_ctl.scala 262:9] + i0_dp.csr_set <= i0_dp_raw.csr_set @[el2_dec_decode_ctl.scala 262:9] + i0_dp.csr_clr <= i0_dp_raw.csr_clr @[el2_dec_decode_ctl.scala 262:9] + i0_dp.csr_read <= i0_dp_raw.csr_read @[el2_dec_decode_ctl.scala 262:9] + i0_dp.word <= i0_dp_raw.word @[el2_dec_decode_ctl.scala 262:9] + i0_dp.half <= i0_dp_raw.half @[el2_dec_decode_ctl.scala 262:9] + i0_dp.by <= i0_dp_raw.by @[el2_dec_decode_ctl.scala 262:9] + i0_dp.jal <= i0_dp_raw.jal @[el2_dec_decode_ctl.scala 262:9] + i0_dp.blt <= i0_dp_raw.blt @[el2_dec_decode_ctl.scala 262:9] + i0_dp.bge <= i0_dp_raw.bge @[el2_dec_decode_ctl.scala 262:9] + i0_dp.bne <= i0_dp_raw.bne @[el2_dec_decode_ctl.scala 262:9] + i0_dp.beq <= i0_dp_raw.beq @[el2_dec_decode_ctl.scala 262:9] + i0_dp.condbr <= i0_dp_raw.condbr @[el2_dec_decode_ctl.scala 262:9] + i0_dp.unsign <= i0_dp_raw.unsign @[el2_dec_decode_ctl.scala 262:9] + i0_dp.slt <= i0_dp_raw.slt @[el2_dec_decode_ctl.scala 262:9] + i0_dp.srl <= i0_dp_raw.srl @[el2_dec_decode_ctl.scala 262:9] + i0_dp.sra <= i0_dp_raw.sra @[el2_dec_decode_ctl.scala 262:9] + i0_dp.sll <= i0_dp_raw.sll @[el2_dec_decode_ctl.scala 262:9] + i0_dp.lxor <= i0_dp_raw.lxor @[el2_dec_decode_ctl.scala 262:9] + i0_dp.lor <= i0_dp_raw.lor @[el2_dec_decode_ctl.scala 262:9] + i0_dp.land <= i0_dp_raw.land @[el2_dec_decode_ctl.scala 262:9] + i0_dp.sub <= i0_dp_raw.sub @[el2_dec_decode_ctl.scala 262:9] + i0_dp.add <= i0_dp_raw.add @[el2_dec_decode_ctl.scala 262:9] + i0_dp.lsu <= i0_dp_raw.lsu @[el2_dec_decode_ctl.scala 262:9] + i0_dp.store <= i0_dp_raw.store @[el2_dec_decode_ctl.scala 262:9] + i0_dp.load <= i0_dp_raw.load @[el2_dec_decode_ctl.scala 262:9] + i0_dp.pc <= i0_dp_raw.pc @[el2_dec_decode_ctl.scala 262:9] + i0_dp.imm20 <= i0_dp_raw.imm20 @[el2_dec_decode_ctl.scala 262:9] + i0_dp.shimm5 <= i0_dp_raw.shimm5 @[el2_dec_decode_ctl.scala 262:9] + i0_dp.rd <= i0_dp_raw.rd @[el2_dec_decode_ctl.scala 262:9] + i0_dp.imm12 <= i0_dp_raw.imm12 @[el2_dec_decode_ctl.scala 262:9] + i0_dp.rs2 <= i0_dp_raw.rs2 @[el2_dec_decode_ctl.scala 262:9] + i0_dp.rs1 <= i0_dp_raw.rs1 @[el2_dec_decode_ctl.scala 262:9] + i0_dp.alu <= i0_dp_raw.alu @[el2_dec_decode_ctl.scala 262:9] + node _T_40 = or(i0_br_error_all, i0_icaf_d) @[el2_dec_decode_ctl.scala 263:25] + node _T_41 = bits(_T_40, 0, 0) @[el2_dec_decode_ctl.scala 263:43] + when _T_41 : @[el2_dec_decode_ctl.scala 263:50] + wire _T_42 : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[el2_dec_decode_ctl.scala 264:35] + _T_42.legal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.pm_alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.fence_i <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.fence <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.rem <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.div <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.low <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.rs2_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.rs1_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.mret <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.ecall <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.ebreak <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.postsync <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.presync <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.csr_imm <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.csr_write <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.csr_set <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.csr_clr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.csr_read <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.word <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.half <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.by <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.jal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.blt <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.bge <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.bne <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.beq <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.condbr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.unsign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.slt <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.srl <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.sra <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.sll <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.lxor <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.lor <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.land <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.sub <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.add <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.lsu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.store <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.pc <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.imm20 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.shimm5 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.rd <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.imm12 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.rs2 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.rs1 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + i0_dp.legal <= _T_42.legal @[el2_dec_decode_ctl.scala 264:20] + i0_dp.pm_alu <= _T_42.pm_alu @[el2_dec_decode_ctl.scala 264:20] + i0_dp.fence_i <= _T_42.fence_i @[el2_dec_decode_ctl.scala 264:20] + i0_dp.fence <= _T_42.fence @[el2_dec_decode_ctl.scala 264:20] + i0_dp.rem <= _T_42.rem @[el2_dec_decode_ctl.scala 264:20] + i0_dp.div <= _T_42.div @[el2_dec_decode_ctl.scala 264:20] + i0_dp.low <= _T_42.low @[el2_dec_decode_ctl.scala 264:20] + i0_dp.rs2_sign <= _T_42.rs2_sign @[el2_dec_decode_ctl.scala 264:20] + i0_dp.rs1_sign <= _T_42.rs1_sign @[el2_dec_decode_ctl.scala 264:20] + i0_dp.mul <= _T_42.mul @[el2_dec_decode_ctl.scala 264:20] + i0_dp.mret <= _T_42.mret @[el2_dec_decode_ctl.scala 264:20] + i0_dp.ecall <= _T_42.ecall @[el2_dec_decode_ctl.scala 264:20] + i0_dp.ebreak <= _T_42.ebreak @[el2_dec_decode_ctl.scala 264:20] + i0_dp.postsync <= _T_42.postsync @[el2_dec_decode_ctl.scala 264:20] + i0_dp.presync <= _T_42.presync @[el2_dec_decode_ctl.scala 264:20] + i0_dp.csr_imm <= _T_42.csr_imm @[el2_dec_decode_ctl.scala 264:20] + i0_dp.csr_write <= _T_42.csr_write @[el2_dec_decode_ctl.scala 264:20] + i0_dp.csr_set <= _T_42.csr_set @[el2_dec_decode_ctl.scala 264:20] + i0_dp.csr_clr <= _T_42.csr_clr @[el2_dec_decode_ctl.scala 264:20] + i0_dp.csr_read <= _T_42.csr_read @[el2_dec_decode_ctl.scala 264:20] + i0_dp.word <= _T_42.word @[el2_dec_decode_ctl.scala 264:20] + i0_dp.half <= _T_42.half @[el2_dec_decode_ctl.scala 264:20] + i0_dp.by <= _T_42.by @[el2_dec_decode_ctl.scala 264:20] + i0_dp.jal <= _T_42.jal @[el2_dec_decode_ctl.scala 264:20] + i0_dp.blt <= _T_42.blt @[el2_dec_decode_ctl.scala 264:20] + i0_dp.bge <= _T_42.bge @[el2_dec_decode_ctl.scala 264:20] + i0_dp.bne <= _T_42.bne @[el2_dec_decode_ctl.scala 264:20] + i0_dp.beq <= _T_42.beq @[el2_dec_decode_ctl.scala 264:20] + i0_dp.condbr <= _T_42.condbr @[el2_dec_decode_ctl.scala 264:20] + i0_dp.unsign <= _T_42.unsign @[el2_dec_decode_ctl.scala 264:20] + i0_dp.slt <= _T_42.slt @[el2_dec_decode_ctl.scala 264:20] + i0_dp.srl <= _T_42.srl @[el2_dec_decode_ctl.scala 264:20] + i0_dp.sra <= _T_42.sra @[el2_dec_decode_ctl.scala 264:20] + i0_dp.sll <= _T_42.sll @[el2_dec_decode_ctl.scala 264:20] + i0_dp.lxor <= _T_42.lxor @[el2_dec_decode_ctl.scala 264:20] + i0_dp.lor <= _T_42.lor @[el2_dec_decode_ctl.scala 264:20] + i0_dp.land <= _T_42.land @[el2_dec_decode_ctl.scala 264:20] + i0_dp.sub <= _T_42.sub @[el2_dec_decode_ctl.scala 264:20] + i0_dp.add <= _T_42.add @[el2_dec_decode_ctl.scala 264:20] + i0_dp.lsu <= _T_42.lsu @[el2_dec_decode_ctl.scala 264:20] + i0_dp.store <= _T_42.store @[el2_dec_decode_ctl.scala 264:20] + i0_dp.load <= _T_42.load @[el2_dec_decode_ctl.scala 264:20] + i0_dp.pc <= _T_42.pc @[el2_dec_decode_ctl.scala 264:20] + i0_dp.imm20 <= _T_42.imm20 @[el2_dec_decode_ctl.scala 264:20] + i0_dp.shimm5 <= _T_42.shimm5 @[el2_dec_decode_ctl.scala 264:20] + i0_dp.rd <= _T_42.rd @[el2_dec_decode_ctl.scala 264:20] + i0_dp.imm12 <= _T_42.imm12 @[el2_dec_decode_ctl.scala 264:20] + i0_dp.rs2 <= _T_42.rs2 @[el2_dec_decode_ctl.scala 264:20] + i0_dp.rs1 <= _T_42.rs1 @[el2_dec_decode_ctl.scala 264:20] + i0_dp.alu <= _T_42.alu @[el2_dec_decode_ctl.scala 264:20] + i0_dp.alu <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 265:20] + i0_dp.rs1 <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 266:20] + i0_dp.rs2 <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 267:20] + i0_dp.lor <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 268:20] + i0_dp.legal <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 269:20] + i0_dp.postsync <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 270:20] + skip @[el2_dec_decode_ctl.scala 263:50] + io.dec_i0_select_pc_d <= i0_dp.pc @[el2_dec_decode_ctl.scala 274:25] + node _T_43 = or(i0_dp.condbr, i0_pcall) @[el2_dec_decode_ctl.scala 277:38] + node _T_44 = or(_T_43, i0_pja) @[el2_dec_decode_ctl.scala 277:49] + node i0_predict_br = or(_T_44, i0_pret) @[el2_dec_decode_ctl.scala 277:58] + node _T_45 = bits(io.dec_i0_brp.hist, 1, 1) @[el2_dec_decode_ctl.scala 279:46] + node _T_46 = and(_T_45, i0_brp_valid) @[el2_dec_decode_ctl.scala 279:50] + node _T_47 = eq(_T_46, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 279:26] + node i0_predict_nt = and(_T_47, i0_predict_br) @[el2_dec_decode_ctl.scala 279:66] + node _T_48 = bits(io.dec_i0_brp.hist, 1, 1) @[el2_dec_decode_ctl.scala 280:46] + node _T_49 = and(_T_48, i0_brp_valid) @[el2_dec_decode_ctl.scala 280:50] + node i0_predict_t = and(_T_49, i0_predict_br) @[el2_dec_decode_ctl.scala 280:66] + node i0_ap_pc2 = eq(io.dec_i0_pc4_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 281:20] + io.i0_ap.predict_nt <= i0_predict_nt @[el2_dec_decode_ctl.scala 283:26] + io.i0_ap.predict_t <= i0_predict_t @[el2_dec_decode_ctl.scala 284:26] + io.i0_ap.add <= i0_dp.add @[el2_dec_decode_ctl.scala 286:20] + io.i0_ap.sub <= i0_dp.sub @[el2_dec_decode_ctl.scala 287:20] + io.i0_ap.land <= i0_dp.land @[el2_dec_decode_ctl.scala 288:20] + io.i0_ap.lor <= i0_dp.lor @[el2_dec_decode_ctl.scala 289:20] + io.i0_ap.lxor <= i0_dp.lxor @[el2_dec_decode_ctl.scala 290:20] + io.i0_ap.sll <= i0_dp.sll @[el2_dec_decode_ctl.scala 291:20] + io.i0_ap.srl <= i0_dp.srl @[el2_dec_decode_ctl.scala 292:20] + io.i0_ap.sra <= i0_dp.sra @[el2_dec_decode_ctl.scala 293:20] + io.i0_ap.slt <= i0_dp.slt @[el2_dec_decode_ctl.scala 294:20] + io.i0_ap.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 295:20] + io.i0_ap.beq <= i0_dp.beq @[el2_dec_decode_ctl.scala 296:20] + io.i0_ap.bne <= i0_dp.bne @[el2_dec_decode_ctl.scala 297:20] + io.i0_ap.blt <= i0_dp.blt @[el2_dec_decode_ctl.scala 298:20] + io.i0_ap.bge <= i0_dp.bge @[el2_dec_decode_ctl.scala 299:20] + io.i0_ap.csr_write <= i0_csr_write_only_d @[el2_dec_decode_ctl.scala 300:22] + io.i0_ap.csr_imm <= i0_dp.csr_imm @[el2_dec_decode_ctl.scala 301:22] + io.i0_ap.jal <= i0_jal @[el2_dec_decode_ctl.scala 302:22] + node _T_50 = eq(cam[0].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 306:78] + node _T_51 = bits(_T_50, 0, 0) @[el2_dec_decode_ctl.scala 306:137] + node _T_52 = shl(cam_write, 0) @[el2_dec_decode_ctl.scala 306:158] + node _T_53 = eq(cam[1].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 306:78] + node _T_54 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 306:120] + node _T_55 = bits(_T_53, 0, 0) @[el2_dec_decode_ctl.scala 306:129] + node _T_56 = and(_T_54, _T_55) @[el2_dec_decode_ctl.scala 306:126] + node _T_57 = bits(_T_56, 0, 0) @[el2_dec_decode_ctl.scala 306:137] + node _T_58 = shl(cam_write, 1) @[el2_dec_decode_ctl.scala 306:158] + node _T_59 = eq(cam[2].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 306:78] + node _T_60 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 306:120] + node _T_61 = bits(cam[1].valid, 0, 0) @[el2_dec_decode_ctl.scala 306:129] + node _T_62 = and(_T_60, _T_61) @[el2_dec_decode_ctl.scala 306:126] + node _T_63 = bits(_T_62, 0, 0) @[el2_dec_decode_ctl.scala 306:120] + node _T_64 = bits(_T_59, 0, 0) @[el2_dec_decode_ctl.scala 306:129] + node _T_65 = and(_T_63, _T_64) @[el2_dec_decode_ctl.scala 306:126] + node _T_66 = bits(_T_65, 0, 0) @[el2_dec_decode_ctl.scala 306:137] + node _T_67 = shl(cam_write, 2) @[el2_dec_decode_ctl.scala 306:158] + node _T_68 = eq(cam[3].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 306:78] + node _T_69 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 306:120] + node _T_70 = bits(cam[1].valid, 0, 0) @[el2_dec_decode_ctl.scala 306:129] + node _T_71 = and(_T_69, _T_70) @[el2_dec_decode_ctl.scala 306:126] + node _T_72 = bits(_T_71, 0, 0) @[el2_dec_decode_ctl.scala 306:120] + node _T_73 = bits(cam[2].valid, 0, 0) @[el2_dec_decode_ctl.scala 306:129] + node _T_74 = and(_T_72, _T_73) @[el2_dec_decode_ctl.scala 306:126] + node _T_75 = bits(_T_74, 0, 0) @[el2_dec_decode_ctl.scala 306:120] + node _T_76 = bits(_T_68, 0, 0) @[el2_dec_decode_ctl.scala 306:129] + node _T_77 = and(_T_75, _T_76) @[el2_dec_decode_ctl.scala 306:126] + node _T_78 = bits(_T_77, 0, 0) @[el2_dec_decode_ctl.scala 306:137] + node _T_79 = shl(cam_write, 3) @[el2_dec_decode_ctl.scala 306:158] + node _T_80 = mux(_T_51, _T_52, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_81 = mux(_T_57, _T_58, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_66, _T_67, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_78, _T_79, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = or(_T_80, _T_81) @[Mux.scala 27:72] + node _T_85 = or(_T_84, _T_82) @[Mux.scala 27:72] + node _T_86 = or(_T_85, _T_83) @[Mux.scala 27:72] + wire _T_87 : UInt<4> @[Mux.scala 27:72] + _T_87 <= _T_86 @[Mux.scala 27:72] + cam_wen <= _T_87 @[el2_dec_decode_ctl.scala 306:11] + cam_write <= io.lsu_nonblock_load_valid_m @[el2_dec_decode_ctl.scala 308:25] + node cam_write_tag = bits(io.lsu_nonblock_load_tag_m, 1, 0) @[el2_dec_decode_ctl.scala 309:54] + node cam_inv_reset_tag = bits(io.lsu_nonblock_load_inv_tag_r, 1, 0) @[el2_dec_decode_ctl.scala 312:59] + node cam_data_reset = or(io.lsu_nonblock_load_data_valid, io.lsu_nonblock_load_data_error) @[el2_dec_decode_ctl.scala 314:63] + node cam_data_reset_tag = bits(io.lsu_nonblock_load_data_tag, 1, 0) @[el2_dec_decode_ctl.scala 315:60] + node _T_88 = bits(x_d.i0load, 0, 0) @[el2_dec_decode_ctl.scala 317:43] + node nonblock_load_rd = mux(_T_88, x_d.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 317:31] + node _T_89 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 321:116] + reg nonblock_load_valid_m_delay : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_89 : @[Reg.scala 28:19] + nonblock_load_valid_m_delay <= io.lsu_nonblock_load_valid_m @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.i0load) @[el2_dec_decode_ctl.scala 322:56] + node _T_90 = eq(cam_inv_reset_tag, cam[0].tag) @[el2_dec_decode_ctl.scala 324:66] + node _T_91 = and(io.lsu_nonblock_load_inv_r, _T_90) @[el2_dec_decode_ctl.scala 324:45] + node _T_92 = and(_T_91, cam[0].valid) @[el2_dec_decode_ctl.scala 324:82] + cam_inv_reset_val[0] <= _T_92 @[el2_dec_decode_ctl.scala 324:26] + node _T_93 = eq(cam_data_reset_tag, cam[0].tag) @[el2_dec_decode_ctl.scala 325:67] + node _T_94 = and(cam_data_reset, _T_93) @[el2_dec_decode_ctl.scala 325:45] + node _T_95 = and(_T_94, cam_raw[0].valid) @[el2_dec_decode_ctl.scala 325:83] + cam_data_reset_val[0] <= _T_95 @[el2_dec_decode_ctl.scala 325:27] + wire _T_96 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 326:28] + _T_96.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_96.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_96.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_96.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + cam_in[0].rd <= _T_96.rd @[el2_dec_decode_ctl.scala 326:14] + cam_in[0].tag <= _T_96.tag @[el2_dec_decode_ctl.scala 326:14] + cam_in[0].wb <= _T_96.wb @[el2_dec_decode_ctl.scala 326:14] + cam_in[0].valid <= _T_96.valid @[el2_dec_decode_ctl.scala 326:14] + cam[0].rd <= cam_raw[0].rd @[el2_dec_decode_ctl.scala 327:11] + cam[0].tag <= cam_raw[0].tag @[el2_dec_decode_ctl.scala 327:11] + cam[0].wb <= cam_raw[0].wb @[el2_dec_decode_ctl.scala 327:11] + cam[0].valid <= cam_raw[0].valid @[el2_dec_decode_ctl.scala 327:11] + node _T_97 = bits(cam_data_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 329:32] + when _T_97 : @[el2_dec_decode_ctl.scala 329:39] + cam[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 330:20] + skip @[el2_dec_decode_ctl.scala 329:39] + node _T_98 = bits(cam_wen, 0, 0) @[el2_dec_decode_ctl.scala 332:17] + node _T_99 = bits(_T_98, 0, 0) @[el2_dec_decode_ctl.scala 332:21] + when _T_99 : @[el2_dec_decode_ctl.scala 332:28] + cam_in[0].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 333:27] + cam_in[0].wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 334:27] + cam_in[0].tag <= cam_write_tag @[el2_dec_decode_ctl.scala 335:27] + cam_in[0].rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 336:27] + skip @[el2_dec_decode_ctl.scala 332:28] + else : @[el2_dec_decode_ctl.scala 337:116] + node _T_100 = bits(cam_inv_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 337:37] + node _T_101 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 337:57] + node _T_102 = eq(r_d_in.i0rd, cam[0].rd) @[el2_dec_decode_ctl.scala 337:80] + node _T_103 = and(_T_101, _T_102) @[el2_dec_decode_ctl.scala 337:64] + node _T_104 = bits(cam[0].wb, 0, 0) @[el2_dec_decode_ctl.scala 337:108] + node _T_105 = and(_T_103, _T_104) @[el2_dec_decode_ctl.scala 337:95] + node _T_106 = or(_T_100, _T_105) @[el2_dec_decode_ctl.scala 337:44] + when _T_106 : @[el2_dec_decode_ctl.scala 337:116] + cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 338:23] + skip @[el2_dec_decode_ctl.scala 337:116] + else : @[el2_dec_decode_ctl.scala 339:16] + cam_in[0].rd <= cam[0].rd @[el2_dec_decode_ctl.scala 340:22] + cam_in[0].tag <= cam[0].tag @[el2_dec_decode_ctl.scala 340:22] + cam_in[0].wb <= cam[0].wb @[el2_dec_decode_ctl.scala 340:22] + cam_in[0].valid <= cam[0].valid @[el2_dec_decode_ctl.scala 340:22] + skip @[el2_dec_decode_ctl.scala 339:16] + node _T_107 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:37] + node _T_108 = eq(io.lsu_nonblock_load_inv_tag_r, cam[0].tag) @[el2_dec_decode_ctl.scala 342:79] + node _T_109 = and(_T_107, _T_108) @[el2_dec_decode_ctl.scala 342:44] + node _T_110 = eq(cam[0].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:110] + node _T_111 = and(_T_109, _T_110) @[el2_dec_decode_ctl.scala 342:95] + when _T_111 : @[el2_dec_decode_ctl.scala 342:117] + cam_in[0].wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 343:20] + skip @[el2_dec_decode_ctl.scala 342:117] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 346:32] + cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:23] + skip @[el2_dec_decode_ctl.scala 346:32] + wire _T_112 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 350:70] + _T_112.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_112.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_112.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_112.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + reg _T_113 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}, io.free_clk with : (reset => (reset, _T_112)) @[el2_dec_decode_ctl.scala 350:47] + _T_113.rd <= cam_in[0].rd @[el2_dec_decode_ctl.scala 350:47] + _T_113.tag <= cam_in[0].tag @[el2_dec_decode_ctl.scala 350:47] + _T_113.wb <= cam_in[0].wb @[el2_dec_decode_ctl.scala 350:47] + _T_113.valid <= cam_in[0].valid @[el2_dec_decode_ctl.scala 350:47] + cam_raw[0].rd <= _T_113.rd @[el2_dec_decode_ctl.scala 350:15] + cam_raw[0].tag <= _T_113.tag @[el2_dec_decode_ctl.scala 350:15] + cam_raw[0].wb <= _T_113.wb @[el2_dec_decode_ctl.scala 350:15] + cam_raw[0].valid <= _T_113.valid @[el2_dec_decode_ctl.scala 350:15] + node _T_114 = eq(io.lsu_nonblock_load_data_tag, cam_raw[0].tag) @[el2_dec_decode_ctl.scala 351:46] + node _T_115 = and(_T_114, cam_raw[0].valid) @[el2_dec_decode_ctl.scala 351:66] + nonblock_load_write[0] <= _T_115 @[el2_dec_decode_ctl.scala 351:28] + node _T_116 = eq(cam_inv_reset_tag, cam[1].tag) @[el2_dec_decode_ctl.scala 324:66] + node _T_117 = and(io.lsu_nonblock_load_inv_r, _T_116) @[el2_dec_decode_ctl.scala 324:45] + node _T_118 = and(_T_117, cam[1].valid) @[el2_dec_decode_ctl.scala 324:82] + cam_inv_reset_val[1] <= _T_118 @[el2_dec_decode_ctl.scala 324:26] + node _T_119 = eq(cam_data_reset_tag, cam[1].tag) @[el2_dec_decode_ctl.scala 325:67] + node _T_120 = and(cam_data_reset, _T_119) @[el2_dec_decode_ctl.scala 325:45] + node _T_121 = and(_T_120, cam_raw[1].valid) @[el2_dec_decode_ctl.scala 325:83] + cam_data_reset_val[1] <= _T_121 @[el2_dec_decode_ctl.scala 325:27] + wire _T_122 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 326:28] + _T_122.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_122.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_122.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_122.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + cam_in[1].rd <= _T_122.rd @[el2_dec_decode_ctl.scala 326:14] + cam_in[1].tag <= _T_122.tag @[el2_dec_decode_ctl.scala 326:14] + cam_in[1].wb <= _T_122.wb @[el2_dec_decode_ctl.scala 326:14] + cam_in[1].valid <= _T_122.valid @[el2_dec_decode_ctl.scala 326:14] + cam[1].rd <= cam_raw[1].rd @[el2_dec_decode_ctl.scala 327:11] + cam[1].tag <= cam_raw[1].tag @[el2_dec_decode_ctl.scala 327:11] + cam[1].wb <= cam_raw[1].wb @[el2_dec_decode_ctl.scala 327:11] + cam[1].valid <= cam_raw[1].valid @[el2_dec_decode_ctl.scala 327:11] + node _T_123 = bits(cam_data_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 329:32] + when _T_123 : @[el2_dec_decode_ctl.scala 329:39] + cam[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 330:20] + skip @[el2_dec_decode_ctl.scala 329:39] + node _T_124 = bits(cam_wen, 1, 1) @[el2_dec_decode_ctl.scala 332:17] + node _T_125 = bits(_T_124, 0, 0) @[el2_dec_decode_ctl.scala 332:21] + when _T_125 : @[el2_dec_decode_ctl.scala 332:28] + cam_in[1].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 333:27] + cam_in[1].wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 334:27] + cam_in[1].tag <= cam_write_tag @[el2_dec_decode_ctl.scala 335:27] + cam_in[1].rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 336:27] + skip @[el2_dec_decode_ctl.scala 332:28] + else : @[el2_dec_decode_ctl.scala 337:116] + node _T_126 = bits(cam_inv_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 337:37] + node _T_127 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 337:57] + node _T_128 = eq(r_d_in.i0rd, cam[1].rd) @[el2_dec_decode_ctl.scala 337:80] + node _T_129 = and(_T_127, _T_128) @[el2_dec_decode_ctl.scala 337:64] + node _T_130 = bits(cam[1].wb, 0, 0) @[el2_dec_decode_ctl.scala 337:108] + node _T_131 = and(_T_129, _T_130) @[el2_dec_decode_ctl.scala 337:95] + node _T_132 = or(_T_126, _T_131) @[el2_dec_decode_ctl.scala 337:44] + when _T_132 : @[el2_dec_decode_ctl.scala 337:116] + cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 338:23] + skip @[el2_dec_decode_ctl.scala 337:116] + else : @[el2_dec_decode_ctl.scala 339:16] + cam_in[1].rd <= cam[1].rd @[el2_dec_decode_ctl.scala 340:22] + cam_in[1].tag <= cam[1].tag @[el2_dec_decode_ctl.scala 340:22] + cam_in[1].wb <= cam[1].wb @[el2_dec_decode_ctl.scala 340:22] + cam_in[1].valid <= cam[1].valid @[el2_dec_decode_ctl.scala 340:22] + skip @[el2_dec_decode_ctl.scala 339:16] + node _T_133 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:37] + node _T_134 = eq(io.lsu_nonblock_load_inv_tag_r, cam[1].tag) @[el2_dec_decode_ctl.scala 342:79] + node _T_135 = and(_T_133, _T_134) @[el2_dec_decode_ctl.scala 342:44] + node _T_136 = eq(cam[1].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:110] + node _T_137 = and(_T_135, _T_136) @[el2_dec_decode_ctl.scala 342:95] + when _T_137 : @[el2_dec_decode_ctl.scala 342:117] + cam_in[1].wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 343:20] + skip @[el2_dec_decode_ctl.scala 342:117] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 346:32] + cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:23] + skip @[el2_dec_decode_ctl.scala 346:32] + wire _T_138 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 350:70] + _T_138.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_138.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_138.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_138.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + reg _T_139 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}, io.free_clk with : (reset => (reset, _T_138)) @[el2_dec_decode_ctl.scala 350:47] + _T_139.rd <= cam_in[1].rd @[el2_dec_decode_ctl.scala 350:47] + _T_139.tag <= cam_in[1].tag @[el2_dec_decode_ctl.scala 350:47] + _T_139.wb <= cam_in[1].wb @[el2_dec_decode_ctl.scala 350:47] + _T_139.valid <= cam_in[1].valid @[el2_dec_decode_ctl.scala 350:47] + cam_raw[1].rd <= _T_139.rd @[el2_dec_decode_ctl.scala 350:15] + cam_raw[1].tag <= _T_139.tag @[el2_dec_decode_ctl.scala 350:15] + cam_raw[1].wb <= _T_139.wb @[el2_dec_decode_ctl.scala 350:15] + cam_raw[1].valid <= _T_139.valid @[el2_dec_decode_ctl.scala 350:15] + node _T_140 = eq(io.lsu_nonblock_load_data_tag, cam_raw[1].tag) @[el2_dec_decode_ctl.scala 351:46] + node _T_141 = and(_T_140, cam_raw[1].valid) @[el2_dec_decode_ctl.scala 351:66] + nonblock_load_write[1] <= _T_141 @[el2_dec_decode_ctl.scala 351:28] + node _T_142 = eq(cam_inv_reset_tag, cam[2].tag) @[el2_dec_decode_ctl.scala 324:66] + node _T_143 = and(io.lsu_nonblock_load_inv_r, _T_142) @[el2_dec_decode_ctl.scala 324:45] + node _T_144 = and(_T_143, cam[2].valid) @[el2_dec_decode_ctl.scala 324:82] + cam_inv_reset_val[2] <= _T_144 @[el2_dec_decode_ctl.scala 324:26] + node _T_145 = eq(cam_data_reset_tag, cam[2].tag) @[el2_dec_decode_ctl.scala 325:67] + node _T_146 = and(cam_data_reset, _T_145) @[el2_dec_decode_ctl.scala 325:45] + node _T_147 = and(_T_146, cam_raw[2].valid) @[el2_dec_decode_ctl.scala 325:83] + cam_data_reset_val[2] <= _T_147 @[el2_dec_decode_ctl.scala 325:27] + wire _T_148 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 326:28] + _T_148.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_148.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_148.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_148.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + cam_in[2].rd <= _T_148.rd @[el2_dec_decode_ctl.scala 326:14] + cam_in[2].tag <= _T_148.tag @[el2_dec_decode_ctl.scala 326:14] + cam_in[2].wb <= _T_148.wb @[el2_dec_decode_ctl.scala 326:14] + cam_in[2].valid <= _T_148.valid @[el2_dec_decode_ctl.scala 326:14] + cam[2].rd <= cam_raw[2].rd @[el2_dec_decode_ctl.scala 327:11] + cam[2].tag <= cam_raw[2].tag @[el2_dec_decode_ctl.scala 327:11] + cam[2].wb <= cam_raw[2].wb @[el2_dec_decode_ctl.scala 327:11] + cam[2].valid <= cam_raw[2].valid @[el2_dec_decode_ctl.scala 327:11] + node _T_149 = bits(cam_data_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 329:32] + when _T_149 : @[el2_dec_decode_ctl.scala 329:39] + cam[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 330:20] + skip @[el2_dec_decode_ctl.scala 329:39] + node _T_150 = bits(cam_wen, 2, 2) @[el2_dec_decode_ctl.scala 332:17] + node _T_151 = bits(_T_150, 0, 0) @[el2_dec_decode_ctl.scala 332:21] + when _T_151 : @[el2_dec_decode_ctl.scala 332:28] + cam_in[2].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 333:27] + cam_in[2].wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 334:27] + cam_in[2].tag <= cam_write_tag @[el2_dec_decode_ctl.scala 335:27] + cam_in[2].rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 336:27] + skip @[el2_dec_decode_ctl.scala 332:28] + else : @[el2_dec_decode_ctl.scala 337:116] + node _T_152 = bits(cam_inv_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 337:37] + node _T_153 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 337:57] + node _T_154 = eq(r_d_in.i0rd, cam[2].rd) @[el2_dec_decode_ctl.scala 337:80] + node _T_155 = and(_T_153, _T_154) @[el2_dec_decode_ctl.scala 337:64] + node _T_156 = bits(cam[2].wb, 0, 0) @[el2_dec_decode_ctl.scala 337:108] + node _T_157 = and(_T_155, _T_156) @[el2_dec_decode_ctl.scala 337:95] + node _T_158 = or(_T_152, _T_157) @[el2_dec_decode_ctl.scala 337:44] + when _T_158 : @[el2_dec_decode_ctl.scala 337:116] + cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 338:23] + skip @[el2_dec_decode_ctl.scala 337:116] + else : @[el2_dec_decode_ctl.scala 339:16] + cam_in[2].rd <= cam[2].rd @[el2_dec_decode_ctl.scala 340:22] + cam_in[2].tag <= cam[2].tag @[el2_dec_decode_ctl.scala 340:22] + cam_in[2].wb <= cam[2].wb @[el2_dec_decode_ctl.scala 340:22] + cam_in[2].valid <= cam[2].valid @[el2_dec_decode_ctl.scala 340:22] + skip @[el2_dec_decode_ctl.scala 339:16] + node _T_159 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:37] + node _T_160 = eq(io.lsu_nonblock_load_inv_tag_r, cam[2].tag) @[el2_dec_decode_ctl.scala 342:79] + node _T_161 = and(_T_159, _T_160) @[el2_dec_decode_ctl.scala 342:44] + node _T_162 = eq(cam[2].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:110] + node _T_163 = and(_T_161, _T_162) @[el2_dec_decode_ctl.scala 342:95] + when _T_163 : @[el2_dec_decode_ctl.scala 342:117] + cam_in[2].wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 343:20] + skip @[el2_dec_decode_ctl.scala 342:117] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 346:32] + cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:23] + skip @[el2_dec_decode_ctl.scala 346:32] + wire _T_164 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 350:70] + _T_164.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_164.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_164.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_164.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + reg _T_165 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}, io.free_clk with : (reset => (reset, _T_164)) @[el2_dec_decode_ctl.scala 350:47] + _T_165.rd <= cam_in[2].rd @[el2_dec_decode_ctl.scala 350:47] + _T_165.tag <= cam_in[2].tag @[el2_dec_decode_ctl.scala 350:47] + _T_165.wb <= cam_in[2].wb @[el2_dec_decode_ctl.scala 350:47] + _T_165.valid <= cam_in[2].valid @[el2_dec_decode_ctl.scala 350:47] + cam_raw[2].rd <= _T_165.rd @[el2_dec_decode_ctl.scala 350:15] + cam_raw[2].tag <= _T_165.tag @[el2_dec_decode_ctl.scala 350:15] + cam_raw[2].wb <= _T_165.wb @[el2_dec_decode_ctl.scala 350:15] + cam_raw[2].valid <= _T_165.valid @[el2_dec_decode_ctl.scala 350:15] + node _T_166 = eq(io.lsu_nonblock_load_data_tag, cam_raw[2].tag) @[el2_dec_decode_ctl.scala 351:46] + node _T_167 = and(_T_166, cam_raw[2].valid) @[el2_dec_decode_ctl.scala 351:66] + nonblock_load_write[2] <= _T_167 @[el2_dec_decode_ctl.scala 351:28] + node _T_168 = eq(cam_inv_reset_tag, cam[3].tag) @[el2_dec_decode_ctl.scala 324:66] + node _T_169 = and(io.lsu_nonblock_load_inv_r, _T_168) @[el2_dec_decode_ctl.scala 324:45] + node _T_170 = and(_T_169, cam[3].valid) @[el2_dec_decode_ctl.scala 324:82] + cam_inv_reset_val[3] <= _T_170 @[el2_dec_decode_ctl.scala 324:26] + node _T_171 = eq(cam_data_reset_tag, cam[3].tag) @[el2_dec_decode_ctl.scala 325:67] + node _T_172 = and(cam_data_reset, _T_171) @[el2_dec_decode_ctl.scala 325:45] + node _T_173 = and(_T_172, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 325:83] + cam_data_reset_val[3] <= _T_173 @[el2_dec_decode_ctl.scala 325:27] + wire _T_174 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 326:28] + _T_174.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_174.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_174.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_174.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + cam_in[3].rd <= _T_174.rd @[el2_dec_decode_ctl.scala 326:14] + cam_in[3].tag <= _T_174.tag @[el2_dec_decode_ctl.scala 326:14] + cam_in[3].wb <= _T_174.wb @[el2_dec_decode_ctl.scala 326:14] + cam_in[3].valid <= _T_174.valid @[el2_dec_decode_ctl.scala 326:14] + cam[3].rd <= cam_raw[3].rd @[el2_dec_decode_ctl.scala 327:11] + cam[3].tag <= cam_raw[3].tag @[el2_dec_decode_ctl.scala 327:11] + cam[3].wb <= cam_raw[3].wb @[el2_dec_decode_ctl.scala 327:11] + cam[3].valid <= cam_raw[3].valid @[el2_dec_decode_ctl.scala 327:11] + node _T_175 = bits(cam_data_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 329:32] + when _T_175 : @[el2_dec_decode_ctl.scala 329:39] + cam[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 330:20] + skip @[el2_dec_decode_ctl.scala 329:39] + node _T_176 = bits(cam_wen, 3, 3) @[el2_dec_decode_ctl.scala 332:17] + node _T_177 = bits(_T_176, 0, 0) @[el2_dec_decode_ctl.scala 332:21] + when _T_177 : @[el2_dec_decode_ctl.scala 332:28] + cam_in[3].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 333:27] + cam_in[3].wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 334:27] + cam_in[3].tag <= cam_write_tag @[el2_dec_decode_ctl.scala 335:27] + cam_in[3].rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 336:27] + skip @[el2_dec_decode_ctl.scala 332:28] + else : @[el2_dec_decode_ctl.scala 337:116] + node _T_178 = bits(cam_inv_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 337:37] + node _T_179 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 337:57] + node _T_180 = eq(r_d_in.i0rd, cam[3].rd) @[el2_dec_decode_ctl.scala 337:80] + node _T_181 = and(_T_179, _T_180) @[el2_dec_decode_ctl.scala 337:64] + node _T_182 = bits(cam[3].wb, 0, 0) @[el2_dec_decode_ctl.scala 337:108] + node _T_183 = and(_T_181, _T_182) @[el2_dec_decode_ctl.scala 337:95] + node _T_184 = or(_T_178, _T_183) @[el2_dec_decode_ctl.scala 337:44] + when _T_184 : @[el2_dec_decode_ctl.scala 337:116] + cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 338:23] + skip @[el2_dec_decode_ctl.scala 337:116] + else : @[el2_dec_decode_ctl.scala 339:16] + cam_in[3].rd <= cam[3].rd @[el2_dec_decode_ctl.scala 340:22] + cam_in[3].tag <= cam[3].tag @[el2_dec_decode_ctl.scala 340:22] + cam_in[3].wb <= cam[3].wb @[el2_dec_decode_ctl.scala 340:22] + cam_in[3].valid <= cam[3].valid @[el2_dec_decode_ctl.scala 340:22] + skip @[el2_dec_decode_ctl.scala 339:16] + node _T_185 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:37] + node _T_186 = eq(io.lsu_nonblock_load_inv_tag_r, cam[3].tag) @[el2_dec_decode_ctl.scala 342:79] + node _T_187 = and(_T_185, _T_186) @[el2_dec_decode_ctl.scala 342:44] + node _T_188 = eq(cam[3].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:110] + node _T_189 = and(_T_187, _T_188) @[el2_dec_decode_ctl.scala 342:95] + when _T_189 : @[el2_dec_decode_ctl.scala 342:117] + cam_in[3].wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 343:20] + skip @[el2_dec_decode_ctl.scala 342:117] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 346:32] + cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:23] + skip @[el2_dec_decode_ctl.scala 346:32] + wire _T_190 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 350:70] + _T_190.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_190.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_190.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_190.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + reg _T_191 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}, io.free_clk with : (reset => (reset, _T_190)) @[el2_dec_decode_ctl.scala 350:47] + _T_191.rd <= cam_in[3].rd @[el2_dec_decode_ctl.scala 350:47] + _T_191.tag <= cam_in[3].tag @[el2_dec_decode_ctl.scala 350:47] + _T_191.wb <= cam_in[3].wb @[el2_dec_decode_ctl.scala 350:47] + _T_191.valid <= cam_in[3].valid @[el2_dec_decode_ctl.scala 350:47] + cam_raw[3].rd <= _T_191.rd @[el2_dec_decode_ctl.scala 350:15] + cam_raw[3].tag <= _T_191.tag @[el2_dec_decode_ctl.scala 350:15] + cam_raw[3].wb <= _T_191.wb @[el2_dec_decode_ctl.scala 350:15] + cam_raw[3].valid <= _T_191.valid @[el2_dec_decode_ctl.scala 350:15] + node _T_192 = eq(io.lsu_nonblock_load_data_tag, cam_raw[3].tag) @[el2_dec_decode_ctl.scala 351:46] + node _T_193 = and(_T_192, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 351:66] + nonblock_load_write[3] <= _T_193 @[el2_dec_decode_ctl.scala 351:28] + io.dec_nonblock_load_waddr <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 354:29] + node _T_194 = eq(r_d_in.i0rd, io.dec_nonblock_load_waddr) @[el2_dec_decode_ctl.scala 356:44] + node nonblock_load_cancel = and(_T_194, i0_wen_r) @[el2_dec_decode_ctl.scala 356:76] + node _T_195 = or(nonblock_load_write[0], nonblock_load_write[1]) @[el2_dec_decode_ctl.scala 357:95] + node _T_196 = or(_T_195, nonblock_load_write[2]) @[el2_dec_decode_ctl.scala 357:95] + node _T_197 = or(_T_196, nonblock_load_write[3]) @[el2_dec_decode_ctl.scala 357:95] + node _T_198 = bits(_T_197, 0, 0) @[el2_dec_decode_ctl.scala 357:99] + node _T_199 = and(io.lsu_nonblock_load_data_valid, _T_198) @[el2_dec_decode_ctl.scala 357:64] + node _T_200 = eq(nonblock_load_cancel, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 357:109] + node _T_201 = and(_T_199, _T_200) @[el2_dec_decode_ctl.scala 357:106] + io.dec_nonblock_load_wen <= _T_201 @[el2_dec_decode_ctl.scala 357:28] + node _T_202 = eq(nonblock_load_rd, i0r.rs1) @[el2_dec_decode_ctl.scala 358:54] + node _T_203 = and(_T_202, io.lsu_nonblock_load_valid_m) @[el2_dec_decode_ctl.scala 358:66] + node _T_204 = and(_T_203, io.dec_i0_rs1_en_d) @[el2_dec_decode_ctl.scala 358:97] + node _T_205 = eq(nonblock_load_rd, i0r.rs2) @[el2_dec_decode_ctl.scala 358:137] + node _T_206 = and(_T_205, io.lsu_nonblock_load_valid_m) @[el2_dec_decode_ctl.scala 358:149] + node _T_207 = and(_T_206, io.dec_i0_rs2_en_d) @[el2_dec_decode_ctl.scala 358:180] + node i0_nonblock_boundary_stall = or(_T_204, _T_207) @[el2_dec_decode_ctl.scala 358:118] + i0_nonblock_load_stall <= i0_nonblock_boundary_stall @[el2_dec_decode_ctl.scala 360:26] + node _T_208 = bits(nonblock_load_write[0], 0, 0) @[Bitwise.scala 72:15] + node _T_209 = mux(_T_208, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_210 = and(_T_209, cam[0].rd) @[el2_dec_decode_ctl.scala 362:88] + node _T_211 = and(io.dec_i0_rs1_en_d, cam[0].valid) @[el2_dec_decode_ctl.scala 362:121] + node _T_212 = eq(cam[0].rd, i0r.rs1) @[el2_dec_decode_ctl.scala 362:149] + node _T_213 = and(_T_211, _T_212) @[el2_dec_decode_ctl.scala 362:136] + node _T_214 = and(io.dec_i0_rs2_en_d, cam[0].valid) @[el2_dec_decode_ctl.scala 362:182] + node _T_215 = eq(cam[0].rd, i0r.rs2) @[el2_dec_decode_ctl.scala 362:210] + node _T_216 = and(_T_214, _T_215) @[el2_dec_decode_ctl.scala 362:197] + node _T_217 = bits(nonblock_load_write[1], 0, 0) @[Bitwise.scala 72:15] + node _T_218 = mux(_T_217, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_219 = and(_T_218, cam[1].rd) @[el2_dec_decode_ctl.scala 362:88] + node _T_220 = and(io.dec_i0_rs1_en_d, cam[1].valid) @[el2_dec_decode_ctl.scala 362:121] + node _T_221 = eq(cam[1].rd, i0r.rs1) @[el2_dec_decode_ctl.scala 362:149] + node _T_222 = and(_T_220, _T_221) @[el2_dec_decode_ctl.scala 362:136] + node _T_223 = and(io.dec_i0_rs2_en_d, cam[1].valid) @[el2_dec_decode_ctl.scala 362:182] + node _T_224 = eq(cam[1].rd, i0r.rs2) @[el2_dec_decode_ctl.scala 362:210] + node _T_225 = and(_T_223, _T_224) @[el2_dec_decode_ctl.scala 362:197] + node _T_226 = bits(nonblock_load_write[2], 0, 0) @[Bitwise.scala 72:15] + node _T_227 = mux(_T_226, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_228 = and(_T_227, cam[2].rd) @[el2_dec_decode_ctl.scala 362:88] + node _T_229 = and(io.dec_i0_rs1_en_d, cam[2].valid) @[el2_dec_decode_ctl.scala 362:121] + node _T_230 = eq(cam[2].rd, i0r.rs1) @[el2_dec_decode_ctl.scala 362:149] + node _T_231 = and(_T_229, _T_230) @[el2_dec_decode_ctl.scala 362:136] + node _T_232 = and(io.dec_i0_rs2_en_d, cam[2].valid) @[el2_dec_decode_ctl.scala 362:182] + node _T_233 = eq(cam[2].rd, i0r.rs2) @[el2_dec_decode_ctl.scala 362:210] + node _T_234 = and(_T_232, _T_233) @[el2_dec_decode_ctl.scala 362:197] + node _T_235 = bits(nonblock_load_write[3], 0, 0) @[Bitwise.scala 72:15] + node _T_236 = mux(_T_235, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_237 = and(_T_236, cam[3].rd) @[el2_dec_decode_ctl.scala 362:88] + node _T_238 = and(io.dec_i0_rs1_en_d, cam[3].valid) @[el2_dec_decode_ctl.scala 362:121] + node _T_239 = eq(cam[3].rd, i0r.rs1) @[el2_dec_decode_ctl.scala 362:149] + node _T_240 = and(_T_238, _T_239) @[el2_dec_decode_ctl.scala 362:136] + node _T_241 = and(io.dec_i0_rs2_en_d, cam[3].valid) @[el2_dec_decode_ctl.scala 362:182] + node _T_242 = eq(cam[3].rd, i0r.rs2) @[el2_dec_decode_ctl.scala 362:210] + node _T_243 = and(_T_241, _T_242) @[el2_dec_decode_ctl.scala 362:197] + node _T_244 = or(_T_210, _T_219) @[el2_dec_decode_ctl.scala 363:69] + node _T_245 = or(_T_244, _T_228) @[el2_dec_decode_ctl.scala 363:69] + node waddr = or(_T_245, _T_237) @[el2_dec_decode_ctl.scala 363:69] + node _T_246 = or(_T_213, _T_222) @[el2_dec_decode_ctl.scala 363:102] + node _T_247 = or(_T_246, _T_231) @[el2_dec_decode_ctl.scala 363:102] + node ld_stall_1 = or(_T_247, _T_240) @[el2_dec_decode_ctl.scala 363:102] + node _T_248 = or(_T_216, _T_225) @[el2_dec_decode_ctl.scala 363:134] + node _T_249 = or(_T_248, _T_234) @[el2_dec_decode_ctl.scala 363:134] + node ld_stall_2 = or(_T_249, _T_243) @[el2_dec_decode_ctl.scala 363:134] + io.dec_nonblock_load_waddr <= waddr @[el2_dec_decode_ctl.scala 364:29] + node _T_250 = or(ld_stall_1, ld_stall_2) @[el2_dec_decode_ctl.scala 365:38] + node _T_251 = or(_T_250, i0_nonblock_boundary_stall) @[el2_dec_decode_ctl.scala 365:51] + i0_nonblock_load_stall <= _T_251 @[el2_dec_decode_ctl.scala 365:25] + node _T_252 = eq(i0_predict_br, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 374:34] + node i0_br_unpred = and(i0_dp.jal, _T_252) @[el2_dec_decode_ctl.scala 374:32] + node _T_253 = bits(i0_legal_decode_d, 0, 0) @[Bitwise.scala 72:15] + node _T_254 = mux(_T_253, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_255 = and(csr_read, io.dec_csr_wen_unq_d) @[el2_dec_decode_ctl.scala 386:16] + node _T_256 = bits(_T_255, 0, 0) @[el2_dec_decode_ctl.scala 386:30] + node _T_257 = eq(csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 387:6] + node _T_258 = and(_T_257, io.dec_csr_wen_unq_d) @[el2_dec_decode_ctl.scala 387:16] + node _T_259 = bits(_T_258, 0, 0) @[el2_dec_decode_ctl.scala 387:30] + node _T_260 = eq(io.dec_csr_wen_unq_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 388:18] + node _T_261 = and(csr_read, _T_260) @[el2_dec_decode_ctl.scala 388:16] + node _T_262 = bits(_T_261, 0, 0) @[el2_dec_decode_ctl.scala 388:30] + node _T_263 = mux(i0_dp.mul, UInt<4>("h01"), UInt<4>("h00")) @[Mux.scala 98:16] + node _T_264 = mux(i0_dp.load, UInt<4>("h02"), _T_263) @[Mux.scala 98:16] + node _T_265 = mux(i0_dp.store, UInt<4>("h03"), _T_264) @[Mux.scala 98:16] + node _T_266 = mux(i0_dp.pm_alu, UInt<4>("h04"), _T_265) @[Mux.scala 98:16] + node _T_267 = mux(_T_262, UInt<4>("h05"), _T_266) @[Mux.scala 98:16] + node _T_268 = mux(_T_259, UInt<4>("h06"), _T_267) @[Mux.scala 98:16] + node _T_269 = mux(_T_256, UInt<4>("h07"), _T_268) @[Mux.scala 98:16] + node _T_270 = mux(i0_dp.ebreak, UInt<4>("h08"), _T_269) @[Mux.scala 98:16] + node _T_271 = mux(i0_dp.ecall, UInt<4>("h09"), _T_270) @[Mux.scala 98:16] + node _T_272 = mux(i0_dp.fence, UInt<4>("h0a"), _T_271) @[Mux.scala 98:16] + node _T_273 = mux(i0_dp.fence_i, UInt<4>("h0b"), _T_272) @[Mux.scala 98:16] + node _T_274 = mux(i0_dp.mret, UInt<4>("h0c"), _T_273) @[Mux.scala 98:16] + node _T_275 = mux(i0_dp.condbr, UInt<4>("h0d"), _T_274) @[Mux.scala 98:16] + node _T_276 = mux(i0_dp.jal, UInt<4>("h0e"), _T_275) @[Mux.scala 98:16] + node _T_277 = and(_T_254, _T_276) @[el2_dec_decode_ctl.scala 378:49] + d_t.pmu_i0_itype <= _T_277 @[el2_dec_decode_ctl.scala 378:21] + inst i0_dec of el2_dec_dec_ctl @[el2_dec_decode_ctl.scala 395:22] + i0_dec.clock <= clock + i0_dec.reset <= reset + i0_dec.io.ins <= io.dec_i0_instr_d @[el2_dec_decode_ctl.scala 396:16] + i0_dp_raw.legal <= i0_dec.io.out.legal @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.pm_alu <= i0_dec.io.out.pm_alu @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.fence_i <= i0_dec.io.out.fence_i @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.fence <= i0_dec.io.out.fence @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.rem <= i0_dec.io.out.rem @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.div <= i0_dec.io.out.div @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.low <= i0_dec.io.out.low @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.rs2_sign <= i0_dec.io.out.rs2_sign @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.rs1_sign <= i0_dec.io.out.rs1_sign @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.mul <= i0_dec.io.out.mul @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.mret <= i0_dec.io.out.mret @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.ecall <= i0_dec.io.out.ecall @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.ebreak <= i0_dec.io.out.ebreak @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.postsync <= i0_dec.io.out.postsync @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.presync <= i0_dec.io.out.presync @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.csr_imm <= i0_dec.io.out.csr_imm @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.csr_write <= i0_dec.io.out.csr_write @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.csr_set <= i0_dec.io.out.csr_set @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.csr_clr <= i0_dec.io.out.csr_clr @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.csr_read <= i0_dec.io.out.csr_read @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.word <= i0_dec.io.out.word @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.half <= i0_dec.io.out.half @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.by <= i0_dec.io.out.by @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.jal <= i0_dec.io.out.jal @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.blt <= i0_dec.io.out.blt @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.bge <= i0_dec.io.out.bge @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.bne <= i0_dec.io.out.bne @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.beq <= i0_dec.io.out.beq @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.condbr <= i0_dec.io.out.condbr @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.unsign <= i0_dec.io.out.unsign @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.slt <= i0_dec.io.out.slt @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.srl <= i0_dec.io.out.srl @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.sra <= i0_dec.io.out.sra @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.sll <= i0_dec.io.out.sll @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.lxor <= i0_dec.io.out.lxor @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.lor <= i0_dec.io.out.lor @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.land <= i0_dec.io.out.land @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.sub <= i0_dec.io.out.sub @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.add <= i0_dec.io.out.add @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.lsu <= i0_dec.io.out.lsu @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.store <= i0_dec.io.out.store @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.load <= i0_dec.io.out.load @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.pc <= i0_dec.io.out.pc @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.imm20 <= i0_dec.io.out.imm20 @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.shimm5 <= i0_dec.io.out.shimm5 @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.rd <= i0_dec.io.out.rd @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.imm12 <= i0_dec.io.out.imm12 @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.rs2 <= i0_dec.io.out.rs2 @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.rs1 <= i0_dec.io.out.rs1 @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.alu <= i0_dec.io.out.alu @[el2_dec_decode_ctl.scala 397:12] + reg _T_278 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 399:45] + _T_278 <= io.lsu_idle_any @[el2_dec_decode_ctl.scala 399:45] + lsu_idle <= _T_278 @[el2_dec_decode_ctl.scala 399:11] + node _T_279 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 402:73] + node _T_280 = and(leak1_i1_stall, _T_279) @[el2_dec_decode_ctl.scala 402:71] + node _T_281 = or(io.dec_tlu_flush_leak_one_r, _T_280) @[el2_dec_decode_ctl.scala 402:53] + leak1_i1_stall_in <= _T_281 @[el2_dec_decode_ctl.scala 402:21] + reg _T_282 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 403:56] + _T_282 <= leak1_i1_stall_in @[el2_dec_decode_ctl.scala 403:56] + leak1_i1_stall <= _T_282 @[el2_dec_decode_ctl.scala 403:21] + leak1_mode <= leak1_i1_stall @[el2_dec_decode_ctl.scala 404:14] + node _T_283 = and(io.dec_i0_decode_d, leak1_i1_stall) @[el2_dec_decode_ctl.scala 405:45] + node _T_284 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 405:83] + node _T_285 = and(leak1_i0_stall, _T_284) @[el2_dec_decode_ctl.scala 405:81] + node _T_286 = or(_T_283, _T_285) @[el2_dec_decode_ctl.scala 405:63] + leak1_i0_stall_in <= _T_286 @[el2_dec_decode_ctl.scala 405:21] + reg _T_287 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 406:56] + _T_287 <= leak1_i0_stall_in @[el2_dec_decode_ctl.scala 406:56] + leak1_i0_stall <= _T_287 @[el2_dec_decode_ctl.scala 406:21] + node _T_288 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 410:29] + node _T_289 = bits(io.dec_i0_instr_d, 19, 12) @[el2_dec_decode_ctl.scala 410:36] + node _T_290 = bits(io.dec_i0_instr_d, 20, 20) @[el2_dec_decode_ctl.scala 410:46] + node _T_291 = bits(io.dec_i0_instr_d, 30, 21) @[el2_dec_decode_ctl.scala 410:53] + node _T_292 = cat(_T_291, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_293 = cat(_T_288, _T_289) @[Cat.scala 29:58] + node _T_294 = cat(_T_293, _T_290) @[Cat.scala 29:58] + node i0_pcall_imm = cat(_T_294, _T_292) @[Cat.scala 29:58] + node _T_295 = bits(i0_pcall_imm, 12, 12) @[el2_dec_decode_ctl.scala 411:46] + node _T_296 = bits(_T_295, 0, 0) @[el2_dec_decode_ctl.scala 411:51] + node _T_297 = bits(i0_pcall_imm, 20, 13) @[el2_dec_decode_ctl.scala 411:71] + node _T_298 = eq(_T_297, UInt<8>("h0ff")) @[el2_dec_decode_ctl.scala 411:79] + node _T_299 = bits(i0_pcall_imm, 20, 13) @[el2_dec_decode_ctl.scala 411:104] + node _T_300 = eq(_T_299, UInt<8>("h00")) @[el2_dec_decode_ctl.scala 411:112] + node i0_pcall_12b_offset = mux(_T_296, _T_298, _T_300) @[el2_dec_decode_ctl.scala 411:33] + node _T_301 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[el2_dec_decode_ctl.scala 412:47] + node _T_302 = eq(i0r.rd, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 412:76] + node _T_303 = eq(i0r.rd, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 412:98] + node _T_304 = or(_T_302, _T_303) @[el2_dec_decode_ctl.scala 412:89] + node i0_pcall_case = and(_T_301, _T_304) @[el2_dec_decode_ctl.scala 412:65] + node _T_305 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[el2_dec_decode_ctl.scala 413:47] + node _T_306 = eq(i0r.rd, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 413:76] + node _T_307 = eq(i0r.rd, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 413:98] + node _T_308 = or(_T_306, _T_307) @[el2_dec_decode_ctl.scala 413:89] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 413:67] + node i0_pja_case = and(_T_305, _T_309) @[el2_dec_decode_ctl.scala 413:65] + node _T_310 = and(i0_dp_raw.jal, i0_pcall_case) @[el2_dec_decode_ctl.scala 414:38] + i0_pcall_raw <= _T_310 @[el2_dec_decode_ctl.scala 414:20] + node _T_311 = and(i0_dp.jal, i0_pcall_case) @[el2_dec_decode_ctl.scala 415:38] + i0_pcall <= _T_311 @[el2_dec_decode_ctl.scala 415:20] + node _T_312 = and(i0_dp_raw.jal, i0_pja_case) @[el2_dec_decode_ctl.scala 416:38] + i0_pja_raw <= _T_312 @[el2_dec_decode_ctl.scala 416:20] + node _T_313 = and(i0_dp.jal, i0_pja_case) @[el2_dec_decode_ctl.scala 417:38] + i0_pja <= _T_313 @[el2_dec_decode_ctl.scala 417:20] + node _T_314 = or(i0_pcall_raw, i0_pja_raw) @[el2_dec_decode_ctl.scala 418:41] + node _T_315 = bits(_T_314, 0, 0) @[el2_dec_decode_ctl.scala 418:55] + node _T_316 = bits(i0_pcall_imm, 12, 1) @[el2_dec_decode_ctl.scala 418:75] + node _T_317 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 418:90] + node _T_318 = bits(io.dec_i0_instr_d, 7, 7) @[el2_dec_decode_ctl.scala 418:97] + node _T_319 = bits(io.dec_i0_instr_d, 30, 25) @[el2_dec_decode_ctl.scala 418:103] + node _T_320 = bits(io.dec_i0_instr_d, 11, 8) @[el2_dec_decode_ctl.scala 418:113] + node _T_321 = cat(_T_319, _T_320) @[Cat.scala 29:58] + node _T_322 = cat(_T_317, _T_318) @[Cat.scala 29:58] + node _T_323 = cat(_T_322, _T_321) @[Cat.scala 29:58] + node _T_324 = mux(_T_315, _T_316, _T_323) @[el2_dec_decode_ctl.scala 418:26] + i0_br_offset <= _T_324 @[el2_dec_decode_ctl.scala 418:20] + node _T_325 = and(i0_dp_raw.jal, i0_dp_raw.imm12) @[el2_dec_decode_ctl.scala 420:37] + node _T_326 = eq(i0r.rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 420:65] + node _T_327 = and(_T_325, _T_326) @[el2_dec_decode_ctl.scala 420:55] + node _T_328 = eq(i0r.rs1, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 420:89] + node _T_329 = eq(i0r.rs1, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 420:111] + node _T_330 = or(_T_328, _T_329) @[el2_dec_decode_ctl.scala 420:101] + node i0_pret_case = and(_T_327, _T_330) @[el2_dec_decode_ctl.scala 420:79] + node _T_331 = and(i0_dp_raw.jal, i0_pret_case) @[el2_dec_decode_ctl.scala 421:32] + i0_pret_raw <= _T_331 @[el2_dec_decode_ctl.scala 421:15] + node _T_332 = and(i0_dp.jal, i0_pret_case) @[el2_dec_decode_ctl.scala 422:32] + i0_pret <= _T_332 @[el2_dec_decode_ctl.scala 422:15] + node _T_333 = eq(i0_pcall_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 423:35] + node _T_334 = and(i0_dp.jal, _T_333) @[el2_dec_decode_ctl.scala 423:32] + node _T_335 = eq(i0_pja_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 423:52] + node _T_336 = and(_T_334, _T_335) @[el2_dec_decode_ctl.scala 423:50] + node _T_337 = eq(i0_pret_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 423:67] + node _T_338 = and(_T_336, _T_337) @[el2_dec_decode_ctl.scala 423:65] + i0_jal <= _T_338 @[el2_dec_decode_ctl.scala 423:15] + io.div_p.valid <= div_decode_d @[el2_dec_decode_ctl.scala 426:21] + io.div_p.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 427:21] + io.div_p.rem <= i0_dp.rem @[el2_dec_decode_ctl.scala 428:21] + io.mul_p.valid <= mul_decode_d @[el2_dec_decode_ctl.scala 430:21] + io.mul_p.rs1_sign <= i0_dp.rs1_sign @[el2_dec_decode_ctl.scala 431:21] + io.mul_p.rs2_sign <= i0_dp.rs2_sign @[el2_dec_decode_ctl.scala 432:21] + io.mul_p.low <= i0_dp.low @[el2_dec_decode_ctl.scala 433:21] + reg _T_339 : UInt<1>, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 435:58] + _T_339 <= io.dec_tlu_flush_extint @[el2_dec_decode_ctl.scala 435:58] + io.dec_extint_stall <= _T_339 @[el2_dec_decode_ctl.scala 435:23] + wire _T_340 : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>} @[el2_dec_decode_ctl.scala 437:27] + _T_340.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.store_data_bypass_m <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.load_ldst_bypass_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.store_data_bypass_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.dma <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.unsign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.store <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.dword <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.word <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.half <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.by <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.fast_int <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + io.lsu_p.valid <= _T_340.valid @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.store_data_bypass_m <= _T_340.store_data_bypass_m @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.load_ldst_bypass_d <= _T_340.load_ldst_bypass_d @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.store_data_bypass_d <= _T_340.store_data_bypass_d @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.dma <= _T_340.dma @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.unsign <= _T_340.unsign @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.store <= _T_340.store @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.load <= _T_340.load @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.dword <= _T_340.dword @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.word <= _T_340.word @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.half <= _T_340.half @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.by <= _T_340.by @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.fast_int <= _T_340.fast_int @[el2_dec_decode_ctl.scala 437:12] + when io.dec_extint_stall : @[el2_dec_decode_ctl.scala 438:29] + io.lsu_p.load <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 439:24] + io.lsu_p.word <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 440:24] + io.lsu_p.fast_int <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 441:24] + io.lsu_p.valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 442:24] + skip @[el2_dec_decode_ctl.scala 438:29] + else : @[el2_dec_decode_ctl.scala 443:15] + io.lsu_p.valid <= lsu_decode_d @[el2_dec_decode_ctl.scala 444:35] + io.lsu_p.load <= i0_dp.load @[el2_dec_decode_ctl.scala 445:35] + io.lsu_p.store <= i0_dp.store @[el2_dec_decode_ctl.scala 446:35] + io.lsu_p.by <= i0_dp.by @[el2_dec_decode_ctl.scala 447:35] + io.lsu_p.half <= i0_dp.half @[el2_dec_decode_ctl.scala 448:35] + io.lsu_p.word <= i0_dp.word @[el2_dec_decode_ctl.scala 449:35] + io.lsu_p.load_ldst_bypass_d <= load_ldst_bypass_d @[el2_dec_decode_ctl.scala 450:35] + io.lsu_p.store_data_bypass_d <= store_data_bypass_d @[el2_dec_decode_ctl.scala 451:35] + io.lsu_p.store_data_bypass_m <= store_data_bypass_m @[el2_dec_decode_ctl.scala 452:35] + io.lsu_p.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 453:35] + skip @[el2_dec_decode_ctl.scala 443:15] + io.dec_csr_ren_d <= i0_dp.csr_read @[el2_dec_decode_ctl.scala 457:21] + node _T_341 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 458:56] + node _T_342 = and(i0_dp.csr_read, _T_341) @[el2_dec_decode_ctl.scala 458:36] + csr_read <= _T_342 @[el2_dec_decode_ctl.scala 458:18] + node _T_343 = eq(io.dec_debug_fence_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 460:42] + node i0_csr_write = and(i0_dp.csr_write, _T_343) @[el2_dec_decode_ctl.scala 460:40] + node _T_344 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 461:61] + node csr_clr_d = and(i0_dp.csr_clr, _T_344) @[el2_dec_decode_ctl.scala 461:41] + node _T_345 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 462:59] + node csr_set_d = and(i0_dp.csr_set, _T_345) @[el2_dec_decode_ctl.scala 462:39] + node _T_346 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 463:59] + node csr_write_d = and(i0_csr_write, _T_346) @[el2_dec_decode_ctl.scala 463:39] + node _T_347 = eq(i0_dp.csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 465:41] + node _T_348 = and(i0_csr_write, _T_347) @[el2_dec_decode_ctl.scala 465:39] + i0_csr_write_only_d <= _T_348 @[el2_dec_decode_ctl.scala 465:23] + node _T_349 = or(i0_dp.csr_clr, i0_dp.csr_set) @[el2_dec_decode_ctl.scala 466:42] + node _T_350 = or(_T_349, i0_csr_write) @[el2_dec_decode_ctl.scala 466:58] + io.dec_csr_wen_unq_d <= _T_350 @[el2_dec_decode_ctl.scala 466:24] + node _T_351 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 469:30] + io.dec_csr_rdaddr_d <= _T_351 @[el2_dec_decode_ctl.scala 469:24] + io.dec_csr_wraddr_r <= r_d.csrwaddr @[el2_dec_decode_ctl.scala 470:23] + node _T_352 = and(r_d.csrwen, r_d.i0valid) @[el2_dec_decode_ctl.scala 474:34] + node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 474:50] + node _T_354 = and(_T_352, _T_353) @[el2_dec_decode_ctl.scala 474:48] + io.dec_csr_wen_r <= _T_354 @[el2_dec_decode_ctl.scala 474:20] + node _T_355 = eq(r_d.csrwaddr, UInt<10>("h0300")) @[el2_dec_decode_ctl.scala 477:45] + node _T_356 = eq(r_d.csrwaddr, UInt<10>("h0304")) @[el2_dec_decode_ctl.scala 477:75] + node _T_357 = or(_T_355, _T_356) @[el2_dec_decode_ctl.scala 477:59] + node _T_358 = and(_T_357, r_d.csrwen) @[el2_dec_decode_ctl.scala 477:90] + node _T_359 = and(_T_358, r_d.i0valid) @[el2_dec_decode_ctl.scala 477:103] + node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 477:119] + node _T_361 = and(_T_359, _T_360) @[el2_dec_decode_ctl.scala 477:117] + io.dec_csr_stall_int_ff <= _T_361 @[el2_dec_decode_ctl.scala 477:27] + reg csr_read_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 479:52] + csr_read_x <= csr_read @[el2_dec_decode_ctl.scala 479:52] + reg csr_clr_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 480:51] + csr_clr_x <= csr_clr_d @[el2_dec_decode_ctl.scala 480:51] + reg csr_set_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 481:51] + csr_set_x <= csr_set_d @[el2_dec_decode_ctl.scala 481:51] + reg csr_write_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 482:53] + csr_write_x <= csr_write_d @[el2_dec_decode_ctl.scala 482:53] + reg csr_imm_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 483:51] + csr_imm_x <= i0_dp.csr_imm @[el2_dec_decode_ctl.scala 483:51] + node _T_362 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 486:27] + node _T_363 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 486:48] + inst rvclkhdr of rvclkhdr_1 @[el2_lib.scala 485:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr.io.en <= _T_363 @[el2_lib.scala 488:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg csrimm_x : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + csrimm_x <= _T_362 @[el2_lib.scala 491:16] + node _T_364 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 487:62] + inst rvclkhdr_1 of rvclkhdr_2 @[el2_lib.scala 485:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_1.io.en <= _T_364 @[el2_lib.scala 488:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg csr_rddata_x : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + csr_rddata_x <= io.dec_csr_rddata_d @[el2_lib.scala 491:16] + node _T_365 = bits(csr_imm_x, 0, 0) @[el2_dec_decode_ctl.scala 490:15] + wire _T_366 : UInt<1>[27] @[el2_lib.scala 161:48] + _T_366[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[10] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[11] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[12] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[13] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[14] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[15] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[16] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[17] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[18] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[19] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[20] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[21] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[22] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[23] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[24] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[25] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[26] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_367 = cat(_T_366[0], _T_366[1]) @[Cat.scala 29:58] + node _T_368 = cat(_T_367, _T_366[2]) @[Cat.scala 29:58] + node _T_369 = cat(_T_368, _T_366[3]) @[Cat.scala 29:58] + node _T_370 = cat(_T_369, _T_366[4]) @[Cat.scala 29:58] + node _T_371 = cat(_T_370, _T_366[5]) @[Cat.scala 29:58] + node _T_372 = cat(_T_371, _T_366[6]) @[Cat.scala 29:58] + node _T_373 = cat(_T_372, _T_366[7]) @[Cat.scala 29:58] + node _T_374 = cat(_T_373, _T_366[8]) @[Cat.scala 29:58] + node _T_375 = cat(_T_374, _T_366[9]) @[Cat.scala 29:58] + node _T_376 = cat(_T_375, _T_366[10]) @[Cat.scala 29:58] + node _T_377 = cat(_T_376, _T_366[11]) @[Cat.scala 29:58] + node _T_378 = cat(_T_377, _T_366[12]) @[Cat.scala 29:58] + node _T_379 = cat(_T_378, _T_366[13]) @[Cat.scala 29:58] + node _T_380 = cat(_T_379, _T_366[14]) @[Cat.scala 29:58] + node _T_381 = cat(_T_380, _T_366[15]) @[Cat.scala 29:58] + node _T_382 = cat(_T_381, _T_366[16]) @[Cat.scala 29:58] + node _T_383 = cat(_T_382, _T_366[17]) @[Cat.scala 29:58] + node _T_384 = cat(_T_383, _T_366[18]) @[Cat.scala 29:58] + node _T_385 = cat(_T_384, _T_366[19]) @[Cat.scala 29:58] + node _T_386 = cat(_T_385, _T_366[20]) @[Cat.scala 29:58] + node _T_387 = cat(_T_386, _T_366[21]) @[Cat.scala 29:58] + node _T_388 = cat(_T_387, _T_366[22]) @[Cat.scala 29:58] + node _T_389 = cat(_T_388, _T_366[23]) @[Cat.scala 29:58] + node _T_390 = cat(_T_389, _T_366[24]) @[Cat.scala 29:58] + node _T_391 = cat(_T_390, _T_366[25]) @[Cat.scala 29:58] + node _T_392 = cat(_T_391, _T_366[26]) @[Cat.scala 29:58] + node _T_393 = bits(csrimm_x, 4, 0) @[el2_dec_decode_ctl.scala 490:53] + node _T_394 = cat(_T_392, _T_393) @[Cat.scala 29:58] + node _T_395 = bits(csr_imm_x, 0, 0) @[el2_dec_decode_ctl.scala 491:16] + node _T_396 = eq(_T_395, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 491:5] + node _T_397 = mux(_T_365, _T_394, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_398 = mux(_T_396, io.exu_csr_rs1_x, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_399 = or(_T_397, _T_398) @[Mux.scala 27:72] + wire csr_mask_x : UInt<32> @[Mux.scala 27:72] + csr_mask_x <= _T_399 @[Mux.scala 27:72] + node _T_400 = not(csr_mask_x) @[el2_dec_decode_ctl.scala 494:38] + node _T_401 = and(csr_rddata_x, _T_400) @[el2_dec_decode_ctl.scala 494:35] + node _T_402 = or(csr_rddata_x, csr_mask_x) @[el2_dec_decode_ctl.scala 495:35] + node _T_403 = mux(csr_clr_x, _T_401, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_404 = mux(csr_set_x, _T_402, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_405 = mux(csr_write_x, csr_mask_x, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_406 = or(_T_403, _T_404) @[Mux.scala 27:72] + node _T_407 = or(_T_406, _T_405) @[Mux.scala 27:72] + wire write_csr_data_x : UInt @[Mux.scala 27:72] + write_csr_data_x <= _T_407 @[Mux.scala 27:72] + node _T_408 = eq(io.dec_tlu_flush_pause_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 498:49] + node _T_409 = and(io.dec_tlu_flush_lower_r, _T_408) @[el2_dec_decode_ctl.scala 498:47] + node _T_410 = eq(write_csr_data, UInt<31>("h00")) @[el2_dec_decode_ctl.scala 498:109] + node _T_411 = and(pause_stall, _T_410) @[el2_dec_decode_ctl.scala 498:91] + node clear_pause = or(_T_409, _T_411) @[el2_dec_decode_ctl.scala 498:76] + node _T_412 = or(io.dec_tlu_wr_pause_r, pause_stall) @[el2_dec_decode_ctl.scala 499:44] + node _T_413 = eq(clear_pause, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 499:61] + node _T_414 = and(_T_412, _T_413) @[el2_dec_decode_ctl.scala 499:59] + pause_state_in <= _T_414 @[el2_dec_decode_ctl.scala 499:18] + reg _T_415 : UInt<1>, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 500:50] + _T_415 <= pause_state_in @[el2_dec_decode_ctl.scala 500:50] + pause_stall <= _T_415 @[el2_dec_decode_ctl.scala 500:15] + io.dec_pause_state <= pause_stall @[el2_dec_decode_ctl.scala 501:22] + reg _T_416 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 502:29] + _T_416 <= io.dec_tlu_wr_pause_r @[el2_dec_decode_ctl.scala 502:29] + tlu_wr_pause_r1 <= _T_416 @[el2_dec_decode_ctl.scala 502:19] + reg _T_417 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 503:29] + _T_417 <= tlu_wr_pause_r1 @[el2_dec_decode_ctl.scala 503:29] + tlu_wr_pause_r2 <= _T_417 @[el2_dec_decode_ctl.scala 503:19] + node _T_418 = eq(tlu_wr_pause_r1, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 505:44] + node _T_419 = eq(tlu_wr_pause_r2, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 505:64] + node _T_420 = and(_T_418, _T_419) @[el2_dec_decode_ctl.scala 505:61] + node _T_421 = and(pause_stall, _T_420) @[el2_dec_decode_ctl.scala 505:41] + io.dec_pause_state_cg <= _T_421 @[el2_dec_decode_ctl.scala 505:25] + node _T_422 = sub(write_csr_data, UInt<32>("h01")) @[el2_dec_decode_ctl.scala 508:59] + node _T_423 = tail(_T_422, 1) @[el2_dec_decode_ctl.scala 508:59] + node _T_424 = mux(io.dec_tlu_wr_pause_r, io.dec_csr_wrdata_r, write_csr_data_x) @[el2_dec_decode_ctl.scala 509:8] + node write_csr_data_in = mux(pause_stall, _T_423, _T_424) @[el2_dec_decode_ctl.scala 508:30] + node _T_425 = or(csr_clr_x, csr_set_x) @[el2_dec_decode_ctl.scala 510:34] + node _T_426 = or(_T_425, csr_write_x) @[el2_dec_decode_ctl.scala 510:46] + node _T_427 = and(_T_426, csr_read_x) @[el2_dec_decode_ctl.scala 510:61] + node _T_428 = or(_T_427, io.dec_tlu_wr_pause_r) @[el2_dec_decode_ctl.scala 510:75] + node csr_data_wen = or(_T_428, pause_stall) @[el2_dec_decode_ctl.scala 510:99] + inst rvclkhdr_2 of rvclkhdr_3 @[el2_lib.scala 485:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_2.io.en <= csr_data_wen @[el2_lib.scala 488:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_429 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_429 <= write_csr_data_in @[el2_lib.scala 491:16] + write_csr_data <= _T_429 @[el2_dec_decode_ctl.scala 511:18] + node _T_430 = bits(r_d.csrwonly, 0, 0) @[el2_dec_decode_ctl.scala 517:44] + node _T_431 = mux(_T_430, i0_result_corr_r, write_csr_data) @[el2_dec_decode_ctl.scala 517:30] + io.dec_csr_wrdata_r <= _T_431 @[el2_dec_decode_ctl.scala 517:24] + node _T_432 = or(x_d.csrwonly, r_d.csrwonly) @[el2_dec_decode_ctl.scala 519:38] + node prior_csr_write = or(_T_432, wbd.csrwonly) @[el2_dec_decode_ctl.scala 519:53] + node _T_433 = bits(io.dbg_cmd_wrdata, 0, 0) @[el2_dec_decode_ctl.scala 521:67] + node debug_fence_i = and(io.dec_debug_fence_d, _T_433) @[el2_dec_decode_ctl.scala 521:48] + node _T_434 = bits(io.dbg_cmd_wrdata, 1, 1) @[el2_dec_decode_ctl.scala 522:67] + node debug_fence_raw = and(io.dec_debug_fence_d, _T_434) @[el2_dec_decode_ctl.scala 522:48] + node _T_435 = or(debug_fence_raw, debug_fence_i) @[el2_dec_decode_ctl.scala 523:40] + debug_fence <= _T_435 @[el2_dec_decode_ctl.scala 523:21] + node _T_436 = or(i0_dp.presync, io.dec_tlu_presync_d) @[el2_dec_decode_ctl.scala 526:34] + node _T_437 = or(_T_436, debug_fence_i) @[el2_dec_decode_ctl.scala 526:57] + node _T_438 = or(_T_437, debug_fence_raw) @[el2_dec_decode_ctl.scala 526:73] + node i0_presync = or(_T_438, io.dec_tlu_pipelining_disable) @[el2_dec_decode_ctl.scala 526:91] + node _T_439 = or(i0_dp.postsync, io.dec_tlu_postsync_d) @[el2_dec_decode_ctl.scala 529:36] + node _T_440 = or(_T_439, debug_fence_i) @[el2_dec_decode_ctl.scala 529:60] + node _T_441 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 529:104] + node _T_442 = eq(_T_441, UInt<11>("h07c2")) @[el2_dec_decode_ctl.scala 529:112] + node _T_443 = and(i0_csr_write_only_d, _T_442) @[el2_dec_decode_ctl.scala 529:99] + node i0_postsync = or(_T_440, _T_443) @[el2_dec_decode_ctl.scala 529:76] + node any_csr_d = or(i0_dp.csr_read, i0_csr_write) @[el2_dec_decode_ctl.scala 531:34] + io.dec_csr_any_unq_d <= any_csr_d @[el2_dec_decode_ctl.scala 532:24] + node _T_444 = eq(any_csr_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 533:40] + node _T_445 = or(_T_444, io.dec_csr_legal_d) @[el2_dec_decode_ctl.scala 533:51] + node i0_legal = and(i0_dp.legal, _T_445) @[el2_dec_decode_ctl.scala 533:37] + wire _T_446 : UInt<1>[16] @[el2_lib.scala 161:48] + _T_446[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[10] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[11] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[12] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[13] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[14] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[15] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_447 = cat(_T_446[0], _T_446[1]) @[Cat.scala 29:58] + node _T_448 = cat(_T_447, _T_446[2]) @[Cat.scala 29:58] + node _T_449 = cat(_T_448, _T_446[3]) @[Cat.scala 29:58] + node _T_450 = cat(_T_449, _T_446[4]) @[Cat.scala 29:58] + node _T_451 = cat(_T_450, _T_446[5]) @[Cat.scala 29:58] + node _T_452 = cat(_T_451, _T_446[6]) @[Cat.scala 29:58] + node _T_453 = cat(_T_452, _T_446[7]) @[Cat.scala 29:58] + node _T_454 = cat(_T_453, _T_446[8]) @[Cat.scala 29:58] + node _T_455 = cat(_T_454, _T_446[9]) @[Cat.scala 29:58] + node _T_456 = cat(_T_455, _T_446[10]) @[Cat.scala 29:58] + node _T_457 = cat(_T_456, _T_446[11]) @[Cat.scala 29:58] + node _T_458 = cat(_T_457, _T_446[12]) @[Cat.scala 29:58] + node _T_459 = cat(_T_458, _T_446[13]) @[Cat.scala 29:58] + node _T_460 = cat(_T_459, _T_446[14]) @[Cat.scala 29:58] + node _T_461 = cat(_T_460, _T_446[15]) @[Cat.scala 29:58] + node _T_462 = cat(_T_461, io.ifu_i0_cinst) @[Cat.scala 29:58] + node i0_inst_d = mux(io.dec_i0_pc4_d, io.dec_i0_instr_d, _T_462) @[el2_dec_decode_ctl.scala 534:27] + node _T_463 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 537:49] + node shift_illegal = and(io.dec_i0_decode_d, _T_463) @[el2_dec_decode_ctl.scala 537:47] + node _T_464 = eq(illegal_lockout, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 538:44] + node illegal_inst_en = and(shift_illegal, _T_464) @[el2_dec_decode_ctl.scala 538:42] + inst rvclkhdr_3 of rvclkhdr_4 @[el2_lib.scala 485:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_3.io.en <= illegal_inst_en @[el2_lib.scala 488:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_465 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_465 <= i0_inst_d @[el2_lib.scala 491:16] + io.dec_illegal_inst <= _T_465 @[el2_dec_decode_ctl.scala 539:23] + node _T_466 = or(shift_illegal, illegal_lockout) @[el2_dec_decode_ctl.scala 540:40] + node _T_467 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 540:61] + node _T_468 = and(_T_466, _T_467) @[el2_dec_decode_ctl.scala 540:59] + illegal_lockout_in <= _T_468 @[el2_dec_decode_ctl.scala 540:22] + reg _T_469 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 541:54] + _T_469 <= illegal_lockout_in @[el2_dec_decode_ctl.scala 541:54] + illegal_lockout <= _T_469 @[el2_dec_decode_ctl.scala 541:19] + node i0_div_prior_div_stall = and(i0_dp.div, io.dec_div_active) @[el2_dec_decode_ctl.scala 542:42] + node _T_470 = and(i0_dp.csr_read, prior_csr_write) @[el2_dec_decode_ctl.scala 544:40] + node _T_471 = or(_T_470, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 544:59] + node _T_472 = or(_T_471, pause_stall) @[el2_dec_decode_ctl.scala 544:81] + node _T_473 = or(_T_472, leak1_i0_stall) @[el2_dec_decode_ctl.scala 544:95] + node _T_474 = or(_T_473, io.dec_tlu_debug_stall) @[el2_dec_decode_ctl.scala 545:20] + node _T_475 = or(_T_474, postsync_stall) @[el2_dec_decode_ctl.scala 545:45] + node _T_476 = or(_T_475, presync_stall) @[el2_dec_decode_ctl.scala 545:62] + node _T_477 = or(i0_dp.fence, debug_fence) @[el2_dec_decode_ctl.scala 546:19] + node _T_478 = eq(lsu_idle, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 546:36] + node _T_479 = and(_T_477, _T_478) @[el2_dec_decode_ctl.scala 546:34] + node _T_480 = or(_T_476, _T_479) @[el2_dec_decode_ctl.scala 545:79] + node _T_481 = or(_T_480, i0_nonblock_load_stall) @[el2_dec_decode_ctl.scala 546:47] + node _T_482 = or(_T_481, i0_load_block_d) @[el2_dec_decode_ctl.scala 546:72] + node _T_483 = or(_T_482, i0_nonblock_div_stall) @[el2_dec_decode_ctl.scala 547:21] + node i0_block_raw_d = or(_T_483, i0_div_prior_div_stall) @[el2_dec_decode_ctl.scala 547:45] + node _T_484 = or(io.lsu_store_stall_any, io.dma_dccm_stall_any) @[el2_dec_decode_ctl.scala 549:65] + node i0_store_stall_d = and(i0_dp.store, _T_484) @[el2_dec_decode_ctl.scala 549:39] + node _T_485 = or(io.lsu_load_stall_any, io.dma_dccm_stall_any) @[el2_dec_decode_ctl.scala 550:63] + node i0_load_stall_d = and(i0_dp.load, _T_485) @[el2_dec_decode_ctl.scala 550:38] + node _T_486 = or(i0_block_raw_d, i0_store_stall_d) @[el2_dec_decode_ctl.scala 551:38] + node i0_block_d = or(_T_486, i0_load_stall_d) @[el2_dec_decode_ctl.scala 551:57] + node _T_487 = eq(i0_block_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 555:46] + node _T_488 = and(io.dec_ib0_valid_d, _T_487) @[el2_dec_decode_ctl.scala 555:44] + node _T_489 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 555:63] + node _T_490 = and(_T_488, _T_489) @[el2_dec_decode_ctl.scala 555:61] + node _T_491 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 555:91] + node _T_492 = and(_T_490, _T_491) @[el2_dec_decode_ctl.scala 555:89] + io.dec_i0_decode_d <= _T_492 @[el2_dec_decode_ctl.scala 555:22] + node _T_493 = eq(i0_block_raw_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 556:46] + node _T_494 = and(io.dec_ib0_valid_d, _T_493) @[el2_dec_decode_ctl.scala 556:44] + node _T_495 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 556:63] + node _T_496 = and(_T_494, _T_495) @[el2_dec_decode_ctl.scala 556:61] + node _T_497 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 556:91] + node i0_exudecode_d = and(_T_496, _T_497) @[el2_dec_decode_ctl.scala 556:89] + node i0_exulegal_decode_d = and(i0_exudecode_d, i0_legal) @[el2_dec_decode_ctl.scala 557:46] + io.dec_pmu_instr_decoded <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 560:28] + node _T_498 = eq(io.dec_i0_decode_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 561:51] + node _T_499 = and(io.dec_ib0_valid_d, _T_498) @[el2_dec_decode_ctl.scala 561:49] + io.dec_pmu_decode_stall <= _T_499 @[el2_dec_decode_ctl.scala 561:27] + node _T_500 = bits(postsync_stall, 0, 0) @[el2_dec_decode_ctl.scala 562:47] + io.dec_pmu_postsync_stall <= _T_500 @[el2_dec_decode_ctl.scala 562:29] + node _T_501 = bits(presync_stall, 0, 0) @[el2_dec_decode_ctl.scala 563:46] + io.dec_pmu_presync_stall <= _T_501 @[el2_dec_decode_ctl.scala 563:29] + node prior_inflight = or(x_d.i0valid, r_d.i0valid) @[el2_dec_decode_ctl.scala 567:41] + node prior_inflight_eff = mux(i0_dp.div, x_d.i0valid, prior_inflight) @[el2_dec_decode_ctl.scala 568:31] + node _T_502 = and(i0_presync, prior_inflight_eff) @[el2_dec_decode_ctl.scala 570:37] + presync_stall <= _T_502 @[el2_dec_decode_ctl.scala 570:22] + reg _T_503 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 571:53] + _T_503 <= ps_stall_in @[el2_dec_decode_ctl.scala 571:53] + postsync_stall <= _T_503 @[el2_dec_decode_ctl.scala 571:18] + node _T_504 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 573:56] + node _T_505 = or(i0_postsync, _T_504) @[el2_dec_decode_ctl.scala 573:54] + node _T_506 = and(io.dec_i0_decode_d, _T_505) @[el2_dec_decode_ctl.scala 573:39] + node _T_507 = and(postsync_stall, x_d.i0valid) @[el2_dec_decode_ctl.scala 573:88] + node _T_508 = or(_T_506, _T_507) @[el2_dec_decode_ctl.scala 573:69] + ps_stall_in <= _T_508 @[el2_dec_decode_ctl.scala 573:15] + node _T_509 = and(i0_exulegal_decode_d, i0_dp.alu) @[el2_dec_decode_ctl.scala 575:50] + io.dec_i0_alu_decode_d <= _T_509 @[el2_dec_decode_ctl.scala 575:26] + node _T_510 = and(i0_legal_decode_d, i0_dp.lsu) @[el2_dec_decode_ctl.scala 577:40] + lsu_decode_d <= _T_510 @[el2_dec_decode_ctl.scala 577:16] + node _T_511 = and(i0_exulegal_decode_d, i0_dp.mul) @[el2_dec_decode_ctl.scala 578:40] + mul_decode_d <= _T_511 @[el2_dec_decode_ctl.scala 578:16] + node _T_512 = and(i0_exulegal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 579:40] + div_decode_d <= _T_512 @[el2_dec_decode_ctl.scala 579:16] + node _T_513 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 581:47] + node _T_514 = and(r_d.i0valid, _T_513) @[el2_dec_decode_ctl.scala 581:45] + io.dec_tlu_i0_valid_r <= _T_514 @[el2_dec_decode_ctl.scala 581:29] + d_t.legal <= i0_legal_decode_d @[el2_dec_decode_ctl.scala 584:26] + node _T_515 = and(i0_icaf_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 585:40] + d_t.icaf <= _T_515 @[el2_dec_decode_ctl.scala 585:26] + node _T_516 = and(io.dec_i0_icaf_f1_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 586:50] + d_t.icaf_f1 <= _T_516 @[el2_dec_decode_ctl.scala 586:26] + d_t.icaf_type <= io.dec_i0_icaf_type_d @[el2_dec_decode_ctl.scala 587:26] + node _T_517 = or(i0_dp.fence_i, debug_fence_i) @[el2_dec_decode_ctl.scala 589:44] + node _T_518 = and(_T_517, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 589:61] + d_t.fence_i <= _T_518 @[el2_dec_decode_ctl.scala 589:26] + d_t.pmu_i0_br_unpred <= i0_br_unpred @[el2_dec_decode_ctl.scala 592:26] + d_t.pmu_divide <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 593:26] + d_t.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 594:26] + wire _T_519 : UInt<1>[4] @[el2_lib.scala 161:48] + _T_519[0] <= io.dec_i0_decode_d @[el2_lib.scala 161:48] + _T_519[1] <= io.dec_i0_decode_d @[el2_lib.scala 161:48] + _T_519[2] <= io.dec_i0_decode_d @[el2_lib.scala 161:48] + _T_519[3] <= io.dec_i0_decode_d @[el2_lib.scala 161:48] + node _T_520 = cat(_T_519[0], _T_519[1]) @[Cat.scala 29:58] + node _T_521 = cat(_T_520, _T_519[2]) @[Cat.scala 29:58] + node _T_522 = cat(_T_521, _T_519[3]) @[Cat.scala 29:58] + node _T_523 = and(io.dec_i0_trigger_match_d, _T_522) @[el2_dec_decode_ctl.scala 596:56] + d_t.i0trigger <= _T_523 @[el2_dec_decode_ctl.scala 596:26] + node _T_524 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 599:33] + inst rvclkhdr_4 of rvclkhdr_5 @[el2_lib.scala 495:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[el2_lib.scala 497:18] + rvclkhdr_4.io.en <= _T_524 @[el2_lib.scala 498:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 499:24] + wire _T_525 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_lib.scala 501:33] + _T_525.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_525.pmu_divide <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_525.pmu_i0_br_unpred <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_525.pmu_i0_itype <= UInt<4>("h00") @[el2_lib.scala 501:33] + _T_525.i0trigger <= UInt<4>("h00") @[el2_lib.scala 501:33] + _T_525.fence_i <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_525.icaf_type <= UInt<2>("h00") @[el2_lib.scala 501:33] + _T_525.icaf_f1 <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_525.icaf <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_525.legal <= UInt<1>("h00") @[el2_lib.scala 501:33] + reg _T_526 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_4.io.l1clk with : (reset => (reset, _T_525)) @[el2_lib.scala 501:16] + _T_526.pmu_lsu_misaligned <= d_t.pmu_lsu_misaligned @[el2_lib.scala 501:16] + _T_526.pmu_divide <= d_t.pmu_divide @[el2_lib.scala 501:16] + _T_526.pmu_i0_br_unpred <= d_t.pmu_i0_br_unpred @[el2_lib.scala 501:16] + _T_526.pmu_i0_itype <= d_t.pmu_i0_itype @[el2_lib.scala 501:16] + _T_526.i0trigger <= d_t.i0trigger @[el2_lib.scala 501:16] + _T_526.fence_i <= d_t.fence_i @[el2_lib.scala 501:16] + _T_526.icaf_type <= d_t.icaf_type @[el2_lib.scala 501:16] + _T_526.icaf_f1 <= d_t.icaf_f1 @[el2_lib.scala 501:16] + _T_526.icaf <= d_t.icaf @[el2_lib.scala 501:16] + _T_526.legal <= d_t.legal @[el2_lib.scala 501:16] + x_t.pmu_lsu_misaligned <= _T_526.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 599:7] + x_t.pmu_divide <= _T_526.pmu_divide @[el2_dec_decode_ctl.scala 599:7] + x_t.pmu_i0_br_unpred <= _T_526.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 599:7] + x_t.pmu_i0_itype <= _T_526.pmu_i0_itype @[el2_dec_decode_ctl.scala 599:7] + x_t.i0trigger <= _T_526.i0trigger @[el2_dec_decode_ctl.scala 599:7] + x_t.fence_i <= _T_526.fence_i @[el2_dec_decode_ctl.scala 599:7] + x_t.icaf_type <= _T_526.icaf_type @[el2_dec_decode_ctl.scala 599:7] + x_t.icaf_f1 <= _T_526.icaf_f1 @[el2_dec_decode_ctl.scala 599:7] + x_t.icaf <= _T_526.icaf @[el2_dec_decode_ctl.scala 599:7] + x_t.legal <= _T_526.legal @[el2_dec_decode_ctl.scala 599:7] + x_t_in.pmu_lsu_misaligned <= x_t.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 601:10] + x_t_in.pmu_divide <= x_t.pmu_divide @[el2_dec_decode_ctl.scala 601:10] + x_t_in.pmu_i0_br_unpred <= x_t.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 601:10] + x_t_in.pmu_i0_itype <= x_t.pmu_i0_itype @[el2_dec_decode_ctl.scala 601:10] + x_t_in.i0trigger <= x_t.i0trigger @[el2_dec_decode_ctl.scala 601:10] + x_t_in.fence_i <= x_t.fence_i @[el2_dec_decode_ctl.scala 601:10] + x_t_in.icaf_type <= x_t.icaf_type @[el2_dec_decode_ctl.scala 601:10] + x_t_in.icaf_f1 <= x_t.icaf_f1 @[el2_dec_decode_ctl.scala 601:10] + x_t_in.icaf <= x_t.icaf @[el2_dec_decode_ctl.scala 601:10] + x_t_in.legal <= x_t.legal @[el2_dec_decode_ctl.scala 601:10] + wire _T_527 : UInt<1>[4] @[el2_lib.scala 161:48] + _T_527[0] <= io.dec_tlu_flush_lower_wb @[el2_lib.scala 161:48] + _T_527[1] <= io.dec_tlu_flush_lower_wb @[el2_lib.scala 161:48] + _T_527[2] <= io.dec_tlu_flush_lower_wb @[el2_lib.scala 161:48] + _T_527[3] <= io.dec_tlu_flush_lower_wb @[el2_lib.scala 161:48] + node _T_528 = cat(_T_527[0], _T_527[1]) @[Cat.scala 29:58] + node _T_529 = cat(_T_528, _T_527[2]) @[Cat.scala 29:58] + node _T_530 = cat(_T_529, _T_527[3]) @[Cat.scala 29:58] + node _T_531 = not(_T_530) @[el2_dec_decode_ctl.scala 602:39] + node _T_532 = and(x_t.i0trigger, _T_531) @[el2_dec_decode_ctl.scala 602:37] + x_t_in.i0trigger <= _T_532 @[el2_dec_decode_ctl.scala 602:20] + node _T_533 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 604:36] + inst rvclkhdr_5 of rvclkhdr_6 @[el2_lib.scala 495:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[el2_lib.scala 497:18] + rvclkhdr_5.io.en <= _T_533 @[el2_lib.scala 498:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 499:24] + wire _T_534 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_lib.scala 501:33] + _T_534.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_534.pmu_divide <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_534.pmu_i0_br_unpred <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_534.pmu_i0_itype <= UInt<4>("h00") @[el2_lib.scala 501:33] + _T_534.i0trigger <= UInt<4>("h00") @[el2_lib.scala 501:33] + _T_534.fence_i <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_534.icaf_type <= UInt<2>("h00") @[el2_lib.scala 501:33] + _T_534.icaf_f1 <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_534.icaf <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_534.legal <= UInt<1>("h00") @[el2_lib.scala 501:33] + reg _T_535 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_5.io.l1clk with : (reset => (reset, _T_534)) @[el2_lib.scala 501:16] + _T_535.pmu_lsu_misaligned <= x_t_in.pmu_lsu_misaligned @[el2_lib.scala 501:16] + _T_535.pmu_divide <= x_t_in.pmu_divide @[el2_lib.scala 501:16] + _T_535.pmu_i0_br_unpred <= x_t_in.pmu_i0_br_unpred @[el2_lib.scala 501:16] + _T_535.pmu_i0_itype <= x_t_in.pmu_i0_itype @[el2_lib.scala 501:16] + _T_535.i0trigger <= x_t_in.i0trigger @[el2_lib.scala 501:16] + _T_535.fence_i <= x_t_in.fence_i @[el2_lib.scala 501:16] + _T_535.icaf_type <= x_t_in.icaf_type @[el2_lib.scala 501:16] + _T_535.icaf_f1 <= x_t_in.icaf_f1 @[el2_lib.scala 501:16] + _T_535.icaf <= x_t_in.icaf @[el2_lib.scala 501:16] + _T_535.legal <= x_t_in.legal @[el2_lib.scala 501:16] + r_t.pmu_lsu_misaligned <= _T_535.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 604:7] + r_t.pmu_divide <= _T_535.pmu_divide @[el2_dec_decode_ctl.scala 604:7] + r_t.pmu_i0_br_unpred <= _T_535.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 604:7] + r_t.pmu_i0_itype <= _T_535.pmu_i0_itype @[el2_dec_decode_ctl.scala 604:7] + r_t.i0trigger <= _T_535.i0trigger @[el2_dec_decode_ctl.scala 604:7] + r_t.fence_i <= _T_535.fence_i @[el2_dec_decode_ctl.scala 604:7] + r_t.icaf_type <= _T_535.icaf_type @[el2_dec_decode_ctl.scala 604:7] + r_t.icaf_f1 <= _T_535.icaf_f1 @[el2_dec_decode_ctl.scala 604:7] + r_t.icaf <= _T_535.icaf @[el2_dec_decode_ctl.scala 604:7] + r_t.legal <= _T_535.legal @[el2_dec_decode_ctl.scala 604:7] + reg lsu_trigger_match_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 605:36] + lsu_trigger_match_r <= io.lsu_trigger_match_m @[el2_dec_decode_ctl.scala 605:36] + reg lsu_pmu_misaligned_r : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 606:37] + lsu_pmu_misaligned_r <= io.lsu_pmu_misaligned_m @[el2_dec_decode_ctl.scala 606:37] + r_t_in.pmu_lsu_misaligned <= r_t.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 608:10] + r_t_in.pmu_divide <= r_t.pmu_divide @[el2_dec_decode_ctl.scala 608:10] + r_t_in.pmu_i0_br_unpred <= r_t.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 608:10] + r_t_in.pmu_i0_itype <= r_t.pmu_i0_itype @[el2_dec_decode_ctl.scala 608:10] + r_t_in.i0trigger <= r_t.i0trigger @[el2_dec_decode_ctl.scala 608:10] + r_t_in.fence_i <= r_t.fence_i @[el2_dec_decode_ctl.scala 608:10] + r_t_in.icaf_type <= r_t.icaf_type @[el2_dec_decode_ctl.scala 608:10] + r_t_in.icaf_f1 <= r_t.icaf_f1 @[el2_dec_decode_ctl.scala 608:10] + r_t_in.icaf <= r_t.icaf @[el2_dec_decode_ctl.scala 608:10] + r_t_in.legal <= r_t.legal @[el2_dec_decode_ctl.scala 608:10] + node _T_536 = or(r_d.i0load, r_d.i0store) @[el2_dec_decode_ctl.scala 610:56] + wire _T_537 : UInt<1>[4] @[el2_lib.scala 161:48] + _T_537[0] <= _T_536 @[el2_lib.scala 161:48] + _T_537[1] <= _T_536 @[el2_lib.scala 161:48] + _T_537[2] <= _T_536 @[el2_lib.scala 161:48] + _T_537[3] <= _T_536 @[el2_lib.scala 161:48] + node _T_538 = cat(_T_537[0], _T_537[1]) @[Cat.scala 29:58] + node _T_539 = cat(_T_538, _T_537[2]) @[Cat.scala 29:58] + node _T_540 = cat(_T_539, _T_537[3]) @[Cat.scala 29:58] + node _T_541 = and(_T_540, lsu_trigger_match_r) @[el2_dec_decode_ctl.scala 610:72] + node _T_542 = or(_T_541, r_t.i0trigger) @[el2_dec_decode_ctl.scala 610:95] + r_t_in.i0trigger <= _T_542 @[el2_dec_decode_ctl.scala 610:33] + r_t_in.pmu_lsu_misaligned <= lsu_pmu_misaligned_r @[el2_dec_decode_ctl.scala 611:33] + node _T_543 = bits(io.dec_tlu_flush_lower_wb, 0, 0) @[el2_dec_decode_ctl.scala 613:35] + when _T_543 : @[el2_dec_decode_ctl.scala 613:43] + wire _T_544 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 613:66] + _T_544.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.pmu_divide <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.pmu_i0_br_unpred <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.pmu_i0_itype <= UInt<4>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.i0trigger <= UInt<4>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.fence_i <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.icaf_type <= UInt<2>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.icaf_f1 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.icaf <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.legal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + r_t_in.pmu_lsu_misaligned <= _T_544.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 613:51] + r_t_in.pmu_divide <= _T_544.pmu_divide @[el2_dec_decode_ctl.scala 613:51] + r_t_in.pmu_i0_br_unpred <= _T_544.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 613:51] + r_t_in.pmu_i0_itype <= _T_544.pmu_i0_itype @[el2_dec_decode_ctl.scala 613:51] + r_t_in.i0trigger <= _T_544.i0trigger @[el2_dec_decode_ctl.scala 613:51] + r_t_in.fence_i <= _T_544.fence_i @[el2_dec_decode_ctl.scala 613:51] + r_t_in.icaf_type <= _T_544.icaf_type @[el2_dec_decode_ctl.scala 613:51] + r_t_in.icaf_f1 <= _T_544.icaf_f1 @[el2_dec_decode_ctl.scala 613:51] + r_t_in.icaf <= _T_544.icaf @[el2_dec_decode_ctl.scala 613:51] + r_t_in.legal <= _T_544.legal @[el2_dec_decode_ctl.scala 613:51] + skip @[el2_dec_decode_ctl.scala 613:43] + io.dec_tlu_packet_r.pmu_lsu_misaligned <= r_t_in.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.pmu_divide <= r_t_in.pmu_divide @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.pmu_i0_br_unpred <= r_t_in.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.pmu_i0_itype <= r_t_in.pmu_i0_itype @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.i0trigger <= r_t_in.i0trigger @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.fence_i <= r_t_in.fence_i @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.icaf_type <= r_t_in.icaf_type @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.icaf_f1 <= r_t_in.icaf_f1 @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.icaf <= r_t_in.icaf @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.legal <= r_t_in.legal @[el2_dec_decode_ctl.scala 615:39] + node _T_545 = and(r_d.i0div, r_d.i0valid) @[el2_dec_decode_ctl.scala 616:53] + io.dec_tlu_packet_r.pmu_divide <= _T_545 @[el2_dec_decode_ctl.scala 616:39] + reg _T_546 : UInt<1>, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 619:52] + _T_546 <= io.exu_flush_final @[el2_dec_decode_ctl.scala 619:52] + flush_final_r <= _T_546 @[el2_dec_decode_ctl.scala 619:17] + node _T_547 = eq(i0_block_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 621:46] + node _T_548 = and(io.dec_ib0_valid_d, _T_547) @[el2_dec_decode_ctl.scala 621:44] + node _T_549 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 621:60] + node _T_550 = and(_T_548, _T_549) @[el2_dec_decode_ctl.scala 621:58] + node _T_551 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 621:88] + node _T_552 = and(_T_550, _T_551) @[el2_dec_decode_ctl.scala 621:86] + io.dec_i0_decode_d <= _T_552 @[el2_dec_decode_ctl.scala 621:22] + node _T_553 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 623:16] + i0r.rs1 <= _T_553 @[el2_dec_decode_ctl.scala 623:11] + node _T_554 = bits(io.dec_i0_instr_d, 24, 20) @[el2_dec_decode_ctl.scala 624:16] + i0r.rs2 <= _T_554 @[el2_dec_decode_ctl.scala 624:11] + node _T_555 = bits(io.dec_i0_instr_d, 11, 7) @[el2_dec_decode_ctl.scala 625:16] + i0r.rd <= _T_555 @[el2_dec_decode_ctl.scala 625:11] + node _T_556 = neq(i0r.rs1, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 627:49] + node _T_557 = and(i0_dp.rs1, _T_556) @[el2_dec_decode_ctl.scala 627:38] + io.dec_i0_rs1_en_d <= _T_557 @[el2_dec_decode_ctl.scala 627:24] + node _T_558 = neq(i0r.rs2, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 628:49] + node _T_559 = and(i0_dp.rs2, _T_558) @[el2_dec_decode_ctl.scala 628:38] + io.dec_i0_rs2_en_d <= _T_559 @[el2_dec_decode_ctl.scala 628:24] + node _T_560 = neq(i0r.rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 629:48] + node i0_rd_en_d = and(i0_dp.rd, _T_560) @[el2_dec_decode_ctl.scala 629:37] + io.dec_i0_rs1_d <= i0r.rs1 @[el2_dec_decode_ctl.scala 630:19] + io.dec_i0_rs2_d <= i0r.rs2 @[el2_dec_decode_ctl.scala 631:19] + node i0_jalimm20 = and(i0_dp.jal, i0_dp.imm20) @[el2_dec_decode_ctl.scala 633:38] + node _T_561 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 634:27] + node i0_uiimm20 = and(_T_561, i0_dp.imm20) @[el2_dec_decode_ctl.scala 634:38] + node _T_562 = eq(i0_dp.csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 638:5] + node _T_563 = mux(i0_dp.csr_read, io.dec_csr_rddata_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_564 = mux(_T_562, i0_immed_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_565 = or(_T_563, _T_564) @[Mux.scala 27:72] + wire _T_566 : UInt<32> @[Mux.scala 27:72] + _T_566 <= _T_565 @[Mux.scala 27:72] + io.dec_i0_immed_d <= _T_566 @[el2_dec_decode_ctl.scala 636:21] + node _T_567 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 641:38] + wire _T_568 : UInt<1>[20] @[el2_lib.scala 161:48] + _T_568[0] <= _T_567 @[el2_lib.scala 161:48] + _T_568[1] <= _T_567 @[el2_lib.scala 161:48] + _T_568[2] <= _T_567 @[el2_lib.scala 161:48] + _T_568[3] <= _T_567 @[el2_lib.scala 161:48] + _T_568[4] <= _T_567 @[el2_lib.scala 161:48] + _T_568[5] <= _T_567 @[el2_lib.scala 161:48] + _T_568[6] <= _T_567 @[el2_lib.scala 161:48] + _T_568[7] <= _T_567 @[el2_lib.scala 161:48] + _T_568[8] <= _T_567 @[el2_lib.scala 161:48] + _T_568[9] <= _T_567 @[el2_lib.scala 161:48] + _T_568[10] <= _T_567 @[el2_lib.scala 161:48] + _T_568[11] <= _T_567 @[el2_lib.scala 161:48] + _T_568[12] <= _T_567 @[el2_lib.scala 161:48] + _T_568[13] <= _T_567 @[el2_lib.scala 161:48] + _T_568[14] <= _T_567 @[el2_lib.scala 161:48] + _T_568[15] <= _T_567 @[el2_lib.scala 161:48] + _T_568[16] <= _T_567 @[el2_lib.scala 161:48] + _T_568[17] <= _T_567 @[el2_lib.scala 161:48] + _T_568[18] <= _T_567 @[el2_lib.scala 161:48] + _T_568[19] <= _T_567 @[el2_lib.scala 161:48] + node _T_569 = cat(_T_568[0], _T_568[1]) @[Cat.scala 29:58] + node _T_570 = cat(_T_569, _T_568[2]) @[Cat.scala 29:58] + node _T_571 = cat(_T_570, _T_568[3]) @[Cat.scala 29:58] + node _T_572 = cat(_T_571, _T_568[4]) @[Cat.scala 29:58] + node _T_573 = cat(_T_572, _T_568[5]) @[Cat.scala 29:58] + node _T_574 = cat(_T_573, _T_568[6]) @[Cat.scala 29:58] + node _T_575 = cat(_T_574, _T_568[7]) @[Cat.scala 29:58] + node _T_576 = cat(_T_575, _T_568[8]) @[Cat.scala 29:58] + node _T_577 = cat(_T_576, _T_568[9]) @[Cat.scala 29:58] + node _T_578 = cat(_T_577, _T_568[10]) @[Cat.scala 29:58] + node _T_579 = cat(_T_578, _T_568[11]) @[Cat.scala 29:58] + node _T_580 = cat(_T_579, _T_568[12]) @[Cat.scala 29:58] + node _T_581 = cat(_T_580, _T_568[13]) @[Cat.scala 29:58] + node _T_582 = cat(_T_581, _T_568[14]) @[Cat.scala 29:58] + node _T_583 = cat(_T_582, _T_568[15]) @[Cat.scala 29:58] + node _T_584 = cat(_T_583, _T_568[16]) @[Cat.scala 29:58] + node _T_585 = cat(_T_584, _T_568[17]) @[Cat.scala 29:58] + node _T_586 = cat(_T_585, _T_568[18]) @[Cat.scala 29:58] + node _T_587 = cat(_T_586, _T_568[19]) @[Cat.scala 29:58] + node _T_588 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 641:46] + node _T_589 = cat(_T_587, _T_588) @[Cat.scala 29:58] + wire _T_590 : UInt<1>[27] @[el2_lib.scala 161:48] + _T_590[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[10] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[11] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[12] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[13] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[14] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[15] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[16] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[17] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[18] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[19] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[20] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[21] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[22] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[23] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[24] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[25] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[26] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_591 = cat(_T_590[0], _T_590[1]) @[Cat.scala 29:58] + node _T_592 = cat(_T_591, _T_590[2]) @[Cat.scala 29:58] + node _T_593 = cat(_T_592, _T_590[3]) @[Cat.scala 29:58] + node _T_594 = cat(_T_593, _T_590[4]) @[Cat.scala 29:58] + node _T_595 = cat(_T_594, _T_590[5]) @[Cat.scala 29:58] + node _T_596 = cat(_T_595, _T_590[6]) @[Cat.scala 29:58] + node _T_597 = cat(_T_596, _T_590[7]) @[Cat.scala 29:58] + node _T_598 = cat(_T_597, _T_590[8]) @[Cat.scala 29:58] + node _T_599 = cat(_T_598, _T_590[9]) @[Cat.scala 29:58] + node _T_600 = cat(_T_599, _T_590[10]) @[Cat.scala 29:58] + node _T_601 = cat(_T_600, _T_590[11]) @[Cat.scala 29:58] + node _T_602 = cat(_T_601, _T_590[12]) @[Cat.scala 29:58] + node _T_603 = cat(_T_602, _T_590[13]) @[Cat.scala 29:58] + node _T_604 = cat(_T_603, _T_590[14]) @[Cat.scala 29:58] + node _T_605 = cat(_T_604, _T_590[15]) @[Cat.scala 29:58] + node _T_606 = cat(_T_605, _T_590[16]) @[Cat.scala 29:58] + node _T_607 = cat(_T_606, _T_590[17]) @[Cat.scala 29:58] + node _T_608 = cat(_T_607, _T_590[18]) @[Cat.scala 29:58] + node _T_609 = cat(_T_608, _T_590[19]) @[Cat.scala 29:58] + node _T_610 = cat(_T_609, _T_590[20]) @[Cat.scala 29:58] + node _T_611 = cat(_T_610, _T_590[21]) @[Cat.scala 29:58] + node _T_612 = cat(_T_611, _T_590[22]) @[Cat.scala 29:58] + node _T_613 = cat(_T_612, _T_590[23]) @[Cat.scala 29:58] + node _T_614 = cat(_T_613, _T_590[24]) @[Cat.scala 29:58] + node _T_615 = cat(_T_614, _T_590[25]) @[Cat.scala 29:58] + node _T_616 = cat(_T_615, _T_590[26]) @[Cat.scala 29:58] + node _T_617 = bits(io.dec_i0_instr_d, 24, 20) @[el2_dec_decode_ctl.scala 642:43] + node _T_618 = cat(_T_616, _T_617) @[Cat.scala 29:58] + node _T_619 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 643:38] + wire _T_620 : UInt<1>[12] @[el2_lib.scala 161:48] + _T_620[0] <= _T_619 @[el2_lib.scala 161:48] + _T_620[1] <= _T_619 @[el2_lib.scala 161:48] + _T_620[2] <= _T_619 @[el2_lib.scala 161:48] + _T_620[3] <= _T_619 @[el2_lib.scala 161:48] + _T_620[4] <= _T_619 @[el2_lib.scala 161:48] + _T_620[5] <= _T_619 @[el2_lib.scala 161:48] + _T_620[6] <= _T_619 @[el2_lib.scala 161:48] + _T_620[7] <= _T_619 @[el2_lib.scala 161:48] + _T_620[8] <= _T_619 @[el2_lib.scala 161:48] + _T_620[9] <= _T_619 @[el2_lib.scala 161:48] + _T_620[10] <= _T_619 @[el2_lib.scala 161:48] + _T_620[11] <= _T_619 @[el2_lib.scala 161:48] + node _T_621 = cat(_T_620[0], _T_620[1]) @[Cat.scala 29:58] + node _T_622 = cat(_T_621, _T_620[2]) @[Cat.scala 29:58] + node _T_623 = cat(_T_622, _T_620[3]) @[Cat.scala 29:58] + node _T_624 = cat(_T_623, _T_620[4]) @[Cat.scala 29:58] + node _T_625 = cat(_T_624, _T_620[5]) @[Cat.scala 29:58] + node _T_626 = cat(_T_625, _T_620[6]) @[Cat.scala 29:58] + node _T_627 = cat(_T_626, _T_620[7]) @[Cat.scala 29:58] + node _T_628 = cat(_T_627, _T_620[8]) @[Cat.scala 29:58] + node _T_629 = cat(_T_628, _T_620[9]) @[Cat.scala 29:58] + node _T_630 = cat(_T_629, _T_620[10]) @[Cat.scala 29:58] + node _T_631 = cat(_T_630, _T_620[11]) @[Cat.scala 29:58] + node _T_632 = bits(io.dec_i0_instr_d, 19, 12) @[el2_dec_decode_ctl.scala 643:46] + node _T_633 = bits(io.dec_i0_instr_d, 20, 20) @[el2_dec_decode_ctl.scala 643:56] + node _T_634 = bits(io.dec_i0_instr_d, 30, 21) @[el2_dec_decode_ctl.scala 643:63] + node _T_635 = cat(_T_634, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_636 = cat(_T_631, _T_632) @[Cat.scala 29:58] + node _T_637 = cat(_T_636, _T_633) @[Cat.scala 29:58] + node _T_638 = cat(_T_637, _T_635) @[Cat.scala 29:58] + node _T_639 = bits(io.dec_i0_instr_d, 31, 12) @[el2_dec_decode_ctl.scala 644:30] + wire _T_640 : UInt<1>[12] @[el2_lib.scala 161:48] + _T_640[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[10] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[11] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_641 = cat(_T_640[0], _T_640[1]) @[Cat.scala 29:58] + node _T_642 = cat(_T_641, _T_640[2]) @[Cat.scala 29:58] + node _T_643 = cat(_T_642, _T_640[3]) @[Cat.scala 29:58] + node _T_644 = cat(_T_643, _T_640[4]) @[Cat.scala 29:58] + node _T_645 = cat(_T_644, _T_640[5]) @[Cat.scala 29:58] + node _T_646 = cat(_T_645, _T_640[6]) @[Cat.scala 29:58] + node _T_647 = cat(_T_646, _T_640[7]) @[Cat.scala 29:58] + node _T_648 = cat(_T_647, _T_640[8]) @[Cat.scala 29:58] + node _T_649 = cat(_T_648, _T_640[9]) @[Cat.scala 29:58] + node _T_650 = cat(_T_649, _T_640[10]) @[Cat.scala 29:58] + node _T_651 = cat(_T_650, _T_640[11]) @[Cat.scala 29:58] + node _T_652 = cat(_T_639, _T_651) @[Cat.scala 29:58] + node _T_653 = and(i0_csr_write_only_d, i0_dp.csr_imm) @[el2_dec_decode_ctl.scala 645:26] + node _T_654 = bits(_T_653, 0, 0) @[el2_dec_decode_ctl.scala 645:43] + wire _T_655 : UInt<1>[27] @[el2_lib.scala 161:48] + _T_655[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[10] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[11] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[12] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[13] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[14] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[15] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[16] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[17] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[18] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[19] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[20] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[21] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[22] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[23] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[24] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[25] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[26] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_656 = cat(_T_655[0], _T_655[1]) @[Cat.scala 29:58] + node _T_657 = cat(_T_656, _T_655[2]) @[Cat.scala 29:58] + node _T_658 = cat(_T_657, _T_655[3]) @[Cat.scala 29:58] + node _T_659 = cat(_T_658, _T_655[4]) @[Cat.scala 29:58] + node _T_660 = cat(_T_659, _T_655[5]) @[Cat.scala 29:58] + node _T_661 = cat(_T_660, _T_655[6]) @[Cat.scala 29:58] + node _T_662 = cat(_T_661, _T_655[7]) @[Cat.scala 29:58] + node _T_663 = cat(_T_662, _T_655[8]) @[Cat.scala 29:58] + node _T_664 = cat(_T_663, _T_655[9]) @[Cat.scala 29:58] + node _T_665 = cat(_T_664, _T_655[10]) @[Cat.scala 29:58] + node _T_666 = cat(_T_665, _T_655[11]) @[Cat.scala 29:58] + node _T_667 = cat(_T_666, _T_655[12]) @[Cat.scala 29:58] + node _T_668 = cat(_T_667, _T_655[13]) @[Cat.scala 29:58] + node _T_669 = cat(_T_668, _T_655[14]) @[Cat.scala 29:58] + node _T_670 = cat(_T_669, _T_655[15]) @[Cat.scala 29:58] + node _T_671 = cat(_T_670, _T_655[16]) @[Cat.scala 29:58] + node _T_672 = cat(_T_671, _T_655[17]) @[Cat.scala 29:58] + node _T_673 = cat(_T_672, _T_655[18]) @[Cat.scala 29:58] + node _T_674 = cat(_T_673, _T_655[19]) @[Cat.scala 29:58] + node _T_675 = cat(_T_674, _T_655[20]) @[Cat.scala 29:58] + node _T_676 = cat(_T_675, _T_655[21]) @[Cat.scala 29:58] + node _T_677 = cat(_T_676, _T_655[22]) @[Cat.scala 29:58] + node _T_678 = cat(_T_677, _T_655[23]) @[Cat.scala 29:58] + node _T_679 = cat(_T_678, _T_655[24]) @[Cat.scala 29:58] + node _T_680 = cat(_T_679, _T_655[25]) @[Cat.scala 29:58] + node _T_681 = cat(_T_680, _T_655[26]) @[Cat.scala 29:58] + node _T_682 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 645:72] + node _T_683 = cat(_T_681, _T_682) @[Cat.scala 29:58] + node _T_684 = mux(i0_dp.imm12, _T_589, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_685 = mux(i0_dp.shimm5, _T_618, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_686 = mux(i0_jalimm20, _T_638, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_687 = mux(i0_uiimm20, _T_652, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_688 = mux(_T_654, _T_683, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_689 = or(_T_684, _T_685) @[Mux.scala 27:72] + node _T_690 = or(_T_689, _T_686) @[Mux.scala 27:72] + node _T_691 = or(_T_690, _T_687) @[Mux.scala 27:72] + node _T_692 = or(_T_691, _T_688) @[Mux.scala 27:72] + wire _T_693 : UInt<32> @[Mux.scala 27:72] + _T_693 <= _T_692 @[Mux.scala 27:72] + i0_immed_d <= _T_693 @[el2_dec_decode_ctl.scala 640:14] + node _T_694 = and(io.dec_i0_decode_d, i0_legal) @[el2_dec_decode_ctl.scala 647:46] + i0_legal_decode_d <= _T_694 @[el2_dec_decode_ctl.scala 647:24] + node _T_695 = and(i0_dp.mul, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 649:44] + i0_d_c.mul <= _T_695 @[el2_dec_decode_ctl.scala 649:29] + node _T_696 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 650:44] + i0_d_c.load <= _T_696 @[el2_dec_decode_ctl.scala 650:29] + node _T_697 = and(i0_dp.alu, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 651:44] + i0_d_c.alu <= _T_697 @[el2_dec_decode_ctl.scala 651:29] + node _T_698 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 653:71] + reg i0_x_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk @[Reg.scala 15:16] + when _T_698 : @[Reg.scala 16:19] + i0_x_c.alu <= i0_d_c.alu @[Reg.scala 16:23] + i0_x_c.load <= i0_d_c.load @[Reg.scala 16:23] + i0_x_c.mul <= i0_d_c.mul @[Reg.scala 16:23] + skip @[Reg.scala 16:19] + node _T_699 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 654:71] + reg i0_r_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk @[Reg.scala 15:16] + when _T_699 : @[Reg.scala 16:19] + i0_r_c.alu <= i0_x_c.alu @[Reg.scala 16:23] + i0_r_c.load <= i0_x_c.load @[Reg.scala 16:23] + i0_r_c.mul <= i0_x_c.mul @[Reg.scala 16:23] + skip @[Reg.scala 16:19] + node _T_700 = bits(i0_pipe_en, 3, 1) @[el2_dec_decode_ctl.scala 655:83] + reg _T_701 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 655:72] + _T_701 <= _T_700 @[el2_dec_decode_ctl.scala 655:72] + node _T_702 = cat(io.dec_i0_decode_d, _T_701) @[Cat.scala 29:58] + i0_pipe_en <= _T_702 @[el2_dec_decode_ctl.scala 655:14] + node _T_703 = bits(i0_pipe_en, 3, 2) @[el2_dec_decode_ctl.scala 657:43] + node _T_704 = orr(_T_703) @[el2_dec_decode_ctl.scala 657:49] + node _T_705 = or(_T_704, io.clk_override) @[el2_dec_decode_ctl.scala 657:53] + i0_x_ctl_en <= _T_705 @[el2_dec_decode_ctl.scala 657:29] + node _T_706 = bits(i0_pipe_en, 2, 1) @[el2_dec_decode_ctl.scala 658:43] + node _T_707 = orr(_T_706) @[el2_dec_decode_ctl.scala 658:49] + node _T_708 = or(_T_707, io.clk_override) @[el2_dec_decode_ctl.scala 658:53] + i0_r_ctl_en <= _T_708 @[el2_dec_decode_ctl.scala 658:29] + node _T_709 = bits(i0_pipe_en, 1, 0) @[el2_dec_decode_ctl.scala 659:43] + node _T_710 = orr(_T_709) @[el2_dec_decode_ctl.scala 659:49] + node _T_711 = or(_T_710, io.clk_override) @[el2_dec_decode_ctl.scala 659:53] + i0_wb_ctl_en <= _T_711 @[el2_dec_decode_ctl.scala 659:29] + node _T_712 = bits(i0_pipe_en, 3, 3) @[el2_dec_decode_ctl.scala 660:44] + node _T_713 = or(_T_712, io.clk_override) @[el2_dec_decode_ctl.scala 660:50] + i0_x_data_en <= _T_713 @[el2_dec_decode_ctl.scala 660:29] + node _T_714 = bits(i0_pipe_en, 2, 2) @[el2_dec_decode_ctl.scala 661:44] + node _T_715 = or(_T_714, io.clk_override) @[el2_dec_decode_ctl.scala 661:50] + i0_r_data_en <= _T_715 @[el2_dec_decode_ctl.scala 661:29] + node _T_716 = bits(i0_pipe_en, 1, 1) @[el2_dec_decode_ctl.scala 662:44] + node _T_717 = or(_T_716, io.clk_override) @[el2_dec_decode_ctl.scala 662:50] + i0_wb_data_en <= _T_717 @[el2_dec_decode_ctl.scala 662:29] + node _T_718 = bits(i0_pipe_en, 0, 0) @[el2_dec_decode_ctl.scala 663:44] + node _T_719 = or(_T_718, io.clk_override) @[el2_dec_decode_ctl.scala 663:50] + i0_wb1_data_en <= _T_719 @[el2_dec_decode_ctl.scala 663:29] + node _T_720 = cat(i0_x_data_en, i0_r_data_en) @[Cat.scala 29:58] + io.dec_data_en <= _T_720 @[el2_dec_decode_ctl.scala 665:27] + node _T_721 = cat(i0_x_ctl_en, i0_r_ctl_en) @[Cat.scala 29:58] + io.dec_ctl_en <= _T_721 @[el2_dec_decode_ctl.scala 666:27] + d_d.i0rd <= i0r.rd @[el2_dec_decode_ctl.scala 668:29] + node _T_722 = and(i0_rd_en_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 669:45] + d_d.i0v <= _T_722 @[el2_dec_decode_ctl.scala 669:29] + d_d.i0valid <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 670:29] + node _T_723 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 672:45] + d_d.i0load <= _T_723 @[el2_dec_decode_ctl.scala 672:29] + node _T_724 = and(i0_dp.store, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 673:45] + d_d.i0store <= _T_724 @[el2_dec_decode_ctl.scala 673:29] + node _T_725 = and(i0_dp.div, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 674:45] + d_d.i0div <= _T_725 @[el2_dec_decode_ctl.scala 674:29] + node _T_726 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 676:56] + d_d.csrwen <= _T_726 @[el2_dec_decode_ctl.scala 676:29] + node _T_727 = and(i0_csr_write_only_d, io.dec_i0_decode_d) @[el2_dec_decode_ctl.scala 677:53] + d_d.csrwonly <= _T_727 @[el2_dec_decode_ctl.scala 677:29] + node _T_728 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 678:35] + d_d.csrwaddr <= _T_728 @[el2_dec_decode_ctl.scala 678:29] + node _T_729 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 680:34] + inst rvclkhdr_6 of rvclkhdr_7 @[el2_lib.scala 495:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[el2_lib.scala 497:18] + rvclkhdr_6.io.en <= _T_729 @[el2_lib.scala 498:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 499:24] + wire _T_730 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_lib.scala 501:33] + _T_730.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 501:33] + _T_730.csrwonly <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.csrwen <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.i0valid <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.i0v <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.i0div <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.i0store <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.i0load <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.i0rd <= UInt<5>("h00") @[el2_lib.scala 501:33] + reg _T_731 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}, rvclkhdr_6.io.l1clk with : (reset => (reset, _T_730)) @[el2_lib.scala 501:16] + _T_731.csrwaddr <= d_d.csrwaddr @[el2_lib.scala 501:16] + _T_731.csrwonly <= d_d.csrwonly @[el2_lib.scala 501:16] + _T_731.csrwen <= d_d.csrwen @[el2_lib.scala 501:16] + _T_731.i0valid <= d_d.i0valid @[el2_lib.scala 501:16] + _T_731.i0v <= d_d.i0v @[el2_lib.scala 501:16] + _T_731.i0div <= d_d.i0div @[el2_lib.scala 501:16] + _T_731.i0store <= d_d.i0store @[el2_lib.scala 501:16] + _T_731.i0load <= d_d.i0load @[el2_lib.scala 501:16] + _T_731.i0rd <= d_d.i0rd @[el2_lib.scala 501:16] + x_d.csrwaddr <= _T_731.csrwaddr @[el2_dec_decode_ctl.scala 680:7] + x_d.csrwonly <= _T_731.csrwonly @[el2_dec_decode_ctl.scala 680:7] + x_d.csrwen <= _T_731.csrwen @[el2_dec_decode_ctl.scala 680:7] + x_d.i0valid <= _T_731.i0valid @[el2_dec_decode_ctl.scala 680:7] + x_d.i0v <= _T_731.i0v @[el2_dec_decode_ctl.scala 680:7] + x_d.i0div <= _T_731.i0div @[el2_dec_decode_ctl.scala 680:7] + x_d.i0store <= _T_731.i0store @[el2_dec_decode_ctl.scala 680:7] + x_d.i0load <= _T_731.i0load @[el2_dec_decode_ctl.scala 680:7] + x_d.i0rd <= _T_731.i0rd @[el2_dec_decode_ctl.scala 680:7] + wire x_d_in : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 681:20] + x_d_in.csrwaddr <= x_d.csrwaddr @[el2_dec_decode_ctl.scala 682:10] + x_d_in.csrwonly <= x_d.csrwonly @[el2_dec_decode_ctl.scala 682:10] + x_d_in.csrwen <= x_d.csrwen @[el2_dec_decode_ctl.scala 682:10] + x_d_in.i0valid <= x_d.i0valid @[el2_dec_decode_ctl.scala 682:10] + x_d_in.i0v <= x_d.i0v @[el2_dec_decode_ctl.scala 682:10] + x_d_in.i0div <= x_d.i0div @[el2_dec_decode_ctl.scala 682:10] + x_d_in.i0store <= x_d.i0store @[el2_dec_decode_ctl.scala 682:10] + x_d_in.i0load <= x_d.i0load @[el2_dec_decode_ctl.scala 682:10] + x_d_in.i0rd <= x_d.i0rd @[el2_dec_decode_ctl.scala 682:10] + node _T_732 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 683:39] + node _T_733 = and(x_d.i0v, _T_732) @[el2_dec_decode_ctl.scala 683:37] + node _T_734 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 683:68] + node _T_735 = and(_T_733, _T_734) @[el2_dec_decode_ctl.scala 683:66] + x_d_in.i0v <= _T_735 @[el2_dec_decode_ctl.scala 683:22] + node _T_736 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 684:39] + node _T_737 = and(x_d.i0valid, _T_736) @[el2_dec_decode_ctl.scala 684:37] + node _T_738 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 684:68] + node _T_739 = and(_T_737, _T_738) @[el2_dec_decode_ctl.scala 684:66] + x_d_in.i0valid <= _T_739 @[el2_dec_decode_ctl.scala 684:22] + node _T_740 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 686:36] + inst rvclkhdr_7 of rvclkhdr_8 @[el2_lib.scala 495:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[el2_lib.scala 497:18] + rvclkhdr_7.io.en <= _T_740 @[el2_lib.scala 498:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 499:24] + wire _T_741 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_lib.scala 501:33] + _T_741.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 501:33] + _T_741.csrwonly <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.csrwen <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.i0valid <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.i0v <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.i0div <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.i0store <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.i0load <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.i0rd <= UInt<5>("h00") @[el2_lib.scala 501:33] + reg _T_742 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_741)) @[el2_lib.scala 501:16] + _T_742.csrwaddr <= x_d_in.csrwaddr @[el2_lib.scala 501:16] + _T_742.csrwonly <= x_d_in.csrwonly @[el2_lib.scala 501:16] + _T_742.csrwen <= x_d_in.csrwen @[el2_lib.scala 501:16] + _T_742.i0valid <= x_d_in.i0valid @[el2_lib.scala 501:16] + _T_742.i0v <= x_d_in.i0v @[el2_lib.scala 501:16] + _T_742.i0div <= x_d_in.i0div @[el2_lib.scala 501:16] + _T_742.i0store <= x_d_in.i0store @[el2_lib.scala 501:16] + _T_742.i0load <= x_d_in.i0load @[el2_lib.scala 501:16] + _T_742.i0rd <= x_d_in.i0rd @[el2_lib.scala 501:16] + r_d.csrwaddr <= _T_742.csrwaddr @[el2_dec_decode_ctl.scala 686:7] + r_d.csrwonly <= _T_742.csrwonly @[el2_dec_decode_ctl.scala 686:7] + r_d.csrwen <= _T_742.csrwen @[el2_dec_decode_ctl.scala 686:7] + r_d.i0valid <= _T_742.i0valid @[el2_dec_decode_ctl.scala 686:7] + r_d.i0v <= _T_742.i0v @[el2_dec_decode_ctl.scala 686:7] + r_d.i0div <= _T_742.i0div @[el2_dec_decode_ctl.scala 686:7] + r_d.i0store <= _T_742.i0store @[el2_dec_decode_ctl.scala 686:7] + r_d.i0load <= _T_742.i0load @[el2_dec_decode_ctl.scala 686:7] + r_d.i0rd <= _T_742.i0rd @[el2_dec_decode_ctl.scala 686:7] + r_d_in.csrwaddr <= r_d.csrwaddr @[el2_dec_decode_ctl.scala 687:10] + r_d_in.csrwonly <= r_d.csrwonly @[el2_dec_decode_ctl.scala 687:10] + r_d_in.csrwen <= r_d.csrwen @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0valid <= r_d.i0valid @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0v <= r_d.i0v @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0div <= r_d.i0div @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0store <= r_d.i0store @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0load <= r_d.i0load @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0rd <= r_d.i0rd @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0rd <= r_d.i0rd @[el2_dec_decode_ctl.scala 688:17] + node _T_743 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 690:41] + node _T_744 = and(r_d.i0v, _T_743) @[el2_dec_decode_ctl.scala 690:39] + r_d_in.i0v <= _T_744 @[el2_dec_decode_ctl.scala 690:22] + node _T_745 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 691:41] + node _T_746 = and(r_d.i0valid, _T_745) @[el2_dec_decode_ctl.scala 691:39] + r_d_in.i0valid <= _T_746 @[el2_dec_decode_ctl.scala 691:22] + node _T_747 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 692:41] + node _T_748 = and(r_d.i0load, _T_747) @[el2_dec_decode_ctl.scala 692:39] + r_d_in.i0load <= _T_748 @[el2_dec_decode_ctl.scala 692:22] + node _T_749 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 693:41] + node _T_750 = and(r_d.i0store, _T_749) @[el2_dec_decode_ctl.scala 693:39] + r_d_in.i0store <= _T_750 @[el2_dec_decode_ctl.scala 693:22] + node _T_751 = bits(i0_wb_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 695:37] + inst rvclkhdr_8 of rvclkhdr_9 @[el2_lib.scala 495:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[el2_lib.scala 497:18] + rvclkhdr_8.io.en <= _T_751 @[el2_lib.scala 498:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 499:24] + wire _T_752 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_lib.scala 501:33] + _T_752.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 501:33] + _T_752.csrwonly <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.csrwen <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.i0valid <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.i0v <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.i0div <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.i0store <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.i0load <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.i0rd <= UInt<5>("h00") @[el2_lib.scala 501:33] + reg _T_753 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_752)) @[el2_lib.scala 501:16] + _T_753.csrwaddr <= r_d_in.csrwaddr @[el2_lib.scala 501:16] + _T_753.csrwonly <= r_d_in.csrwonly @[el2_lib.scala 501:16] + _T_753.csrwen <= r_d_in.csrwen @[el2_lib.scala 501:16] + _T_753.i0valid <= r_d_in.i0valid @[el2_lib.scala 501:16] + _T_753.i0v <= r_d_in.i0v @[el2_lib.scala 501:16] + _T_753.i0div <= r_d_in.i0div @[el2_lib.scala 501:16] + _T_753.i0store <= r_d_in.i0store @[el2_lib.scala 501:16] + _T_753.i0load <= r_d_in.i0load @[el2_lib.scala 501:16] + _T_753.i0rd <= r_d_in.i0rd @[el2_lib.scala 501:16] + wbd.csrwaddr <= _T_753.csrwaddr @[el2_dec_decode_ctl.scala 695:7] + wbd.csrwonly <= _T_753.csrwonly @[el2_dec_decode_ctl.scala 695:7] + wbd.csrwen <= _T_753.csrwen @[el2_dec_decode_ctl.scala 695:7] + wbd.i0valid <= _T_753.i0valid @[el2_dec_decode_ctl.scala 695:7] + wbd.i0v <= _T_753.i0v @[el2_dec_decode_ctl.scala 695:7] + wbd.i0div <= _T_753.i0div @[el2_dec_decode_ctl.scala 695:7] + wbd.i0store <= _T_753.i0store @[el2_dec_decode_ctl.scala 695:7] + wbd.i0load <= _T_753.i0load @[el2_dec_decode_ctl.scala 695:7] + wbd.i0rd <= _T_753.i0rd @[el2_dec_decode_ctl.scala 695:7] + io.dec_i0_waddr_r <= r_d_in.i0rd @[el2_dec_decode_ctl.scala 697:27] + node _T_754 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 698:42] + node _T_755 = and(r_d_in.i0v, _T_754) @[el2_dec_decode_ctl.scala 698:40] + i0_wen_r <= _T_755 @[el2_dec_decode_ctl.scala 698:25] + node _T_756 = eq(r_d_in.i0div, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 699:49] + node _T_757 = and(i0_wen_r, _T_756) @[el2_dec_decode_ctl.scala 699:47] + node _T_758 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 699:65] + node _T_759 = and(_T_757, _T_758) @[el2_dec_decode_ctl.scala 699:63] + io.dec_i0_wen_r <= _T_759 @[el2_dec_decode_ctl.scala 699:32] + io.dec_i0_wdata_r <= i0_result_corr_r @[el2_dec_decode_ctl.scala 700:26] + node _T_760 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 702:57] + inst rvclkhdr_9 of rvclkhdr_10 @[el2_lib.scala 485:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_9.io.en <= _T_760 @[el2_lib.scala 488:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg i0_result_r_raw : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + i0_result_r_raw <= i0_result_x @[el2_lib.scala 491:16] + node _T_761 = and(x_d.i0v, x_d.i0load) @[el2_dec_decode_ctl.scala 708:42] + node _T_762 = bits(_T_761, 0, 0) @[el2_dec_decode_ctl.scala 708:56] + node _T_763 = mux(_T_762, io.lsu_result_m, io.exu_i0_result_x) @[el2_dec_decode_ctl.scala 708:32] + i0_result_x <= _T_763 @[el2_dec_decode_ctl.scala 708:26] + i0_result_r <= i0_result_r_raw @[el2_dec_decode_ctl.scala 709:26] + node _T_764 = and(r_d.i0v, r_d.i0load) @[el2_dec_decode_ctl.scala 713:37] + node _T_765 = bits(_T_764, 0, 0) @[el2_dec_decode_ctl.scala 713:51] + node _T_766 = mux(_T_765, io.lsu_result_corr_r, i0_result_r_raw) @[el2_dec_decode_ctl.scala 713:27] + i0_result_corr_r <= _T_766 @[el2_dec_decode_ctl.scala 713:21] + node _T_767 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 714:54] + node _T_768 = and(io.i0_ap.predict_nt, _T_767) @[el2_dec_decode_ctl.scala 714:52] + node _T_769 = bits(_T_768, 0, 0) @[el2_dec_decode_ctl.scala 714:66] + wire _T_770 : UInt<1>[10] @[el2_lib.scala 161:48] + _T_770[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_771 = cat(_T_770[0], _T_770[1]) @[Cat.scala 29:58] + node _T_772 = cat(_T_771, _T_770[2]) @[Cat.scala 29:58] + node _T_773 = cat(_T_772, _T_770[3]) @[Cat.scala 29:58] + node _T_774 = cat(_T_773, _T_770[4]) @[Cat.scala 29:58] + node _T_775 = cat(_T_774, _T_770[5]) @[Cat.scala 29:58] + node _T_776 = cat(_T_775, _T_770[6]) @[Cat.scala 29:58] + node _T_777 = cat(_T_776, _T_770[7]) @[Cat.scala 29:58] + node _T_778 = cat(_T_777, _T_770[8]) @[Cat.scala 29:58] + node _T_779 = cat(_T_778, _T_770[9]) @[Cat.scala 29:58] + node _T_780 = cat(_T_779, io.dec_i0_pc4_d) @[Cat.scala 29:58] + node _T_781 = cat(_T_780, i0_ap_pc2) @[Cat.scala 29:58] + node _T_782 = mux(_T_769, i0_br_offset, _T_781) @[el2_dec_decode_ctl.scala 714:30] + io.dec_i0_br_immed_d <= _T_782 @[el2_dec_decode_ctl.scala 714:24] + wire last_br_immed_d : UInt<12> + last_br_immed_d <= UInt<1>("h00") + node _T_783 = bits(io.i0_ap.predict_nt, 0, 0) @[el2_dec_decode_ctl.scala 716:48] + wire _T_784 : UInt<1>[10] @[el2_lib.scala 161:48] + _T_784[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_785 = cat(_T_784[0], _T_784[1]) @[Cat.scala 29:58] + node _T_786 = cat(_T_785, _T_784[2]) @[Cat.scala 29:58] + node _T_787 = cat(_T_786, _T_784[3]) @[Cat.scala 29:58] + node _T_788 = cat(_T_787, _T_784[4]) @[Cat.scala 29:58] + node _T_789 = cat(_T_788, _T_784[5]) @[Cat.scala 29:58] + node _T_790 = cat(_T_789, _T_784[6]) @[Cat.scala 29:58] + node _T_791 = cat(_T_790, _T_784[7]) @[Cat.scala 29:58] + node _T_792 = cat(_T_791, _T_784[8]) @[Cat.scala 29:58] + node _T_793 = cat(_T_792, _T_784[9]) @[Cat.scala 29:58] + node _T_794 = cat(_T_793, io.dec_i0_pc4_d) @[Cat.scala 29:58] + node _T_795 = cat(_T_794, i0_ap_pc2) @[Cat.scala 29:58] + node _T_796 = mux(_T_783, _T_795, i0_br_offset) @[el2_dec_decode_ctl.scala 716:25] + last_br_immed_d <= _T_796 @[el2_dec_decode_ctl.scala 716:19] + wire last_br_immed_x : UInt<12> + last_br_immed_x <= UInt<1>("h00") + node _T_797 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 718:58] + inst rvclkhdr_10 of rvclkhdr_11 @[el2_lib.scala 485:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_10.io.en <= _T_797 @[el2_lib.scala 488:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_798 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_798 <= last_br_immed_d @[el2_lib.scala 491:16] + last_br_immed_x <= _T_798 @[el2_dec_decode_ctl.scala 718:19] + node _T_799 = and(x_d.i0div, x_d.i0valid) @[el2_dec_decode_ctl.scala 722:40] + node _T_800 = and(r_d.i0div, r_d.i0valid) @[el2_dec_decode_ctl.scala 722:68] + node div_e1_to_r = or(_T_799, _T_800) @[el2_dec_decode_ctl.scala 722:55] + node _T_801 = and(x_d.i0div, x_d.i0valid) @[el2_dec_decode_ctl.scala 724:43] + node _T_802 = eq(x_d.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 724:69] + node _T_803 = and(_T_801, _T_802) @[el2_dec_decode_ctl.scala 724:57] + node _T_804 = and(x_d.i0div, x_d.i0valid) @[el2_dec_decode_ctl.scala 725:16] + node _T_805 = and(_T_804, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 725:30] + node _T_806 = or(_T_803, _T_805) @[el2_dec_decode_ctl.scala 724:86] + node _T_807 = and(r_d.i0div, r_d.i0valid) @[el2_dec_decode_ctl.scala 726:16] + node _T_808 = and(_T_807, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 726:30] + node _T_809 = and(_T_808, io.dec_tlu_i0_kill_writeb_r) @[el2_dec_decode_ctl.scala 726:57] + node div_flush = or(_T_806, _T_809) @[el2_dec_decode_ctl.scala 725:59] + node _T_810 = and(io.dec_div_active, div_flush) @[el2_dec_decode_ctl.scala 730:51] + node _T_811 = eq(div_e1_to_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 731:26] + node _T_812 = and(io.dec_div_active, _T_811) @[el2_dec_decode_ctl.scala 731:24] + node _T_813 = eq(r_d.i0rd, io.div_waddr_wb) @[el2_dec_decode_ctl.scala 731:51] + node _T_814 = and(_T_812, _T_813) @[el2_dec_decode_ctl.scala 731:39] + node _T_815 = and(_T_814, i0_wen_r) @[el2_dec_decode_ctl.scala 731:72] + node nonblock_div_cancel = or(_T_810, _T_815) @[el2_dec_decode_ctl.scala 730:65] + node _T_816 = bits(nonblock_div_cancel, 0, 0) @[el2_dec_decode_ctl.scala 733:53] + io.dec_div_cancel <= _T_816 @[el2_dec_decode_ctl.scala 733:29] + node i0_div_decode_d = and(i0_legal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 734:55] + node _T_817 = eq(io.exu_div_wren, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 736:62] + node _T_818 = and(io.dec_div_active, _T_817) @[el2_dec_decode_ctl.scala 736:60] + node _T_819 = eq(nonblock_div_cancel, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 736:81] + node _T_820 = and(_T_818, _T_819) @[el2_dec_decode_ctl.scala 736:79] + node div_active_in = or(i0_div_decode_d, _T_820) @[el2_dec_decode_ctl.scala 736:39] + reg _T_821 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 738:54] + _T_821 <= div_active_in @[el2_dec_decode_ctl.scala 738:54] + io.dec_div_active <= _T_821 @[el2_dec_decode_ctl.scala 738:21] + node _T_822 = and(io.dec_i0_rs1_en_d, io.dec_div_active) @[el2_dec_decode_ctl.scala 741:49] + node _T_823 = eq(io.div_waddr_wb, i0r.rs1) @[el2_dec_decode_ctl.scala 741:88] + node _T_824 = and(_T_822, _T_823) @[el2_dec_decode_ctl.scala 741:69] + node _T_825 = and(io.dec_i0_rs2_en_d, io.dec_div_active) @[el2_dec_decode_ctl.scala 742:25] + node _T_826 = eq(io.div_waddr_wb, i0r.rs2) @[el2_dec_decode_ctl.scala 742:64] + node _T_827 = and(_T_825, _T_826) @[el2_dec_decode_ctl.scala 742:45] + node _T_828 = or(_T_824, _T_827) @[el2_dec_decode_ctl.scala 741:102] + i0_nonblock_div_stall <= _T_828 @[el2_dec_decode_ctl.scala 741:26] + node _T_829 = bits(i0_div_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 744:59] + reg _T_830 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_829 : @[Reg.scala 28:19] + _T_830 <= i0r.rd @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + io.div_waddr_wb <= _T_830 @[el2_dec_decode_ctl.scala 744:19] + node _T_831 = bits(i0_inst_d, 24, 7) @[el2_dec_decode_ctl.scala 751:34] + node _T_832 = bits(i0_div_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 751:57] + inst rvclkhdr_11 of rvclkhdr_12 @[el2_lib.scala 485:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_11.io.en <= _T_832 @[el2_lib.scala 488:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg div_inst : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + div_inst <= _T_831 @[el2_lib.scala 491:16] + node _T_833 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 752:49] + inst rvclkhdr_12 of rvclkhdr_13 @[el2_lib.scala 485:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_12.io.en <= _T_833 @[el2_lib.scala 488:17] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg i0_inst_x : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + i0_inst_x <= i0_inst_d @[el2_lib.scala 491:16] + node _T_834 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 753:49] + inst rvclkhdr_13 of rvclkhdr_14 @[el2_lib.scala 485:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_13.io.en <= _T_834 @[el2_lib.scala 488:17] + rvclkhdr_13.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg i0_inst_r : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + i0_inst_r <= i0_inst_x @[el2_lib.scala 491:16] + node _T_835 = bits(i0_wb_data_en, 0, 0) @[el2_dec_decode_ctl.scala 755:50] + inst rvclkhdr_14 of rvclkhdr_15 @[el2_lib.scala 485:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_14.io.en <= _T_835 @[el2_lib.scala 488:17] + rvclkhdr_14.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg i0_inst_wb : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + i0_inst_wb <= i0_inst_r @[el2_lib.scala 491:16] + node _T_836 = bits(i0_wb1_data_en, 0, 0) @[el2_dec_decode_ctl.scala 756:53] + inst rvclkhdr_15 of rvclkhdr_16 @[el2_lib.scala 485:23] + rvclkhdr_15.clock <= clock + rvclkhdr_15.reset <= reset + rvclkhdr_15.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_15.io.en <= _T_836 @[el2_lib.scala 488:17] + rvclkhdr_15.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_837 : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_837 <= i0_inst_wb @[el2_lib.scala 491:16] + io.dec_i0_inst_wb1 <= _T_837 @[el2_dec_decode_ctl.scala 756:22] + node _T_838 = bits(i0_wb_data_en, 0, 0) @[el2_dec_decode_ctl.scala 757:53] + inst rvclkhdr_16 of rvclkhdr_17 @[el2_lib.scala 485:23] + rvclkhdr_16.clock <= clock + rvclkhdr_16.reset <= reset + rvclkhdr_16.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_16.io.en <= _T_838 @[el2_lib.scala 488:17] + rvclkhdr_16.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg i0_pc_wb : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + i0_pc_wb <= io.dec_tlu_i0_pc_r @[el2_lib.scala 491:16] + node _T_839 = bits(i0_wb1_data_en, 0, 0) @[el2_dec_decode_ctl.scala 759:49] + inst rvclkhdr_17 of rvclkhdr_18 @[el2_lib.scala 485:23] + rvclkhdr_17.clock <= clock + rvclkhdr_17.reset <= reset + rvclkhdr_17.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_17.io.en <= _T_839 @[el2_lib.scala 488:17] + rvclkhdr_17.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_840 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_840 <= i0_pc_wb @[el2_lib.scala 491:16] + io.dec_i0_pc_wb1 <= _T_840 @[el2_dec_decode_ctl.scala 759:20] + node _T_841 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 760:56] + inst rvclkhdr_18 of rvclkhdr_19 @[el2_lib.scala 485:23] + rvclkhdr_18.clock <= clock + rvclkhdr_18.reset <= reset + rvclkhdr_18.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_18.io.en <= _T_841 @[el2_lib.scala 488:17] + rvclkhdr_18.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg dec_i0_pc_r : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + dec_i0_pc_r <= io.exu_i0_pc_x @[el2_lib.scala 491:16] + io.dec_tlu_i0_pc_r <= dec_i0_pc_r @[el2_dec_decode_ctl.scala 762:27] + node _T_842 = cat(io.exu_i0_pc_x, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_843 = cat(last_br_immed_x, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_844 = bits(_T_842, 12, 1) @[el2_lib.scala 206:24] + node _T_845 = bits(_T_843, 12, 1) @[el2_lib.scala 206:40] + node _T_846 = add(_T_844, _T_845) @[el2_lib.scala 206:31] + node _T_847 = bits(_T_842, 31, 13) @[el2_lib.scala 207:20] + node _T_848 = add(_T_847, UInt<1>("h01")) @[el2_lib.scala 207:27] + node _T_849 = tail(_T_848, 1) @[el2_lib.scala 207:27] + node _T_850 = bits(_T_842, 31, 13) @[el2_lib.scala 208:20] + node _T_851 = sub(_T_850, UInt<1>("h01")) @[el2_lib.scala 208:27] + node _T_852 = tail(_T_851, 1) @[el2_lib.scala 208:27] + node _T_853 = bits(_T_843, 12, 12) @[el2_lib.scala 209:22] + node _T_854 = bits(_T_846, 12, 12) @[el2_lib.scala 210:39] + node _T_855 = eq(_T_854, UInt<1>("h00")) @[el2_lib.scala 210:28] + node _T_856 = xor(_T_853, _T_855) @[el2_lib.scala 210:26] + node _T_857 = bits(_T_856, 0, 0) @[el2_lib.scala 210:64] + node _T_858 = bits(_T_842, 31, 13) @[el2_lib.scala 210:76] + node _T_859 = eq(_T_853, UInt<1>("h00")) @[el2_lib.scala 211:20] + node _T_860 = bits(_T_846, 12, 12) @[el2_lib.scala 211:39] + node _T_861 = and(_T_859, _T_860) @[el2_lib.scala 211:26] + node _T_862 = bits(_T_861, 0, 0) @[el2_lib.scala 211:64] + node _T_863 = bits(_T_846, 12, 12) @[el2_lib.scala 212:39] + node _T_864 = eq(_T_863, UInt<1>("h00")) @[el2_lib.scala 212:28] + node _T_865 = and(_T_853, _T_864) @[el2_lib.scala 212:26] + node _T_866 = bits(_T_865, 0, 0) @[el2_lib.scala 212:64] + node _T_867 = mux(_T_857, _T_858, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_868 = mux(_T_862, _T_849, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_869 = mux(_T_866, _T_852, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_870 = or(_T_867, _T_868) @[Mux.scala 27:72] + node _T_871 = or(_T_870, _T_869) @[Mux.scala 27:72] + wire _T_872 : UInt<19> @[Mux.scala 27:72] + _T_872 <= _T_871 @[Mux.scala 27:72] + node _T_873 = bits(_T_846, 11, 0) @[el2_lib.scala 212:94] + node _T_874 = cat(_T_872, _T_873) @[Cat.scala 29:58] + node temp_pred_correct_npc_x = cat(_T_874, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_875 = bits(temp_pred_correct_npc_x, 31, 1) @[el2_dec_decode_ctl.scala 767:51] + io.pred_correct_npc_x <= _T_875 @[el2_dec_decode_ctl.scala 767:25] + node _T_876 = and(io.dec_i0_rs1_en_d, x_d.i0v) @[el2_dec_decode_ctl.scala 771:48] + node _T_877 = eq(x_d.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 771:70] + node i0_rs1_depend_i0_x = and(_T_876, _T_877) @[el2_dec_decode_ctl.scala 771:58] + node _T_878 = and(io.dec_i0_rs1_en_d, r_d.i0v) @[el2_dec_decode_ctl.scala 772:48] + node _T_879 = eq(r_d.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 772:70] + node i0_rs1_depend_i0_r = and(_T_878, _T_879) @[el2_dec_decode_ctl.scala 772:58] + node _T_880 = and(io.dec_i0_rs2_en_d, x_d.i0v) @[el2_dec_decode_ctl.scala 774:48] + node _T_881 = eq(x_d.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 774:70] + node i0_rs2_depend_i0_x = and(_T_880, _T_881) @[el2_dec_decode_ctl.scala 774:58] + node _T_882 = and(io.dec_i0_rs2_en_d, r_d.i0v) @[el2_dec_decode_ctl.scala 775:48] + node _T_883 = eq(r_d.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 775:70] + node i0_rs2_depend_i0_r = and(_T_882, _T_883) @[el2_dec_decode_ctl.scala 775:58] + node _T_884 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 777:44] + node _T_885 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 777:81] + wire _T_886 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 777:109] + _T_886.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 777:109] + _T_886.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 777:109] + _T_886.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 777:109] + node _T_887 = mux(_T_885, i0_r_c, _T_886) @[el2_dec_decode_ctl.scala 777:61] + node _T_888 = mux(_T_884, i0_x_c, _T_887) @[el2_dec_decode_ctl.scala 777:24] + i0_rs1_class_d.alu <= _T_888.alu @[el2_dec_decode_ctl.scala 777:18] + i0_rs1_class_d.load <= _T_888.load @[el2_dec_decode_ctl.scala 777:18] + i0_rs1_class_d.mul <= _T_888.mul @[el2_dec_decode_ctl.scala 777:18] + node _T_889 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 778:44] + node _T_890 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 778:83] + node _T_891 = mux(_T_890, UInt<2>("h02"), UInt<1>("h00")) @[el2_dec_decode_ctl.scala 778:63] + node _T_892 = mux(_T_889, UInt<2>("h01"), _T_891) @[el2_dec_decode_ctl.scala 778:24] + i0_rs1_depth_d <= _T_892 @[el2_dec_decode_ctl.scala 778:18] + node _T_893 = bits(i0_rs2_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 779:44] + node _T_894 = bits(i0_rs2_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 779:81] + wire _T_895 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 779:109] + _T_895.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 779:109] + _T_895.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 779:109] + _T_895.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 779:109] + node _T_896 = mux(_T_894, i0_r_c, _T_895) @[el2_dec_decode_ctl.scala 779:61] + node _T_897 = mux(_T_893, i0_x_c, _T_896) @[el2_dec_decode_ctl.scala 779:24] + i0_rs2_class_d.alu <= _T_897.alu @[el2_dec_decode_ctl.scala 779:18] + i0_rs2_class_d.load <= _T_897.load @[el2_dec_decode_ctl.scala 779:18] + i0_rs2_class_d.mul <= _T_897.mul @[el2_dec_decode_ctl.scala 779:18] + node _T_898 = bits(i0_rs2_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 780:44] + node _T_899 = bits(i0_rs2_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 780:83] + node _T_900 = mux(_T_899, UInt<2>("h02"), UInt<1>("h00")) @[el2_dec_decode_ctl.scala 780:63] + node _T_901 = mux(_T_898, UInt<2>("h01"), _T_900) @[el2_dec_decode_ctl.scala 780:24] + i0_rs2_depth_d <= _T_901 @[el2_dec_decode_ctl.scala 780:18] + i0_load_block_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 790:21] + node _T_902 = or(i0_dp.load, i0_dp.store) @[el2_dec_decode_ctl.scala 791:43] + node _T_903 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 791:74] + node _T_904 = and(_T_902, _T_903) @[el2_dec_decode_ctl.scala 791:58] + node _T_905 = and(_T_904, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 791:78] + load_ldst_bypass_d <= _T_905 @[el2_dec_decode_ctl.scala 791:27] + node _T_906 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 792:59] + node _T_907 = and(i0_dp.store, _T_906) @[el2_dec_decode_ctl.scala 792:43] + node _T_908 = and(_T_907, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 792:63] + store_data_bypass_d <= _T_908 @[el2_dec_decode_ctl.scala 792:25] + store_data_bypass_m <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 793:25] + node _T_909 = and(io.dec_i0_rs1_en_d, io.dec_nonblock_load_wen) @[el2_dec_decode_ctl.scala 797:62] + node _T_910 = eq(io.dec_nonblock_load_waddr, i0r.rs1) @[el2_dec_decode_ctl.scala 797:119] + node i0_rs1_nonblock_load_bypass_en_d = and(_T_909, _T_910) @[el2_dec_decode_ctl.scala 797:89] + node _T_911 = and(io.dec_i0_rs2_en_d, io.dec_nonblock_load_wen) @[el2_dec_decode_ctl.scala 799:62] + node _T_912 = eq(io.dec_nonblock_load_waddr, i0r.rs2) @[el2_dec_decode_ctl.scala 799:119] + node i0_rs2_nonblock_load_bypass_en_d = and(_T_911, _T_912) @[el2_dec_decode_ctl.scala 799:89] + node _T_913 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 802:41] + node _T_914 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[el2_dec_decode_ctl.scala 802:66] + node _T_915 = and(_T_913, _T_914) @[el2_dec_decode_ctl.scala 802:45] + node _T_916 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 802:104] + node _T_917 = and(_T_916, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 802:108] + node _T_918 = bits(i0_rs1_depth_d, 1, 1) @[el2_dec_decode_ctl.scala 802:149] + node _T_919 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[el2_dec_decode_ctl.scala 802:175] + node _T_920 = or(_T_919, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 802:196] + node _T_921 = and(_T_918, _T_920) @[el2_dec_decode_ctl.scala 802:153] + node _T_922 = cat(_T_915, _T_917) @[Cat.scala 29:58] + node _T_923 = cat(_T_922, _T_921) @[Cat.scala 29:58] + i0_rs1bypass <= _T_923 @[el2_dec_decode_ctl.scala 802:18] + node _T_924 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 804:41] + node _T_925 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[el2_dec_decode_ctl.scala 804:67] + node _T_926 = and(_T_924, _T_925) @[el2_dec_decode_ctl.scala 804:45] + node _T_927 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 804:105] + node _T_928 = and(_T_927, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 804:109] + node _T_929 = bits(i0_rs2_depth_d, 1, 1) @[el2_dec_decode_ctl.scala 804:149] + node _T_930 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[el2_dec_decode_ctl.scala 804:175] + node _T_931 = or(_T_930, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 804:196] + node _T_932 = and(_T_929, _T_931) @[el2_dec_decode_ctl.scala 804:153] + node _T_933 = cat(_T_926, _T_928) @[Cat.scala 29:58] + node _T_934 = cat(_T_933, _T_932) @[Cat.scala 29:58] + i0_rs2bypass <= _T_934 @[el2_dec_decode_ctl.scala 804:18] + node _T_935 = bits(i0_rs1bypass, 2, 2) @[el2_dec_decode_ctl.scala 806:54] + node _T_936 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 806:71] + node _T_937 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 806:89] + node _T_938 = or(_T_936, _T_937) @[el2_dec_decode_ctl.scala 806:75] + node _T_939 = bits(i0_rs1bypass, 2, 2) @[el2_dec_decode_ctl.scala 806:109] + node _T_940 = eq(_T_939, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 806:96] + node _T_941 = and(_T_940, i0_rs1_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 806:113] + node _T_942 = or(_T_938, _T_941) @[el2_dec_decode_ctl.scala 806:93] + node _T_943 = cat(_T_935, _T_942) @[Cat.scala 29:58] + io.dec_i0_rs1_bypass_en_d <= _T_943 @[el2_dec_decode_ctl.scala 806:34] + node _T_944 = bits(i0_rs2bypass, 2, 2) @[el2_dec_decode_ctl.scala 807:54] + node _T_945 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 807:71] + node _T_946 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 807:89] + node _T_947 = or(_T_945, _T_946) @[el2_dec_decode_ctl.scala 807:75] + node _T_948 = bits(i0_rs2bypass, 2, 2) @[el2_dec_decode_ctl.scala 807:109] + node _T_949 = eq(_T_948, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 807:96] + node _T_950 = and(_T_949, i0_rs2_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 807:113] + node _T_951 = or(_T_947, _T_950) @[el2_dec_decode_ctl.scala 807:93] + node _T_952 = cat(_T_944, _T_951) @[Cat.scala 29:58] + io.dec_i0_rs2_bypass_en_d <= _T_952 @[el2_dec_decode_ctl.scala 807:34] + node _T_953 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 810:17] + node _T_954 = bits(_T_953, 0, 0) @[el2_dec_decode_ctl.scala 810:21] + node _T_955 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 811:17] + node _T_956 = bits(_T_955, 0, 0) @[el2_dec_decode_ctl.scala 811:21] + node _T_957 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 812:19] + node _T_958 = eq(_T_957, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 812:6] + node _T_959 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 812:38] + node _T_960 = eq(_T_959, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 812:25] + node _T_961 = and(_T_958, _T_960) @[el2_dec_decode_ctl.scala 812:23] + node _T_962 = and(_T_961, i0_rs1_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 812:42] + node _T_963 = bits(_T_962, 0, 0) @[el2_dec_decode_ctl.scala 812:78] + node _T_964 = mux(_T_954, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_965 = mux(_T_956, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_966 = mux(_T_963, io.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_967 = or(_T_964, _T_965) @[Mux.scala 27:72] + node _T_968 = or(_T_967, _T_966) @[Mux.scala 27:72] + wire _T_969 : UInt<32> @[Mux.scala 27:72] + _T_969 <= _T_968 @[Mux.scala 27:72] + io.dec_i0_rs1_bypass_data_d <= _T_969 @[el2_dec_decode_ctl.scala 809:31] + node _T_970 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 815:17] + node _T_971 = bits(_T_970, 0, 0) @[el2_dec_decode_ctl.scala 815:21] + node _T_972 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 816:17] + node _T_973 = bits(_T_972, 0, 0) @[el2_dec_decode_ctl.scala 816:21] + node _T_974 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 817:19] + node _T_975 = eq(_T_974, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 817:6] + node _T_976 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 817:38] + node _T_977 = eq(_T_976, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 817:25] + node _T_978 = and(_T_975, _T_977) @[el2_dec_decode_ctl.scala 817:23] + node _T_979 = and(_T_978, i0_rs2_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 817:42] + node _T_980 = bits(_T_979, 0, 0) @[el2_dec_decode_ctl.scala 817:78] + node _T_981 = mux(_T_971, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_982 = mux(_T_973, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_983 = mux(_T_980, io.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_984 = or(_T_981, _T_982) @[Mux.scala 27:72] + node _T_985 = or(_T_984, _T_983) @[Mux.scala 27:72] + wire _T_986 : UInt<32> @[Mux.scala 27:72] + _T_986 <= _T_985 @[Mux.scala 27:72] + io.dec_i0_rs2_bypass_data_d <= _T_986 @[el2_dec_decode_ctl.scala 814:31] + node _T_987 = or(i0_dp_raw.load, i0_dp_raw.store) @[el2_dec_decode_ctl.scala 819:68] + node _T_988 = and(io.dec_ib0_valid_d, _T_987) @[el2_dec_decode_ctl.scala 819:50] + node _T_989 = eq(io.dma_dccm_stall_any, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 819:89] + node _T_990 = and(_T_988, _T_989) @[el2_dec_decode_ctl.scala 819:87] + node _T_991 = eq(i0_block_raw_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 819:114] + node _T_992 = and(_T_990, _T_991) @[el2_dec_decode_ctl.scala 819:112] + node _T_993 = or(_T_992, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 819:131] + io.dec_lsu_valid_raw_d <= _T_993 @[el2_dec_decode_ctl.scala 819:26] + node _T_994 = eq(io.dec_extint_stall, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 821:6] + node _T_995 = and(_T_994, i0_dp.lsu) @[el2_dec_decode_ctl.scala 821:27] + node _T_996 = and(_T_995, i0_dp.load) @[el2_dec_decode_ctl.scala 821:39] + node _T_997 = bits(_T_996, 0, 0) @[el2_dec_decode_ctl.scala 821:53] + node _T_998 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 821:70] + node _T_999 = eq(io.dec_extint_stall, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 822:6] + node _T_1000 = and(_T_999, i0_dp.lsu) @[el2_dec_decode_ctl.scala 822:27] + node _T_1001 = and(_T_1000, i0_dp.store) @[el2_dec_decode_ctl.scala 822:39] + node _T_1002 = bits(_T_1001, 0, 0) @[el2_dec_decode_ctl.scala 822:54] + node _T_1003 = bits(io.dec_i0_instr_d, 31, 25) @[el2_dec_decode_ctl.scala 822:74] + node _T_1004 = bits(io.dec_i0_instr_d, 11, 7) @[el2_dec_decode_ctl.scala 822:84] + node _T_1005 = cat(_T_1003, _T_1004) @[Cat.scala 29:58] + node _T_1006 = mux(_T_997, _T_998, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1007 = mux(_T_1002, _T_1005, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1008 = or(_T_1006, _T_1007) @[Mux.scala 27:72] + wire _T_1009 : UInt<12> @[Mux.scala 27:72] + _T_1009 <= _T_1008 @[Mux.scala 27:72] + io.dec_lsu_offset_d <= _T_1009 @[el2_dec_decode_ctl.scala 820:23] + + extmodule TEC_RV_ICG_20 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_20 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_20 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_21 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_21 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_21 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_22 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_22 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_22 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_23 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_23 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_23 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_24 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_24 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_24 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_25 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_25 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_25 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_26 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_26 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_26 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_27 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_27 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_27 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_28 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_28 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_28 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_29 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_29 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_29 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_30 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_30 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_30 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_31 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_31 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_31 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_32 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_32 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_32 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_33 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_33 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_33 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_34 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_34 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_34 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_35 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_35 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_35 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_36 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_36 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_36 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_37 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_37 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_37 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_38 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_38 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_38 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_39 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_39 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_39 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_40 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_40 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_40 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_41 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_41 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_41 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_42 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_42 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_42 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_43 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_43 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_43 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_44 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_44 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_44 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_45 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_45 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_45 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_46 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_46 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_46 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_47 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_47 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_47 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_48 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_48 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_48 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_49 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_49 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_49 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_50 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_50 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_50 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + module el2_dec_gpr_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip raddr0 : UInt<5>, flip raddr1 : UInt<5>, flip wen0 : UInt<1>, flip waddr0 : UInt<5>, flip wd0 : UInt<32>, flip wen1 : UInt<1>, flip waddr1 : UInt<5>, flip wd1 : UInt<32>, flip wen2 : UInt<1>, flip waddr2 : UInt<5>, flip wd2 : UInt<32>, rd0 : UInt<32>, rd1 : UInt<32>, flip scan_mode : UInt<1>} + + wire w0v : UInt<1>[32] @[el2_dec_gpr_ctl.scala 10:30] + wire w1v : UInt<1>[32] @[el2_dec_gpr_ctl.scala 11:30] + wire w2v : UInt<1>[32] @[el2_dec_gpr_ctl.scala 12:30] + wire gpr_in : UInt<32>[32] @[el2_dec_gpr_ctl.scala 13:22] + wire gpr_out : UInt<32>[32] @[el2_dec_gpr_ctl.scala 14:22] + wire gpr_wr_en : UInt<32> @[el2_dec_gpr_ctl.scala 15:22] + w0v[0] <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 16:9] + w1v[0] <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 17:9] + w2v[0] <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 18:9] + gpr_out[0] <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 19:13] + gpr_in[0] <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 20:12] + io.rd0 <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 21:9] + io.rd1 <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 22:9] + node _T = cat(w0v[1], w0v[0]) @[Cat.scala 29:58] + node _T_1 = cat(w0v[2], _T) @[Cat.scala 29:58] + node _T_2 = cat(w0v[3], _T_1) @[Cat.scala 29:58] + node _T_3 = cat(w0v[4], _T_2) @[Cat.scala 29:58] + node _T_4 = cat(w0v[5], _T_3) @[Cat.scala 29:58] + node _T_5 = cat(w0v[6], _T_4) @[Cat.scala 29:58] + node _T_6 = cat(w0v[7], _T_5) @[Cat.scala 29:58] + node _T_7 = cat(w0v[8], _T_6) @[Cat.scala 29:58] + node _T_8 = cat(w0v[9], _T_7) @[Cat.scala 29:58] + node _T_9 = cat(w0v[10], _T_8) @[Cat.scala 29:58] + node _T_10 = cat(w0v[11], _T_9) @[Cat.scala 29:58] + node _T_11 = cat(w0v[12], _T_10) @[Cat.scala 29:58] + node _T_12 = cat(w0v[13], _T_11) @[Cat.scala 29:58] + node _T_13 = cat(w0v[14], _T_12) @[Cat.scala 29:58] + node _T_14 = cat(w0v[15], _T_13) @[Cat.scala 29:58] + node _T_15 = cat(w0v[16], _T_14) @[Cat.scala 29:58] + node _T_16 = cat(w0v[17], _T_15) @[Cat.scala 29:58] + node _T_17 = cat(w0v[18], _T_16) @[Cat.scala 29:58] + node _T_18 = cat(w0v[19], _T_17) @[Cat.scala 29:58] + node _T_19 = cat(w0v[20], _T_18) @[Cat.scala 29:58] + node _T_20 = cat(w0v[21], _T_19) @[Cat.scala 29:58] + node _T_21 = cat(w0v[22], _T_20) @[Cat.scala 29:58] + node _T_22 = cat(w0v[23], _T_21) @[Cat.scala 29:58] + node _T_23 = cat(w0v[24], _T_22) @[Cat.scala 29:58] + node _T_24 = cat(w0v[25], _T_23) @[Cat.scala 29:58] + node _T_25 = cat(w0v[26], _T_24) @[Cat.scala 29:58] + node _T_26 = cat(w0v[27], _T_25) @[Cat.scala 29:58] + node _T_27 = cat(w0v[28], _T_26) @[Cat.scala 29:58] + node _T_28 = cat(w0v[29], _T_27) @[Cat.scala 29:58] + node _T_29 = cat(w0v[30], _T_28) @[Cat.scala 29:58] + node _T_30 = cat(w0v[31], _T_29) @[Cat.scala 29:58] + node _T_31 = cat(w1v[1], w1v[0]) @[Cat.scala 29:58] + node _T_32 = cat(w1v[2], _T_31) @[Cat.scala 29:58] + node _T_33 = cat(w1v[3], _T_32) @[Cat.scala 29:58] + node _T_34 = cat(w1v[4], _T_33) @[Cat.scala 29:58] + node _T_35 = cat(w1v[5], _T_34) @[Cat.scala 29:58] + node _T_36 = cat(w1v[6], _T_35) @[Cat.scala 29:58] + node _T_37 = cat(w1v[7], _T_36) @[Cat.scala 29:58] + node _T_38 = cat(w1v[8], _T_37) @[Cat.scala 29:58] + node _T_39 = cat(w1v[9], _T_38) @[Cat.scala 29:58] + node _T_40 = cat(w1v[10], _T_39) @[Cat.scala 29:58] + node _T_41 = cat(w1v[11], _T_40) @[Cat.scala 29:58] + node _T_42 = cat(w1v[12], _T_41) @[Cat.scala 29:58] + node _T_43 = cat(w1v[13], _T_42) @[Cat.scala 29:58] + node _T_44 = cat(w1v[14], _T_43) @[Cat.scala 29:58] + node _T_45 = cat(w1v[15], _T_44) @[Cat.scala 29:58] + node _T_46 = cat(w1v[16], _T_45) @[Cat.scala 29:58] + node _T_47 = cat(w1v[17], _T_46) @[Cat.scala 29:58] + node _T_48 = cat(w1v[18], _T_47) @[Cat.scala 29:58] + node _T_49 = cat(w1v[19], _T_48) @[Cat.scala 29:58] + node _T_50 = cat(w1v[20], _T_49) @[Cat.scala 29:58] + node _T_51 = cat(w1v[21], _T_50) @[Cat.scala 29:58] + node _T_52 = cat(w1v[22], _T_51) @[Cat.scala 29:58] + node _T_53 = cat(w1v[23], _T_52) @[Cat.scala 29:58] + node _T_54 = cat(w1v[24], _T_53) @[Cat.scala 29:58] + node _T_55 = cat(w1v[25], _T_54) @[Cat.scala 29:58] + node _T_56 = cat(w1v[26], _T_55) @[Cat.scala 29:58] + node _T_57 = cat(w1v[27], _T_56) @[Cat.scala 29:58] + node _T_58 = cat(w1v[28], _T_57) @[Cat.scala 29:58] + node _T_59 = cat(w1v[29], _T_58) @[Cat.scala 29:58] + node _T_60 = cat(w1v[30], _T_59) @[Cat.scala 29:58] + node _T_61 = cat(w1v[31], _T_60) @[Cat.scala 29:58] + node _T_62 = or(_T_30, _T_61) @[el2_dec_gpr_ctl.scala 23:51] + node _T_63 = cat(w2v[1], w2v[0]) @[Cat.scala 29:58] + node _T_64 = cat(w2v[2], _T_63) @[Cat.scala 29:58] + node _T_65 = cat(w2v[3], _T_64) @[Cat.scala 29:58] + node _T_66 = cat(w2v[4], _T_65) @[Cat.scala 29:58] + node _T_67 = cat(w2v[5], _T_66) @[Cat.scala 29:58] + node _T_68 = cat(w2v[6], _T_67) @[Cat.scala 29:58] + node _T_69 = cat(w2v[7], _T_68) @[Cat.scala 29:58] + node _T_70 = cat(w2v[8], _T_69) @[Cat.scala 29:58] + node _T_71 = cat(w2v[9], _T_70) @[Cat.scala 29:58] + node _T_72 = cat(w2v[10], _T_71) @[Cat.scala 29:58] + node _T_73 = cat(w2v[11], _T_72) @[Cat.scala 29:58] + node _T_74 = cat(w2v[12], _T_73) @[Cat.scala 29:58] + node _T_75 = cat(w2v[13], _T_74) @[Cat.scala 29:58] + node _T_76 = cat(w2v[14], _T_75) @[Cat.scala 29:58] + node _T_77 = cat(w2v[15], _T_76) @[Cat.scala 29:58] + node _T_78 = cat(w2v[16], _T_77) @[Cat.scala 29:58] + node _T_79 = cat(w2v[17], _T_78) @[Cat.scala 29:58] + node _T_80 = cat(w2v[18], _T_79) @[Cat.scala 29:58] + node _T_81 = cat(w2v[19], _T_80) @[Cat.scala 29:58] + node _T_82 = cat(w2v[20], _T_81) @[Cat.scala 29:58] + node _T_83 = cat(w2v[21], _T_82) @[Cat.scala 29:58] + node _T_84 = cat(w2v[22], _T_83) @[Cat.scala 29:58] + node _T_85 = cat(w2v[23], _T_84) @[Cat.scala 29:58] + node _T_86 = cat(w2v[24], _T_85) @[Cat.scala 29:58] + node _T_87 = cat(w2v[25], _T_86) @[Cat.scala 29:58] + node _T_88 = cat(w2v[26], _T_87) @[Cat.scala 29:58] + node _T_89 = cat(w2v[27], _T_88) @[Cat.scala 29:58] + node _T_90 = cat(w2v[28], _T_89) @[Cat.scala 29:58] + node _T_91 = cat(w2v[29], _T_90) @[Cat.scala 29:58] + node _T_92 = cat(w2v[30], _T_91) @[Cat.scala 29:58] + node _T_93 = cat(w2v[31], _T_92) @[Cat.scala 29:58] + node _T_94 = or(_T_62, _T_93) @[el2_dec_gpr_ctl.scala 23:89] + gpr_wr_en <= _T_94 @[el2_dec_gpr_ctl.scala 23:12] + node _T_95 = eq(io.waddr0, UInt<1>("h01")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_96 = and(io.wen0, _T_95) @[el2_dec_gpr_ctl.scala 26:28] + w0v[1] <= _T_96 @[el2_dec_gpr_ctl.scala 26:16] + node _T_97 = eq(io.waddr1, UInt<1>("h01")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_98 = and(io.wen1, _T_97) @[el2_dec_gpr_ctl.scala 27:28] + w1v[1] <= _T_98 @[el2_dec_gpr_ctl.scala 27:16] + node _T_99 = eq(io.waddr2, UInt<1>("h01")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_100 = and(io.wen2, _T_99) @[el2_dec_gpr_ctl.scala 28:28] + w2v[1] <= _T_100 @[el2_dec_gpr_ctl.scala 28:16] + node _T_101 = bits(w0v[1], 0, 0) @[Bitwise.scala 72:15] + node _T_102 = mux(_T_101, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_103 = and(_T_102, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_104 = bits(w1v[1], 0, 0) @[Bitwise.scala 72:15] + node _T_105 = mux(_T_104, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_106 = and(_T_105, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_107 = or(_T_103, _T_106) @[el2_dec_gpr_ctl.scala 29:47] + node _T_108 = bits(w2v[1], 0, 0) @[Bitwise.scala 72:15] + node _T_109 = mux(_T_108, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_110 = and(_T_109, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_111 = or(_T_107, _T_110) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[1] <= _T_111 @[el2_dec_gpr_ctl.scala 29:16] + node _T_112 = eq(io.waddr0, UInt<2>("h02")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_113 = and(io.wen0, _T_112) @[el2_dec_gpr_ctl.scala 26:28] + w0v[2] <= _T_113 @[el2_dec_gpr_ctl.scala 26:16] + node _T_114 = eq(io.waddr1, UInt<2>("h02")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_115 = and(io.wen1, _T_114) @[el2_dec_gpr_ctl.scala 27:28] + w1v[2] <= _T_115 @[el2_dec_gpr_ctl.scala 27:16] + node _T_116 = eq(io.waddr2, UInt<2>("h02")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_117 = and(io.wen2, _T_116) @[el2_dec_gpr_ctl.scala 28:28] + w2v[2] <= _T_117 @[el2_dec_gpr_ctl.scala 28:16] + node _T_118 = bits(w0v[2], 0, 0) @[Bitwise.scala 72:15] + node _T_119 = mux(_T_118, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_120 = and(_T_119, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_121 = bits(w1v[2], 0, 0) @[Bitwise.scala 72:15] + node _T_122 = mux(_T_121, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_123 = and(_T_122, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_124 = or(_T_120, _T_123) @[el2_dec_gpr_ctl.scala 29:47] + node _T_125 = bits(w2v[2], 0, 0) @[Bitwise.scala 72:15] + node _T_126 = mux(_T_125, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_127 = and(_T_126, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_128 = or(_T_124, _T_127) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[2] <= _T_128 @[el2_dec_gpr_ctl.scala 29:16] + node _T_129 = eq(io.waddr0, UInt<2>("h03")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_130 = and(io.wen0, _T_129) @[el2_dec_gpr_ctl.scala 26:28] + w0v[3] <= _T_130 @[el2_dec_gpr_ctl.scala 26:16] + node _T_131 = eq(io.waddr1, UInt<2>("h03")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_132 = and(io.wen1, _T_131) @[el2_dec_gpr_ctl.scala 27:28] + w1v[3] <= _T_132 @[el2_dec_gpr_ctl.scala 27:16] + node _T_133 = eq(io.waddr2, UInt<2>("h03")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_134 = and(io.wen2, _T_133) @[el2_dec_gpr_ctl.scala 28:28] + w2v[3] <= _T_134 @[el2_dec_gpr_ctl.scala 28:16] + node _T_135 = bits(w0v[3], 0, 0) @[Bitwise.scala 72:15] + node _T_136 = mux(_T_135, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_137 = and(_T_136, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_138 = bits(w1v[3], 0, 0) @[Bitwise.scala 72:15] + node _T_139 = mux(_T_138, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_140 = and(_T_139, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_141 = or(_T_137, _T_140) @[el2_dec_gpr_ctl.scala 29:47] + node _T_142 = bits(w2v[3], 0, 0) @[Bitwise.scala 72:15] + node _T_143 = mux(_T_142, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_144 = and(_T_143, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_145 = or(_T_141, _T_144) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[3] <= _T_145 @[el2_dec_gpr_ctl.scala 29:16] + node _T_146 = eq(io.waddr0, UInt<3>("h04")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_147 = and(io.wen0, _T_146) @[el2_dec_gpr_ctl.scala 26:28] + w0v[4] <= _T_147 @[el2_dec_gpr_ctl.scala 26:16] + node _T_148 = eq(io.waddr1, UInt<3>("h04")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_149 = and(io.wen1, _T_148) @[el2_dec_gpr_ctl.scala 27:28] + w1v[4] <= _T_149 @[el2_dec_gpr_ctl.scala 27:16] + node _T_150 = eq(io.waddr2, UInt<3>("h04")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_151 = and(io.wen2, _T_150) @[el2_dec_gpr_ctl.scala 28:28] + w2v[4] <= _T_151 @[el2_dec_gpr_ctl.scala 28:16] + node _T_152 = bits(w0v[4], 0, 0) @[Bitwise.scala 72:15] + node _T_153 = mux(_T_152, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_154 = and(_T_153, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_155 = bits(w1v[4], 0, 0) @[Bitwise.scala 72:15] + node _T_156 = mux(_T_155, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_157 = and(_T_156, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_158 = or(_T_154, _T_157) @[el2_dec_gpr_ctl.scala 29:47] + node _T_159 = bits(w2v[4], 0, 0) @[Bitwise.scala 72:15] + node _T_160 = mux(_T_159, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_161 = and(_T_160, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_162 = or(_T_158, _T_161) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[4] <= _T_162 @[el2_dec_gpr_ctl.scala 29:16] + node _T_163 = eq(io.waddr0, UInt<3>("h05")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_164 = and(io.wen0, _T_163) @[el2_dec_gpr_ctl.scala 26:28] + w0v[5] <= _T_164 @[el2_dec_gpr_ctl.scala 26:16] + node _T_165 = eq(io.waddr1, UInt<3>("h05")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_166 = and(io.wen1, _T_165) @[el2_dec_gpr_ctl.scala 27:28] + w1v[5] <= _T_166 @[el2_dec_gpr_ctl.scala 27:16] + node _T_167 = eq(io.waddr2, UInt<3>("h05")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_168 = and(io.wen2, _T_167) @[el2_dec_gpr_ctl.scala 28:28] + w2v[5] <= _T_168 @[el2_dec_gpr_ctl.scala 28:16] + node _T_169 = bits(w0v[5], 0, 0) @[Bitwise.scala 72:15] + node _T_170 = mux(_T_169, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_171 = and(_T_170, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_172 = bits(w1v[5], 0, 0) @[Bitwise.scala 72:15] + node _T_173 = mux(_T_172, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_174 = and(_T_173, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_175 = or(_T_171, _T_174) @[el2_dec_gpr_ctl.scala 29:47] + node _T_176 = bits(w2v[5], 0, 0) @[Bitwise.scala 72:15] + node _T_177 = mux(_T_176, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_178 = and(_T_177, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_179 = or(_T_175, _T_178) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[5] <= _T_179 @[el2_dec_gpr_ctl.scala 29:16] + node _T_180 = eq(io.waddr0, UInt<3>("h06")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_181 = and(io.wen0, _T_180) @[el2_dec_gpr_ctl.scala 26:28] + w0v[6] <= _T_181 @[el2_dec_gpr_ctl.scala 26:16] + node _T_182 = eq(io.waddr1, UInt<3>("h06")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_183 = and(io.wen1, _T_182) @[el2_dec_gpr_ctl.scala 27:28] + w1v[6] <= _T_183 @[el2_dec_gpr_ctl.scala 27:16] + node _T_184 = eq(io.waddr2, UInt<3>("h06")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_185 = and(io.wen2, _T_184) @[el2_dec_gpr_ctl.scala 28:28] + w2v[6] <= _T_185 @[el2_dec_gpr_ctl.scala 28:16] + node _T_186 = bits(w0v[6], 0, 0) @[Bitwise.scala 72:15] + node _T_187 = mux(_T_186, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_188 = and(_T_187, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_189 = bits(w1v[6], 0, 0) @[Bitwise.scala 72:15] + node _T_190 = mux(_T_189, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_191 = and(_T_190, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_192 = or(_T_188, _T_191) @[el2_dec_gpr_ctl.scala 29:47] + node _T_193 = bits(w2v[6], 0, 0) @[Bitwise.scala 72:15] + node _T_194 = mux(_T_193, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_195 = and(_T_194, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_196 = or(_T_192, _T_195) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[6] <= _T_196 @[el2_dec_gpr_ctl.scala 29:16] + node _T_197 = eq(io.waddr0, UInt<3>("h07")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_198 = and(io.wen0, _T_197) @[el2_dec_gpr_ctl.scala 26:28] + w0v[7] <= _T_198 @[el2_dec_gpr_ctl.scala 26:16] + node _T_199 = eq(io.waddr1, UInt<3>("h07")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_200 = and(io.wen1, _T_199) @[el2_dec_gpr_ctl.scala 27:28] + w1v[7] <= _T_200 @[el2_dec_gpr_ctl.scala 27:16] + node _T_201 = eq(io.waddr2, UInt<3>("h07")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_202 = and(io.wen2, _T_201) @[el2_dec_gpr_ctl.scala 28:28] + w2v[7] <= _T_202 @[el2_dec_gpr_ctl.scala 28:16] + node _T_203 = bits(w0v[7], 0, 0) @[Bitwise.scala 72:15] + node _T_204 = mux(_T_203, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_205 = and(_T_204, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_206 = bits(w1v[7], 0, 0) @[Bitwise.scala 72:15] + node _T_207 = mux(_T_206, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_208 = and(_T_207, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_209 = or(_T_205, _T_208) @[el2_dec_gpr_ctl.scala 29:47] + node _T_210 = bits(w2v[7], 0, 0) @[Bitwise.scala 72:15] + node _T_211 = mux(_T_210, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_212 = and(_T_211, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_213 = or(_T_209, _T_212) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[7] <= _T_213 @[el2_dec_gpr_ctl.scala 29:16] + node _T_214 = eq(io.waddr0, UInt<4>("h08")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_215 = and(io.wen0, _T_214) @[el2_dec_gpr_ctl.scala 26:28] + w0v[8] <= _T_215 @[el2_dec_gpr_ctl.scala 26:16] + node _T_216 = eq(io.waddr1, UInt<4>("h08")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_217 = and(io.wen1, _T_216) @[el2_dec_gpr_ctl.scala 27:28] + w1v[8] <= _T_217 @[el2_dec_gpr_ctl.scala 27:16] + node _T_218 = eq(io.waddr2, UInt<4>("h08")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_219 = and(io.wen2, _T_218) @[el2_dec_gpr_ctl.scala 28:28] + w2v[8] <= _T_219 @[el2_dec_gpr_ctl.scala 28:16] + node _T_220 = bits(w0v[8], 0, 0) @[Bitwise.scala 72:15] + node _T_221 = mux(_T_220, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_222 = and(_T_221, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_223 = bits(w1v[8], 0, 0) @[Bitwise.scala 72:15] + node _T_224 = mux(_T_223, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_225 = and(_T_224, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_226 = or(_T_222, _T_225) @[el2_dec_gpr_ctl.scala 29:47] + node _T_227 = bits(w2v[8], 0, 0) @[Bitwise.scala 72:15] + node _T_228 = mux(_T_227, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_229 = and(_T_228, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_230 = or(_T_226, _T_229) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[8] <= _T_230 @[el2_dec_gpr_ctl.scala 29:16] + node _T_231 = eq(io.waddr0, UInt<4>("h09")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_232 = and(io.wen0, _T_231) @[el2_dec_gpr_ctl.scala 26:28] + w0v[9] <= _T_232 @[el2_dec_gpr_ctl.scala 26:16] + node _T_233 = eq(io.waddr1, UInt<4>("h09")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_234 = and(io.wen1, _T_233) @[el2_dec_gpr_ctl.scala 27:28] + w1v[9] <= _T_234 @[el2_dec_gpr_ctl.scala 27:16] + node _T_235 = eq(io.waddr2, UInt<4>("h09")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_236 = and(io.wen2, _T_235) @[el2_dec_gpr_ctl.scala 28:28] + w2v[9] <= _T_236 @[el2_dec_gpr_ctl.scala 28:16] + node _T_237 = bits(w0v[9], 0, 0) @[Bitwise.scala 72:15] + node _T_238 = mux(_T_237, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_239 = and(_T_238, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_240 = bits(w1v[9], 0, 0) @[Bitwise.scala 72:15] + node _T_241 = mux(_T_240, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_242 = and(_T_241, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_243 = or(_T_239, _T_242) @[el2_dec_gpr_ctl.scala 29:47] + node _T_244 = bits(w2v[9], 0, 0) @[Bitwise.scala 72:15] + node _T_245 = mux(_T_244, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_246 = and(_T_245, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_247 = or(_T_243, _T_246) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[9] <= _T_247 @[el2_dec_gpr_ctl.scala 29:16] + node _T_248 = eq(io.waddr0, UInt<4>("h0a")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_249 = and(io.wen0, _T_248) @[el2_dec_gpr_ctl.scala 26:28] + w0v[10] <= _T_249 @[el2_dec_gpr_ctl.scala 26:16] + node _T_250 = eq(io.waddr1, UInt<4>("h0a")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_251 = and(io.wen1, _T_250) @[el2_dec_gpr_ctl.scala 27:28] + w1v[10] <= _T_251 @[el2_dec_gpr_ctl.scala 27:16] + node _T_252 = eq(io.waddr2, UInt<4>("h0a")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_253 = and(io.wen2, _T_252) @[el2_dec_gpr_ctl.scala 28:28] + w2v[10] <= _T_253 @[el2_dec_gpr_ctl.scala 28:16] + node _T_254 = bits(w0v[10], 0, 0) @[Bitwise.scala 72:15] + node _T_255 = mux(_T_254, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_256 = and(_T_255, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_257 = bits(w1v[10], 0, 0) @[Bitwise.scala 72:15] + node _T_258 = mux(_T_257, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_259 = and(_T_258, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_260 = or(_T_256, _T_259) @[el2_dec_gpr_ctl.scala 29:47] + node _T_261 = bits(w2v[10], 0, 0) @[Bitwise.scala 72:15] + node _T_262 = mux(_T_261, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_263 = and(_T_262, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_264 = or(_T_260, _T_263) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[10] <= _T_264 @[el2_dec_gpr_ctl.scala 29:16] + node _T_265 = eq(io.waddr0, UInt<4>("h0b")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_266 = and(io.wen0, _T_265) @[el2_dec_gpr_ctl.scala 26:28] + w0v[11] <= _T_266 @[el2_dec_gpr_ctl.scala 26:16] + node _T_267 = eq(io.waddr1, UInt<4>("h0b")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_268 = and(io.wen1, _T_267) @[el2_dec_gpr_ctl.scala 27:28] + w1v[11] <= _T_268 @[el2_dec_gpr_ctl.scala 27:16] + node _T_269 = eq(io.waddr2, UInt<4>("h0b")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_270 = and(io.wen2, _T_269) @[el2_dec_gpr_ctl.scala 28:28] + w2v[11] <= _T_270 @[el2_dec_gpr_ctl.scala 28:16] + node _T_271 = bits(w0v[11], 0, 0) @[Bitwise.scala 72:15] + node _T_272 = mux(_T_271, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_273 = and(_T_272, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_274 = bits(w1v[11], 0, 0) @[Bitwise.scala 72:15] + node _T_275 = mux(_T_274, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_276 = and(_T_275, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_277 = or(_T_273, _T_276) @[el2_dec_gpr_ctl.scala 29:47] + node _T_278 = bits(w2v[11], 0, 0) @[Bitwise.scala 72:15] + node _T_279 = mux(_T_278, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_280 = and(_T_279, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_281 = or(_T_277, _T_280) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[11] <= _T_281 @[el2_dec_gpr_ctl.scala 29:16] + node _T_282 = eq(io.waddr0, UInt<4>("h0c")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_283 = and(io.wen0, _T_282) @[el2_dec_gpr_ctl.scala 26:28] + w0v[12] <= _T_283 @[el2_dec_gpr_ctl.scala 26:16] + node _T_284 = eq(io.waddr1, UInt<4>("h0c")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_285 = and(io.wen1, _T_284) @[el2_dec_gpr_ctl.scala 27:28] + w1v[12] <= _T_285 @[el2_dec_gpr_ctl.scala 27:16] + node _T_286 = eq(io.waddr2, UInt<4>("h0c")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_287 = and(io.wen2, _T_286) @[el2_dec_gpr_ctl.scala 28:28] + w2v[12] <= _T_287 @[el2_dec_gpr_ctl.scala 28:16] + node _T_288 = bits(w0v[12], 0, 0) @[Bitwise.scala 72:15] + node _T_289 = mux(_T_288, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_290 = and(_T_289, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_291 = bits(w1v[12], 0, 0) @[Bitwise.scala 72:15] + node _T_292 = mux(_T_291, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_293 = and(_T_292, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_294 = or(_T_290, _T_293) @[el2_dec_gpr_ctl.scala 29:47] + node _T_295 = bits(w2v[12], 0, 0) @[Bitwise.scala 72:15] + node _T_296 = mux(_T_295, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_297 = and(_T_296, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_298 = or(_T_294, _T_297) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[12] <= _T_298 @[el2_dec_gpr_ctl.scala 29:16] + node _T_299 = eq(io.waddr0, UInt<4>("h0d")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_300 = and(io.wen0, _T_299) @[el2_dec_gpr_ctl.scala 26:28] + w0v[13] <= _T_300 @[el2_dec_gpr_ctl.scala 26:16] + node _T_301 = eq(io.waddr1, UInt<4>("h0d")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_302 = and(io.wen1, _T_301) @[el2_dec_gpr_ctl.scala 27:28] + w1v[13] <= _T_302 @[el2_dec_gpr_ctl.scala 27:16] + node _T_303 = eq(io.waddr2, UInt<4>("h0d")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_304 = and(io.wen2, _T_303) @[el2_dec_gpr_ctl.scala 28:28] + w2v[13] <= _T_304 @[el2_dec_gpr_ctl.scala 28:16] + node _T_305 = bits(w0v[13], 0, 0) @[Bitwise.scala 72:15] + node _T_306 = mux(_T_305, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_307 = and(_T_306, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_308 = bits(w1v[13], 0, 0) @[Bitwise.scala 72:15] + node _T_309 = mux(_T_308, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_310 = and(_T_309, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_311 = or(_T_307, _T_310) @[el2_dec_gpr_ctl.scala 29:47] + node _T_312 = bits(w2v[13], 0, 0) @[Bitwise.scala 72:15] + node _T_313 = mux(_T_312, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_314 = and(_T_313, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_315 = or(_T_311, _T_314) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[13] <= _T_315 @[el2_dec_gpr_ctl.scala 29:16] + node _T_316 = eq(io.waddr0, UInt<4>("h0e")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_317 = and(io.wen0, _T_316) @[el2_dec_gpr_ctl.scala 26:28] + w0v[14] <= _T_317 @[el2_dec_gpr_ctl.scala 26:16] + node _T_318 = eq(io.waddr1, UInt<4>("h0e")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_319 = and(io.wen1, _T_318) @[el2_dec_gpr_ctl.scala 27:28] + w1v[14] <= _T_319 @[el2_dec_gpr_ctl.scala 27:16] + node _T_320 = eq(io.waddr2, UInt<4>("h0e")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_321 = and(io.wen2, _T_320) @[el2_dec_gpr_ctl.scala 28:28] + w2v[14] <= _T_321 @[el2_dec_gpr_ctl.scala 28:16] + node _T_322 = bits(w0v[14], 0, 0) @[Bitwise.scala 72:15] + node _T_323 = mux(_T_322, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_324 = and(_T_323, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_325 = bits(w1v[14], 0, 0) @[Bitwise.scala 72:15] + node _T_326 = mux(_T_325, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_327 = and(_T_326, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_328 = or(_T_324, _T_327) @[el2_dec_gpr_ctl.scala 29:47] + node _T_329 = bits(w2v[14], 0, 0) @[Bitwise.scala 72:15] + node _T_330 = mux(_T_329, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_331 = and(_T_330, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_332 = or(_T_328, _T_331) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[14] <= _T_332 @[el2_dec_gpr_ctl.scala 29:16] + node _T_333 = eq(io.waddr0, UInt<4>("h0f")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_334 = and(io.wen0, _T_333) @[el2_dec_gpr_ctl.scala 26:28] + w0v[15] <= _T_334 @[el2_dec_gpr_ctl.scala 26:16] + node _T_335 = eq(io.waddr1, UInt<4>("h0f")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_336 = and(io.wen1, _T_335) @[el2_dec_gpr_ctl.scala 27:28] + w1v[15] <= _T_336 @[el2_dec_gpr_ctl.scala 27:16] + node _T_337 = eq(io.waddr2, UInt<4>("h0f")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_338 = and(io.wen2, _T_337) @[el2_dec_gpr_ctl.scala 28:28] + w2v[15] <= _T_338 @[el2_dec_gpr_ctl.scala 28:16] + node _T_339 = bits(w0v[15], 0, 0) @[Bitwise.scala 72:15] + node _T_340 = mux(_T_339, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_341 = and(_T_340, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_342 = bits(w1v[15], 0, 0) @[Bitwise.scala 72:15] + node _T_343 = mux(_T_342, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_344 = and(_T_343, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_345 = or(_T_341, _T_344) @[el2_dec_gpr_ctl.scala 29:47] + node _T_346 = bits(w2v[15], 0, 0) @[Bitwise.scala 72:15] + node _T_347 = mux(_T_346, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_348 = and(_T_347, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_349 = or(_T_345, _T_348) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[15] <= _T_349 @[el2_dec_gpr_ctl.scala 29:16] + node _T_350 = eq(io.waddr0, UInt<5>("h010")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_351 = and(io.wen0, _T_350) @[el2_dec_gpr_ctl.scala 26:28] + w0v[16] <= _T_351 @[el2_dec_gpr_ctl.scala 26:16] + node _T_352 = eq(io.waddr1, UInt<5>("h010")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_353 = and(io.wen1, _T_352) @[el2_dec_gpr_ctl.scala 27:28] + w1v[16] <= _T_353 @[el2_dec_gpr_ctl.scala 27:16] + node _T_354 = eq(io.waddr2, UInt<5>("h010")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_355 = and(io.wen2, _T_354) @[el2_dec_gpr_ctl.scala 28:28] + w2v[16] <= _T_355 @[el2_dec_gpr_ctl.scala 28:16] + node _T_356 = bits(w0v[16], 0, 0) @[Bitwise.scala 72:15] + node _T_357 = mux(_T_356, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_358 = and(_T_357, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_359 = bits(w1v[16], 0, 0) @[Bitwise.scala 72:15] + node _T_360 = mux(_T_359, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_361 = and(_T_360, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_362 = or(_T_358, _T_361) @[el2_dec_gpr_ctl.scala 29:47] + node _T_363 = bits(w2v[16], 0, 0) @[Bitwise.scala 72:15] + node _T_364 = mux(_T_363, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_365 = and(_T_364, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_366 = or(_T_362, _T_365) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[16] <= _T_366 @[el2_dec_gpr_ctl.scala 29:16] + node _T_367 = eq(io.waddr0, UInt<5>("h011")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_368 = and(io.wen0, _T_367) @[el2_dec_gpr_ctl.scala 26:28] + w0v[17] <= _T_368 @[el2_dec_gpr_ctl.scala 26:16] + node _T_369 = eq(io.waddr1, UInt<5>("h011")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_370 = and(io.wen1, _T_369) @[el2_dec_gpr_ctl.scala 27:28] + w1v[17] <= _T_370 @[el2_dec_gpr_ctl.scala 27:16] + node _T_371 = eq(io.waddr2, UInt<5>("h011")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_372 = and(io.wen2, _T_371) @[el2_dec_gpr_ctl.scala 28:28] + w2v[17] <= _T_372 @[el2_dec_gpr_ctl.scala 28:16] + node _T_373 = bits(w0v[17], 0, 0) @[Bitwise.scala 72:15] + node _T_374 = mux(_T_373, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_375 = and(_T_374, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_376 = bits(w1v[17], 0, 0) @[Bitwise.scala 72:15] + node _T_377 = mux(_T_376, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_378 = and(_T_377, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_379 = or(_T_375, _T_378) @[el2_dec_gpr_ctl.scala 29:47] + node _T_380 = bits(w2v[17], 0, 0) @[Bitwise.scala 72:15] + node _T_381 = mux(_T_380, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_382 = and(_T_381, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_383 = or(_T_379, _T_382) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[17] <= _T_383 @[el2_dec_gpr_ctl.scala 29:16] + node _T_384 = eq(io.waddr0, UInt<5>("h012")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_385 = and(io.wen0, _T_384) @[el2_dec_gpr_ctl.scala 26:28] + w0v[18] <= _T_385 @[el2_dec_gpr_ctl.scala 26:16] + node _T_386 = eq(io.waddr1, UInt<5>("h012")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_387 = and(io.wen1, _T_386) @[el2_dec_gpr_ctl.scala 27:28] + w1v[18] <= _T_387 @[el2_dec_gpr_ctl.scala 27:16] + node _T_388 = eq(io.waddr2, UInt<5>("h012")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_389 = and(io.wen2, _T_388) @[el2_dec_gpr_ctl.scala 28:28] + w2v[18] <= _T_389 @[el2_dec_gpr_ctl.scala 28:16] + node _T_390 = bits(w0v[18], 0, 0) @[Bitwise.scala 72:15] + node _T_391 = mux(_T_390, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_392 = and(_T_391, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_393 = bits(w1v[18], 0, 0) @[Bitwise.scala 72:15] + node _T_394 = mux(_T_393, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_395 = and(_T_394, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_396 = or(_T_392, _T_395) @[el2_dec_gpr_ctl.scala 29:47] + node _T_397 = bits(w2v[18], 0, 0) @[Bitwise.scala 72:15] + node _T_398 = mux(_T_397, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_399 = and(_T_398, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_400 = or(_T_396, _T_399) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[18] <= _T_400 @[el2_dec_gpr_ctl.scala 29:16] + node _T_401 = eq(io.waddr0, UInt<5>("h013")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_402 = and(io.wen0, _T_401) @[el2_dec_gpr_ctl.scala 26:28] + w0v[19] <= _T_402 @[el2_dec_gpr_ctl.scala 26:16] + node _T_403 = eq(io.waddr1, UInt<5>("h013")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_404 = and(io.wen1, _T_403) @[el2_dec_gpr_ctl.scala 27:28] + w1v[19] <= _T_404 @[el2_dec_gpr_ctl.scala 27:16] + node _T_405 = eq(io.waddr2, UInt<5>("h013")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_406 = and(io.wen2, _T_405) @[el2_dec_gpr_ctl.scala 28:28] + w2v[19] <= _T_406 @[el2_dec_gpr_ctl.scala 28:16] + node _T_407 = bits(w0v[19], 0, 0) @[Bitwise.scala 72:15] + node _T_408 = mux(_T_407, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_409 = and(_T_408, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_410 = bits(w1v[19], 0, 0) @[Bitwise.scala 72:15] + node _T_411 = mux(_T_410, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_412 = and(_T_411, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_413 = or(_T_409, _T_412) @[el2_dec_gpr_ctl.scala 29:47] + node _T_414 = bits(w2v[19], 0, 0) @[Bitwise.scala 72:15] + node _T_415 = mux(_T_414, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_416 = and(_T_415, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_417 = or(_T_413, _T_416) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[19] <= _T_417 @[el2_dec_gpr_ctl.scala 29:16] + node _T_418 = eq(io.waddr0, UInt<5>("h014")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_419 = and(io.wen0, _T_418) @[el2_dec_gpr_ctl.scala 26:28] + w0v[20] <= _T_419 @[el2_dec_gpr_ctl.scala 26:16] + node _T_420 = eq(io.waddr1, UInt<5>("h014")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_421 = and(io.wen1, _T_420) @[el2_dec_gpr_ctl.scala 27:28] + w1v[20] <= _T_421 @[el2_dec_gpr_ctl.scala 27:16] + node _T_422 = eq(io.waddr2, UInt<5>("h014")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_423 = and(io.wen2, _T_422) @[el2_dec_gpr_ctl.scala 28:28] + w2v[20] <= _T_423 @[el2_dec_gpr_ctl.scala 28:16] + node _T_424 = bits(w0v[20], 0, 0) @[Bitwise.scala 72:15] + node _T_425 = mux(_T_424, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_426 = and(_T_425, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_427 = bits(w1v[20], 0, 0) @[Bitwise.scala 72:15] + node _T_428 = mux(_T_427, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_429 = and(_T_428, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_430 = or(_T_426, _T_429) @[el2_dec_gpr_ctl.scala 29:47] + node _T_431 = bits(w2v[20], 0, 0) @[Bitwise.scala 72:15] + node _T_432 = mux(_T_431, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_433 = and(_T_432, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_434 = or(_T_430, _T_433) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[20] <= _T_434 @[el2_dec_gpr_ctl.scala 29:16] + node _T_435 = eq(io.waddr0, UInt<5>("h015")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_436 = and(io.wen0, _T_435) @[el2_dec_gpr_ctl.scala 26:28] + w0v[21] <= _T_436 @[el2_dec_gpr_ctl.scala 26:16] + node _T_437 = eq(io.waddr1, UInt<5>("h015")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_438 = and(io.wen1, _T_437) @[el2_dec_gpr_ctl.scala 27:28] + w1v[21] <= _T_438 @[el2_dec_gpr_ctl.scala 27:16] + node _T_439 = eq(io.waddr2, UInt<5>("h015")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_440 = and(io.wen2, _T_439) @[el2_dec_gpr_ctl.scala 28:28] + w2v[21] <= _T_440 @[el2_dec_gpr_ctl.scala 28:16] + node _T_441 = bits(w0v[21], 0, 0) @[Bitwise.scala 72:15] + node _T_442 = mux(_T_441, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_443 = and(_T_442, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_444 = bits(w1v[21], 0, 0) @[Bitwise.scala 72:15] + node _T_445 = mux(_T_444, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_446 = and(_T_445, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_447 = or(_T_443, _T_446) @[el2_dec_gpr_ctl.scala 29:47] + node _T_448 = bits(w2v[21], 0, 0) @[Bitwise.scala 72:15] + node _T_449 = mux(_T_448, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_450 = and(_T_449, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_451 = or(_T_447, _T_450) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[21] <= _T_451 @[el2_dec_gpr_ctl.scala 29:16] + node _T_452 = eq(io.waddr0, UInt<5>("h016")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_453 = and(io.wen0, _T_452) @[el2_dec_gpr_ctl.scala 26:28] + w0v[22] <= _T_453 @[el2_dec_gpr_ctl.scala 26:16] + node _T_454 = eq(io.waddr1, UInt<5>("h016")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_455 = and(io.wen1, _T_454) @[el2_dec_gpr_ctl.scala 27:28] + w1v[22] <= _T_455 @[el2_dec_gpr_ctl.scala 27:16] + node _T_456 = eq(io.waddr2, UInt<5>("h016")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_457 = and(io.wen2, _T_456) @[el2_dec_gpr_ctl.scala 28:28] + w2v[22] <= _T_457 @[el2_dec_gpr_ctl.scala 28:16] + node _T_458 = bits(w0v[22], 0, 0) @[Bitwise.scala 72:15] + node _T_459 = mux(_T_458, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_460 = and(_T_459, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_461 = bits(w1v[22], 0, 0) @[Bitwise.scala 72:15] + node _T_462 = mux(_T_461, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_463 = and(_T_462, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_464 = or(_T_460, _T_463) @[el2_dec_gpr_ctl.scala 29:47] + node _T_465 = bits(w2v[22], 0, 0) @[Bitwise.scala 72:15] + node _T_466 = mux(_T_465, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_467 = and(_T_466, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_468 = or(_T_464, _T_467) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[22] <= _T_468 @[el2_dec_gpr_ctl.scala 29:16] + node _T_469 = eq(io.waddr0, UInt<5>("h017")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_470 = and(io.wen0, _T_469) @[el2_dec_gpr_ctl.scala 26:28] + w0v[23] <= _T_470 @[el2_dec_gpr_ctl.scala 26:16] + node _T_471 = eq(io.waddr1, UInt<5>("h017")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_472 = and(io.wen1, _T_471) @[el2_dec_gpr_ctl.scala 27:28] + w1v[23] <= _T_472 @[el2_dec_gpr_ctl.scala 27:16] + node _T_473 = eq(io.waddr2, UInt<5>("h017")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_474 = and(io.wen2, _T_473) @[el2_dec_gpr_ctl.scala 28:28] + w2v[23] <= _T_474 @[el2_dec_gpr_ctl.scala 28:16] + node _T_475 = bits(w0v[23], 0, 0) @[Bitwise.scala 72:15] + node _T_476 = mux(_T_475, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_477 = and(_T_476, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_478 = bits(w1v[23], 0, 0) @[Bitwise.scala 72:15] + node _T_479 = mux(_T_478, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_480 = and(_T_479, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_481 = or(_T_477, _T_480) @[el2_dec_gpr_ctl.scala 29:47] + node _T_482 = bits(w2v[23], 0, 0) @[Bitwise.scala 72:15] + node _T_483 = mux(_T_482, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_484 = and(_T_483, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_485 = or(_T_481, _T_484) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[23] <= _T_485 @[el2_dec_gpr_ctl.scala 29:16] + node _T_486 = eq(io.waddr0, UInt<5>("h018")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_487 = and(io.wen0, _T_486) @[el2_dec_gpr_ctl.scala 26:28] + w0v[24] <= _T_487 @[el2_dec_gpr_ctl.scala 26:16] + node _T_488 = eq(io.waddr1, UInt<5>("h018")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_489 = and(io.wen1, _T_488) @[el2_dec_gpr_ctl.scala 27:28] + w1v[24] <= _T_489 @[el2_dec_gpr_ctl.scala 27:16] + node _T_490 = eq(io.waddr2, UInt<5>("h018")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_491 = and(io.wen2, _T_490) @[el2_dec_gpr_ctl.scala 28:28] + w2v[24] <= _T_491 @[el2_dec_gpr_ctl.scala 28:16] + node _T_492 = bits(w0v[24], 0, 0) @[Bitwise.scala 72:15] + node _T_493 = mux(_T_492, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_494 = and(_T_493, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_495 = bits(w1v[24], 0, 0) @[Bitwise.scala 72:15] + node _T_496 = mux(_T_495, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_497 = and(_T_496, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_498 = or(_T_494, _T_497) @[el2_dec_gpr_ctl.scala 29:47] + node _T_499 = bits(w2v[24], 0, 0) @[Bitwise.scala 72:15] + node _T_500 = mux(_T_499, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_501 = and(_T_500, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_502 = or(_T_498, _T_501) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[24] <= _T_502 @[el2_dec_gpr_ctl.scala 29:16] + node _T_503 = eq(io.waddr0, UInt<5>("h019")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_504 = and(io.wen0, _T_503) @[el2_dec_gpr_ctl.scala 26:28] + w0v[25] <= _T_504 @[el2_dec_gpr_ctl.scala 26:16] + node _T_505 = eq(io.waddr1, UInt<5>("h019")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_506 = and(io.wen1, _T_505) @[el2_dec_gpr_ctl.scala 27:28] + w1v[25] <= _T_506 @[el2_dec_gpr_ctl.scala 27:16] + node _T_507 = eq(io.waddr2, UInt<5>("h019")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_508 = and(io.wen2, _T_507) @[el2_dec_gpr_ctl.scala 28:28] + w2v[25] <= _T_508 @[el2_dec_gpr_ctl.scala 28:16] + node _T_509 = bits(w0v[25], 0, 0) @[Bitwise.scala 72:15] + node _T_510 = mux(_T_509, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_511 = and(_T_510, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_512 = bits(w1v[25], 0, 0) @[Bitwise.scala 72:15] + node _T_513 = mux(_T_512, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_514 = and(_T_513, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_515 = or(_T_511, _T_514) @[el2_dec_gpr_ctl.scala 29:47] + node _T_516 = bits(w2v[25], 0, 0) @[Bitwise.scala 72:15] + node _T_517 = mux(_T_516, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_518 = and(_T_517, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_519 = or(_T_515, _T_518) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[25] <= _T_519 @[el2_dec_gpr_ctl.scala 29:16] + node _T_520 = eq(io.waddr0, UInt<5>("h01a")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_521 = and(io.wen0, _T_520) @[el2_dec_gpr_ctl.scala 26:28] + w0v[26] <= _T_521 @[el2_dec_gpr_ctl.scala 26:16] + node _T_522 = eq(io.waddr1, UInt<5>("h01a")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_523 = and(io.wen1, _T_522) @[el2_dec_gpr_ctl.scala 27:28] + w1v[26] <= _T_523 @[el2_dec_gpr_ctl.scala 27:16] + node _T_524 = eq(io.waddr2, UInt<5>("h01a")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_525 = and(io.wen2, _T_524) @[el2_dec_gpr_ctl.scala 28:28] + w2v[26] <= _T_525 @[el2_dec_gpr_ctl.scala 28:16] + node _T_526 = bits(w0v[26], 0, 0) @[Bitwise.scala 72:15] + node _T_527 = mux(_T_526, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_528 = and(_T_527, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_529 = bits(w1v[26], 0, 0) @[Bitwise.scala 72:15] + node _T_530 = mux(_T_529, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_531 = and(_T_530, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_532 = or(_T_528, _T_531) @[el2_dec_gpr_ctl.scala 29:47] + node _T_533 = bits(w2v[26], 0, 0) @[Bitwise.scala 72:15] + node _T_534 = mux(_T_533, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_535 = and(_T_534, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_536 = or(_T_532, _T_535) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[26] <= _T_536 @[el2_dec_gpr_ctl.scala 29:16] + node _T_537 = eq(io.waddr0, UInt<5>("h01b")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_538 = and(io.wen0, _T_537) @[el2_dec_gpr_ctl.scala 26:28] + w0v[27] <= _T_538 @[el2_dec_gpr_ctl.scala 26:16] + node _T_539 = eq(io.waddr1, UInt<5>("h01b")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_540 = and(io.wen1, _T_539) @[el2_dec_gpr_ctl.scala 27:28] + w1v[27] <= _T_540 @[el2_dec_gpr_ctl.scala 27:16] + node _T_541 = eq(io.waddr2, UInt<5>("h01b")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_542 = and(io.wen2, _T_541) @[el2_dec_gpr_ctl.scala 28:28] + w2v[27] <= _T_542 @[el2_dec_gpr_ctl.scala 28:16] + node _T_543 = bits(w0v[27], 0, 0) @[Bitwise.scala 72:15] + node _T_544 = mux(_T_543, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_545 = and(_T_544, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_546 = bits(w1v[27], 0, 0) @[Bitwise.scala 72:15] + node _T_547 = mux(_T_546, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_548 = and(_T_547, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_549 = or(_T_545, _T_548) @[el2_dec_gpr_ctl.scala 29:47] + node _T_550 = bits(w2v[27], 0, 0) @[Bitwise.scala 72:15] + node _T_551 = mux(_T_550, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_552 = and(_T_551, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_553 = or(_T_549, _T_552) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[27] <= _T_553 @[el2_dec_gpr_ctl.scala 29:16] + node _T_554 = eq(io.waddr0, UInt<5>("h01c")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_555 = and(io.wen0, _T_554) @[el2_dec_gpr_ctl.scala 26:28] + w0v[28] <= _T_555 @[el2_dec_gpr_ctl.scala 26:16] + node _T_556 = eq(io.waddr1, UInt<5>("h01c")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_557 = and(io.wen1, _T_556) @[el2_dec_gpr_ctl.scala 27:28] + w1v[28] <= _T_557 @[el2_dec_gpr_ctl.scala 27:16] + node _T_558 = eq(io.waddr2, UInt<5>("h01c")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_559 = and(io.wen2, _T_558) @[el2_dec_gpr_ctl.scala 28:28] + w2v[28] <= _T_559 @[el2_dec_gpr_ctl.scala 28:16] + node _T_560 = bits(w0v[28], 0, 0) @[Bitwise.scala 72:15] + node _T_561 = mux(_T_560, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_562 = and(_T_561, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_563 = bits(w1v[28], 0, 0) @[Bitwise.scala 72:15] + node _T_564 = mux(_T_563, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_565 = and(_T_564, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_566 = or(_T_562, _T_565) @[el2_dec_gpr_ctl.scala 29:47] + node _T_567 = bits(w2v[28], 0, 0) @[Bitwise.scala 72:15] + node _T_568 = mux(_T_567, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_569 = and(_T_568, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_570 = or(_T_566, _T_569) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[28] <= _T_570 @[el2_dec_gpr_ctl.scala 29:16] + node _T_571 = eq(io.waddr0, UInt<5>("h01d")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_572 = and(io.wen0, _T_571) @[el2_dec_gpr_ctl.scala 26:28] + w0v[29] <= _T_572 @[el2_dec_gpr_ctl.scala 26:16] + node _T_573 = eq(io.waddr1, UInt<5>("h01d")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_574 = and(io.wen1, _T_573) @[el2_dec_gpr_ctl.scala 27:28] + w1v[29] <= _T_574 @[el2_dec_gpr_ctl.scala 27:16] + node _T_575 = eq(io.waddr2, UInt<5>("h01d")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_576 = and(io.wen2, _T_575) @[el2_dec_gpr_ctl.scala 28:28] + w2v[29] <= _T_576 @[el2_dec_gpr_ctl.scala 28:16] + node _T_577 = bits(w0v[29], 0, 0) @[Bitwise.scala 72:15] + node _T_578 = mux(_T_577, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_579 = and(_T_578, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_580 = bits(w1v[29], 0, 0) @[Bitwise.scala 72:15] + node _T_581 = mux(_T_580, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_582 = and(_T_581, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_583 = or(_T_579, _T_582) @[el2_dec_gpr_ctl.scala 29:47] + node _T_584 = bits(w2v[29], 0, 0) @[Bitwise.scala 72:15] + node _T_585 = mux(_T_584, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_586 = and(_T_585, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_587 = or(_T_583, _T_586) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[29] <= _T_587 @[el2_dec_gpr_ctl.scala 29:16] + node _T_588 = eq(io.waddr0, UInt<5>("h01e")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_589 = and(io.wen0, _T_588) @[el2_dec_gpr_ctl.scala 26:28] + w0v[30] <= _T_589 @[el2_dec_gpr_ctl.scala 26:16] + node _T_590 = eq(io.waddr1, UInt<5>("h01e")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_591 = and(io.wen1, _T_590) @[el2_dec_gpr_ctl.scala 27:28] + w1v[30] <= _T_591 @[el2_dec_gpr_ctl.scala 27:16] + node _T_592 = eq(io.waddr2, UInt<5>("h01e")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_593 = and(io.wen2, _T_592) @[el2_dec_gpr_ctl.scala 28:28] + w2v[30] <= _T_593 @[el2_dec_gpr_ctl.scala 28:16] + node _T_594 = bits(w0v[30], 0, 0) @[Bitwise.scala 72:15] + node _T_595 = mux(_T_594, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_596 = and(_T_595, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_597 = bits(w1v[30], 0, 0) @[Bitwise.scala 72:15] + node _T_598 = mux(_T_597, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_599 = and(_T_598, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_600 = or(_T_596, _T_599) @[el2_dec_gpr_ctl.scala 29:47] + node _T_601 = bits(w2v[30], 0, 0) @[Bitwise.scala 72:15] + node _T_602 = mux(_T_601, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_603 = and(_T_602, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_604 = or(_T_600, _T_603) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[30] <= _T_604 @[el2_dec_gpr_ctl.scala 29:16] + node _T_605 = eq(io.waddr0, UInt<5>("h01f")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_606 = and(io.wen0, _T_605) @[el2_dec_gpr_ctl.scala 26:28] + w0v[31] <= _T_606 @[el2_dec_gpr_ctl.scala 26:16] + node _T_607 = eq(io.waddr1, UInt<5>("h01f")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_608 = and(io.wen1, _T_607) @[el2_dec_gpr_ctl.scala 27:28] + w1v[31] <= _T_608 @[el2_dec_gpr_ctl.scala 27:16] + node _T_609 = eq(io.waddr2, UInt<5>("h01f")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_610 = and(io.wen2, _T_609) @[el2_dec_gpr_ctl.scala 28:28] + w2v[31] <= _T_610 @[el2_dec_gpr_ctl.scala 28:16] + node _T_611 = bits(w0v[31], 0, 0) @[Bitwise.scala 72:15] + node _T_612 = mux(_T_611, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_613 = and(_T_612, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_614 = bits(w1v[31], 0, 0) @[Bitwise.scala 72:15] + node _T_615 = mux(_T_614, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_616 = and(_T_615, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_617 = or(_T_613, _T_616) @[el2_dec_gpr_ctl.scala 29:47] + node _T_618 = bits(w2v[31], 0, 0) @[Bitwise.scala 72:15] + node _T_619 = mux(_T_618, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_620 = and(_T_619, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_621 = or(_T_617, _T_620) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[31] <= _T_621 @[el2_dec_gpr_ctl.scala 29:16] + node _T_622 = bits(gpr_wr_en, 1, 1) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr of rvclkhdr_20 @[el2_lib.scala 485:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr.io.en <= _T_622 @[el2_lib.scala 488:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_623 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_623 <= gpr_in[1] @[el2_lib.scala 491:16] + gpr_out[1] <= _T_623 @[el2_dec_gpr_ctl.scala 33:15] + node _T_624 = bits(gpr_wr_en, 2, 2) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_1 of rvclkhdr_21 @[el2_lib.scala 485:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_1.io.en <= _T_624 @[el2_lib.scala 488:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_625 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_625 <= gpr_in[2] @[el2_lib.scala 491:16] + gpr_out[2] <= _T_625 @[el2_dec_gpr_ctl.scala 33:15] + node _T_626 = bits(gpr_wr_en, 3, 3) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_2 of rvclkhdr_22 @[el2_lib.scala 485:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_2.io.en <= _T_626 @[el2_lib.scala 488:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_627 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_627 <= gpr_in[3] @[el2_lib.scala 491:16] + gpr_out[3] <= _T_627 @[el2_dec_gpr_ctl.scala 33:15] + node _T_628 = bits(gpr_wr_en, 4, 4) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_3 of rvclkhdr_23 @[el2_lib.scala 485:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_3.io.en <= _T_628 @[el2_lib.scala 488:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_629 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_629 <= gpr_in[4] @[el2_lib.scala 491:16] + gpr_out[4] <= _T_629 @[el2_dec_gpr_ctl.scala 33:15] + node _T_630 = bits(gpr_wr_en, 5, 5) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_4 of rvclkhdr_24 @[el2_lib.scala 485:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_4.io.en <= _T_630 @[el2_lib.scala 488:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_631 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_631 <= gpr_in[5] @[el2_lib.scala 491:16] + gpr_out[5] <= _T_631 @[el2_dec_gpr_ctl.scala 33:15] + node _T_632 = bits(gpr_wr_en, 6, 6) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_5 of rvclkhdr_25 @[el2_lib.scala 485:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_5.io.en <= _T_632 @[el2_lib.scala 488:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_633 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_633 <= gpr_in[6] @[el2_lib.scala 491:16] + gpr_out[6] <= _T_633 @[el2_dec_gpr_ctl.scala 33:15] + node _T_634 = bits(gpr_wr_en, 7, 7) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_6 of rvclkhdr_26 @[el2_lib.scala 485:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_6.io.en <= _T_634 @[el2_lib.scala 488:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_635 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_635 <= gpr_in[7] @[el2_lib.scala 491:16] + gpr_out[7] <= _T_635 @[el2_dec_gpr_ctl.scala 33:15] + node _T_636 = bits(gpr_wr_en, 8, 8) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_7 of rvclkhdr_27 @[el2_lib.scala 485:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_7.io.en <= _T_636 @[el2_lib.scala 488:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_637 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_637 <= gpr_in[8] @[el2_lib.scala 491:16] + gpr_out[8] <= _T_637 @[el2_dec_gpr_ctl.scala 33:15] + node _T_638 = bits(gpr_wr_en, 9, 9) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_8 of rvclkhdr_28 @[el2_lib.scala 485:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_8.io.en <= _T_638 @[el2_lib.scala 488:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_639 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_639 <= gpr_in[9] @[el2_lib.scala 491:16] + gpr_out[9] <= _T_639 @[el2_dec_gpr_ctl.scala 33:15] + node _T_640 = bits(gpr_wr_en, 10, 10) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_9 of rvclkhdr_29 @[el2_lib.scala 485:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_9.io.en <= _T_640 @[el2_lib.scala 488:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_641 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_641 <= gpr_in[10] @[el2_lib.scala 491:16] + gpr_out[10] <= _T_641 @[el2_dec_gpr_ctl.scala 33:15] + node _T_642 = bits(gpr_wr_en, 11, 11) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_10 of rvclkhdr_30 @[el2_lib.scala 485:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_10.io.en <= _T_642 @[el2_lib.scala 488:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_643 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_643 <= gpr_in[11] @[el2_lib.scala 491:16] + gpr_out[11] <= _T_643 @[el2_dec_gpr_ctl.scala 33:15] + node _T_644 = bits(gpr_wr_en, 12, 12) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_11 of rvclkhdr_31 @[el2_lib.scala 485:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_11.io.en <= _T_644 @[el2_lib.scala 488:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_645 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_645 <= gpr_in[12] @[el2_lib.scala 491:16] + gpr_out[12] <= _T_645 @[el2_dec_gpr_ctl.scala 33:15] + node _T_646 = bits(gpr_wr_en, 13, 13) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_12 of rvclkhdr_32 @[el2_lib.scala 485:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_12.io.en <= _T_646 @[el2_lib.scala 488:17] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_647 : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_647 <= gpr_in[13] @[el2_lib.scala 491:16] + gpr_out[13] <= _T_647 @[el2_dec_gpr_ctl.scala 33:15] + node _T_648 = bits(gpr_wr_en, 14, 14) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_13 of rvclkhdr_33 @[el2_lib.scala 485:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_13.io.en <= _T_648 @[el2_lib.scala 488:17] + rvclkhdr_13.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_649 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_649 <= gpr_in[14] @[el2_lib.scala 491:16] + gpr_out[14] <= _T_649 @[el2_dec_gpr_ctl.scala 33:15] + node _T_650 = bits(gpr_wr_en, 15, 15) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_14 of rvclkhdr_34 @[el2_lib.scala 485:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_14.io.en <= _T_650 @[el2_lib.scala 488:17] + rvclkhdr_14.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_651 : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_651 <= gpr_in[15] @[el2_lib.scala 491:16] + gpr_out[15] <= _T_651 @[el2_dec_gpr_ctl.scala 33:15] + node _T_652 = bits(gpr_wr_en, 16, 16) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_15 of rvclkhdr_35 @[el2_lib.scala 485:23] + rvclkhdr_15.clock <= clock + rvclkhdr_15.reset <= reset + rvclkhdr_15.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_15.io.en <= _T_652 @[el2_lib.scala 488:17] + rvclkhdr_15.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_653 : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_653 <= gpr_in[16] @[el2_lib.scala 491:16] + gpr_out[16] <= _T_653 @[el2_dec_gpr_ctl.scala 33:15] + node _T_654 = bits(gpr_wr_en, 17, 17) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_16 of rvclkhdr_36 @[el2_lib.scala 485:23] + rvclkhdr_16.clock <= clock + rvclkhdr_16.reset <= reset + rvclkhdr_16.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_16.io.en <= _T_654 @[el2_lib.scala 488:17] + rvclkhdr_16.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_655 : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_655 <= gpr_in[17] @[el2_lib.scala 491:16] + gpr_out[17] <= _T_655 @[el2_dec_gpr_ctl.scala 33:15] + node _T_656 = bits(gpr_wr_en, 18, 18) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_17 of rvclkhdr_37 @[el2_lib.scala 485:23] + rvclkhdr_17.clock <= clock + rvclkhdr_17.reset <= reset + rvclkhdr_17.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_17.io.en <= _T_656 @[el2_lib.scala 488:17] + rvclkhdr_17.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_657 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_657 <= gpr_in[18] @[el2_lib.scala 491:16] + gpr_out[18] <= _T_657 @[el2_dec_gpr_ctl.scala 33:15] + node _T_658 = bits(gpr_wr_en, 19, 19) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_18 of rvclkhdr_38 @[el2_lib.scala 485:23] + rvclkhdr_18.clock <= clock + rvclkhdr_18.reset <= reset + rvclkhdr_18.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_18.io.en <= _T_658 @[el2_lib.scala 488:17] + rvclkhdr_18.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_659 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_659 <= gpr_in[19] @[el2_lib.scala 491:16] + gpr_out[19] <= _T_659 @[el2_dec_gpr_ctl.scala 33:15] + node _T_660 = bits(gpr_wr_en, 20, 20) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_19 of rvclkhdr_39 @[el2_lib.scala 485:23] + rvclkhdr_19.clock <= clock + rvclkhdr_19.reset <= reset + rvclkhdr_19.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_19.io.en <= _T_660 @[el2_lib.scala 488:17] + rvclkhdr_19.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_661 : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_661 <= gpr_in[20] @[el2_lib.scala 491:16] + gpr_out[20] <= _T_661 @[el2_dec_gpr_ctl.scala 33:15] + node _T_662 = bits(gpr_wr_en, 21, 21) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_20 of rvclkhdr_40 @[el2_lib.scala 485:23] + rvclkhdr_20.clock <= clock + rvclkhdr_20.reset <= reset + rvclkhdr_20.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_20.io.en <= _T_662 @[el2_lib.scala 488:17] + rvclkhdr_20.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_663 : UInt, rvclkhdr_20.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_663 <= gpr_in[21] @[el2_lib.scala 491:16] + gpr_out[21] <= _T_663 @[el2_dec_gpr_ctl.scala 33:15] + node _T_664 = bits(gpr_wr_en, 22, 22) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_21 of rvclkhdr_41 @[el2_lib.scala 485:23] + rvclkhdr_21.clock <= clock + rvclkhdr_21.reset <= reset + rvclkhdr_21.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_21.io.en <= _T_664 @[el2_lib.scala 488:17] + rvclkhdr_21.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_665 : UInt, rvclkhdr_21.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_665 <= gpr_in[22] @[el2_lib.scala 491:16] + gpr_out[22] <= _T_665 @[el2_dec_gpr_ctl.scala 33:15] + node _T_666 = bits(gpr_wr_en, 23, 23) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_22 of rvclkhdr_42 @[el2_lib.scala 485:23] + rvclkhdr_22.clock <= clock + rvclkhdr_22.reset <= reset + rvclkhdr_22.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_22.io.en <= _T_666 @[el2_lib.scala 488:17] + rvclkhdr_22.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_667 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_667 <= gpr_in[23] @[el2_lib.scala 491:16] + gpr_out[23] <= _T_667 @[el2_dec_gpr_ctl.scala 33:15] + node _T_668 = bits(gpr_wr_en, 24, 24) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_23 of rvclkhdr_43 @[el2_lib.scala 485:23] + rvclkhdr_23.clock <= clock + rvclkhdr_23.reset <= reset + rvclkhdr_23.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_23.io.en <= _T_668 @[el2_lib.scala 488:17] + rvclkhdr_23.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_669 : UInt, rvclkhdr_23.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_669 <= gpr_in[24] @[el2_lib.scala 491:16] + gpr_out[24] <= _T_669 @[el2_dec_gpr_ctl.scala 33:15] + node _T_670 = bits(gpr_wr_en, 25, 25) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_24 of rvclkhdr_44 @[el2_lib.scala 485:23] + rvclkhdr_24.clock <= clock + rvclkhdr_24.reset <= reset + rvclkhdr_24.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_24.io.en <= _T_670 @[el2_lib.scala 488:17] + rvclkhdr_24.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_671 : UInt, rvclkhdr_24.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_671 <= gpr_in[25] @[el2_lib.scala 491:16] + gpr_out[25] <= _T_671 @[el2_dec_gpr_ctl.scala 33:15] + node _T_672 = bits(gpr_wr_en, 26, 26) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_25 of rvclkhdr_45 @[el2_lib.scala 485:23] + rvclkhdr_25.clock <= clock + rvclkhdr_25.reset <= reset + rvclkhdr_25.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_25.io.en <= _T_672 @[el2_lib.scala 488:17] + rvclkhdr_25.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_673 : UInt, rvclkhdr_25.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_673 <= gpr_in[26] @[el2_lib.scala 491:16] + gpr_out[26] <= _T_673 @[el2_dec_gpr_ctl.scala 33:15] + node _T_674 = bits(gpr_wr_en, 27, 27) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_26 of rvclkhdr_46 @[el2_lib.scala 485:23] + rvclkhdr_26.clock <= clock + rvclkhdr_26.reset <= reset + rvclkhdr_26.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_26.io.en <= _T_674 @[el2_lib.scala 488:17] + rvclkhdr_26.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_675 : UInt, rvclkhdr_26.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_675 <= gpr_in[27] @[el2_lib.scala 491:16] + gpr_out[27] <= _T_675 @[el2_dec_gpr_ctl.scala 33:15] + node _T_676 = bits(gpr_wr_en, 28, 28) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_27 of rvclkhdr_47 @[el2_lib.scala 485:23] + rvclkhdr_27.clock <= clock + rvclkhdr_27.reset <= reset + rvclkhdr_27.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_27.io.en <= _T_676 @[el2_lib.scala 488:17] + rvclkhdr_27.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_677 : UInt, rvclkhdr_27.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_677 <= gpr_in[28] @[el2_lib.scala 491:16] + gpr_out[28] <= _T_677 @[el2_dec_gpr_ctl.scala 33:15] + node _T_678 = bits(gpr_wr_en, 29, 29) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_28 of rvclkhdr_48 @[el2_lib.scala 485:23] + rvclkhdr_28.clock <= clock + rvclkhdr_28.reset <= reset + rvclkhdr_28.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_28.io.en <= _T_678 @[el2_lib.scala 488:17] + rvclkhdr_28.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_679 : UInt, rvclkhdr_28.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_679 <= gpr_in[29] @[el2_lib.scala 491:16] + gpr_out[29] <= _T_679 @[el2_dec_gpr_ctl.scala 33:15] + node _T_680 = bits(gpr_wr_en, 30, 30) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_29 of rvclkhdr_49 @[el2_lib.scala 485:23] + rvclkhdr_29.clock <= clock + rvclkhdr_29.reset <= reset + rvclkhdr_29.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_29.io.en <= _T_680 @[el2_lib.scala 488:17] + rvclkhdr_29.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_681 : UInt, rvclkhdr_29.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_681 <= gpr_in[30] @[el2_lib.scala 491:16] + gpr_out[30] <= _T_681 @[el2_dec_gpr_ctl.scala 33:15] + node _T_682 = bits(gpr_wr_en, 31, 31) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_30 of rvclkhdr_50 @[el2_lib.scala 485:23] + rvclkhdr_30.clock <= clock + rvclkhdr_30.reset <= reset + rvclkhdr_30.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_30.io.en <= _T_682 @[el2_lib.scala 488:17] + rvclkhdr_30.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_683 : UInt, rvclkhdr_30.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_683 <= gpr_in[31] @[el2_lib.scala 491:16] + gpr_out[31] <= _T_683 @[el2_dec_gpr_ctl.scala 33:15] + node _T_684 = eq(io.raddr0, UInt<1>("h01")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_685 = bits(_T_684, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_686 = eq(io.raddr0, UInt<2>("h02")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_687 = bits(_T_686, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_688 = eq(io.raddr0, UInt<2>("h03")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_689 = bits(_T_688, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_690 = eq(io.raddr0, UInt<3>("h04")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_691 = bits(_T_690, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_692 = eq(io.raddr0, UInt<3>("h05")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_693 = bits(_T_692, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_694 = eq(io.raddr0, UInt<3>("h06")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_695 = bits(_T_694, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_696 = eq(io.raddr0, UInt<3>("h07")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_697 = bits(_T_696, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_698 = eq(io.raddr0, UInt<4>("h08")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_699 = bits(_T_698, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_700 = eq(io.raddr0, UInt<4>("h09")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_701 = bits(_T_700, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_702 = eq(io.raddr0, UInt<4>("h0a")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_703 = bits(_T_702, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_704 = eq(io.raddr0, UInt<4>("h0b")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_705 = bits(_T_704, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_706 = eq(io.raddr0, UInt<4>("h0c")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_707 = bits(_T_706, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_708 = eq(io.raddr0, UInt<4>("h0d")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_709 = bits(_T_708, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_710 = eq(io.raddr0, UInt<4>("h0e")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_711 = bits(_T_710, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_712 = eq(io.raddr0, UInt<4>("h0f")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_713 = bits(_T_712, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_714 = eq(io.raddr0, UInt<5>("h010")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_715 = bits(_T_714, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_716 = eq(io.raddr0, UInt<5>("h011")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_717 = bits(_T_716, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_718 = eq(io.raddr0, UInt<5>("h012")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_719 = bits(_T_718, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_720 = eq(io.raddr0, UInt<5>("h013")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_721 = bits(_T_720, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_722 = eq(io.raddr0, UInt<5>("h014")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_723 = bits(_T_722, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_724 = eq(io.raddr0, UInt<5>("h015")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_725 = bits(_T_724, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_726 = eq(io.raddr0, UInt<5>("h016")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_727 = bits(_T_726, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_728 = eq(io.raddr0, UInt<5>("h017")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_729 = bits(_T_728, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_730 = eq(io.raddr0, UInt<5>("h018")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_731 = bits(_T_730, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_732 = eq(io.raddr0, UInt<5>("h019")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_733 = bits(_T_732, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_734 = eq(io.raddr0, UInt<5>("h01a")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_735 = bits(_T_734, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_736 = eq(io.raddr0, UInt<5>("h01b")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_737 = bits(_T_736, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_738 = eq(io.raddr0, UInt<5>("h01c")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_739 = bits(_T_738, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_740 = eq(io.raddr0, UInt<5>("h01d")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_741 = bits(_T_740, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_742 = eq(io.raddr0, UInt<5>("h01e")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_743 = bits(_T_742, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_744 = eq(io.raddr0, UInt<5>("h01f")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_745 = bits(_T_744, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_746 = mux(_T_685, gpr_out[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_747 = mux(_T_687, gpr_out[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_748 = mux(_T_689, gpr_out[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_749 = mux(_T_691, gpr_out[4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_750 = mux(_T_693, gpr_out[5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_751 = mux(_T_695, gpr_out[6], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_752 = mux(_T_697, gpr_out[7], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_753 = mux(_T_699, gpr_out[8], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_754 = mux(_T_701, gpr_out[9], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_755 = mux(_T_703, gpr_out[10], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_756 = mux(_T_705, gpr_out[11], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_757 = mux(_T_707, gpr_out[12], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_758 = mux(_T_709, gpr_out[13], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_759 = mux(_T_711, gpr_out[14], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_760 = mux(_T_713, gpr_out[15], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_761 = mux(_T_715, gpr_out[16], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_762 = mux(_T_717, gpr_out[17], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_763 = mux(_T_719, gpr_out[18], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_764 = mux(_T_721, gpr_out[19], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_765 = mux(_T_723, gpr_out[20], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_766 = mux(_T_725, gpr_out[21], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_767 = mux(_T_727, gpr_out[22], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_768 = mux(_T_729, gpr_out[23], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_769 = mux(_T_731, gpr_out[24], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_770 = mux(_T_733, gpr_out[25], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_771 = mux(_T_735, gpr_out[26], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_772 = mux(_T_737, gpr_out[27], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_773 = mux(_T_739, gpr_out[28], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_774 = mux(_T_741, gpr_out[29], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_775 = mux(_T_743, gpr_out[30], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_776 = mux(_T_745, gpr_out[31], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_777 = or(_T_746, _T_747) @[Mux.scala 27:72] + node _T_778 = or(_T_777, _T_748) @[Mux.scala 27:72] + node _T_779 = or(_T_778, _T_749) @[Mux.scala 27:72] + node _T_780 = or(_T_779, _T_750) @[Mux.scala 27:72] + node _T_781 = or(_T_780, _T_751) @[Mux.scala 27:72] + node _T_782 = or(_T_781, _T_752) @[Mux.scala 27:72] + node _T_783 = or(_T_782, _T_753) @[Mux.scala 27:72] + node _T_784 = or(_T_783, _T_754) @[Mux.scala 27:72] + node _T_785 = or(_T_784, _T_755) @[Mux.scala 27:72] + node _T_786 = or(_T_785, _T_756) @[Mux.scala 27:72] + node _T_787 = or(_T_786, _T_757) @[Mux.scala 27:72] + node _T_788 = or(_T_787, _T_758) @[Mux.scala 27:72] + node _T_789 = or(_T_788, _T_759) @[Mux.scala 27:72] + node _T_790 = or(_T_789, _T_760) @[Mux.scala 27:72] + node _T_791 = or(_T_790, _T_761) @[Mux.scala 27:72] + node _T_792 = or(_T_791, _T_762) @[Mux.scala 27:72] + node _T_793 = or(_T_792, _T_763) @[Mux.scala 27:72] + node _T_794 = or(_T_793, _T_764) @[Mux.scala 27:72] + node _T_795 = or(_T_794, _T_765) @[Mux.scala 27:72] + node _T_796 = or(_T_795, _T_766) @[Mux.scala 27:72] + node _T_797 = or(_T_796, _T_767) @[Mux.scala 27:72] + node _T_798 = or(_T_797, _T_768) @[Mux.scala 27:72] + node _T_799 = or(_T_798, _T_769) @[Mux.scala 27:72] + node _T_800 = or(_T_799, _T_770) @[Mux.scala 27:72] + node _T_801 = or(_T_800, _T_771) @[Mux.scala 27:72] + node _T_802 = or(_T_801, _T_772) @[Mux.scala 27:72] + node _T_803 = or(_T_802, _T_773) @[Mux.scala 27:72] + node _T_804 = or(_T_803, _T_774) @[Mux.scala 27:72] + node _T_805 = or(_T_804, _T_775) @[Mux.scala 27:72] + node _T_806 = or(_T_805, _T_776) @[Mux.scala 27:72] + wire _T_807 : UInt<32> @[Mux.scala 27:72] + _T_807 <= _T_806 @[Mux.scala 27:72] + io.rd0 <= _T_807 @[el2_dec_gpr_ctl.scala 36:9] + node _T_808 = eq(io.raddr1, UInt<1>("h01")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_809 = bits(_T_808, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_810 = eq(io.raddr1, UInt<2>("h02")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_811 = bits(_T_810, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_812 = eq(io.raddr1, UInt<2>("h03")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_813 = bits(_T_812, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_814 = eq(io.raddr1, UInt<3>("h04")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_815 = bits(_T_814, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_816 = eq(io.raddr1, UInt<3>("h05")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_817 = bits(_T_816, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_818 = eq(io.raddr1, UInt<3>("h06")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_819 = bits(_T_818, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_820 = eq(io.raddr1, UInt<3>("h07")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_821 = bits(_T_820, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_822 = eq(io.raddr1, UInt<4>("h08")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_823 = bits(_T_822, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_824 = eq(io.raddr1, UInt<4>("h09")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_825 = bits(_T_824, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_826 = eq(io.raddr1, UInt<4>("h0a")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_827 = bits(_T_826, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_828 = eq(io.raddr1, UInt<4>("h0b")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_829 = bits(_T_828, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_830 = eq(io.raddr1, UInt<4>("h0c")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_831 = bits(_T_830, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_832 = eq(io.raddr1, UInt<4>("h0d")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_833 = bits(_T_832, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_834 = eq(io.raddr1, UInt<4>("h0e")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_835 = bits(_T_834, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_836 = eq(io.raddr1, UInt<4>("h0f")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_837 = bits(_T_836, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_838 = eq(io.raddr1, UInt<5>("h010")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_839 = bits(_T_838, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_840 = eq(io.raddr1, UInt<5>("h011")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_841 = bits(_T_840, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_842 = eq(io.raddr1, UInt<5>("h012")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_843 = bits(_T_842, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_844 = eq(io.raddr1, UInt<5>("h013")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_845 = bits(_T_844, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_846 = eq(io.raddr1, UInt<5>("h014")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_847 = bits(_T_846, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_848 = eq(io.raddr1, UInt<5>("h015")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_849 = bits(_T_848, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_850 = eq(io.raddr1, UInt<5>("h016")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_851 = bits(_T_850, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_852 = eq(io.raddr1, UInt<5>("h017")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_853 = bits(_T_852, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_854 = eq(io.raddr1, UInt<5>("h018")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_855 = bits(_T_854, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_856 = eq(io.raddr1, UInt<5>("h019")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_857 = bits(_T_856, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_858 = eq(io.raddr1, UInt<5>("h01a")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_859 = bits(_T_858, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_860 = eq(io.raddr1, UInt<5>("h01b")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_861 = bits(_T_860, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_862 = eq(io.raddr1, UInt<5>("h01c")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_863 = bits(_T_862, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_864 = eq(io.raddr1, UInt<5>("h01d")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_865 = bits(_T_864, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_866 = eq(io.raddr1, UInt<5>("h01e")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_867 = bits(_T_866, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_868 = eq(io.raddr1, UInt<5>("h01f")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_869 = bits(_T_868, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_870 = mux(_T_809, gpr_out[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_871 = mux(_T_811, gpr_out[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_872 = mux(_T_813, gpr_out[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_873 = mux(_T_815, gpr_out[4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_874 = mux(_T_817, gpr_out[5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_875 = mux(_T_819, gpr_out[6], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_876 = mux(_T_821, gpr_out[7], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_877 = mux(_T_823, gpr_out[8], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_878 = mux(_T_825, gpr_out[9], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_879 = mux(_T_827, gpr_out[10], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_880 = mux(_T_829, gpr_out[11], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_881 = mux(_T_831, gpr_out[12], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_882 = mux(_T_833, gpr_out[13], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_883 = mux(_T_835, gpr_out[14], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_884 = mux(_T_837, gpr_out[15], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_885 = mux(_T_839, gpr_out[16], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_886 = mux(_T_841, gpr_out[17], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_887 = mux(_T_843, gpr_out[18], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_888 = mux(_T_845, gpr_out[19], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_889 = mux(_T_847, gpr_out[20], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_890 = mux(_T_849, gpr_out[21], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_891 = mux(_T_851, gpr_out[22], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_892 = mux(_T_853, gpr_out[23], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_893 = mux(_T_855, gpr_out[24], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_894 = mux(_T_857, gpr_out[25], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_895 = mux(_T_859, gpr_out[26], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_896 = mux(_T_861, gpr_out[27], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_897 = mux(_T_863, gpr_out[28], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_898 = mux(_T_865, gpr_out[29], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_899 = mux(_T_867, gpr_out[30], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_900 = mux(_T_869, gpr_out[31], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_901 = or(_T_870, _T_871) @[Mux.scala 27:72] + node _T_902 = or(_T_901, _T_872) @[Mux.scala 27:72] + node _T_903 = or(_T_902, _T_873) @[Mux.scala 27:72] + node _T_904 = or(_T_903, _T_874) @[Mux.scala 27:72] + node _T_905 = or(_T_904, _T_875) @[Mux.scala 27:72] + node _T_906 = or(_T_905, _T_876) @[Mux.scala 27:72] + node _T_907 = or(_T_906, _T_877) @[Mux.scala 27:72] + node _T_908 = or(_T_907, _T_878) @[Mux.scala 27:72] + node _T_909 = or(_T_908, _T_879) @[Mux.scala 27:72] + node _T_910 = or(_T_909, _T_880) @[Mux.scala 27:72] + node _T_911 = or(_T_910, _T_881) @[Mux.scala 27:72] + node _T_912 = or(_T_911, _T_882) @[Mux.scala 27:72] + node _T_913 = or(_T_912, _T_883) @[Mux.scala 27:72] + node _T_914 = or(_T_913, _T_884) @[Mux.scala 27:72] + node _T_915 = or(_T_914, _T_885) @[Mux.scala 27:72] + node _T_916 = or(_T_915, _T_886) @[Mux.scala 27:72] + node _T_917 = or(_T_916, _T_887) @[Mux.scala 27:72] + node _T_918 = or(_T_917, _T_888) @[Mux.scala 27:72] + node _T_919 = or(_T_918, _T_889) @[Mux.scala 27:72] + node _T_920 = or(_T_919, _T_890) @[Mux.scala 27:72] + node _T_921 = or(_T_920, _T_891) @[Mux.scala 27:72] + node _T_922 = or(_T_921, _T_892) @[Mux.scala 27:72] + node _T_923 = or(_T_922, _T_893) @[Mux.scala 27:72] + node _T_924 = or(_T_923, _T_894) @[Mux.scala 27:72] + node _T_925 = or(_T_924, _T_895) @[Mux.scala 27:72] + node _T_926 = or(_T_925, _T_896) @[Mux.scala 27:72] + node _T_927 = or(_T_926, _T_897) @[Mux.scala 27:72] + node _T_928 = or(_T_927, _T_898) @[Mux.scala 27:72] + node _T_929 = or(_T_928, _T_899) @[Mux.scala 27:72] + node _T_930 = or(_T_929, _T_900) @[Mux.scala 27:72] + wire _T_931 : UInt<32> @[Mux.scala 27:72] + _T_931 <= _T_930 @[Mux.scala 27:72] + io.rd1 <= _T_931 @[el2_dec_gpr_ctl.scala 37:9] + + extmodule TEC_RV_ICG_51 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_51 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_51 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_52 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_52 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_52 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_53 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_53 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_53 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_54 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_54 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_54 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + module el2_dec_timer_ctl : + input clock : Clock + input reset : Reset + output io : {flip free_clk : Clock, flip scan_mode : UInt<1>, flip dec_csr_wen_r_mod : UInt<1>, flip dec_csr_rdaddr_d : UInt<12>, flip dec_csr_wraddr_r : UInt<12>, flip dec_csr_wrdata_r : UInt<32>, flip csr_mitctl0 : UInt<1>, flip csr_mitctl1 : UInt<1>, flip csr_mitb0 : UInt<1>, flip csr_mitb1 : UInt<1>, flip csr_mitcnt0 : UInt<1>, flip csr_mitcnt1 : UInt<1>, flip dec_pause_state : UInt<1>, flip dec_tlu_pmu_fw_halted : UInt<1>, flip internal_dbg_halt_timers : UInt<1>, dec_timer_rddata_d : UInt<32>, dec_timer_read_d : UInt<1>, dec_timer_t0_pulse : UInt<1>, dec_timer_t1_pulse : UInt<1>} + + wire mitctl1 : UInt<4> @[el2_dec_tlu_ctl.scala 2745:19] + wire mitctl0 : UInt<3> @[el2_dec_tlu_ctl.scala 2746:19] + wire mitb1 : UInt<32> @[el2_dec_tlu_ctl.scala 2747:19] + wire mitb0 : UInt<32> @[el2_dec_tlu_ctl.scala 2748:19] + wire mitcnt1 : UInt<32> @[el2_dec_tlu_ctl.scala 2749:19] + wire mitcnt0 : UInt<32> @[el2_dec_tlu_ctl.scala 2750:19] + node mit0_match_ns = geq(mitcnt0, mitb0) @[el2_dec_tlu_ctl.scala 2752:30] + node mit1_match_ns = geq(mitcnt1, mitb1) @[el2_dec_tlu_ctl.scala 2753:30] + io.dec_timer_t0_pulse <= mit0_match_ns @[el2_dec_tlu_ctl.scala 2755:25] + io.dec_timer_t1_pulse <= mit1_match_ns @[el2_dec_tlu_ctl.scala 2756:25] + node _T = eq(io.dec_csr_wraddr_r, UInt<12>("h07d2")) @[el2_dec_tlu_ctl.scala 2763:66] + node wr_mitcnt0_r = and(io.dec_csr_wen_r_mod, _T) @[el2_dec_tlu_ctl.scala 2763:43] + node _T_1 = bits(mitctl0, 0, 0) @[el2_dec_tlu_ctl.scala 2765:31] + node _T_2 = not(io.dec_pause_state) @[el2_dec_tlu_ctl.scala 2765:50] + node _T_3 = bits(mitctl0, 2, 2) @[el2_dec_tlu_ctl.scala 2765:79] + node _T_4 = or(_T_2, _T_3) @[el2_dec_tlu_ctl.scala 2765:70] + node _T_5 = and(_T_1, _T_4) @[el2_dec_tlu_ctl.scala 2765:47] + node _T_6 = not(io.dec_tlu_pmu_fw_halted) @[el2_dec_tlu_ctl.scala 2765:106] + node _T_7 = bits(mitctl0, 1, 1) @[el2_dec_tlu_ctl.scala 2765:141] + node _T_8 = or(_T_6, _T_7) @[el2_dec_tlu_ctl.scala 2765:132] + node _T_9 = and(_T_5, _T_8) @[el2_dec_tlu_ctl.scala 2765:103] + node _T_10 = not(io.internal_dbg_halt_timers) @[el2_dec_tlu_ctl.scala 2765:167] + node mitcnt0_inc_ok = and(_T_9, _T_10) @[el2_dec_tlu_ctl.scala 2765:165] + node _T_11 = add(mitcnt0, UInt<32>("h01")) @[el2_dec_tlu_ctl.scala 2766:29] + node mitcnt0_inc = tail(_T_11, 1) @[el2_dec_tlu_ctl.scala 2766:29] + node _T_12 = bits(mit0_match_ns, 0, 0) @[el2_dec_tlu_ctl.scala 2767:38] + node _T_13 = bits(wr_mitcnt0_r, 0, 0) @[el2_dec_tlu_ctl.scala 2767:68] + node _T_14 = mux(_T_13, io.dec_csr_wrdata_r, mitcnt0_inc) @[el2_dec_tlu_ctl.scala 2767:54] + node mitcnt0_ns = mux(_T_12, UInt<1>("h00"), _T_14) @[el2_dec_tlu_ctl.scala 2767:23] + node _T_15 = or(wr_mitcnt0_r, mitcnt0_inc_ok) @[el2_dec_tlu_ctl.scala 2768:60] + node _T_16 = or(_T_15, mit0_match_ns) @[el2_dec_tlu_ctl.scala 2768:77] + node _T_17 = bits(_T_16, 0, 0) @[el2_dec_tlu_ctl.scala 2768:94] + inst rvclkhdr of rvclkhdr_51 @[el2_lib.scala 485:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr.io.en <= _T_17 @[el2_lib.scala 488:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_18 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_18 <= mitcnt0_ns @[el2_lib.scala 491:16] + mitcnt0 <= _T_18 @[el2_dec_tlu_ctl.scala 2768:25] + node _T_19 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d5")) @[el2_dec_tlu_ctl.scala 2775:66] + node wr_mitcnt1_r = and(io.dec_csr_wen_r_mod, _T_19) @[el2_dec_tlu_ctl.scala 2775:43] + node _T_20 = bits(mitctl1, 0, 0) @[el2_dec_tlu_ctl.scala 2777:31] + node _T_21 = not(io.dec_pause_state) @[el2_dec_tlu_ctl.scala 2777:50] + node _T_22 = bits(mitctl1, 2, 2) @[el2_dec_tlu_ctl.scala 2777:79] + node _T_23 = or(_T_21, _T_22) @[el2_dec_tlu_ctl.scala 2777:70] + node _T_24 = and(_T_20, _T_23) @[el2_dec_tlu_ctl.scala 2777:47] + node _T_25 = not(io.dec_tlu_pmu_fw_halted) @[el2_dec_tlu_ctl.scala 2777:106] + node _T_26 = bits(mitctl1, 1, 1) @[el2_dec_tlu_ctl.scala 2777:141] + node _T_27 = or(_T_25, _T_26) @[el2_dec_tlu_ctl.scala 2777:132] + node _T_28 = and(_T_24, _T_27) @[el2_dec_tlu_ctl.scala 2777:103] + node _T_29 = not(io.internal_dbg_halt_timers) @[el2_dec_tlu_ctl.scala 2777:167] + node mitcnt1_inc_ok = and(_T_28, _T_29) @[el2_dec_tlu_ctl.scala 2777:165] + node _T_30 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_31 = bits(mitctl1, 3, 3) @[el2_dec_tlu_ctl.scala 2780:62] + node _T_32 = not(_T_31) @[el2_dec_tlu_ctl.scala 2780:54] + node _T_33 = or(_T_32, mit0_match_ns) @[el2_dec_tlu_ctl.scala 2780:66] + node _T_34 = cat(_T_30, _T_33) @[Cat.scala 29:58] + node _T_35 = add(mitcnt1, _T_34) @[el2_dec_tlu_ctl.scala 2780:29] + node mitcnt1_inc = tail(_T_35, 1) @[el2_dec_tlu_ctl.scala 2780:29] + node _T_36 = bits(mit1_match_ns, 0, 0) @[el2_dec_tlu_ctl.scala 2781:39] + node _T_37 = bits(wr_mitcnt1_r, 0, 0) @[el2_dec_tlu_ctl.scala 2781:69] + node _T_38 = mux(_T_37, io.dec_csr_wrdata_r, mitcnt1_inc) @[el2_dec_tlu_ctl.scala 2781:55] + node mitcnt1_ns = mux(_T_36, UInt<1>("h00"), _T_38) @[el2_dec_tlu_ctl.scala 2781:24] + node _T_39 = or(wr_mitcnt1_r, mitcnt1_inc_ok) @[el2_dec_tlu_ctl.scala 2782:52] + node _T_40 = or(_T_39, mit1_match_ns) @[el2_dec_tlu_ctl.scala 2782:69] + node _T_41 = bits(_T_40, 0, 0) @[el2_dec_tlu_ctl.scala 2782:86] + inst rvclkhdr_1 of rvclkhdr_52 @[el2_lib.scala 485:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_1.io.en <= _T_41 @[el2_lib.scala 488:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_42 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_42 <= mitcnt1_ns @[el2_lib.scala 491:16] + mitcnt1 <= _T_42 @[el2_dec_tlu_ctl.scala 2782:17] + node _T_43 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d3")) @[el2_dec_tlu_ctl.scala 2789:64] + node wr_mitb0_r = and(io.dec_csr_wen_r_mod, _T_43) @[el2_dec_tlu_ctl.scala 2789:41] + node _T_44 = not(io.dec_csr_wrdata_r) @[el2_dec_tlu_ctl.scala 2790:30] + node _T_45 = bits(wr_mitb0_r, 0, 0) @[el2_dec_tlu_ctl.scala 2790:63] + inst rvclkhdr_2 of rvclkhdr_53 @[el2_lib.scala 485:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_2.io.en <= _T_45 @[el2_lib.scala 488:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg mitb0_b : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + mitb0_b <= _T_44 @[el2_lib.scala 491:16] + node _T_46 = not(mitb0_b) @[el2_dec_tlu_ctl.scala 2791:14] + mitb0 <= _T_46 @[el2_dec_tlu_ctl.scala 2791:11] + node _T_47 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d6")) @[el2_dec_tlu_ctl.scala 2798:63] + node wr_mitb1_r = and(io.dec_csr_wen_r_mod, _T_47) @[el2_dec_tlu_ctl.scala 2798:41] + node _T_48 = not(io.dec_csr_wrdata_r) @[el2_dec_tlu_ctl.scala 2799:24] + node _T_49 = bits(wr_mitb1_r, 0, 0) @[el2_dec_tlu_ctl.scala 2799:56] + inst rvclkhdr_3 of rvclkhdr_54 @[el2_lib.scala 485:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_3.io.en <= _T_49 @[el2_lib.scala 488:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg mitb1_b : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + mitb1_b <= _T_48 @[el2_lib.scala 491:16] + node _T_50 = not(mitb1_b) @[el2_dec_tlu_ctl.scala 2800:12] + mitb1 <= _T_50 @[el2_dec_tlu_ctl.scala 2800:9] + node _T_51 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d4")) @[el2_dec_tlu_ctl.scala 2811:66] + node wr_mitctl0_r = and(io.dec_csr_wen_r_mod, _T_51) @[el2_dec_tlu_ctl.scala 2811:43] + node _T_52 = bits(wr_mitctl0_r, 0, 0) @[el2_dec_tlu_ctl.scala 2812:45] + node _T_53 = bits(io.dec_csr_wrdata_r, 2, 0) @[el2_dec_tlu_ctl.scala 2812:72] + node _T_54 = bits(mitctl0, 2, 0) @[el2_dec_tlu_ctl.scala 2812:86] + node mitctl0_ns = mux(_T_52, _T_53, _T_54) @[el2_dec_tlu_ctl.scala 2812:31] + node _T_55 = bits(mitctl0_ns, 0, 0) @[el2_dec_tlu_ctl.scala 2814:35] + node mitctl0_0_b_ns = not(_T_55) @[el2_dec_tlu_ctl.scala 2814:24] + reg mitctl0_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2815:54] + mitctl0_0_b <= mitctl0_0_b_ns @[el2_dec_tlu_ctl.scala 2815:54] + node _T_56 = bits(mitctl0_ns, 2, 1) @[el2_dec_tlu_ctl.scala 2816:78] + reg _T_57 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2816:67] + _T_57 <= _T_56 @[el2_dec_tlu_ctl.scala 2816:67] + node _T_58 = not(mitctl0_0_b) @[el2_dec_tlu_ctl.scala 2816:90] + node _T_59 = cat(_T_57, _T_58) @[Cat.scala 29:58] + mitctl0 <= _T_59 @[el2_dec_tlu_ctl.scala 2816:31] + node _T_60 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d7")) @[el2_dec_tlu_ctl.scala 2826:65] + node wr_mitctl1_r = and(io.dec_csr_wen_r_mod, _T_60) @[el2_dec_tlu_ctl.scala 2826:43] + node _T_61 = bits(wr_mitctl1_r, 0, 0) @[el2_dec_tlu_ctl.scala 2827:45] + node _T_62 = bits(io.dec_csr_wrdata_r, 3, 0) @[el2_dec_tlu_ctl.scala 2827:71] + node _T_63 = bits(mitctl1, 3, 0) @[el2_dec_tlu_ctl.scala 2827:85] + node mitctl1_ns = mux(_T_61, _T_62, _T_63) @[el2_dec_tlu_ctl.scala 2827:31] + node _T_64 = bits(mitctl1_ns, 0, 0) @[el2_dec_tlu_ctl.scala 2828:34] + node mitctl1_0_b_ns = not(_T_64) @[el2_dec_tlu_ctl.scala 2828:23] + reg mitctl1_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2829:49] + mitctl1_0_b <= mitctl1_0_b_ns @[el2_dec_tlu_ctl.scala 2829:49] + node _T_65 = bits(mitctl1_ns, 3, 1) @[el2_dec_tlu_ctl.scala 2830:57] + reg _T_66 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2830:46] + _T_66 <= _T_65 @[el2_dec_tlu_ctl.scala 2830:46] + node _T_67 = not(mitctl1_0_b) @[el2_dec_tlu_ctl.scala 2830:69] + node _T_68 = cat(_T_66, _T_67) @[Cat.scala 29:58] + mitctl1 <= _T_68 @[el2_dec_tlu_ctl.scala 2830:10] + node _T_69 = or(io.csr_mitcnt1, io.csr_mitcnt0) @[el2_dec_tlu_ctl.scala 2832:43] + node _T_70 = or(_T_69, io.csr_mitb1) @[el2_dec_tlu_ctl.scala 2832:60] + node _T_71 = or(_T_70, io.csr_mitb0) @[el2_dec_tlu_ctl.scala 2832:75] + node _T_72 = or(_T_71, io.csr_mitctl0) @[el2_dec_tlu_ctl.scala 2832:90] + node _T_73 = or(_T_72, io.csr_mitctl1) @[el2_dec_tlu_ctl.scala 2832:107] + io.dec_timer_read_d <= _T_73 @[el2_dec_tlu_ctl.scala 2832:25] + node _T_74 = bits(io.csr_mitcnt0, 0, 0) @[el2_dec_tlu_ctl.scala 2834:20] + node _T_75 = bits(mitcnt0, 31, 0) @[el2_dec_tlu_ctl.scala 2834:39] + node _T_76 = bits(io.csr_mitcnt1, 0, 0) @[el2_dec_tlu_ctl.scala 2835:20] + node _T_77 = bits(io.csr_mitb0, 0, 0) @[el2_dec_tlu_ctl.scala 2836:18] + node _T_78 = bits(io.csr_mitb1, 0, 0) @[el2_dec_tlu_ctl.scala 2837:18] + node _T_79 = bits(io.csr_mitctl0, 0, 0) @[el2_dec_tlu_ctl.scala 2838:20] + node _T_80 = mux(UInt<1>("h00"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_81 = cat(_T_80, mitctl0) @[Cat.scala 29:58] + node _T_82 = bits(io.csr_mitctl1, 0, 0) @[el2_dec_tlu_ctl.scala 2839:20] + node _T_83 = mux(UInt<1>("h00"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_84 = cat(_T_83, mitctl1) @[Cat.scala 29:58] + node _T_85 = mux(_T_74, _T_75, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_86 = mux(_T_76, mitcnt1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_87 = mux(_T_77, mitb0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_88 = mux(_T_78, mitb1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_89 = mux(_T_79, _T_81, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_90 = mux(_T_82, _T_84, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_91 = or(_T_85, _T_86) @[Mux.scala 27:72] + node _T_92 = or(_T_91, _T_87) @[Mux.scala 27:72] + node _T_93 = or(_T_92, _T_88) @[Mux.scala 27:72] + node _T_94 = or(_T_93, _T_89) @[Mux.scala 27:72] + node _T_95 = or(_T_94, _T_90) @[Mux.scala 27:72] + wire _T_96 : UInt<32> @[Mux.scala 27:72] + _T_96 <= _T_95 @[Mux.scala 27:72] + io.dec_timer_rddata_d <= _T_96 @[el2_dec_tlu_ctl.scala 2833:33] + + extmodule TEC_RV_ICG_55 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_55 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_55 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_56 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_56 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_56 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_57 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_57 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_57 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_58 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_58 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_58 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_59 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_59 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_59 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_60 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_60 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_60 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_61 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_61 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_61 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_62 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_62 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_62 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_63 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_63 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_63 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_64 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_64 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_64 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_65 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_65 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_65 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_66 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_66 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_66 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_67 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_67 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_67 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_68 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_68 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_68 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_69 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_69 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_69 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_70 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_70 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_70 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_71 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_71 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_71 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_72 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_72 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_72 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_73 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_73 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_73 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_74 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_74 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_74 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_75 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_75 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_75 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_76 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_76 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_76 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_77 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_77 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_77 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_78 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_78 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_78 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_79 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_79 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_79 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_80 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_80 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_80 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_81 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_81 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_81 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_82 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_82 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_82 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_83 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_83 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_83 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_84 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_84 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_84 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_85 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_85 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_85 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_86 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_86 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_86 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_87 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_87 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_87 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_88 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_88 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_88 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_89 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_89 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_89 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_90 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_90 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_90 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_91 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_91 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_91 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_92 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_92 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_92 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_93 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_93 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_93 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + module csr_tlu : + input clock : Clock + input reset : Reset + output io : {flip free_clk : Clock, flip active_clk : Clock, flip scan_mode : UInt<1>, flip dec_csr_wrdata_r : UInt<32>, flip dec_csr_wraddr_r : UInt<12>, flip dec_csr_rdaddr_d : UInt<12>, flip dec_csr_wen_unq_d : UInt<1>, flip dec_i0_decode_d : UInt<1>, dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip ifu_ic_debug_rd_data_valid : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_ : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip ifu_pmu_bus_trxn : UInt<1>, flip dma_iccm_stall_any : UInt<1>, flip dma_dccm_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip dec_pmu_presync_stall : UInt<1>, flip dec_pmu_postsync_stall : UInt<1>, flip dec_pmu_decode_stall : UInt<1>, flip ifu_pmu_fetch_stall : UInt<1>, flip dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, flip exu_pmu_i0_br_ataken : UInt<1>, flip exu_pmu_i0_br_misp : UInt<1>, flip dec_pmu_instr_decoded : UInt<1>, flip ifu_pmu_instr_aligned : UInt<1>, flip exu_pmu_i0_pc4 : UInt<1>, flip ifu_pmu_ic_miss : UInt<1>, flip ifu_pmu_ic_hit : UInt<1>, dec_tlu_int_valid_wb1 : UInt<1>, dec_tlu_i0_exc_valid_wb1 : UInt<1>, dec_tlu_i0_valid_wb1 : UInt<1>, flip dec_csr_wen_r : UInt<1>, dec_tlu_mtval_wb1 : UInt<32>, dec_tlu_exc_cause_wb1 : UInt<5>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, flip dec_tlu_dbg_halted : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_i0_pc_r : UInt<31>, flip dec_tlu_i0_valid_r : UInt<1>, flip dec_csr_stall_int_ff : UInt<1>, flip dec_csr_any_unq_d : UInt<1>, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_dec_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, dec_csr_rddata_d : UInt<32>, dec_tlu_pipelining_disable : UInt<1>, dec_tlu_wr_pause_r : UInt<1>, flip ifu_pmu_bus_busy : UInt<1>, flip lsu_pmu_bus_error : UInt<1>, flip ifu_pmu_bus_error : UInt<1>, flip lsu_pmu_bus_misaligned : UInt<1>, flip lsu_pmu_bus_trxn : UInt<1>, flip ifu_ic_debug_rd_data : UInt<71>, dec_tlu_meipt : UInt<4>, flip pic_pl : UInt<4>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meihap : UInt<30>, flip pic_claimid : UInt<8>, flip iccm_dma_sb_error : UInt<1>, flip lsu_imprecise_error_addr_any : UInt<32>, flip lsu_imprecise_error_load_any : UInt<1>, flip lsu_imprecise_error_store_any : UInt<1>, dec_tlu_mrac_ff : UInt<32>, dec_tlu_wb_coalescing_disable : UInt<1>, dec_tlu_bpred_disable : UInt<1>, dec_tlu_sideeffect_posted_disable : UInt<1>, dec_tlu_core_ecc_disable : UInt<1>, dec_tlu_external_ldfwd_disable : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dec_illegal_inst : UInt<32>, flip lsu_error_pkt_r : {exc_valid : UInt<1>, single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<1>, addr : UInt<1>}, flip mexintpend : UInt<1>, flip exu_npc_r : UInt<31>, flip mpc_reset_run_req : UInt<1>, flip rst_vec : UInt<31>, flip core_id : UInt<28>, flip dec_timer_rddata_d : UInt<32>, flip dec_timer_read_d : UInt<1>, dec_csr_wen_r_mod : UInt<1>, flip rfpc_i0_r : UInt<1>, flip i0_trigger_hit_r : UInt<1>, fw_halt_req : UInt<1>, mstatus : UInt<2>, flip exc_or_int_valid_r : UInt<1>, flip mret_r : UInt<1>, mstatus_mie_ns : UInt<1>, flip dcsr_single_step_running_f : UInt<1>, dcsr : UInt<16>, mtvec : UInt<31>, mip : UInt<6>, flip dec_timer_t0_pulse : UInt<1>, flip dec_timer_t1_pulse : UInt<1>, flip timer_int_sync : UInt<1>, flip soft_int_sync : UInt<1>, mie_ns : UInt<6>, flip csr_wr_clk : Clock, flip ebreak_to_debug_mode_r : UInt<1>, flip dec_tlu_pmu_fw_halted : UInt<1>, flip lsu_fir_error : UInt<2>, npc_r : UInt<31>, flip tlu_flush_lower_r_d1 : UInt<1>, flip dec_tlu_flush_noredir_r_d1 : UInt<1>, flip tlu_flush_path_r_d1 : UInt<31>, npc_r_d1 : UInt<31>, flip reset_delayed : UInt<1>, mepc : UInt<31>, flip interrupt_valid_r : UInt<1>, flip i0_exception_valid_r : UInt<1>, flip lsu_exc_valid_r : UInt<1>, flip mepc_trigger_hit_sel_pc_r : UInt<1>, flip e4e5_int_clk : Clock, flip lsu_i0_exc_r : UInt<1>, flip inst_acc_r : UInt<1>, flip inst_acc_second_r : UInt<1>, flip take_nmi : UInt<1>, flip lsu_error_pkt_addr_r : UInt<32>, flip exc_cause_r : UInt<5>, flip i0_valid_wb : UInt<1>, flip exc_or_int_valid_r_d1 : UInt<1>, flip interrupt_valid_r_d1 : UInt<1>, flip clk_override : UInt<1>, flip i0_exception_valid_r_d1 : UInt<1>, flip lsu_i0_exc_r_d1 : UInt<1>, flip exc_cause_wb : UInt<5>, flip nmi_lsu_store_type : UInt<1>, flip nmi_lsu_load_type : UInt<1>, flip tlu_i0_commit_cmt : UInt<1>, flip ebreak_r : UInt<1>, flip ecall_r : UInt<1>, flip illegal_r : UInt<1>, mdseac_locked_ns : UInt<1>, flip mdseac_locked_f : UInt<1>, flip nmi_int_detected_f : UInt<1>, flip internal_dbg_halt_mode_f2 : UInt<1>, flip ext_int_freeze_d1 : UInt<1>, flip ic_perr_r_d1 : UInt<1>, flip iccm_sbecc_r_d1 : UInt<1>, flip lsu_single_ecc_error_r_d1 : UInt<1>, flip ifu_miss_state_idle_f : UInt<1>, flip lsu_idle_any_f : UInt<1>, flip dbg_tlu_halted_f : UInt<1>, flip dbg_tlu_halted : UInt<1>, flip debug_halt_req_f : UInt<1>, force_halt : UInt<1>, flip take_ext_int_start : UInt<1>, flip trigger_hit_dmode_r_d1 : UInt<1>, flip trigger_hit_r_d1 : UInt<1>, flip dcsr_single_step_done_f : UInt<1>, flip ebreak_to_debug_mode_r_d1 : UInt<1>, flip debug_halt_req : UInt<1>, flip allow_dbg_halt_csr_write : UInt<1>, flip internal_dbg_halt_mode_f : UInt<1>, flip enter_debug_halt_req : UInt<1>, flip internal_dbg_halt_mode : UInt<1>, flip request_debug_mode_done : UInt<1>, flip request_debug_mode_r : UInt<1>, dpc : UInt<31>, flip update_hit_bit_r : UInt<4>, flip take_timer_int : UInt<1>, flip take_int_timer0_int : UInt<1>, flip take_int_timer1_int : UInt<1>, flip take_ext_int : UInt<1>, flip tlu_flush_lower_r : UInt<1>, flip dec_tlu_br0_error_r : UInt<1>, flip dec_tlu_br0_start_error_r : UInt<1>, flip lsu_pmu_load_external_r : UInt<1>, flip lsu_pmu_store_external_r : UInt<1>, flip csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>}, mtdata1_t : UInt<10>[4]} + + wire miccme_ce_req : UInt<1> @[el2_dec_tlu_ctl.scala 1454:41] + wire mice_ce_req : UInt<1> @[el2_dec_tlu_ctl.scala 1455:41] + wire mdccme_ce_req : UInt<1> @[el2_dec_tlu_ctl.scala 1456:41] + wire pc_r_d1 : UInt<31> @[el2_dec_tlu_ctl.scala 1457:41] + wire mpmc_b_ns : UInt<1> @[el2_dec_tlu_ctl.scala 1458:41] + wire mpmc_b : UInt<1> @[el2_dec_tlu_ctl.scala 1459:41] + wire wr_mcycleh_r : UInt<1> + wr_mcycleh_r <= UInt<1>("h00") + wire mcycleh : UInt<32> + mcycleh <= UInt<1>("h00") + wire minstretl_inc : UInt<33> + minstretl_inc <= UInt<1>("h00") + wire wr_minstreth_r : UInt<1> + wr_minstreth_r <= UInt<1>("h00") + wire minstretl : UInt<32> + minstretl <= UInt<1>("h00") + wire minstreth_inc : UInt<32> + minstreth_inc <= UInt<1>("h00") + wire minstreth : UInt<32> + minstreth <= UInt<1>("h00") + wire mfdc_ns : UInt<15> + mfdc_ns <= UInt<1>("h00") + wire mfdc_int : UInt<15> + mfdc_int <= UInt<1>("h00") + wire mhpmc6_incr : UInt<64> + mhpmc6_incr <= UInt<1>("h00") + wire mhpmc5_incr : UInt<64> + mhpmc5_incr <= UInt<1>("h00") + wire mhpmc4_incr : UInt<64> + mhpmc4_incr <= UInt<1>("h00") + wire perfcnt_halted : UInt<1> + perfcnt_halted <= UInt<1>("h00") + wire mhpmc3_incr : UInt<64> + mhpmc3_incr <= UInt<1>("h00") + wire mhpme_vec : UInt<10>[4] @[el2_dec_tlu_ctl.scala 1474:41] + wire mtdata2_t : UInt<32>[4] @[el2_dec_tlu_ctl.scala 1475:65] + wire wr_meicpct_r : UInt<1> + wr_meicpct_r <= UInt<1>("h00") + wire force_halt_ctr_f : UInt<32> + force_halt_ctr_f <= UInt<1>("h00") + wire mdccmect_inc : UInt<27> + mdccmect_inc <= UInt<1>("h00") + wire miccmect_inc : UInt<27> + miccmect_inc <= UInt<1>("h00") + wire fw_halted : UInt<1> + fw_halted <= UInt<1>("h00") + wire micect_inc : UInt<27> + micect_inc <= UInt<1>("h00") + wire mdseac_en : UInt<1> + mdseac_en <= UInt<1>("h00") + wire mie : UInt<6> + mie <= UInt<1>("h00") + wire mcyclel : UInt<32> + mcyclel <= UInt<1>("h00") + wire mscratch : UInt<32> + mscratch <= UInt<1>("h00") + wire mcause : UInt<32> + mcause <= UInt<1>("h00") + wire mscause : UInt<4> + mscause <= UInt<1>("h00") + wire mtval : UInt<32> + mtval <= UInt<1>("h00") + wire meicurpl : UInt<4> + meicurpl <= UInt<1>("h00") + wire meicidpl : UInt<4> + meicidpl <= UInt<1>("h00") + wire meipt : UInt<4> + meipt <= UInt<1>("h00") + wire mfdc : UInt<19> + mfdc <= UInt<1>("h00") + wire mtsel : UInt<2> + mtsel <= UInt<1>("h00") + wire micect : UInt<32> + micect <= UInt<1>("h00") + wire miccmect : UInt<32> + miccmect <= UInt<1>("h00") + wire mdccmect : UInt<32> + mdccmect <= UInt<1>("h00") + wire mhpmc3h : UInt<32> + mhpmc3h <= UInt<1>("h00") + wire mhpmc3 : UInt<32> + mhpmc3 <= UInt<1>("h00") + wire mhpmc4h : UInt<32> + mhpmc4h <= UInt<1>("h00") + wire mhpmc4 : UInt<32> + mhpmc4 <= UInt<1>("h00") + wire mhpmc5h : UInt<32> + mhpmc5h <= UInt<1>("h00") + wire mhpmc5 : UInt<32> + mhpmc5 <= UInt<1>("h00") + wire mhpmc6h : UInt<32> + mhpmc6h <= UInt<1>("h00") + wire mhpmc6 : UInt<32> + mhpmc6 <= UInt<1>("h00") + wire mhpme3 : UInt<10> + mhpme3 <= UInt<1>("h00") + wire mhpme4 : UInt<10> + mhpme4 <= UInt<1>("h00") + wire mhpme5 : UInt<10> + mhpme5 <= UInt<1>("h00") + wire mhpme6 : UInt<10> + mhpme6 <= UInt<1>("h00") + wire mfdht : UInt<6> + mfdht <= UInt<1>("h00") + wire mfdhs : UInt<2> + mfdhs <= UInt<1>("h00") + wire mcountinhibit : UInt<7> + mcountinhibit <= UInt<1>("h00") + wire mpmc : UInt<1> + mpmc <= UInt<1>("h00") + wire dicad1 : UInt<32> + dicad1 <= UInt<1>("h00") + node _T = eq(io.i0_trigger_hit_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1530:46] + node _T_1 = and(io.dec_csr_wen_r, _T) @[el2_dec_tlu_ctl.scala 1530:44] + node _T_2 = eq(io.rfpc_i0_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1530:69] + node _T_3 = and(_T_1, _T_2) @[el2_dec_tlu_ctl.scala 1530:67] + io.dec_csr_wen_r_mod <= _T_3 @[el2_dec_tlu_ctl.scala 1530:24] + node _T_4 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1531:65] + node _T_5 = eq(_T_4, UInt<12>("h0300")) @[el2_dec_tlu_ctl.scala 1531:72] + node wr_mstatus_r = and(io.dec_csr_wen_r_mod, _T_5) @[el2_dec_tlu_ctl.scala 1531:43] + node _T_6 = eq(mpmc_b_ns, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1534:29] + node set_mie_pmu_fw_halt = and(_T_6, io.fw_halt_req) @[el2_dec_tlu_ctl.scala 1534:40] + node _T_7 = eq(wr_mstatus_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1537:6] + node _T_8 = and(_T_7, io.exc_or_int_valid_r) @[el2_dec_tlu_ctl.scala 1537:20] + node _T_9 = bits(_T_8, 0, 0) @[el2_dec_tlu_ctl.scala 1537:45] + node _T_10 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1537:69] + node _T_11 = bits(_T_10, 0, 0) @[el2_dec_tlu_ctl.scala 1537:69] + node _T_12 = and(wr_mstatus_r, io.exc_or_int_valid_r) @[el2_dec_tlu_ctl.scala 1538:19] + node _T_13 = bits(_T_12, 0, 0) @[el2_dec_tlu_ctl.scala 1538:44] + node _T_14 = bits(io.dec_csr_wrdata_r, 3, 3) @[el2_dec_tlu_ctl.scala 1538:77] + node _T_15 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1539:18] + node _T_16 = and(io.mret_r, _T_15) @[el2_dec_tlu_ctl.scala 1539:16] + node _T_17 = bits(_T_16, 0, 0) @[el2_dec_tlu_ctl.scala 1539:42] + node _T_18 = bits(io.mstatus, 1, 1) @[el2_dec_tlu_ctl.scala 1539:71] + node _T_19 = cat(UInt<1>("h01"), _T_18) @[Cat.scala 29:58] + node _T_20 = bits(set_mie_pmu_fw_halt, 0, 0) @[el2_dec_tlu_ctl.scala 1540:27] + node _T_21 = bits(io.mstatus, 1, 1) @[el2_dec_tlu_ctl.scala 1540:51] + node _T_22 = cat(_T_21, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_23 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1541:21] + node _T_24 = and(wr_mstatus_r, _T_23) @[el2_dec_tlu_ctl.scala 1541:19] + node _T_25 = bits(_T_24, 0, 0) @[el2_dec_tlu_ctl.scala 1541:45] + node _T_26 = bits(io.dec_csr_wrdata_r, 7, 7) @[el2_dec_tlu_ctl.scala 1541:78] + node _T_27 = bits(io.dec_csr_wrdata_r, 3, 3) @[el2_dec_tlu_ctl.scala 1541:102] + node _T_28 = cat(_T_26, _T_27) @[Cat.scala 29:58] + node _T_29 = eq(wr_mstatus_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1542:6] + node _T_30 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1542:22] + node _T_31 = and(_T_29, _T_30) @[el2_dec_tlu_ctl.scala 1542:20] + node _T_32 = eq(io.mret_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1542:47] + node _T_33 = and(_T_31, _T_32) @[el2_dec_tlu_ctl.scala 1542:45] + node _T_34 = eq(set_mie_pmu_fw_halt, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1542:60] + node _T_35 = and(_T_33, _T_34) @[el2_dec_tlu_ctl.scala 1542:58] + node _T_36 = bits(_T_35, 0, 0) @[el2_dec_tlu_ctl.scala 1542:82] + node _T_37 = mux(_T_9, _T_11, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_38 = mux(_T_13, _T_14, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39 = mux(_T_17, _T_19, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_40 = mux(_T_20, _T_22, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_41 = mux(_T_25, _T_28, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_42 = mux(_T_36, io.mstatus, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_43 = or(_T_37, _T_38) @[Mux.scala 27:72] + node _T_44 = or(_T_43, _T_39) @[Mux.scala 27:72] + node _T_45 = or(_T_44, _T_40) @[Mux.scala 27:72] + node _T_46 = or(_T_45, _T_41) @[Mux.scala 27:72] + node _T_47 = or(_T_46, _T_42) @[Mux.scala 27:72] + wire mstatus_ns : UInt<2> @[Mux.scala 27:72] + mstatus_ns <= _T_47 @[Mux.scala 27:72] + node _T_48 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1545:34] + node _T_49 = bits(_T_48, 0, 0) @[el2_dec_tlu_ctl.scala 1545:34] + node _T_50 = not(io.dcsr_single_step_running_f) @[el2_dec_tlu_ctl.scala 1545:51] + node _T_51 = bits(io.dcsr, 11, 11) @[el2_dec_tlu_ctl.scala 1545:91] + node _T_52 = or(_T_50, _T_51) @[el2_dec_tlu_ctl.scala 1545:82] + node _T_53 = and(_T_49, _T_52) @[el2_dec_tlu_ctl.scala 1545:48] + io.mstatus_mie_ns <= _T_53 @[el2_dec_tlu_ctl.scala 1545:21] + reg _T_54 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1547:12] + _T_54 <= mstatus_ns @[el2_dec_tlu_ctl.scala 1547:12] + io.mstatus <= _T_54 @[el2_dec_tlu_ctl.scala 1546:14] + node _T_55 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1556:63] + node _T_56 = eq(_T_55, UInt<12>("h0305")) @[el2_dec_tlu_ctl.scala 1556:70] + node wr_mtvec_r = and(io.dec_csr_wen_r_mod, _T_56) @[el2_dec_tlu_ctl.scala 1556:41] + node _T_57 = bits(io.dec_csr_wrdata_r, 31, 2) @[el2_dec_tlu_ctl.scala 1557:41] + node _T_58 = bits(io.dec_csr_wrdata_r, 0, 0) @[el2_dec_tlu_ctl.scala 1557:69] + node mtvec_ns = cat(_T_57, _T_58) @[Cat.scala 29:58] + node _T_59 = bits(wr_mtvec_r, 0, 0) @[el2_dec_tlu_ctl.scala 1558:43] + inst rvclkhdr of rvclkhdr_59 @[el2_lib.scala 485:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr.io.en <= _T_59 @[el2_lib.scala 488:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_60 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_60 <= mtvec_ns @[el2_lib.scala 491:16] + io.mtvec <= _T_60 @[el2_dec_tlu_ctl.scala 1558:12] + node _T_61 = or(mdccme_ce_req, miccme_ce_req) @[el2_dec_tlu_ctl.scala 1570:31] + node ce_int = or(_T_61, mice_ce_req) @[el2_dec_tlu_ctl.scala 1570:47] + node _T_62 = cat(io.mexintpend, io.timer_int_sync) @[Cat.scala 29:58] + node _T_63 = cat(_T_62, io.soft_int_sync) @[Cat.scala 29:58] + node _T_64 = cat(ce_int, io.dec_timer_t0_pulse) @[Cat.scala 29:58] + node _T_65 = cat(_T_64, io.dec_timer_t1_pulse) @[Cat.scala 29:58] + node mip_ns = cat(_T_65, _T_63) @[Cat.scala 29:58] + reg _T_66 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1574:12] + _T_66 <= mip_ns @[el2_dec_tlu_ctl.scala 1574:12] + io.mip <= _T_66 @[el2_dec_tlu_ctl.scala 1573:10] + node _T_67 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1586:61] + node _T_68 = eq(_T_67, UInt<12>("h0304")) @[el2_dec_tlu_ctl.scala 1586:68] + node wr_mie_r = and(io.dec_csr_wen_r_mod, _T_68) @[el2_dec_tlu_ctl.scala 1586:39] + node _T_69 = bits(wr_mie_r, 0, 0) @[el2_dec_tlu_ctl.scala 1587:29] + node _T_70 = bits(io.dec_csr_wrdata_r, 30, 28) @[el2_dec_tlu_ctl.scala 1587:60] + node _T_71 = bits(io.dec_csr_wrdata_r, 11, 11) @[el2_dec_tlu_ctl.scala 1587:89] + node _T_72 = bits(io.dec_csr_wrdata_r, 7, 7) @[el2_dec_tlu_ctl.scala 1587:114] + node _T_73 = bits(io.dec_csr_wrdata_r, 3, 3) @[el2_dec_tlu_ctl.scala 1587:138] + node _T_74 = cat(_T_72, _T_73) @[Cat.scala 29:58] + node _T_75 = cat(_T_70, _T_71) @[Cat.scala 29:58] + node _T_76 = cat(_T_75, _T_74) @[Cat.scala 29:58] + node _T_77 = mux(_T_69, _T_76, mie) @[el2_dec_tlu_ctl.scala 1587:19] + io.mie_ns <= _T_77 @[el2_dec_tlu_ctl.scala 1587:13] + reg _T_78 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1589:12] + _T_78 <= io.mie_ns @[el2_dec_tlu_ctl.scala 1589:12] + mie <= _T_78 @[el2_dec_tlu_ctl.scala 1588:7] + node _T_79 = bits(io.dcsr, 10, 10) @[el2_dec_tlu_ctl.scala 1596:64] + node kill_ebreak_count_r = and(io.ebreak_to_debug_mode_r, _T_79) @[el2_dec_tlu_ctl.scala 1596:55] + node _T_80 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1598:65] + node _T_81 = eq(_T_80, UInt<12>("h0b00")) @[el2_dec_tlu_ctl.scala 1598:72] + node wr_mcyclel_r = and(io.dec_csr_wen_r_mod, _T_81) @[el2_dec_tlu_ctl.scala 1598:43] + node _T_82 = bits(io.dcsr, 10, 10) @[el2_dec_tlu_ctl.scala 1600:81] + node _T_83 = and(io.dec_tlu_dbg_halted, _T_82) @[el2_dec_tlu_ctl.scala 1600:72] + node _T_84 = or(kill_ebreak_count_r, _T_83) @[el2_dec_tlu_ctl.scala 1600:47] + node _T_85 = or(_T_84, io.dec_tlu_pmu_fw_halted) @[el2_dec_tlu_ctl.scala 1600:95] + node _T_86 = bits(mcountinhibit, 0, 0) @[el2_dec_tlu_ctl.scala 1600:137] + node _T_87 = or(_T_85, _T_86) @[el2_dec_tlu_ctl.scala 1600:122] + node mcyclel_cout_in = not(_T_87) @[el2_dec_tlu_ctl.scala 1600:25] + wire mcyclel_inc : UInt<33> + mcyclel_inc <= UInt<1>("h00") + node _T_88 = cat(UInt<31>("h00"), mcyclel_cout_in) @[Cat.scala 29:58] + node _T_89 = add(mcyclel, _T_88) @[el2_dec_tlu_ctl.scala 1604:26] + node _T_90 = tail(_T_89, 1) @[el2_dec_tlu_ctl.scala 1604:26] + mcyclel_inc <= _T_90 @[el2_dec_tlu_ctl.scala 1604:15] + node _T_91 = bits(wr_mcyclel_r, 0, 0) @[el2_dec_tlu_ctl.scala 1605:37] + node mcyclel_ns = mux(_T_91, io.dec_csr_wrdata_r, mcyclel_inc) @[el2_dec_tlu_ctl.scala 1605:23] + node _T_92 = bits(mcyclel_inc, 32, 32) @[el2_dec_tlu_ctl.scala 1606:33] + node mcyclel_cout = bits(_T_92, 0, 0) @[el2_dec_tlu_ctl.scala 1606:38] + node _T_93 = or(wr_mcyclel_r, mcyclel_cout_in) @[el2_dec_tlu_ctl.scala 1607:47] + node _T_94 = bits(_T_93, 0, 0) @[el2_dec_tlu_ctl.scala 1607:73] + inst rvclkhdr_1 of rvclkhdr_60 @[el2_lib.scala 485:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_1.io.en <= _T_94 @[el2_lib.scala 488:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_95 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_95 <= mcyclel_ns @[el2_lib.scala 491:16] + mcyclel <= _T_95 @[el2_dec_tlu_ctl.scala 1607:11] + node _T_96 = eq(wr_mcycleh_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1608:72] + node _T_97 = and(mcyclel_cout, _T_96) @[el2_dec_tlu_ctl.scala 1608:70] + reg mcyclel_cout_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1608:55] + mcyclel_cout_f <= _T_97 @[el2_dec_tlu_ctl.scala 1608:55] + node _T_98 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1614:62] + node _T_99 = eq(_T_98, UInt<12>("h0b80")) @[el2_dec_tlu_ctl.scala 1614:69] + node _T_100 = and(io.dec_csr_wen_r_mod, _T_99) @[el2_dec_tlu_ctl.scala 1614:40] + wr_mcycleh_r <= _T_100 @[el2_dec_tlu_ctl.scala 1614:16] + node _T_101 = cat(UInt<31>("h00"), mcyclel_cout_f) @[Cat.scala 29:58] + node _T_102 = add(mcycleh, _T_101) @[el2_dec_tlu_ctl.scala 1616:29] + node mcycleh_inc = tail(_T_102, 1) @[el2_dec_tlu_ctl.scala 1616:29] + node _T_103 = bits(wr_mcycleh_r, 0, 0) @[el2_dec_tlu_ctl.scala 1617:37] + node mcycleh_ns = mux(_T_103, io.dec_csr_wrdata_r, mcycleh_inc) @[el2_dec_tlu_ctl.scala 1617:23] + node _T_104 = or(wr_mcycleh_r, mcyclel_cout_f) @[el2_dec_tlu_ctl.scala 1619:47] + node _T_105 = bits(_T_104, 0, 0) @[el2_dec_tlu_ctl.scala 1619:65] + inst rvclkhdr_2 of rvclkhdr_61 @[el2_lib.scala 485:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_2.io.en <= _T_105 @[el2_lib.scala 488:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_106 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_106 <= mcycleh_ns @[el2_lib.scala 491:16] + mcycleh <= _T_106 @[el2_dec_tlu_ctl.scala 1619:11] + node _T_107 = or(io.ebreak_r, io.ecall_r) @[el2_dec_tlu_ctl.scala 1633:73] + node _T_108 = or(_T_107, io.ebreak_to_debug_mode_r) @[el2_dec_tlu_ctl.scala 1633:86] + node _T_109 = or(_T_108, io.illegal_r) @[el2_dec_tlu_ctl.scala 1633:114] + node _T_110 = bits(mcountinhibit, 2, 2) @[el2_dec_tlu_ctl.scala 1633:144] + node _T_111 = or(_T_109, _T_110) @[el2_dec_tlu_ctl.scala 1633:129] + node _T_112 = bits(_T_111, 0, 0) @[el2_dec_tlu_ctl.scala 1633:149] + node _T_113 = not(_T_112) @[el2_dec_tlu_ctl.scala 1633:59] + node i0_valid_no_ebreak_ecall_r = and(io.tlu_i0_commit_cmt, _T_113) @[el2_dec_tlu_ctl.scala 1633:57] + node _T_114 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1635:67] + node _T_115 = eq(_T_114, UInt<12>("h0b02")) @[el2_dec_tlu_ctl.scala 1635:74] + node wr_minstretl_r = and(io.dec_csr_wen_r_mod, _T_115) @[el2_dec_tlu_ctl.scala 1635:45] + node _T_116 = cat(UInt<31>("h00"), i0_valid_no_ebreak_ecall_r) @[Cat.scala 29:58] + node _T_117 = add(minstretl, _T_116) @[el2_dec_tlu_ctl.scala 1637:30] + node _T_118 = tail(_T_117, 1) @[el2_dec_tlu_ctl.scala 1637:30] + minstretl_inc <= _T_118 @[el2_dec_tlu_ctl.scala 1637:17] + node minstretl_cout = bits(minstretl_inc, 32, 32) @[el2_dec_tlu_ctl.scala 1638:37] + node _T_119 = or(i0_valid_no_ebreak_ecall_r, wr_minstretl_r) @[el2_dec_tlu_ctl.scala 1639:53] + node minstret_enable = bits(_T_119, 0, 0) @[el2_dec_tlu_ctl.scala 1639:71] + node _T_120 = bits(wr_minstretl_r, 0, 0) @[el2_dec_tlu_ctl.scala 1641:41] + node minstretl_ns = mux(_T_120, io.dec_csr_wrdata_r, minstretl_inc) @[el2_dec_tlu_ctl.scala 1641:25] + node _T_121 = bits(minstret_enable, 0, 0) @[el2_dec_tlu_ctl.scala 1642:52] + inst rvclkhdr_3 of rvclkhdr_62 @[el2_lib.scala 485:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_3.io.en <= _T_121 @[el2_lib.scala 488:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_122 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_122 <= minstretl_ns @[el2_lib.scala 491:16] + minstretl <= _T_122 @[el2_dec_tlu_ctl.scala 1642:13] + reg minstret_enable_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1643:57] + minstret_enable_f <= minstret_enable @[el2_dec_tlu_ctl.scala 1643:57] + node _T_123 = not(wr_minstreth_r) @[el2_dec_tlu_ctl.scala 1644:76] + node _T_124 = and(minstretl_cout, _T_123) @[el2_dec_tlu_ctl.scala 1644:74] + reg minstretl_cout_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1644:57] + minstretl_cout_f <= _T_124 @[el2_dec_tlu_ctl.scala 1644:57] + node _T_125 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1652:65] + node _T_126 = eq(_T_125, UInt<12>("h0b82")) @[el2_dec_tlu_ctl.scala 1652:72] + node _T_127 = and(io.dec_csr_wen_r_mod, _T_126) @[el2_dec_tlu_ctl.scala 1652:43] + node _T_128 = bits(_T_127, 0, 0) @[el2_dec_tlu_ctl.scala 1652:88] + wr_minstreth_r <= _T_128 @[el2_dec_tlu_ctl.scala 1652:18] + node _T_129 = cat(UInt<31>("h00"), minstretl_cout_f) @[Cat.scala 29:58] + node _T_130 = add(minstreth, _T_129) @[el2_dec_tlu_ctl.scala 1655:30] + node _T_131 = tail(_T_130, 1) @[el2_dec_tlu_ctl.scala 1655:30] + minstreth_inc <= _T_131 @[el2_dec_tlu_ctl.scala 1655:17] + node _T_132 = bits(wr_minstreth_r, 0, 0) @[el2_dec_tlu_ctl.scala 1656:42] + node minstreth_ns = mux(_T_132, io.dec_csr_wrdata_r, minstreth_inc) @[el2_dec_tlu_ctl.scala 1656:26] + node _T_133 = or(minstret_enable_f, wr_minstreth_r) @[el2_dec_tlu_ctl.scala 1658:56] + node _T_134 = bits(_T_133, 0, 0) @[el2_dec_tlu_ctl.scala 1658:74] + inst rvclkhdr_4 of rvclkhdr_63 @[el2_lib.scala 485:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_4.io.en <= _T_134 @[el2_lib.scala 488:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_135 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_135 <= minstreth_ns @[el2_lib.scala 491:16] + minstreth <= _T_135 @[el2_dec_tlu_ctl.scala 1658:13] + node _T_136 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1666:66] + node _T_137 = eq(_T_136, UInt<12>("h0340")) @[el2_dec_tlu_ctl.scala 1666:73] + node wr_mscratch_r = and(io.dec_csr_wen_r_mod, _T_137) @[el2_dec_tlu_ctl.scala 1666:44] + node _T_138 = bits(wr_mscratch_r, 0, 0) @[el2_dec_tlu_ctl.scala 1668:56] + inst rvclkhdr_5 of rvclkhdr_64 @[el2_lib.scala 485:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_5.io.en <= _T_138 @[el2_lib.scala 488:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_139 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_139 <= io.dec_csr_wrdata_r @[el2_lib.scala 491:16] + mscratch <= _T_139 @[el2_dec_tlu_ctl.scala 1668:12] + node _T_140 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1677:23] + node _T_141 = eq(io.tlu_flush_lower_r_d1, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1677:48] + node _T_142 = and(_T_140, _T_141) @[el2_dec_tlu_ctl.scala 1677:46] + node sel_exu_npc_r = and(_T_142, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 1677:73] + node _T_143 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1678:25] + node _T_144 = and(_T_143, io.tlu_flush_lower_r_d1) @[el2_dec_tlu_ctl.scala 1678:48] + node _T_145 = eq(io.dec_tlu_flush_noredir_r_d1, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1678:76] + node sel_flush_npc_r = and(_T_144, _T_145) @[el2_dec_tlu_ctl.scala 1678:74] + node _T_146 = eq(sel_exu_npc_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1679:24] + node _T_147 = eq(sel_flush_npc_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1679:41] + node sel_hold_npc_r = and(_T_146, _T_147) @[el2_dec_tlu_ctl.scala 1679:39] + node _T_148 = bits(sel_exu_npc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1682:19] + node _T_149 = eq(io.mpc_reset_run_req, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1683:6] + node _T_150 = and(_T_149, io.reset_delayed) @[el2_dec_tlu_ctl.scala 1683:28] + node _T_151 = bits(_T_150, 0, 0) @[el2_dec_tlu_ctl.scala 1683:48] + node _T_152 = bits(sel_flush_npc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1684:21] + node _T_153 = bits(sel_hold_npc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1685:20] + node _T_154 = mux(_T_148, io.exu_npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_155 = mux(_T_151, io.rst_vec, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_156 = mux(_T_152, io.tlu_flush_path_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_157 = mux(_T_153, io.npc_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_158 = or(_T_154, _T_155) @[Mux.scala 27:72] + node _T_159 = or(_T_158, _T_156) @[Mux.scala 27:72] + node _T_160 = or(_T_159, _T_157) @[Mux.scala 27:72] + wire _T_161 : UInt<31> @[Mux.scala 27:72] + _T_161 <= _T_160 @[Mux.scala 27:72] + io.npc_r <= _T_161 @[el2_dec_tlu_ctl.scala 1681:12] + node _T_162 = or(sel_exu_npc_r, sel_flush_npc_r) @[el2_dec_tlu_ctl.scala 1687:49] + node _T_163 = or(_T_162, io.reset_delayed) @[el2_dec_tlu_ctl.scala 1687:67] + node _T_164 = bits(_T_163, 0, 0) @[el2_dec_tlu_ctl.scala 1687:87] + inst rvclkhdr_6 of rvclkhdr_65 @[el2_lib.scala 485:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_6.io.en <= _T_164 @[el2_lib.scala 488:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_165 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_165 <= io.npc_r @[el2_lib.scala 491:16] + io.npc_r_d1 <= _T_165 @[el2_dec_tlu_ctl.scala 1687:15] + node _T_166 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1690:22] + node _T_167 = and(_T_166, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 1690:45] + node pc0_valid_r = bits(_T_167, 0, 0) @[el2_dec_tlu_ctl.scala 1690:70] + node _T_168 = not(pc0_valid_r) @[el2_dec_tlu_ctl.scala 1694:5] + node _T_169 = mux(pc0_valid_r, io.dec_tlu_i0_pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_170 = mux(_T_168, pc_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_171 = or(_T_169, _T_170) @[Mux.scala 27:72] + wire pc_r : UInt<31> @[Mux.scala 27:72] + pc_r <= _T_171 @[Mux.scala 27:72] + inst rvclkhdr_7 of rvclkhdr_66 @[el2_lib.scala 485:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_7.io.en <= pc0_valid_r @[el2_lib.scala 488:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_172 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_172 <= pc_r @[el2_lib.scala 491:16] + pc_r_d1 <= _T_172 @[el2_dec_tlu_ctl.scala 1696:11] + node _T_173 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1698:62] + node _T_174 = eq(_T_173, UInt<12>("h0341")) @[el2_dec_tlu_ctl.scala 1698:69] + node wr_mepc_r = and(io.dec_csr_wen_r_mod, _T_174) @[el2_dec_tlu_ctl.scala 1698:40] + node _T_175 = or(io.i0_exception_valid_r, io.lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 1701:30] + node _T_176 = or(_T_175, io.mepc_trigger_hit_sel_pc_r) @[el2_dec_tlu_ctl.scala 1701:51] + node _T_177 = bits(_T_176, 0, 0) @[el2_dec_tlu_ctl.scala 1701:83] + node _T_178 = bits(io.interrupt_valid_r, 0, 0) @[el2_dec_tlu_ctl.scala 1702:28] + node _T_179 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1703:18] + node _T_180 = and(wr_mepc_r, _T_179) @[el2_dec_tlu_ctl.scala 1703:16] + node _T_181 = bits(_T_180, 0, 0) @[el2_dec_tlu_ctl.scala 1703:42] + node _T_182 = bits(io.dec_csr_wrdata_r, 31, 1) @[el2_dec_tlu_ctl.scala 1703:107] + node _T_183 = eq(wr_mepc_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1704:6] + node _T_184 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1704:19] + node _T_185 = and(_T_183, _T_184) @[el2_dec_tlu_ctl.scala 1704:17] + node _T_186 = bits(_T_185, 0, 0) @[el2_dec_tlu_ctl.scala 1704:43] + node _T_187 = mux(_T_177, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_188 = mux(_T_178, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_189 = mux(_T_181, _T_182, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_190 = mux(_T_186, io.mepc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_191 = or(_T_187, _T_188) @[Mux.scala 27:72] + node _T_192 = or(_T_191, _T_189) @[Mux.scala 27:72] + node _T_193 = or(_T_192, _T_190) @[Mux.scala 27:72] + wire mepc_ns : UInt<31> @[Mux.scala 27:72] + mepc_ns <= _T_193 @[Mux.scala 27:72] + reg _T_194 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1706:48] + _T_194 <= mepc_ns @[el2_dec_tlu_ctl.scala 1706:48] + io.mepc <= _T_194 @[el2_dec_tlu_ctl.scala 1706:11] + node _T_195 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1713:64] + node _T_196 = eq(_T_195, UInt<12>("h0342")) @[el2_dec_tlu_ctl.scala 1713:71] + node wr_mcause_r = and(io.dec_csr_wen_r_mod, _T_196) @[el2_dec_tlu_ctl.scala 1713:42] + node _T_197 = and(io.exc_or_int_valid_r, io.take_nmi) @[el2_dec_tlu_ctl.scala 1714:52] + node mcause_sel_nmi_store = and(_T_197, io.nmi_lsu_store_type) @[el2_dec_tlu_ctl.scala 1714:66] + node _T_198 = and(io.exc_or_int_valid_r, io.take_nmi) @[el2_dec_tlu_ctl.scala 1715:51] + node mcause_sel_nmi_load = and(_T_198, io.nmi_lsu_load_type) @[el2_dec_tlu_ctl.scala 1715:65] + node _T_199 = and(io.exc_or_int_valid_r, io.take_nmi) @[el2_dec_tlu_ctl.scala 1716:50] + node _T_200 = orr(io.lsu_fir_error) @[el2_dec_tlu_ctl.scala 1716:83] + node mcause_sel_nmi_ext = and(_T_199, _T_200) @[el2_dec_tlu_ctl.scala 1716:64] + node _T_201 = andr(io.lsu_fir_error) @[el2_dec_tlu_ctl.scala 1722:52] + node _T_202 = bits(io.lsu_fir_error, 1, 1) @[el2_dec_tlu_ctl.scala 1722:75] + node _T_203 = bits(io.lsu_fir_error, 0, 0) @[el2_dec_tlu_ctl.scala 1722:98] + node _T_204 = not(_T_203) @[el2_dec_tlu_ctl.scala 1722:81] + node _T_205 = and(_T_202, _T_204) @[el2_dec_tlu_ctl.scala 1722:79] + node mcause_fir_error_type = cat(_T_201, _T_205) @[Cat.scala 29:58] + node _T_206 = bits(mcause_sel_nmi_store, 0, 0) @[el2_dec_tlu_ctl.scala 1725:26] + node _T_207 = bits(mcause_sel_nmi_load, 0, 0) @[el2_dec_tlu_ctl.scala 1726:25] + node _T_208 = bits(mcause_sel_nmi_ext, 0, 0) @[el2_dec_tlu_ctl.scala 1727:24] + node _T_209 = cat(UInt<28>("h0f000100"), UInt<2>("h00")) @[Cat.scala 29:58] + node _T_210 = cat(_T_209, mcause_fir_error_type) @[Cat.scala 29:58] + node _T_211 = not(io.take_nmi) @[el2_dec_tlu_ctl.scala 1728:30] + node _T_212 = and(io.exc_or_int_valid_r, _T_211) @[el2_dec_tlu_ctl.scala 1728:28] + node _T_213 = bits(_T_212, 0, 0) @[el2_dec_tlu_ctl.scala 1728:44] + node _T_214 = cat(io.interrupt_valid_r, UInt<26>("h00")) @[Cat.scala 29:58] + node _T_215 = cat(_T_214, io.exc_cause_r) @[Cat.scala 29:58] + node _T_216 = not(io.exc_or_int_valid_r) @[el2_dec_tlu_ctl.scala 1729:20] + node _T_217 = and(wr_mcause_r, _T_216) @[el2_dec_tlu_ctl.scala 1729:18] + node _T_218 = bits(_T_217, 0, 0) @[el2_dec_tlu_ctl.scala 1729:44] + node _T_219 = not(wr_mcause_r) @[el2_dec_tlu_ctl.scala 1730:6] + node _T_220 = not(io.exc_or_int_valid_r) @[el2_dec_tlu_ctl.scala 1730:21] + node _T_221 = and(_T_219, _T_220) @[el2_dec_tlu_ctl.scala 1730:19] + node _T_222 = bits(_T_221, 0, 0) @[el2_dec_tlu_ctl.scala 1730:45] + node _T_223 = mux(_T_206, UInt<32>("h0f0000000"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_224 = mux(_T_207, UInt<32>("h0f0000001"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_225 = mux(_T_208, _T_210, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_226 = mux(_T_213, _T_215, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_227 = mux(_T_218, io.dec_csr_wrdata_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_228 = mux(_T_222, mcause, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_229 = or(_T_223, _T_224) @[Mux.scala 27:72] + node _T_230 = or(_T_229, _T_225) @[Mux.scala 27:72] + node _T_231 = or(_T_230, _T_226) @[Mux.scala 27:72] + node _T_232 = or(_T_231, _T_227) @[Mux.scala 27:72] + node _T_233 = or(_T_232, _T_228) @[Mux.scala 27:72] + wire mcause_ns : UInt<32> @[Mux.scala 27:72] + mcause_ns <= _T_233 @[Mux.scala 27:72] + reg _T_234 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1732:47] + _T_234 <= mcause_ns @[el2_dec_tlu_ctl.scala 1732:47] + mcause <= _T_234 @[el2_dec_tlu_ctl.scala 1732:10] + node _T_235 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1739:65] + node _T_236 = eq(_T_235, UInt<12>("h07ff")) @[el2_dec_tlu_ctl.scala 1739:72] + node wr_mscause_r = and(io.dec_csr_wen_r_mod, _T_236) @[el2_dec_tlu_ctl.scala 1739:43] + node _T_237 = eq(io.dec_tlu_packet_r.icaf_type, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 1741:57] + node _T_238 = cat(UInt<2>("h00"), io.dec_tlu_packet_r.icaf_type) @[Cat.scala 29:58] + node ifu_mscause = mux(_T_237, UInt<4>("h09"), _T_238) @[el2_dec_tlu_ctl.scala 1741:25] + node _T_239 = bits(io.lsu_i0_exc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1744:21] + node _T_240 = bits(io.i0_trigger_hit_r, 0, 0) @[el2_dec_tlu_ctl.scala 1745:25] + node _T_241 = bits(io.ebreak_r, 0, 0) @[el2_dec_tlu_ctl.scala 1746:17] + node _T_242 = bits(io.inst_acc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1747:19] + node _T_243 = mux(_T_239, io.lsu_error_pkt_r.mscause, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_244 = mux(_T_240, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_245 = mux(_T_241, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_246 = mux(_T_242, ifu_mscause, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_247 = or(_T_243, _T_244) @[Mux.scala 27:72] + node _T_248 = or(_T_247, _T_245) @[Mux.scala 27:72] + node _T_249 = or(_T_248, _T_246) @[Mux.scala 27:72] + wire mscause_type : UInt<4> @[Mux.scala 27:72] + mscause_type <= _T_249 @[Mux.scala 27:72] + node _T_250 = bits(io.exc_or_int_valid_r, 0, 0) @[el2_dec_tlu_ctl.scala 1751:29] + node _T_251 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1752:21] + node _T_252 = and(wr_mscause_r, _T_251) @[el2_dec_tlu_ctl.scala 1752:19] + node _T_253 = bits(_T_252, 0, 0) @[el2_dec_tlu_ctl.scala 1752:45] + node _T_254 = bits(io.dec_csr_wrdata_r, 3, 0) @[el2_dec_tlu_ctl.scala 1752:84] + node _T_255 = eq(wr_mscause_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1753:6] + node _T_256 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1753:22] + node _T_257 = and(_T_255, _T_256) @[el2_dec_tlu_ctl.scala 1753:20] + node _T_258 = bits(_T_257, 0, 0) @[el2_dec_tlu_ctl.scala 1753:46] + node _T_259 = mux(_T_250, mscause_type, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_260 = mux(_T_253, _T_254, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_261 = mux(_T_258, mscause, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_262 = or(_T_259, _T_260) @[Mux.scala 27:72] + node _T_263 = or(_T_262, _T_261) @[Mux.scala 27:72] + wire mscause_ns : UInt<4> @[Mux.scala 27:72] + mscause_ns <= _T_263 @[Mux.scala 27:72] + reg _T_264 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1755:48] + _T_264 <= mscause_ns @[el2_dec_tlu_ctl.scala 1755:48] + mscause <= _T_264 @[el2_dec_tlu_ctl.scala 1755:11] + node _T_265 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1762:63] + node _T_266 = eq(_T_265, UInt<12>("h0343")) @[el2_dec_tlu_ctl.scala 1762:70] + node wr_mtval_r = and(io.dec_csr_wen_r_mod, _T_266) @[el2_dec_tlu_ctl.scala 1762:41] + node _T_267 = not(io.inst_acc_second_r) @[el2_dec_tlu_ctl.scala 1763:84] + node _T_268 = and(io.inst_acc_r, _T_267) @[el2_dec_tlu_ctl.scala 1763:82] + node _T_269 = or(io.ebreak_r, _T_268) @[el2_dec_tlu_ctl.scala 1763:65] + node _T_270 = or(_T_269, io.mepc_trigger_hit_sel_pc_r) @[el2_dec_tlu_ctl.scala 1763:107] + node _T_271 = and(io.exc_or_int_valid_r, _T_270) @[el2_dec_tlu_ctl.scala 1763:50] + node _T_272 = not(io.take_nmi) @[el2_dec_tlu_ctl.scala 1763:141] + node mtval_capture_pc_r = and(_T_271, _T_272) @[el2_dec_tlu_ctl.scala 1763:139] + node _T_273 = and(io.inst_acc_r, io.inst_acc_second_r) @[el2_dec_tlu_ctl.scala 1764:73] + node _T_274 = and(io.exc_or_int_valid_r, _T_273) @[el2_dec_tlu_ctl.scala 1764:56] + node _T_275 = not(io.take_nmi) @[el2_dec_tlu_ctl.scala 1764:99] + node mtval_capture_pc_plus2_r = and(_T_274, _T_275) @[el2_dec_tlu_ctl.scala 1764:97] + node _T_276 = and(io.exc_or_int_valid_r, io.illegal_r) @[el2_dec_tlu_ctl.scala 1765:52] + node _T_277 = not(io.take_nmi) @[el2_dec_tlu_ctl.scala 1765:69] + node mtval_capture_inst_r = and(_T_276, _T_277) @[el2_dec_tlu_ctl.scala 1765:67] + node _T_278 = and(io.exc_or_int_valid_r, io.lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 1766:51] + node _T_279 = not(io.take_nmi) @[el2_dec_tlu_ctl.scala 1766:74] + node mtval_capture_lsu_r = and(_T_278, _T_279) @[el2_dec_tlu_ctl.scala 1766:72] + node _T_280 = not(mtval_capture_pc_r) @[el2_dec_tlu_ctl.scala 1767:47] + node _T_281 = and(io.exc_or_int_valid_r, _T_280) @[el2_dec_tlu_ctl.scala 1767:45] + node _T_282 = not(mtval_capture_inst_r) @[el2_dec_tlu_ctl.scala 1767:69] + node _T_283 = and(_T_281, _T_282) @[el2_dec_tlu_ctl.scala 1767:67] + node _T_284 = not(mtval_capture_lsu_r) @[el2_dec_tlu_ctl.scala 1767:93] + node _T_285 = and(_T_283, _T_284) @[el2_dec_tlu_ctl.scala 1767:91] + node _T_286 = not(io.mepc_trigger_hit_sel_pc_r) @[el2_dec_tlu_ctl.scala 1767:116] + node mtval_clear_r = and(_T_285, _T_286) @[el2_dec_tlu_ctl.scala 1767:114] + node _T_287 = bits(mtval_capture_pc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1771:26] + node _T_288 = cat(pc_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_289 = bits(mtval_capture_pc_plus2_r, 0, 0) @[el2_dec_tlu_ctl.scala 1772:32] + node _T_290 = add(pc_r, UInt<31>("h01")) @[el2_dec_tlu_ctl.scala 1772:84] + node _T_291 = tail(_T_290, 1) @[el2_dec_tlu_ctl.scala 1772:84] + node _T_292 = cat(_T_291, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_293 = bits(mtval_capture_inst_r, 0, 0) @[el2_dec_tlu_ctl.scala 1773:28] + node _T_294 = bits(mtval_capture_lsu_r, 0, 0) @[el2_dec_tlu_ctl.scala 1774:27] + node _T_295 = not(io.interrupt_valid_r) @[el2_dec_tlu_ctl.scala 1775:19] + node _T_296 = and(wr_mtval_r, _T_295) @[el2_dec_tlu_ctl.scala 1775:17] + node _T_297 = bits(_T_296, 0, 0) @[el2_dec_tlu_ctl.scala 1775:49] + node _T_298 = not(io.take_nmi) @[el2_dec_tlu_ctl.scala 1776:6] + node _T_299 = not(wr_mtval_r) @[el2_dec_tlu_ctl.scala 1776:21] + node _T_300 = and(_T_298, _T_299) @[el2_dec_tlu_ctl.scala 1776:19] + node _T_301 = not(mtval_capture_pc_r) @[el2_dec_tlu_ctl.scala 1776:35] + node _T_302 = and(_T_300, _T_301) @[el2_dec_tlu_ctl.scala 1776:33] + node _T_303 = not(mtval_capture_inst_r) @[el2_dec_tlu_ctl.scala 1776:57] + node _T_304 = and(_T_302, _T_303) @[el2_dec_tlu_ctl.scala 1776:55] + node _T_305 = not(mtval_clear_r) @[el2_dec_tlu_ctl.scala 1776:81] + node _T_306 = and(_T_304, _T_305) @[el2_dec_tlu_ctl.scala 1776:79] + node _T_307 = not(mtval_capture_lsu_r) @[el2_dec_tlu_ctl.scala 1776:98] + node _T_308 = and(_T_306, _T_307) @[el2_dec_tlu_ctl.scala 1776:96] + node _T_309 = bits(_T_308, 0, 0) @[el2_dec_tlu_ctl.scala 1776:120] + node _T_310 = mux(_T_287, _T_288, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_311 = mux(_T_289, _T_292, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_312 = mux(_T_293, io.dec_illegal_inst, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_313 = mux(_T_294, io.lsu_error_pkt_addr_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_314 = mux(_T_297, io.dec_csr_wrdata_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_315 = mux(_T_309, mtval, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_316 = or(_T_310, _T_311) @[Mux.scala 27:72] + node _T_317 = or(_T_316, _T_312) @[Mux.scala 27:72] + node _T_318 = or(_T_317, _T_313) @[Mux.scala 27:72] + node _T_319 = or(_T_318, _T_314) @[Mux.scala 27:72] + node _T_320 = or(_T_319, _T_315) @[Mux.scala 27:72] + wire mtval_ns : UInt<32> @[Mux.scala 27:72] + mtval_ns <= _T_320 @[Mux.scala 27:72] + reg _T_321 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1778:47] + _T_321 <= mtval_ns @[el2_dec_tlu_ctl.scala 1778:47] + mtval <= _T_321 @[el2_dec_tlu_ctl.scala 1778:9] + node _T_322 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1793:62] + node _T_323 = eq(_T_322, UInt<12>("h07f8")) @[el2_dec_tlu_ctl.scala 1793:69] + node wr_mcgc_r = and(io.dec_csr_wen_r_mod, _T_323) @[el2_dec_tlu_ctl.scala 1793:40] + node _T_324 = bits(io.dec_csr_wrdata_r, 8, 0) @[el2_dec_tlu_ctl.scala 1795:40] + node _T_325 = bits(wr_mcgc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1795:56] + inst rvclkhdr_8 of rvclkhdr_67 @[el2_lib.scala 485:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_8.io.en <= _T_325 @[el2_lib.scala 488:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg mcgc : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + mcgc <= _T_324 @[el2_lib.scala 491:16] + node _T_326 = bits(mcgc, 8, 8) @[el2_dec_tlu_ctl.scala 1797:39] + io.dec_tlu_misc_clk_override <= _T_326 @[el2_dec_tlu_ctl.scala 1797:32] + node _T_327 = bits(mcgc, 7, 7) @[el2_dec_tlu_ctl.scala 1798:39] + io.dec_tlu_dec_clk_override <= _T_327 @[el2_dec_tlu_ctl.scala 1798:32] + node _T_328 = bits(mcgc, 5, 5) @[el2_dec_tlu_ctl.scala 1799:39] + io.dec_tlu_ifu_clk_override <= _T_328 @[el2_dec_tlu_ctl.scala 1799:32] + node _T_329 = bits(mcgc, 4, 4) @[el2_dec_tlu_ctl.scala 1800:39] + io.dec_tlu_lsu_clk_override <= _T_329 @[el2_dec_tlu_ctl.scala 1800:32] + node _T_330 = bits(mcgc, 3, 3) @[el2_dec_tlu_ctl.scala 1801:39] + io.dec_tlu_bus_clk_override <= _T_330 @[el2_dec_tlu_ctl.scala 1801:32] + node _T_331 = bits(mcgc, 2, 2) @[el2_dec_tlu_ctl.scala 1802:39] + io.dec_tlu_pic_clk_override <= _T_331 @[el2_dec_tlu_ctl.scala 1802:32] + node _T_332 = bits(mcgc, 1, 1) @[el2_dec_tlu_ctl.scala 1803:39] + io.dec_tlu_dccm_clk_override <= _T_332 @[el2_dec_tlu_ctl.scala 1803:32] + node _T_333 = bits(mcgc, 0, 0) @[el2_dec_tlu_ctl.scala 1804:39] + io.dec_tlu_icm_clk_override <= _T_333 @[el2_dec_tlu_ctl.scala 1804:32] + node _T_334 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1823:62] + node _T_335 = eq(_T_334, UInt<12>("h07f9")) @[el2_dec_tlu_ctl.scala 1823:69] + node wr_mfdc_r = and(io.dec_csr_wen_r_mod, _T_335) @[el2_dec_tlu_ctl.scala 1823:40] + node _T_336 = bits(wr_mfdc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1827:40] + inst rvclkhdr_9 of rvclkhdr_68 @[el2_lib.scala 485:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_9.io.en <= _T_336 @[el2_lib.scala 488:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_337 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_337 <= mfdc_ns @[el2_lib.scala 491:16] + mfdc_int <= _T_337 @[el2_dec_tlu_ctl.scala 1827:12] + node _T_338 = bits(io.dec_csr_wrdata_r, 18, 16) @[el2_dec_tlu_ctl.scala 1832:40] + node _T_339 = not(_T_338) @[el2_dec_tlu_ctl.scala 1832:20] + node _T_340 = bits(io.dec_csr_wrdata_r, 11, 7) @[el2_dec_tlu_ctl.scala 1832:67] + node _T_341 = bits(io.dec_csr_wrdata_r, 6, 6) @[el2_dec_tlu_ctl.scala 1832:95] + node _T_342 = not(_T_341) @[el2_dec_tlu_ctl.scala 1832:75] + node _T_343 = bits(io.dec_csr_wrdata_r, 5, 0) @[el2_dec_tlu_ctl.scala 1832:119] + node _T_344 = cat(_T_342, _T_343) @[Cat.scala 29:58] + node _T_345 = cat(_T_339, _T_340) @[Cat.scala 29:58] + node _T_346 = cat(_T_345, _T_344) @[Cat.scala 29:58] + mfdc_ns <= _T_346 @[el2_dec_tlu_ctl.scala 1832:13] + node _T_347 = bits(mfdc_int, 14, 12) @[el2_dec_tlu_ctl.scala 1833:29] + node _T_348 = not(_T_347) @[el2_dec_tlu_ctl.scala 1833:20] + node _T_349 = bits(mfdc_int, 11, 7) @[el2_dec_tlu_ctl.scala 1833:55] + node _T_350 = bits(mfdc_int, 6, 6) @[el2_dec_tlu_ctl.scala 1833:72] + node _T_351 = not(_T_350) @[el2_dec_tlu_ctl.scala 1833:63] + node _T_352 = bits(mfdc_int, 5, 0) @[el2_dec_tlu_ctl.scala 1833:85] + node _T_353 = cat(_T_351, _T_352) @[Cat.scala 29:58] + node _T_354 = cat(_T_348, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_355 = cat(_T_354, _T_349) @[Cat.scala 29:58] + node _T_356 = cat(_T_355, _T_353) @[Cat.scala 29:58] + mfdc <= _T_356 @[el2_dec_tlu_ctl.scala 1833:13] + node _T_357 = bits(mfdc, 18, 16) @[el2_dec_tlu_ctl.scala 1841:47] + io.dec_tlu_dma_qos_prty <= _T_357 @[el2_dec_tlu_ctl.scala 1841:40] + node _T_358 = bits(mfdc, 11, 11) @[el2_dec_tlu_ctl.scala 1842:47] + io.dec_tlu_external_ldfwd_disable <= _T_358 @[el2_dec_tlu_ctl.scala 1842:40] + node _T_359 = bits(mfdc, 8, 8) @[el2_dec_tlu_ctl.scala 1843:47] + io.dec_tlu_core_ecc_disable <= _T_359 @[el2_dec_tlu_ctl.scala 1843:40] + node _T_360 = bits(mfdc, 6, 6) @[el2_dec_tlu_ctl.scala 1844:47] + io.dec_tlu_sideeffect_posted_disable <= _T_360 @[el2_dec_tlu_ctl.scala 1844:40] + node _T_361 = bits(mfdc, 3, 3) @[el2_dec_tlu_ctl.scala 1845:47] + io.dec_tlu_bpred_disable <= _T_361 @[el2_dec_tlu_ctl.scala 1845:40] + node _T_362 = bits(mfdc, 2, 2) @[el2_dec_tlu_ctl.scala 1846:47] + io.dec_tlu_wb_coalescing_disable <= _T_362 @[el2_dec_tlu_ctl.scala 1846:40] + node _T_363 = bits(mfdc, 0, 0) @[el2_dec_tlu_ctl.scala 1847:47] + io.dec_tlu_pipelining_disable <= _T_363 @[el2_dec_tlu_ctl.scala 1847:40] + node _T_364 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1856:71] + node _T_365 = eq(_T_364, UInt<12>("h07c2")) @[el2_dec_tlu_ctl.scala 1856:78] + node _T_366 = and(io.dec_csr_wen_r_mod, _T_365) @[el2_dec_tlu_ctl.scala 1856:49] + node _T_367 = not(io.interrupt_valid_r) @[el2_dec_tlu_ctl.scala 1856:90] + node _T_368 = and(_T_366, _T_367) @[el2_dec_tlu_ctl.scala 1856:88] + node _T_369 = not(io.take_ext_int_start) @[el2_dec_tlu_ctl.scala 1856:114] + node _T_370 = and(_T_368, _T_369) @[el2_dec_tlu_ctl.scala 1856:112] + io.dec_tlu_wr_pause_r <= _T_370 @[el2_dec_tlu_ctl.scala 1856:25] + node _T_371 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1863:62] + node _T_372 = eq(_T_371, UInt<12>("h07c0")) @[el2_dec_tlu_ctl.scala 1863:69] + node wr_mrac_r = and(io.dec_csr_wen_r_mod, _T_372) @[el2_dec_tlu_ctl.scala 1863:40] + node _T_373 = bits(io.dec_csr_wrdata_r, 31, 31) @[el2_dec_tlu_ctl.scala 1866:40] + node _T_374 = bits(io.dec_csr_wrdata_r, 30, 30) @[el2_dec_tlu_ctl.scala 1866:65] + node _T_375 = bits(io.dec_csr_wrdata_r, 31, 31) @[el2_dec_tlu_ctl.scala 1866:92] + node _T_376 = not(_T_375) @[el2_dec_tlu_ctl.scala 1866:72] + node _T_377 = and(_T_374, _T_376) @[el2_dec_tlu_ctl.scala 1866:70] + node _T_378 = bits(io.dec_csr_wrdata_r, 29, 29) @[el2_dec_tlu_ctl.scala 1867:24] + node _T_379 = bits(io.dec_csr_wrdata_r, 28, 28) @[el2_dec_tlu_ctl.scala 1867:49] + node _T_380 = bits(io.dec_csr_wrdata_r, 29, 29) @[el2_dec_tlu_ctl.scala 1867:76] + node _T_381 = not(_T_380) @[el2_dec_tlu_ctl.scala 1867:56] + node _T_382 = and(_T_379, _T_381) @[el2_dec_tlu_ctl.scala 1867:54] + node _T_383 = bits(io.dec_csr_wrdata_r, 27, 27) @[el2_dec_tlu_ctl.scala 1868:24] + node _T_384 = bits(io.dec_csr_wrdata_r, 26, 26) @[el2_dec_tlu_ctl.scala 1868:49] + node _T_385 = bits(io.dec_csr_wrdata_r, 27, 27) @[el2_dec_tlu_ctl.scala 1868:76] + node _T_386 = not(_T_385) @[el2_dec_tlu_ctl.scala 1868:56] + node _T_387 = and(_T_384, _T_386) @[el2_dec_tlu_ctl.scala 1868:54] + node _T_388 = bits(io.dec_csr_wrdata_r, 25, 25) @[el2_dec_tlu_ctl.scala 1869:24] + node _T_389 = bits(io.dec_csr_wrdata_r, 24, 24) @[el2_dec_tlu_ctl.scala 1869:49] + node _T_390 = bits(io.dec_csr_wrdata_r, 25, 25) @[el2_dec_tlu_ctl.scala 1869:76] + node _T_391 = not(_T_390) @[el2_dec_tlu_ctl.scala 1869:56] + node _T_392 = and(_T_389, _T_391) @[el2_dec_tlu_ctl.scala 1869:54] + node _T_393 = bits(io.dec_csr_wrdata_r, 23, 23) @[el2_dec_tlu_ctl.scala 1870:24] + node _T_394 = bits(io.dec_csr_wrdata_r, 22, 22) @[el2_dec_tlu_ctl.scala 1870:49] + node _T_395 = bits(io.dec_csr_wrdata_r, 23, 23) @[el2_dec_tlu_ctl.scala 1870:76] + node _T_396 = not(_T_395) @[el2_dec_tlu_ctl.scala 1870:56] + node _T_397 = and(_T_394, _T_396) @[el2_dec_tlu_ctl.scala 1870:54] + node _T_398 = bits(io.dec_csr_wrdata_r, 21, 21) @[el2_dec_tlu_ctl.scala 1871:24] + node _T_399 = bits(io.dec_csr_wrdata_r, 20, 20) @[el2_dec_tlu_ctl.scala 1871:49] + node _T_400 = bits(io.dec_csr_wrdata_r, 21, 21) @[el2_dec_tlu_ctl.scala 1871:76] + node _T_401 = not(_T_400) @[el2_dec_tlu_ctl.scala 1871:56] + node _T_402 = and(_T_399, _T_401) @[el2_dec_tlu_ctl.scala 1871:54] + node _T_403 = bits(io.dec_csr_wrdata_r, 19, 19) @[el2_dec_tlu_ctl.scala 1872:24] + node _T_404 = bits(io.dec_csr_wrdata_r, 18, 18) @[el2_dec_tlu_ctl.scala 1872:49] + node _T_405 = bits(io.dec_csr_wrdata_r, 19, 19) @[el2_dec_tlu_ctl.scala 1872:76] + node _T_406 = not(_T_405) @[el2_dec_tlu_ctl.scala 1872:56] + node _T_407 = and(_T_404, _T_406) @[el2_dec_tlu_ctl.scala 1872:54] + node _T_408 = bits(io.dec_csr_wrdata_r, 17, 17) @[el2_dec_tlu_ctl.scala 1873:24] + node _T_409 = bits(io.dec_csr_wrdata_r, 16, 16) @[el2_dec_tlu_ctl.scala 1873:49] + node _T_410 = bits(io.dec_csr_wrdata_r, 17, 17) @[el2_dec_tlu_ctl.scala 1873:76] + node _T_411 = not(_T_410) @[el2_dec_tlu_ctl.scala 1873:56] + node _T_412 = and(_T_409, _T_411) @[el2_dec_tlu_ctl.scala 1873:54] + node _T_413 = bits(io.dec_csr_wrdata_r, 15, 15) @[el2_dec_tlu_ctl.scala 1874:24] + node _T_414 = bits(io.dec_csr_wrdata_r, 14, 14) @[el2_dec_tlu_ctl.scala 1874:49] + node _T_415 = bits(io.dec_csr_wrdata_r, 15, 15) @[el2_dec_tlu_ctl.scala 1874:76] + node _T_416 = not(_T_415) @[el2_dec_tlu_ctl.scala 1874:56] + node _T_417 = and(_T_414, _T_416) @[el2_dec_tlu_ctl.scala 1874:54] + node _T_418 = bits(io.dec_csr_wrdata_r, 13, 13) @[el2_dec_tlu_ctl.scala 1875:24] + node _T_419 = bits(io.dec_csr_wrdata_r, 12, 12) @[el2_dec_tlu_ctl.scala 1875:49] + node _T_420 = bits(io.dec_csr_wrdata_r, 13, 13) @[el2_dec_tlu_ctl.scala 1875:76] + node _T_421 = not(_T_420) @[el2_dec_tlu_ctl.scala 1875:56] + node _T_422 = and(_T_419, _T_421) @[el2_dec_tlu_ctl.scala 1875:54] + node _T_423 = bits(io.dec_csr_wrdata_r, 11, 11) @[el2_dec_tlu_ctl.scala 1876:24] + node _T_424 = bits(io.dec_csr_wrdata_r, 10, 10) @[el2_dec_tlu_ctl.scala 1876:49] + node _T_425 = bits(io.dec_csr_wrdata_r, 11, 11) @[el2_dec_tlu_ctl.scala 1876:76] + node _T_426 = not(_T_425) @[el2_dec_tlu_ctl.scala 1876:56] + node _T_427 = and(_T_424, _T_426) @[el2_dec_tlu_ctl.scala 1876:54] + node _T_428 = bits(io.dec_csr_wrdata_r, 9, 9) @[el2_dec_tlu_ctl.scala 1877:24] + node _T_429 = bits(io.dec_csr_wrdata_r, 8, 8) @[el2_dec_tlu_ctl.scala 1877:49] + node _T_430 = bits(io.dec_csr_wrdata_r, 9, 9) @[el2_dec_tlu_ctl.scala 1877:76] + node _T_431 = not(_T_430) @[el2_dec_tlu_ctl.scala 1877:56] + node _T_432 = and(_T_429, _T_431) @[el2_dec_tlu_ctl.scala 1877:53] + node _T_433 = bits(io.dec_csr_wrdata_r, 7, 7) @[el2_dec_tlu_ctl.scala 1878:24] + node _T_434 = bits(io.dec_csr_wrdata_r, 6, 6) @[el2_dec_tlu_ctl.scala 1878:49] + node _T_435 = bits(io.dec_csr_wrdata_r, 7, 7) @[el2_dec_tlu_ctl.scala 1878:76] + node _T_436 = not(_T_435) @[el2_dec_tlu_ctl.scala 1878:56] + node _T_437 = and(_T_434, _T_436) @[el2_dec_tlu_ctl.scala 1878:53] + node _T_438 = bits(io.dec_csr_wrdata_r, 5, 5) @[el2_dec_tlu_ctl.scala 1879:24] + node _T_439 = bits(io.dec_csr_wrdata_r, 4, 4) @[el2_dec_tlu_ctl.scala 1879:49] + node _T_440 = bits(io.dec_csr_wrdata_r, 5, 5) @[el2_dec_tlu_ctl.scala 1879:76] + node _T_441 = not(_T_440) @[el2_dec_tlu_ctl.scala 1879:56] + node _T_442 = and(_T_439, _T_441) @[el2_dec_tlu_ctl.scala 1879:53] + node _T_443 = bits(io.dec_csr_wrdata_r, 3, 3) @[el2_dec_tlu_ctl.scala 1880:24] + node _T_444 = bits(io.dec_csr_wrdata_r, 2, 2) @[el2_dec_tlu_ctl.scala 1880:49] + node _T_445 = bits(io.dec_csr_wrdata_r, 3, 3) @[el2_dec_tlu_ctl.scala 1880:76] + node _T_446 = not(_T_445) @[el2_dec_tlu_ctl.scala 1880:56] + node _T_447 = and(_T_444, _T_446) @[el2_dec_tlu_ctl.scala 1880:53] + node _T_448 = bits(io.dec_csr_wrdata_r, 1, 1) @[el2_dec_tlu_ctl.scala 1881:24] + node _T_449 = bits(io.dec_csr_wrdata_r, 0, 0) @[el2_dec_tlu_ctl.scala 1881:49] + node _T_450 = bits(io.dec_csr_wrdata_r, 1, 1) @[el2_dec_tlu_ctl.scala 1881:76] + node _T_451 = not(_T_450) @[el2_dec_tlu_ctl.scala 1881:56] + node _T_452 = and(_T_449, _T_451) @[el2_dec_tlu_ctl.scala 1881:53] + node _T_453 = cat(_T_448, _T_452) @[Cat.scala 29:58] + node _T_454 = cat(_T_443, _T_447) @[Cat.scala 29:58] + node _T_455 = cat(_T_454, _T_453) @[Cat.scala 29:58] + node _T_456 = cat(_T_438, _T_442) @[Cat.scala 29:58] + node _T_457 = cat(_T_433, _T_437) @[Cat.scala 29:58] + node _T_458 = cat(_T_457, _T_456) @[Cat.scala 29:58] + node _T_459 = cat(_T_458, _T_455) @[Cat.scala 29:58] + node _T_460 = cat(_T_428, _T_432) @[Cat.scala 29:58] + node _T_461 = cat(_T_423, _T_427) @[Cat.scala 29:58] + node _T_462 = cat(_T_461, _T_460) @[Cat.scala 29:58] + node _T_463 = cat(_T_418, _T_422) @[Cat.scala 29:58] + node _T_464 = cat(_T_413, _T_417) @[Cat.scala 29:58] + node _T_465 = cat(_T_464, _T_463) @[Cat.scala 29:58] + node _T_466 = cat(_T_465, _T_462) @[Cat.scala 29:58] + node _T_467 = cat(_T_466, _T_459) @[Cat.scala 29:58] + node _T_468 = cat(_T_408, _T_412) @[Cat.scala 29:58] + node _T_469 = cat(_T_403, _T_407) @[Cat.scala 29:58] + node _T_470 = cat(_T_469, _T_468) @[Cat.scala 29:58] + node _T_471 = cat(_T_398, _T_402) @[Cat.scala 29:58] + node _T_472 = cat(_T_393, _T_397) @[Cat.scala 29:58] + node _T_473 = cat(_T_472, _T_471) @[Cat.scala 29:58] + node _T_474 = cat(_T_473, _T_470) @[Cat.scala 29:58] + node _T_475 = cat(_T_388, _T_392) @[Cat.scala 29:58] + node _T_476 = cat(_T_383, _T_387) @[Cat.scala 29:58] + node _T_477 = cat(_T_476, _T_475) @[Cat.scala 29:58] + node _T_478 = cat(_T_378, _T_382) @[Cat.scala 29:58] + node _T_479 = cat(_T_373, _T_377) @[Cat.scala 29:58] + node _T_480 = cat(_T_479, _T_478) @[Cat.scala 29:58] + node _T_481 = cat(_T_480, _T_477) @[Cat.scala 29:58] + node _T_482 = cat(_T_481, _T_474) @[Cat.scala 29:58] + node mrac_in = cat(_T_482, _T_467) @[Cat.scala 29:58] + node _T_483 = bits(wr_mrac_r, 0, 0) @[el2_dec_tlu_ctl.scala 1884:39] + inst rvclkhdr_10 of rvclkhdr_69 @[el2_lib.scala 485:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_10.io.en <= _T_483 @[el2_lib.scala 488:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg mrac : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + mrac <= mrac_in @[el2_lib.scala 491:16] + io.dec_tlu_mrac_ff <= mrac @[el2_dec_tlu_ctl.scala 1886:22] + node _T_484 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1894:63] + node _T_485 = eq(_T_484, UInt<12>("h0bc0")) @[el2_dec_tlu_ctl.scala 1894:70] + node wr_mdeau_r = and(io.dec_csr_wen_r_mod, _T_485) @[el2_dec_tlu_ctl.scala 1894:41] + node _T_486 = not(wr_mdeau_r) @[el2_dec_tlu_ctl.scala 1904:60] + node _T_487 = and(io.mdseac_locked_f, _T_486) @[el2_dec_tlu_ctl.scala 1904:58] + node _T_488 = or(mdseac_en, _T_487) @[el2_dec_tlu_ctl.scala 1904:36] + io.mdseac_locked_ns <= _T_488 @[el2_dec_tlu_ctl.scala 1904:23] + node _T_489 = or(io.lsu_imprecise_error_store_any, io.lsu_imprecise_error_load_any) @[el2_dec_tlu_ctl.scala 1906:50] + node _T_490 = not(io.nmi_int_detected_f) @[el2_dec_tlu_ctl.scala 1906:87] + node _T_491 = and(_T_489, _T_490) @[el2_dec_tlu_ctl.scala 1906:85] + node _T_492 = not(io.mdseac_locked_f) @[el2_dec_tlu_ctl.scala 1906:112] + node _T_493 = and(_T_491, _T_492) @[el2_dec_tlu_ctl.scala 1906:110] + mdseac_en <= _T_493 @[el2_dec_tlu_ctl.scala 1906:13] + node _T_494 = bits(mdseac_en, 0, 0) @[el2_dec_tlu_ctl.scala 1908:65] + inst rvclkhdr_11 of rvclkhdr_70 @[el2_lib.scala 485:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_11.io.en <= _T_494 @[el2_lib.scala 488:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg mdseac : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + mdseac <= io.lsu_imprecise_error_addr_any @[el2_lib.scala 491:16] + node _T_495 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1917:62] + node _T_496 = eq(_T_495, UInt<12>("h07c6")) @[el2_dec_tlu_ctl.scala 1917:69] + node wr_mpmc_r = and(io.dec_csr_wen_r_mod, _T_496) @[el2_dec_tlu_ctl.scala 1917:40] + node _T_497 = bits(io.dec_csr_wrdata_r, 0, 0) @[el2_dec_tlu_ctl.scala 1921:52] + node _T_498 = and(wr_mpmc_r, _T_497) @[el2_dec_tlu_ctl.scala 1921:31] + node _T_499 = not(io.internal_dbg_halt_mode_f2) @[el2_dec_tlu_ctl.scala 1921:58] + node _T_500 = and(_T_498, _T_499) @[el2_dec_tlu_ctl.scala 1921:56] + node _T_501 = not(io.ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 1921:90] + node _T_502 = and(_T_500, _T_501) @[el2_dec_tlu_ctl.scala 1921:88] + io.fw_halt_req <= _T_502 @[el2_dec_tlu_ctl.scala 1921:18] + node _T_503 = or(io.fw_halt_req, fw_halted) @[el2_dec_tlu_ctl.scala 1923:38] + node _T_504 = not(set_mie_pmu_fw_halt) @[el2_dec_tlu_ctl.scala 1923:53] + node fw_halted_ns = and(_T_503, _T_504) @[el2_dec_tlu_ctl.scala 1923:51] + node _T_505 = bits(wr_mpmc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1924:30] + node _T_506 = bits(io.dec_csr_wrdata_r, 1, 1) @[el2_dec_tlu_ctl.scala 1924:58] + node _T_507 = not(_T_506) @[el2_dec_tlu_ctl.scala 1924:38] + node _T_508 = not(mpmc) @[el2_dec_tlu_ctl.scala 1924:63] + node _T_509 = mux(_T_505, _T_507, _T_508) @[el2_dec_tlu_ctl.scala 1924:19] + mpmc_b_ns <= _T_509 @[el2_dec_tlu_ctl.scala 1924:13] + reg _T_510 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1926:45] + _T_510 <= mpmc_b_ns @[el2_dec_tlu_ctl.scala 1926:45] + mpmc_b <= _T_510 @[el2_dec_tlu_ctl.scala 1926:10] + reg _T_511 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1927:46] + _T_511 <= fw_halted_ns @[el2_dec_tlu_ctl.scala 1927:46] + fw_halted <= _T_511 @[el2_dec_tlu_ctl.scala 1927:13] + node _T_512 = not(mpmc_b) @[el2_dec_tlu_ctl.scala 1929:11] + mpmc <= _T_512 @[el2_dec_tlu_ctl.scala 1929:8] + node _T_513 = bits(io.dec_csr_wrdata_r, 31, 27) @[el2_dec_tlu_ctl.scala 1938:41] + node _T_514 = gt(_T_513, UInt<5>("h01a")) @[el2_dec_tlu_ctl.scala 1938:49] + node _T_515 = bits(io.dec_csr_wrdata_r, 31, 27) @[el2_dec_tlu_ctl.scala 1938:93] + node csr_sat = mux(_T_514, UInt<5>("h01a"), _T_515) @[el2_dec_tlu_ctl.scala 1938:20] + node _T_516 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1940:64] + node _T_517 = eq(_T_516, UInt<12>("h07f0")) @[el2_dec_tlu_ctl.scala 1940:71] + node wr_micect_r = and(io.dec_csr_wen_r_mod, _T_517) @[el2_dec_tlu_ctl.scala 1940:42] + node _T_518 = cat(UInt<26>("h00"), io.ic_perr_r_d1) @[Cat.scala 29:58] + node _T_519 = add(micect, _T_518) @[el2_dec_tlu_ctl.scala 1941:24] + node _T_520 = tail(_T_519, 1) @[el2_dec_tlu_ctl.scala 1941:24] + micect_inc <= _T_520 @[el2_dec_tlu_ctl.scala 1941:14] + node _T_521 = bits(wr_micect_r, 0, 0) @[el2_dec_tlu_ctl.scala 1942:36] + node _T_522 = bits(io.dec_csr_wrdata_r, 26, 0) @[el2_dec_tlu_ctl.scala 1942:76] + node _T_523 = cat(csr_sat, _T_522) @[Cat.scala 29:58] + node _T_524 = bits(micect, 31, 27) @[el2_dec_tlu_ctl.scala 1942:96] + node _T_525 = cat(_T_524, micect_inc) @[Cat.scala 29:58] + node micect_ns = mux(_T_521, _T_523, _T_525) @[el2_dec_tlu_ctl.scala 1942:23] + node _T_526 = or(wr_micect_r, io.ic_perr_r_d1) @[el2_dec_tlu_ctl.scala 1944:43] + node _T_527 = bits(_T_526, 0, 0) @[el2_dec_tlu_ctl.scala 1944:62] + inst rvclkhdr_12 of rvclkhdr_71 @[el2_lib.scala 485:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_12.io.en <= _T_527 @[el2_lib.scala 488:17] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_528 : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_528 <= micect_ns @[el2_lib.scala 491:16] + micect <= _T_528 @[el2_dec_tlu_ctl.scala 1944:10] + node _T_529 = bits(micect, 31, 27) @[el2_dec_tlu_ctl.scala 1946:48] + node _T_530 = dshl(UInt<32>("h0ffffffff"), _T_529) @[el2_dec_tlu_ctl.scala 1946:39] + node _T_531 = orr(_T_530) @[el2_dec_tlu_ctl.scala 1946:57] + node _T_532 = bits(micect, 26, 0) @[el2_dec_tlu_ctl.scala 1946:83] + node _T_533 = cat(UInt<5>("h00"), _T_532) @[Cat.scala 29:58] + node _T_534 = and(_T_531, _T_533) @[el2_dec_tlu_ctl.scala 1946:61] + mice_ce_req <= _T_534 @[el2_dec_tlu_ctl.scala 1946:15] + node _T_535 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1955:70] + node _T_536 = eq(_T_535, UInt<12>("h07f1")) @[el2_dec_tlu_ctl.scala 1955:77] + node wr_miccmect_r = and(io.dec_csr_wen_r_mod, _T_536) @[el2_dec_tlu_ctl.scala 1955:48] + node _T_537 = bits(miccmect, 26, 0) @[el2_dec_tlu_ctl.scala 1956:27] + node _T_538 = or(io.iccm_sbecc_r_d1, io.iccm_dma_sb_error) @[el2_dec_tlu_ctl.scala 1956:71] + node _T_539 = cat(UInt<26>("h00"), _T_538) @[Cat.scala 29:58] + node _T_540 = add(_T_537, _T_539) @[el2_dec_tlu_ctl.scala 1956:34] + node _T_541 = tail(_T_540, 1) @[el2_dec_tlu_ctl.scala 1956:34] + miccmect_inc <= _T_541 @[el2_dec_tlu_ctl.scala 1956:16] + node _T_542 = bits(wr_miccmect_r, 0, 0) @[el2_dec_tlu_ctl.scala 1957:46] + node _T_543 = bits(io.dec_csr_wrdata_r, 26, 0) @[el2_dec_tlu_ctl.scala 1957:86] + node _T_544 = cat(csr_sat, _T_543) @[Cat.scala 29:58] + node _T_545 = bits(miccmect, 31, 27) @[el2_dec_tlu_ctl.scala 1957:108] + node _T_546 = cat(_T_545, miccmect_inc) @[Cat.scala 29:58] + node miccmect_ns = mux(_T_542, _T_544, _T_546) @[el2_dec_tlu_ctl.scala 1957:31] + node _T_547 = or(wr_miccmect_r, io.iccm_sbecc_r_d1) @[el2_dec_tlu_ctl.scala 1959:49] + node _T_548 = or(_T_547, io.iccm_dma_sb_error) @[el2_dec_tlu_ctl.scala 1959:70] + node _T_549 = bits(_T_548, 0, 0) @[el2_dec_tlu_ctl.scala 1959:94] + inst rvclkhdr_13 of rvclkhdr_72 @[el2_lib.scala 485:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_13.io.en <= _T_549 @[el2_lib.scala 488:17] + rvclkhdr_13.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_550 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_550 <= miccmect_ns @[el2_lib.scala 491:16] + miccmect <= _T_550 @[el2_dec_tlu_ctl.scala 1959:12] + node _T_551 = bits(miccmect, 31, 27) @[el2_dec_tlu_ctl.scala 1960:53] + node _T_552 = dshl(UInt<32>("h0ffffffff"), _T_551) @[el2_dec_tlu_ctl.scala 1960:42] + node _T_553 = bits(miccmect, 26, 0) @[el2_dec_tlu_ctl.scala 1960:86] + node _T_554 = cat(UInt<5>("h00"), _T_553) @[Cat.scala 29:58] + node _T_555 = and(_T_552, _T_554) @[el2_dec_tlu_ctl.scala 1960:62] + node _T_556 = orr(_T_555) @[el2_dec_tlu_ctl.scala 1960:95] + miccme_ce_req <= _T_556 @[el2_dec_tlu_ctl.scala 1960:17] + node _T_557 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1969:70] + node _T_558 = eq(_T_557, UInt<12>("h07f2")) @[el2_dec_tlu_ctl.scala 1969:77] + node wr_mdccmect_r = and(io.dec_csr_wen_r_mod, _T_558) @[el2_dec_tlu_ctl.scala 1969:48] + node _T_559 = bits(mdccmect, 26, 0) @[el2_dec_tlu_ctl.scala 1970:27] + node _T_560 = cat(UInt<26>("h00"), io.lsu_single_ecc_error_r_d1) @[Cat.scala 29:58] + node _T_561 = add(_T_559, _T_560) @[el2_dec_tlu_ctl.scala 1970:34] + node _T_562 = tail(_T_561, 1) @[el2_dec_tlu_ctl.scala 1970:34] + mdccmect_inc <= _T_562 @[el2_dec_tlu_ctl.scala 1970:16] + node _T_563 = bits(wr_mdccmect_r, 0, 0) @[el2_dec_tlu_ctl.scala 1971:46] + node _T_564 = bits(io.dec_csr_wrdata_r, 26, 0) @[el2_dec_tlu_ctl.scala 1971:86] + node _T_565 = cat(csr_sat, _T_564) @[Cat.scala 29:58] + node _T_566 = bits(mdccmect, 31, 27) @[el2_dec_tlu_ctl.scala 1971:108] + node _T_567 = cat(_T_566, mdccmect_inc) @[Cat.scala 29:58] + node mdccmect_ns = mux(_T_563, _T_565, _T_567) @[el2_dec_tlu_ctl.scala 1971:31] + node _T_568 = or(wr_mdccmect_r, io.lsu_single_ecc_error_r_d1) @[el2_dec_tlu_ctl.scala 1973:50] + node _T_569 = bits(_T_568, 0, 0) @[el2_dec_tlu_ctl.scala 1973:82] + inst rvclkhdr_14 of rvclkhdr_73 @[el2_lib.scala 485:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_14.io.en <= _T_569 @[el2_lib.scala 488:17] + rvclkhdr_14.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_570 : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_570 <= mdccmect_ns @[el2_lib.scala 491:16] + mdccmect <= _T_570 @[el2_dec_tlu_ctl.scala 1973:12] + node _T_571 = bits(mdccmect, 31, 27) @[el2_dec_tlu_ctl.scala 1975:53] + node _T_572 = dshl(UInt<32>("h0ffffffff"), _T_571) @[el2_dec_tlu_ctl.scala 1975:42] + node _T_573 = bits(mdccmect, 26, 0) @[el2_dec_tlu_ctl.scala 1975:86] + node _T_574 = cat(UInt<5>("h00"), _T_573) @[Cat.scala 29:58] + node _T_575 = and(_T_572, _T_574) @[el2_dec_tlu_ctl.scala 1975:62] + node _T_576 = orr(_T_575) @[el2_dec_tlu_ctl.scala 1975:95] + mdccme_ce_req <= _T_576 @[el2_dec_tlu_ctl.scala 1975:17] + node _T_577 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1985:63] + node _T_578 = eq(_T_577, UInt<12>("h07ce")) @[el2_dec_tlu_ctl.scala 1985:70] + node wr_mfdht_r = and(io.dec_csr_wen_r_mod, _T_578) @[el2_dec_tlu_ctl.scala 1985:41] + node _T_579 = bits(wr_mfdht_r, 0, 0) @[el2_dec_tlu_ctl.scala 1987:33] + node _T_580 = bits(io.dec_csr_wrdata_r, 5, 0) @[el2_dec_tlu_ctl.scala 1987:60] + node mfdht_ns = mux(_T_579, _T_580, mfdht) @[el2_dec_tlu_ctl.scala 1987:21] + reg _T_581 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1989:44] + _T_581 <= mfdht_ns @[el2_dec_tlu_ctl.scala 1989:44] + mfdht <= _T_581 @[el2_dec_tlu_ctl.scala 1989:9] + node _T_582 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1998:63] + node _T_583 = eq(_T_582, UInt<12>("h07cf")) @[el2_dec_tlu_ctl.scala 1998:70] + node wr_mfdhs_r = and(io.dec_csr_wen_r_mod, _T_583) @[el2_dec_tlu_ctl.scala 1998:41] + node _T_584 = bits(wr_mfdhs_r, 0, 0) @[el2_dec_tlu_ctl.scala 2000:33] + node _T_585 = bits(io.dec_csr_wrdata_r, 1, 0) @[el2_dec_tlu_ctl.scala 2000:61] + node _T_586 = not(io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2001:30] + node _T_587 = and(io.dbg_tlu_halted, _T_586) @[el2_dec_tlu_ctl.scala 2001:28] + node _T_588 = bits(_T_587, 0, 0) @[el2_dec_tlu_ctl.scala 2001:52] + node _T_589 = not(io.lsu_idle_any_f) @[el2_dec_tlu_ctl.scala 2001:65] + node _T_590 = not(io.ifu_miss_state_idle_f) @[el2_dec_tlu_ctl.scala 2001:85] + node _T_591 = cat(_T_589, _T_590) @[Cat.scala 29:58] + node _T_592 = mux(_T_588, _T_591, mfdhs) @[el2_dec_tlu_ctl.scala 2001:8] + node mfdhs_ns = mux(_T_584, _T_585, _T_592) @[el2_dec_tlu_ctl.scala 2000:21] + node _T_593 = or(wr_mfdhs_r, io.dbg_tlu_halted) @[el2_dec_tlu_ctl.scala 2003:72] + node _T_594 = bits(_T_593, 0, 0) @[el2_dec_tlu_ctl.scala 2003:93] + reg _T_595 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_594 : @[Reg.scala 28:19] + _T_595 <= mfdhs_ns @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mfdhs <= _T_595 @[el2_dec_tlu_ctl.scala 2003:9] + node _T_596 = bits(io.debug_halt_req_f, 0, 0) @[el2_dec_tlu_ctl.scala 2005:48] + node _T_597 = add(force_halt_ctr_f, UInt<32>("h01")) @[el2_dec_tlu_ctl.scala 2005:75] + node _T_598 = tail(_T_597, 1) @[el2_dec_tlu_ctl.scala 2005:75] + node _T_599 = bits(io.dbg_tlu_halted_f, 0, 0) @[el2_dec_tlu_ctl.scala 2006:29] + node _T_600 = mux(_T_599, UInt<32>("h00"), force_halt_ctr_f) @[el2_dec_tlu_ctl.scala 2006:8] + node force_halt_ctr = mux(_T_596, _T_598, _T_600) @[el2_dec_tlu_ctl.scala 2005:27] + node _T_601 = bits(mfdht, 0, 0) @[el2_dec_tlu_ctl.scala 2008:82] + reg _T_602 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_601 : @[Reg.scala 28:19] + _T_602 <= force_halt_ctr @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + force_halt_ctr_f <= _T_602 @[el2_dec_tlu_ctl.scala 2008:20] + node _T_603 = bits(mfdht, 0, 0) @[el2_dec_tlu_ctl.scala 2010:25] + node _T_604 = bits(mfdht, 5, 1) @[el2_dec_tlu_ctl.scala 2010:80] + node _T_605 = dshl(UInt<32>("h0ffffffff"), _T_604) @[el2_dec_tlu_ctl.scala 2010:72] + node _T_606 = and(force_halt_ctr_f, _T_605) @[el2_dec_tlu_ctl.scala 2010:49] + node _T_607 = orr(_T_606) @[el2_dec_tlu_ctl.scala 2010:88] + node _T_608 = and(_T_603, _T_607) @[el2_dec_tlu_ctl.scala 2010:29] + io.force_halt <= _T_608 @[el2_dec_tlu_ctl.scala 2010:17] + node _T_609 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2018:63] + node _T_610 = eq(_T_609, UInt<12>("h0bc8")) @[el2_dec_tlu_ctl.scala 2018:70] + node wr_meivt_r = and(io.dec_csr_wen_r_mod, _T_610) @[el2_dec_tlu_ctl.scala 2018:41] + node _T_611 = bits(io.dec_csr_wrdata_r, 31, 10) @[el2_dec_tlu_ctl.scala 2020:41] + node _T_612 = bits(wr_meivt_r, 0, 0) @[el2_dec_tlu_ctl.scala 2020:60] + inst rvclkhdr_15 of rvclkhdr_74 @[el2_lib.scala 485:23] + rvclkhdr_15.clock <= clock + rvclkhdr_15.reset <= reset + rvclkhdr_15.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_15.io.en <= _T_612 @[el2_lib.scala 488:17] + rvclkhdr_15.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg meivt : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + meivt <= _T_611 @[el2_lib.scala 491:16] + node _T_613 = bits(wr_meicpct_r, 0, 0) @[el2_dec_tlu_ctl.scala 2032:50] + inst rvclkhdr_16 of rvclkhdr_75 @[el2_lib.scala 485:23] + rvclkhdr_16.clock <= clock + rvclkhdr_16.reset <= reset + rvclkhdr_16.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_16.io.en <= _T_613 @[el2_lib.scala 488:17] + rvclkhdr_16.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg meihap : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + meihap <= io.pic_claimid @[el2_lib.scala 491:16] + node _T_614 = cat(meivt, meihap) @[Cat.scala 29:58] + node _T_615 = cat(_T_614, UInt<2>("h00")) @[Cat.scala 29:58] + io.dec_tlu_meihap <= _T_615 @[el2_dec_tlu_ctl.scala 2033:21] + node _T_616 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2042:66] + node _T_617 = eq(_T_616, UInt<12>("h0bcc")) @[el2_dec_tlu_ctl.scala 2042:73] + node wr_meicurpl_r = and(io.dec_csr_wen_r_mod, _T_617) @[el2_dec_tlu_ctl.scala 2042:44] + node _T_618 = bits(wr_meicurpl_r, 0, 0) @[el2_dec_tlu_ctl.scala 2043:39] + node _T_619 = bits(io.dec_csr_wrdata_r, 3, 0) @[el2_dec_tlu_ctl.scala 2043:66] + node meicurpl_ns = mux(_T_618, _T_619, meicurpl) @[el2_dec_tlu_ctl.scala 2043:24] + reg _T_620 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2045:47] + _T_620 <= meicurpl_ns @[el2_dec_tlu_ctl.scala 2045:47] + meicurpl <= _T_620 @[el2_dec_tlu_ctl.scala 2045:12] + io.dec_tlu_meicurpl <= meicurpl @[el2_dec_tlu_ctl.scala 2047:23] + node _T_621 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2057:67] + node _T_622 = eq(_T_621, UInt<12>("h0bcb")) @[el2_dec_tlu_ctl.scala 2057:74] + node _T_623 = and(io.dec_csr_wen_r_mod, _T_622) @[el2_dec_tlu_ctl.scala 2057:45] + node wr_meicidpl_r = or(_T_623, io.take_ext_int_start) @[el2_dec_tlu_ctl.scala 2057:89] + node _T_624 = bits(wr_meicpct_r, 0, 0) @[el2_dec_tlu_ctl.scala 2059:38] + node _T_625 = bits(wr_meicidpl_r, 0, 0) @[el2_dec_tlu_ctl.scala 2060:23] + node _T_626 = bits(io.dec_csr_wrdata_r, 3, 0) @[el2_dec_tlu_ctl.scala 2060:50] + node _T_627 = mux(_T_625, _T_626, meicidpl) @[el2_dec_tlu_ctl.scala 2060:8] + node meicidpl_ns = mux(_T_624, io.pic_pl, _T_627) @[el2_dec_tlu_ctl.scala 2059:24] + reg _T_628 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2062:45] + _T_628 <= meicidpl_ns @[el2_dec_tlu_ctl.scala 2062:45] + meicidpl <= _T_628 @[el2_dec_tlu_ctl.scala 2062:12] + node _T_629 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2069:63] + node _T_630 = eq(_T_629, UInt<12>("h0bca")) @[el2_dec_tlu_ctl.scala 2069:70] + node _T_631 = and(io.dec_csr_wen_r_mod, _T_630) @[el2_dec_tlu_ctl.scala 2069:41] + node _T_632 = or(_T_631, io.take_ext_int_start) @[el2_dec_tlu_ctl.scala 2069:84] + wr_meicpct_r <= _T_632 @[el2_dec_tlu_ctl.scala 2069:16] + node _T_633 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2078:63] + node _T_634 = eq(_T_633, UInt<12>("h0bc9")) @[el2_dec_tlu_ctl.scala 2078:70] + node wr_meipt_r = and(io.dec_csr_wen_r_mod, _T_634) @[el2_dec_tlu_ctl.scala 2078:41] + node _T_635 = bits(wr_meipt_r, 0, 0) @[el2_dec_tlu_ctl.scala 2079:33] + node _T_636 = bits(io.dec_csr_wrdata_r, 3, 0) @[el2_dec_tlu_ctl.scala 2079:60] + node meipt_ns = mux(_T_635, _T_636, meipt) @[el2_dec_tlu_ctl.scala 2079:21] + reg _T_637 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2081:44] + _T_637 <= meipt_ns @[el2_dec_tlu_ctl.scala 2081:44] + meipt <= _T_637 @[el2_dec_tlu_ctl.scala 2081:9] + io.dec_tlu_meipt <= meipt @[el2_dec_tlu_ctl.scala 2083:20] + node _T_638 = and(io.trigger_hit_r_d1, io.dcsr_single_step_done_f) @[el2_dec_tlu_ctl.scala 2109:90] + node trigger_hit_for_dscr_cause_r_d1 = or(io.trigger_hit_dmode_r_d1, _T_638) @[el2_dec_tlu_ctl.scala 2109:67] + node _T_639 = not(io.ebreak_to_debug_mode_r_d1) @[el2_dec_tlu_ctl.scala 2112:35] + node _T_640 = and(io.dcsr_single_step_done_f, _T_639) @[el2_dec_tlu_ctl.scala 2112:33] + node _T_641 = not(trigger_hit_for_dscr_cause_r_d1) @[el2_dec_tlu_ctl.scala 2112:67] + node _T_642 = and(_T_640, _T_641) @[el2_dec_tlu_ctl.scala 2112:65] + node _T_643 = not(io.debug_halt_req) @[el2_dec_tlu_ctl.scala 2112:102] + node _T_644 = and(_T_642, _T_643) @[el2_dec_tlu_ctl.scala 2112:100] + node _T_645 = bits(_T_644, 0, 0) @[el2_dec_tlu_ctl.scala 2112:122] + node _T_646 = not(io.ebreak_to_debug_mode_r_d1) @[el2_dec_tlu_ctl.scala 2113:26] + node _T_647 = and(io.debug_halt_req, _T_646) @[el2_dec_tlu_ctl.scala 2113:24] + node _T_648 = not(trigger_hit_for_dscr_cause_r_d1) @[el2_dec_tlu_ctl.scala 2113:58] + node _T_649 = and(_T_647, _T_648) @[el2_dec_tlu_ctl.scala 2113:56] + node _T_650 = bits(_T_649, 0, 0) @[el2_dec_tlu_ctl.scala 2113:92] + node _T_651 = not(trigger_hit_for_dscr_cause_r_d1) @[el2_dec_tlu_ctl.scala 2114:37] + node _T_652 = and(io.ebreak_to_debug_mode_r_d1, _T_651) @[el2_dec_tlu_ctl.scala 2114:35] + node _T_653 = bits(_T_652, 0, 0) @[el2_dec_tlu_ctl.scala 2114:71] + node _T_654 = bits(trigger_hit_for_dscr_cause_r_d1, 0, 0) @[el2_dec_tlu_ctl.scala 2115:39] + node _T_655 = mux(_T_645, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_656 = mux(_T_650, UInt<3>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_657 = mux(_T_653, UInt<3>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_658 = mux(_T_654, UInt<3>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_659 = or(_T_655, _T_656) @[Mux.scala 27:72] + node _T_660 = or(_T_659, _T_657) @[Mux.scala 27:72] + node _T_661 = or(_T_660, _T_658) @[Mux.scala 27:72] + wire dcsr_cause : UInt<3> @[Mux.scala 27:72] + dcsr_cause <= _T_661 @[Mux.scala 27:72] + node _T_662 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2117:47] + node _T_663 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2117:92] + node _T_664 = eq(_T_663, UInt<12>("h07b0")) @[el2_dec_tlu_ctl.scala 2117:99] + node wr_dcsr_r = and(_T_662, _T_664) @[el2_dec_tlu_ctl.scala 2117:70] + node _T_665 = bits(io.dcsr, 8, 6) @[el2_dec_tlu_ctl.scala 2123:70] + node _T_666 = eq(_T_665, UInt<3>("h03")) @[el2_dec_tlu_ctl.scala 2123:76] + node dcsr_cause_upgradeable = and(io.internal_dbg_halt_mode_f, _T_666) @[el2_dec_tlu_ctl.scala 2123:60] + node _T_667 = not(io.dbg_tlu_halted) @[el2_dec_tlu_ctl.scala 2124:60] + node _T_668 = or(_T_667, dcsr_cause_upgradeable) @[el2_dec_tlu_ctl.scala 2124:79] + node enter_debug_halt_req_le = and(io.enter_debug_halt_req, _T_668) @[el2_dec_tlu_ctl.scala 2124:57] + node nmi_in_debug_mode = and(io.nmi_int_detected_f, io.internal_dbg_halt_mode_f) @[el2_dec_tlu_ctl.scala 2126:49] + node _T_669 = bits(enter_debug_halt_req_le, 0, 0) @[el2_dec_tlu_ctl.scala 2127:45] + node _T_670 = bits(io.dcsr, 15, 9) @[el2_dec_tlu_ctl.scala 2127:65] + node _T_671 = bits(io.dcsr, 5, 2) @[el2_dec_tlu_ctl.scala 2127:92] + node _T_672 = cat(_T_671, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_673 = cat(_T_670, dcsr_cause) @[Cat.scala 29:58] + node _T_674 = cat(_T_673, _T_672) @[Cat.scala 29:58] + node _T_675 = bits(wr_dcsr_r, 0, 0) @[el2_dec_tlu_ctl.scala 2128:19] + node _T_676 = bits(io.dec_csr_wrdata_r, 15, 15) @[el2_dec_tlu_ctl.scala 2128:50] + node _T_677 = bits(io.dec_csr_wrdata_r, 11, 10) @[el2_dec_tlu_ctl.scala 2128:85] + node _T_678 = bits(io.dcsr, 8, 6) @[el2_dec_tlu_ctl.scala 2128:111] + node _T_679 = bits(io.dcsr, 3, 3) @[el2_dec_tlu_ctl.scala 2128:155] + node _T_680 = or(nmi_in_debug_mode, _T_679) @[el2_dec_tlu_ctl.scala 2128:146] + node _T_681 = bits(io.dec_csr_wrdata_r, 2, 2) @[el2_dec_tlu_ctl.scala 2128:179] + node _T_682 = cat(_T_681, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_683 = cat(UInt<2>("h00"), _T_680) @[Cat.scala 29:58] + node _T_684 = cat(_T_683, _T_682) @[Cat.scala 29:58] + node _T_685 = cat(UInt<1>("h00"), _T_678) @[Cat.scala 29:58] + node _T_686 = cat(_T_676, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_687 = cat(_T_686, _T_677) @[Cat.scala 29:58] + node _T_688 = cat(_T_687, _T_685) @[Cat.scala 29:58] + node _T_689 = cat(_T_688, _T_684) @[Cat.scala 29:58] + node _T_690 = bits(io.dcsr, 15, 4) @[el2_dec_tlu_ctl.scala 2128:212] + node _T_691 = bits(io.dcsr, 2, 2) @[el2_dec_tlu_ctl.scala 2128:246] + node _T_692 = cat(_T_691, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_693 = cat(_T_690, nmi_in_debug_mode) @[Cat.scala 29:58] + node _T_694 = cat(_T_693, _T_692) @[Cat.scala 29:58] + node _T_695 = mux(_T_675, _T_689, _T_694) @[el2_dec_tlu_ctl.scala 2128:8] + node dcsr_ns = mux(_T_669, _T_674, _T_695) @[el2_dec_tlu_ctl.scala 2127:20] + node _T_696 = or(enter_debug_halt_req_le, wr_dcsr_r) @[el2_dec_tlu_ctl.scala 2130:55] + node _T_697 = or(_T_696, io.internal_dbg_halt_mode) @[el2_dec_tlu_ctl.scala 2130:67] + node _T_698 = or(_T_697, io.take_nmi) @[el2_dec_tlu_ctl.scala 2130:95] + node _T_699 = bits(_T_698, 0, 0) @[el2_dec_tlu_ctl.scala 2130:110] + inst rvclkhdr_17 of rvclkhdr_76 @[el2_lib.scala 485:23] + rvclkhdr_17.clock <= clock + rvclkhdr_17.reset <= reset + rvclkhdr_17.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_17.io.en <= _T_699 @[el2_lib.scala 488:17] + rvclkhdr_17.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_700 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_700 <= dcsr_ns @[el2_lib.scala 491:16] + io.dcsr <= _T_700 @[el2_dec_tlu_ctl.scala 2130:11] + node _T_701 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2138:46] + node _T_702 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2138:91] + node _T_703 = eq(_T_702, UInt<12>("h07b1")) @[el2_dec_tlu_ctl.scala 2138:98] + node wr_dpc_r = and(_T_701, _T_703) @[el2_dec_tlu_ctl.scala 2138:69] + node _T_704 = not(io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2139:45] + node _T_705 = and(io.dbg_tlu_halted, _T_704) @[el2_dec_tlu_ctl.scala 2139:43] + node _T_706 = not(io.request_debug_mode_done) @[el2_dec_tlu_ctl.scala 2139:68] + node dpc_capture_npc = and(_T_705, _T_706) @[el2_dec_tlu_ctl.scala 2139:66] + node _T_707 = not(io.request_debug_mode_r) @[el2_dec_tlu_ctl.scala 2143:6] + node _T_708 = not(dpc_capture_npc) @[el2_dec_tlu_ctl.scala 2143:24] + node _T_709 = and(_T_707, _T_708) @[el2_dec_tlu_ctl.scala 2143:22] + node _T_710 = and(_T_709, wr_dpc_r) @[el2_dec_tlu_ctl.scala 2143:41] + node _T_711 = bits(_T_710, 0, 0) @[el2_dec_tlu_ctl.scala 2143:53] + node _T_712 = bits(io.dec_csr_wrdata_r, 31, 1) @[el2_dec_tlu_ctl.scala 2143:82] + node _T_713 = bits(io.request_debug_mode_r, 0, 0) @[el2_dec_tlu_ctl.scala 2144:22] + node _T_714 = not(io.request_debug_mode_r) @[el2_dec_tlu_ctl.scala 2145:6] + node _T_715 = and(_T_714, dpc_capture_npc) @[el2_dec_tlu_ctl.scala 2145:22] + node _T_716 = bits(_T_715, 0, 0) @[el2_dec_tlu_ctl.scala 2145:41] + node _T_717 = mux(_T_711, _T_712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_718 = mux(_T_713, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_719 = mux(_T_716, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_720 = or(_T_717, _T_718) @[Mux.scala 27:72] + node _T_721 = or(_T_720, _T_719) @[Mux.scala 27:72] + wire dpc_ns : UInt<31> @[Mux.scala 27:72] + dpc_ns <= _T_721 @[Mux.scala 27:72] + node _T_722 = or(wr_dpc_r, io.request_debug_mode_r) @[el2_dec_tlu_ctl.scala 2147:37] + node _T_723 = or(_T_722, dpc_capture_npc) @[el2_dec_tlu_ctl.scala 2147:54] + node _T_724 = bits(_T_723, 0, 0) @[el2_dec_tlu_ctl.scala 2147:73] + inst rvclkhdr_18 of rvclkhdr_77 @[el2_lib.scala 485:23] + rvclkhdr_18.clock <= clock + rvclkhdr_18.reset <= reset + rvclkhdr_18.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_18.io.en <= _T_724 @[el2_lib.scala 488:17] + rvclkhdr_18.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_725 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_725 <= dpc_ns @[el2_lib.scala 491:16] + io.dpc <= _T_725 @[el2_dec_tlu_ctl.scala 2147:10] + node _T_726 = bits(io.dec_csr_wrdata_r, 24, 24) @[el2_dec_tlu_ctl.scala 2161:44] + node _T_727 = bits(io.dec_csr_wrdata_r, 21, 20) @[el2_dec_tlu_ctl.scala 2161:69] + node _T_728 = bits(io.dec_csr_wrdata_r, 16, 3) @[el2_dec_tlu_ctl.scala 2161:97] + node _T_729 = cat(_T_726, _T_727) @[Cat.scala 29:58] + node dicawics_ns = cat(_T_729, _T_728) @[Cat.scala 29:58] + node _T_730 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2162:51] + node _T_731 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2162:96] + node _T_732 = eq(_T_731, UInt<12>("h07c8")) @[el2_dec_tlu_ctl.scala 2162:103] + node wr_dicawics_r = and(_T_730, _T_732) @[el2_dec_tlu_ctl.scala 2162:74] + node _T_733 = bits(wr_dicawics_r, 0, 0) @[el2_dec_tlu_ctl.scala 2164:51] + inst rvclkhdr_19 of rvclkhdr_78 @[el2_lib.scala 485:23] + rvclkhdr_19.clock <= clock + rvclkhdr_19.reset <= reset + rvclkhdr_19.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_19.io.en <= _T_733 @[el2_lib.scala 488:17] + rvclkhdr_19.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg dicawics : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + dicawics <= dicawics_ns @[el2_lib.scala 491:16] + node _T_734 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2180:49] + node _T_735 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2180:94] + node _T_736 = eq(_T_735, UInt<12>("h07c9")) @[el2_dec_tlu_ctl.scala 2180:101] + node wr_dicad0_r = and(_T_734, _T_736) @[el2_dec_tlu_ctl.scala 2180:72] + node _T_737 = bits(wr_dicad0_r, 0, 0) @[el2_dec_tlu_ctl.scala 2181:35] + node dicad0_ns = mux(_T_737, io.dec_csr_wrdata_r, io.ifu_ic_debug_rd_data) @[el2_dec_tlu_ctl.scala 2181:22] + node _T_738 = or(wr_dicad0_r, io.ifu_ic_debug_rd_data_valid) @[el2_dec_tlu_ctl.scala 2183:47] + node _T_739 = bits(_T_738, 0, 0) @[el2_dec_tlu_ctl.scala 2183:80] + inst rvclkhdr_20 of rvclkhdr_79 @[el2_lib.scala 485:23] + rvclkhdr_20.clock <= clock + rvclkhdr_20.reset <= reset + rvclkhdr_20.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_20.io.en <= _T_739 @[el2_lib.scala 488:17] + rvclkhdr_20.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg dicad0 : UInt, rvclkhdr_20.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + dicad0 <= dicad0_ns @[el2_lib.scala 491:16] + node _T_740 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2193:50] + node _T_741 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2193:95] + node _T_742 = eq(_T_741, UInt<12>("h07cc")) @[el2_dec_tlu_ctl.scala 2193:102] + node wr_dicad0h_r = and(_T_740, _T_742) @[el2_dec_tlu_ctl.scala 2193:73] + node _T_743 = bits(wr_dicad0h_r, 0, 0) @[el2_dec_tlu_ctl.scala 2195:37] + node _T_744 = bits(io.ifu_ic_debug_rd_data, 63, 32) @[el2_dec_tlu_ctl.scala 2195:89] + node dicad0h_ns = mux(_T_743, io.dec_csr_wrdata_r, _T_744) @[el2_dec_tlu_ctl.scala 2195:23] + node _T_745 = or(wr_dicad0h_r, io.ifu_ic_debug_rd_data_valid) @[el2_dec_tlu_ctl.scala 2197:49] + node _T_746 = bits(_T_745, 0, 0) @[el2_dec_tlu_ctl.scala 2197:82] + inst rvclkhdr_21 of rvclkhdr_80 @[el2_lib.scala 485:23] + rvclkhdr_21.clock <= clock + rvclkhdr_21.reset <= reset + rvclkhdr_21.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_21.io.en <= _T_746 @[el2_lib.scala 488:17] + rvclkhdr_21.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg dicad0h : UInt, rvclkhdr_21.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + dicad0h <= dicad0h_ns @[el2_lib.scala 491:16] + wire _T_747 : UInt<7> + _T_747 <= UInt<1>("h00") + node _T_748 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2205:51] + node _T_749 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2205:96] + node _T_750 = eq(_T_749, UInt<12>("h07ca")) @[el2_dec_tlu_ctl.scala 2205:103] + node _T_751 = and(_T_748, _T_750) @[el2_dec_tlu_ctl.scala 2205:74] + node _T_752 = bits(_T_751, 0, 0) @[el2_dec_tlu_ctl.scala 2207:37] + node _T_753 = bits(io.ifu_ic_debug_rd_data, 70, 64) @[el2_dec_tlu_ctl.scala 2207:89] + node _T_754 = mux(_T_752, io.dec_csr_wrdata_r, _T_753) @[el2_dec_tlu_ctl.scala 2207:24] + node _T_755 = or(_T_751, io.ifu_ic_debug_rd_data_valid) @[el2_dec_tlu_ctl.scala 2209:81] + node _T_756 = bits(_T_755, 0, 0) @[el2_dec_tlu_ctl.scala 2209:114] + reg _T_757 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_756 : @[Reg.scala 28:19] + _T_757 <= _T_754 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + _T_747 <= _T_757 @[el2_dec_tlu_ctl.scala 2209:16] + node _T_758 = cat(UInt<25>("h00"), _T_747) @[Cat.scala 29:58] + dicad1 <= _T_758 @[el2_dec_tlu_ctl.scala 2210:12] + node _T_759 = bits(dicad1, 6, 0) @[el2_dec_tlu_ctl.scala 2232:78] + node _T_760 = bits(dicad0h, 31, 0) @[el2_dec_tlu_ctl.scala 2232:92] + node _T_761 = bits(dicad0, 31, 0) @[el2_dec_tlu_ctl.scala 2232:106] + node _T_762 = cat(_T_759, _T_760) @[Cat.scala 29:58] + node _T_763 = cat(_T_762, _T_761) @[Cat.scala 29:58] + io.dec_tlu_ic_diag_pkt.icache_wrdata <= _T_763 @[el2_dec_tlu_ctl.scala 2232:65] + io.dec_tlu_ic_diag_pkt.icache_dicawics <= dicawics @[el2_dec_tlu_ctl.scala 2235:42] + node _T_764 = and(io.allow_dbg_halt_csr_write, io.dec_csr_any_unq_d) @[el2_dec_tlu_ctl.scala 2237:53] + node _T_765 = and(_T_764, io.dec_i0_decode_d) @[el2_dec_tlu_ctl.scala 2237:76] + node _T_766 = not(io.dec_csr_wen_unq_d) @[el2_dec_tlu_ctl.scala 2237:99] + node _T_767 = and(_T_765, _T_766) @[el2_dec_tlu_ctl.scala 2237:97] + node _T_768 = bits(io.dec_csr_rdaddr_d, 11, 0) @[el2_dec_tlu_ctl.scala 2237:143] + node _T_769 = eq(_T_768, UInt<12>("h07cb")) @[el2_dec_tlu_ctl.scala 2237:150] + node icache_rd_valid = and(_T_767, _T_769) @[el2_dec_tlu_ctl.scala 2237:121] + node _T_770 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2238:53] + node _T_771 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2238:98] + node _T_772 = eq(_T_771, UInt<12>("h07cb")) @[el2_dec_tlu_ctl.scala 2238:105] + node icache_wr_valid = and(_T_770, _T_772) @[el2_dec_tlu_ctl.scala 2238:76] + reg icache_rd_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2240:59] + icache_rd_valid_f <= icache_rd_valid @[el2_dec_tlu_ctl.scala 2240:59] + reg icache_wr_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2241:59] + icache_wr_valid_f <= icache_wr_valid @[el2_dec_tlu_ctl.scala 2241:59] + io.dec_tlu_ic_diag_pkt.icache_rd_valid <= icache_rd_valid_f @[el2_dec_tlu_ctl.scala 2243:42] + io.dec_tlu_ic_diag_pkt.icache_wr_valid <= icache_wr_valid_f @[el2_dec_tlu_ctl.scala 2244:42] + node _T_773 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2252:63] + node _T_774 = eq(_T_773, UInt<12>("h07a0")) @[el2_dec_tlu_ctl.scala 2252:70] + node wr_mtsel_r = and(io.dec_csr_wen_r_mod, _T_774) @[el2_dec_tlu_ctl.scala 2252:41] + node _T_775 = bits(wr_mtsel_r, 0, 0) @[el2_dec_tlu_ctl.scala 2253:33] + node _T_776 = bits(io.dec_csr_wrdata_r, 1, 0) @[el2_dec_tlu_ctl.scala 2253:60] + node mtsel_ns = mux(_T_775, _T_776, mtsel) @[el2_dec_tlu_ctl.scala 2253:21] + reg _T_777 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2255:44] + _T_777 <= mtsel_ns @[el2_dec_tlu_ctl.scala 2255:44] + mtsel <= _T_777 @[el2_dec_tlu_ctl.scala 2255:9] + node _T_778 = bits(io.dec_csr_wrdata_r, 0, 0) @[el2_dec_tlu_ctl.scala 2290:39] + node _T_779 = bits(io.dec_csr_wrdata_r, 19, 19) @[el2_dec_tlu_ctl.scala 2290:65] + node _T_780 = not(_T_779) @[el2_dec_tlu_ctl.scala 2290:45] + node tdata_load = and(_T_778, _T_780) @[el2_dec_tlu_ctl.scala 2290:43] + node _T_781 = bits(io.dec_csr_wrdata_r, 2, 2) @[el2_dec_tlu_ctl.scala 2292:41] + node _T_782 = bits(io.dec_csr_wrdata_r, 19, 19) @[el2_dec_tlu_ctl.scala 2292:67] + node _T_783 = not(_T_782) @[el2_dec_tlu_ctl.scala 2292:47] + node tdata_opcode = and(_T_781, _T_783) @[el2_dec_tlu_ctl.scala 2292:45] + node _T_784 = bits(io.dec_csr_wrdata_r, 27, 27) @[el2_dec_tlu_ctl.scala 2294:42] + node _T_785 = and(_T_784, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2294:47] + node _T_786 = bits(io.dec_csr_wrdata_r, 12, 12) @[el2_dec_tlu_ctl.scala 2294:91] + node tdata_action = and(_T_785, _T_786) @[el2_dec_tlu_ctl.scala 2294:70] + node _T_787 = bits(io.dec_csr_wrdata_r, 27, 27) @[el2_dec_tlu_ctl.scala 2296:48] + node _T_788 = and(_T_787, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2296:53] + node _T_789 = bits(io.dec_csr_wrdata_r, 20, 19) @[el2_dec_tlu_ctl.scala 2296:95] + node _T_790 = bits(io.dec_csr_wrdata_r, 11, 11) @[el2_dec_tlu_ctl.scala 2296:137] + node _T_791 = bits(io.dec_csr_wrdata_r, 7, 6) @[el2_dec_tlu_ctl.scala 2297:24] + node _T_792 = bits(io.dec_csr_wrdata_r, 1, 1) @[el2_dec_tlu_ctl.scala 2297:64] + node _T_793 = cat(_T_792, tdata_load) @[Cat.scala 29:58] + node _T_794 = cat(_T_791, tdata_opcode) @[Cat.scala 29:58] + node _T_795 = cat(_T_794, _T_793) @[Cat.scala 29:58] + node _T_796 = cat(tdata_action, _T_790) @[Cat.scala 29:58] + node _T_797 = cat(_T_788, _T_789) @[Cat.scala 29:58] + node _T_798 = cat(_T_797, _T_796) @[Cat.scala 29:58] + node tdata_wrdata_r = cat(_T_798, _T_795) @[Cat.scala 29:58] + node _T_799 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2300:93] + node _T_800 = eq(_T_799, UInt<12>("h07a1")) @[el2_dec_tlu_ctl.scala 2300:100] + node _T_801 = and(io.dec_csr_wen_r_mod, _T_800) @[el2_dec_tlu_ctl.scala 2300:71] + node _T_802 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2300:122] + node _T_803 = and(_T_801, _T_802) @[el2_dec_tlu_ctl.scala 2300:113] + node _T_804 = bits(io.mtdata1_t[0], 9, 9) @[el2_dec_tlu_ctl.scala 2300:155] + node _T_805 = not(_T_804) @[el2_dec_tlu_ctl.scala 2300:139] + node _T_806 = or(_T_805, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2300:171] + node _T_807 = and(_T_803, _T_806) @[el2_dec_tlu_ctl.scala 2300:136] + node _T_808 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2300:93] + node _T_809 = eq(_T_808, UInt<12>("h07a1")) @[el2_dec_tlu_ctl.scala 2300:100] + node _T_810 = and(io.dec_csr_wen_r_mod, _T_809) @[el2_dec_tlu_ctl.scala 2300:71] + node _T_811 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2300:122] + node _T_812 = and(_T_810, _T_811) @[el2_dec_tlu_ctl.scala 2300:113] + node _T_813 = bits(io.mtdata1_t[1], 9, 9) @[el2_dec_tlu_ctl.scala 2300:155] + node _T_814 = not(_T_813) @[el2_dec_tlu_ctl.scala 2300:139] + node _T_815 = or(_T_814, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2300:171] + node _T_816 = and(_T_812, _T_815) @[el2_dec_tlu_ctl.scala 2300:136] + node _T_817 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2300:93] + node _T_818 = eq(_T_817, UInt<12>("h07a1")) @[el2_dec_tlu_ctl.scala 2300:100] + node _T_819 = and(io.dec_csr_wen_r_mod, _T_818) @[el2_dec_tlu_ctl.scala 2300:71] + node _T_820 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2300:122] + node _T_821 = and(_T_819, _T_820) @[el2_dec_tlu_ctl.scala 2300:113] + node _T_822 = bits(io.mtdata1_t[2], 9, 9) @[el2_dec_tlu_ctl.scala 2300:155] + node _T_823 = not(_T_822) @[el2_dec_tlu_ctl.scala 2300:139] + node _T_824 = or(_T_823, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2300:171] + node _T_825 = and(_T_821, _T_824) @[el2_dec_tlu_ctl.scala 2300:136] + node _T_826 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2300:93] + node _T_827 = eq(_T_826, UInt<12>("h07a1")) @[el2_dec_tlu_ctl.scala 2300:100] + node _T_828 = and(io.dec_csr_wen_r_mod, _T_827) @[el2_dec_tlu_ctl.scala 2300:71] + node _T_829 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2300:122] + node _T_830 = and(_T_828, _T_829) @[el2_dec_tlu_ctl.scala 2300:113] + node _T_831 = bits(io.mtdata1_t[3], 9, 9) @[el2_dec_tlu_ctl.scala 2300:155] + node _T_832 = not(_T_831) @[el2_dec_tlu_ctl.scala 2300:139] + node _T_833 = or(_T_832, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2300:171] + node _T_834 = and(_T_830, _T_833) @[el2_dec_tlu_ctl.scala 2300:136] + wire wr_mtdata1_t_r : UInt<1>[4] @[el2_dec_tlu_ctl.scala 2300:43] + wr_mtdata1_t_r[0] <= _T_807 @[el2_dec_tlu_ctl.scala 2300:43] + wr_mtdata1_t_r[1] <= _T_816 @[el2_dec_tlu_ctl.scala 2300:43] + wr_mtdata1_t_r[2] <= _T_825 @[el2_dec_tlu_ctl.scala 2300:43] + wr_mtdata1_t_r[3] <= _T_834 @[el2_dec_tlu_ctl.scala 2300:43] + node _T_835 = bits(wr_mtdata1_t_r[0], 0, 0) @[el2_dec_tlu_ctl.scala 2301:69] + node _T_836 = bits(io.mtdata1_t[0], 9, 9) @[el2_dec_tlu_ctl.scala 2301:112] + node _T_837 = bits(io.update_hit_bit_r, 0, 0) @[el2_dec_tlu_ctl.scala 2301:136] + node _T_838 = bits(io.mtdata1_t[0], 8, 8) @[el2_dec_tlu_ctl.scala 2301:157] + node _T_839 = or(_T_837, _T_838) @[el2_dec_tlu_ctl.scala 2301:140] + node _T_840 = bits(io.mtdata1_t[0], 7, 0) @[el2_dec_tlu_ctl.scala 2301:177] + node _T_841 = cat(_T_836, _T_839) @[Cat.scala 29:58] + node _T_842 = cat(_T_841, _T_840) @[Cat.scala 29:58] + node _T_843 = mux(_T_835, tdata_wrdata_r, _T_842) @[el2_dec_tlu_ctl.scala 2301:50] + node _T_844 = bits(wr_mtdata1_t_r[1], 0, 0) @[el2_dec_tlu_ctl.scala 2301:69] + node _T_845 = bits(io.mtdata1_t[1], 9, 9) @[el2_dec_tlu_ctl.scala 2301:112] + node _T_846 = bits(io.update_hit_bit_r, 1, 1) @[el2_dec_tlu_ctl.scala 2301:136] + node _T_847 = bits(io.mtdata1_t[1], 8, 8) @[el2_dec_tlu_ctl.scala 2301:157] + node _T_848 = or(_T_846, _T_847) @[el2_dec_tlu_ctl.scala 2301:140] + node _T_849 = bits(io.mtdata1_t[1], 7, 0) @[el2_dec_tlu_ctl.scala 2301:177] + node _T_850 = cat(_T_845, _T_848) @[Cat.scala 29:58] + node _T_851 = cat(_T_850, _T_849) @[Cat.scala 29:58] + node _T_852 = mux(_T_844, tdata_wrdata_r, _T_851) @[el2_dec_tlu_ctl.scala 2301:50] + node _T_853 = bits(wr_mtdata1_t_r[2], 0, 0) @[el2_dec_tlu_ctl.scala 2301:69] + node _T_854 = bits(io.mtdata1_t[2], 9, 9) @[el2_dec_tlu_ctl.scala 2301:112] + node _T_855 = bits(io.update_hit_bit_r, 2, 2) @[el2_dec_tlu_ctl.scala 2301:136] + node _T_856 = bits(io.mtdata1_t[2], 8, 8) @[el2_dec_tlu_ctl.scala 2301:157] + node _T_857 = or(_T_855, _T_856) @[el2_dec_tlu_ctl.scala 2301:140] + node _T_858 = bits(io.mtdata1_t[2], 7, 0) @[el2_dec_tlu_ctl.scala 2301:177] + node _T_859 = cat(_T_854, _T_857) @[Cat.scala 29:58] + node _T_860 = cat(_T_859, _T_858) @[Cat.scala 29:58] + node _T_861 = mux(_T_853, tdata_wrdata_r, _T_860) @[el2_dec_tlu_ctl.scala 2301:50] + node _T_862 = bits(wr_mtdata1_t_r[3], 0, 0) @[el2_dec_tlu_ctl.scala 2301:69] + node _T_863 = bits(io.mtdata1_t[3], 9, 9) @[el2_dec_tlu_ctl.scala 2301:112] + node _T_864 = bits(io.update_hit_bit_r, 3, 3) @[el2_dec_tlu_ctl.scala 2301:136] + node _T_865 = bits(io.mtdata1_t[3], 8, 8) @[el2_dec_tlu_ctl.scala 2301:157] + node _T_866 = or(_T_864, _T_865) @[el2_dec_tlu_ctl.scala 2301:140] + node _T_867 = bits(io.mtdata1_t[3], 7, 0) @[el2_dec_tlu_ctl.scala 2301:177] + node _T_868 = cat(_T_863, _T_866) @[Cat.scala 29:58] + node _T_869 = cat(_T_868, _T_867) @[Cat.scala 29:58] + node _T_870 = mux(_T_862, tdata_wrdata_r, _T_869) @[el2_dec_tlu_ctl.scala 2301:50] + wire mtdata1_t_ns : UInt<10>[4] @[el2_dec_tlu_ctl.scala 2301:41] + mtdata1_t_ns[0] <= _T_843 @[el2_dec_tlu_ctl.scala 2301:41] + mtdata1_t_ns[1] <= _T_852 @[el2_dec_tlu_ctl.scala 2301:41] + mtdata1_t_ns[2] <= _T_861 @[el2_dec_tlu_ctl.scala 2301:41] + mtdata1_t_ns[3] <= _T_870 @[el2_dec_tlu_ctl.scala 2301:41] + reg _T_871 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2303:76] + _T_871 <= mtdata1_t_ns[0] @[el2_dec_tlu_ctl.scala 2303:76] + io.mtdata1_t[0] <= _T_871 @[el2_dec_tlu_ctl.scala 2303:41] + reg _T_872 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2303:76] + _T_872 <= mtdata1_t_ns[1] @[el2_dec_tlu_ctl.scala 2303:76] + io.mtdata1_t[1] <= _T_872 @[el2_dec_tlu_ctl.scala 2303:41] + reg _T_873 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2303:76] + _T_873 <= mtdata1_t_ns[2] @[el2_dec_tlu_ctl.scala 2303:76] + io.mtdata1_t[2] <= _T_873 @[el2_dec_tlu_ctl.scala 2303:41] + reg _T_874 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2303:76] + _T_874 <= mtdata1_t_ns[3] @[el2_dec_tlu_ctl.scala 2303:76] + io.mtdata1_t[3] <= _T_874 @[el2_dec_tlu_ctl.scala 2303:41] + node _T_875 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2306:60] + node _T_876 = bits(io.mtdata1_t[0], 9, 9) @[el2_dec_tlu_ctl.scala 2306:106] + node _T_877 = bits(io.mtdata1_t[0], 8, 7) @[el2_dec_tlu_ctl.scala 2306:144] + node _T_878 = bits(io.mtdata1_t[0], 6, 5) @[el2_dec_tlu_ctl.scala 2306:176] + node _T_879 = bits(io.mtdata1_t[0], 4, 3) @[el2_dec_tlu_ctl.scala 2306:208] + node _T_880 = bits(io.mtdata1_t[0], 2, 0) @[el2_dec_tlu_ctl.scala 2306:240] + node _T_881 = cat(UInt<3>("h00"), _T_880) @[Cat.scala 29:58] + node _T_882 = cat(_T_878, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_883 = cat(_T_882, _T_879) @[Cat.scala 29:58] + node _T_884 = cat(_T_883, _T_881) @[Cat.scala 29:58] + node _T_885 = cat(_T_877, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_886 = cat(UInt<4>("h02"), _T_876) @[Cat.scala 29:58] + node _T_887 = cat(_T_886, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_888 = cat(_T_887, _T_885) @[Cat.scala 29:58] + node _T_889 = cat(_T_888, _T_884) @[Cat.scala 29:58] + node _T_890 = eq(mtsel, UInt<2>("h01")) @[el2_dec_tlu_ctl.scala 2306:60] + node _T_891 = bits(io.mtdata1_t[1], 9, 9) @[el2_dec_tlu_ctl.scala 2306:106] + node _T_892 = bits(io.mtdata1_t[1], 8, 7) @[el2_dec_tlu_ctl.scala 2306:144] + node _T_893 = bits(io.mtdata1_t[1], 6, 5) @[el2_dec_tlu_ctl.scala 2306:176] + node _T_894 = bits(io.mtdata1_t[1], 4, 3) @[el2_dec_tlu_ctl.scala 2306:208] + node _T_895 = bits(io.mtdata1_t[1], 2, 0) @[el2_dec_tlu_ctl.scala 2306:240] + node _T_896 = cat(UInt<3>("h00"), _T_895) @[Cat.scala 29:58] + node _T_897 = cat(_T_893, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_898 = cat(_T_897, _T_894) @[Cat.scala 29:58] + node _T_899 = cat(_T_898, _T_896) @[Cat.scala 29:58] + node _T_900 = cat(_T_892, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_901 = cat(UInt<4>("h02"), _T_891) @[Cat.scala 29:58] + node _T_902 = cat(_T_901, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_903 = cat(_T_902, _T_900) @[Cat.scala 29:58] + node _T_904 = cat(_T_903, _T_899) @[Cat.scala 29:58] + node _T_905 = eq(mtsel, UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2306:60] + node _T_906 = bits(io.mtdata1_t[2], 9, 9) @[el2_dec_tlu_ctl.scala 2306:106] + node _T_907 = bits(io.mtdata1_t[2], 8, 7) @[el2_dec_tlu_ctl.scala 2306:144] + node _T_908 = bits(io.mtdata1_t[2], 6, 5) @[el2_dec_tlu_ctl.scala 2306:176] + node _T_909 = bits(io.mtdata1_t[2], 4, 3) @[el2_dec_tlu_ctl.scala 2306:208] + node _T_910 = bits(io.mtdata1_t[2], 2, 0) @[el2_dec_tlu_ctl.scala 2306:240] + node _T_911 = cat(UInt<3>("h00"), _T_910) @[Cat.scala 29:58] + node _T_912 = cat(_T_908, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_913 = cat(_T_912, _T_909) @[Cat.scala 29:58] + node _T_914 = cat(_T_913, _T_911) @[Cat.scala 29:58] + node _T_915 = cat(_T_907, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_916 = cat(UInt<4>("h02"), _T_906) @[Cat.scala 29:58] + node _T_917 = cat(_T_916, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_918 = cat(_T_917, _T_915) @[Cat.scala 29:58] + node _T_919 = cat(_T_918, _T_914) @[Cat.scala 29:58] + node _T_920 = eq(mtsel, UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2306:60] + node _T_921 = bits(io.mtdata1_t[3], 9, 9) @[el2_dec_tlu_ctl.scala 2306:106] + node _T_922 = bits(io.mtdata1_t[3], 8, 7) @[el2_dec_tlu_ctl.scala 2306:144] + node _T_923 = bits(io.mtdata1_t[3], 6, 5) @[el2_dec_tlu_ctl.scala 2306:176] + node _T_924 = bits(io.mtdata1_t[3], 4, 3) @[el2_dec_tlu_ctl.scala 2306:208] + node _T_925 = bits(io.mtdata1_t[3], 2, 0) @[el2_dec_tlu_ctl.scala 2306:240] + node _T_926 = cat(UInt<3>("h00"), _T_925) @[Cat.scala 29:58] + node _T_927 = cat(_T_923, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_928 = cat(_T_927, _T_924) @[Cat.scala 29:58] + node _T_929 = cat(_T_928, _T_926) @[Cat.scala 29:58] + node _T_930 = cat(_T_922, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_931 = cat(UInt<4>("h02"), _T_921) @[Cat.scala 29:58] + node _T_932 = cat(_T_931, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_933 = cat(_T_932, _T_930) @[Cat.scala 29:58] + node _T_934 = cat(_T_933, _T_929) @[Cat.scala 29:58] + node _T_935 = mux(_T_875, _T_889, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_936 = mux(_T_890, _T_904, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_937 = mux(_T_905, _T_919, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_938 = mux(_T_920, _T_934, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_939 = or(_T_935, _T_936) @[Mux.scala 27:72] + node _T_940 = or(_T_939, _T_937) @[Mux.scala 27:72] + node _T_941 = or(_T_940, _T_938) @[Mux.scala 27:72] + wire mtdata1_tsel_out : UInt<32> @[Mux.scala 27:72] + mtdata1_tsel_out <= _T_941 @[Mux.scala 27:72] + node _T_942 = bits(io.mtdata1_t[0], 7, 7) @[el2_dec_tlu_ctl.scala 2308:54] + io.trigger_pkt_any[0].select <= _T_942 @[el2_dec_tlu_ctl.scala 2308:36] + node _T_943 = bits(io.mtdata1_t[0], 4, 4) @[el2_dec_tlu_ctl.scala 2309:54] + io.trigger_pkt_any[0].match_ <= _T_943 @[el2_dec_tlu_ctl.scala 2309:36] + node _T_944 = bits(io.mtdata1_t[0], 1, 1) @[el2_dec_tlu_ctl.scala 2310:54] + io.trigger_pkt_any[0].store <= _T_944 @[el2_dec_tlu_ctl.scala 2310:36] + node _T_945 = bits(io.mtdata1_t[0], 0, 0) @[el2_dec_tlu_ctl.scala 2311:54] + io.trigger_pkt_any[0].load <= _T_945 @[el2_dec_tlu_ctl.scala 2311:36] + node _T_946 = bits(io.mtdata1_t[0], 2, 2) @[el2_dec_tlu_ctl.scala 2312:54] + io.trigger_pkt_any[0].execute <= _T_946 @[el2_dec_tlu_ctl.scala 2312:36] + node _T_947 = bits(io.mtdata1_t[0], 3, 3) @[el2_dec_tlu_ctl.scala 2313:54] + io.trigger_pkt_any[0].m <= _T_947 @[el2_dec_tlu_ctl.scala 2313:36] + node _T_948 = bits(io.mtdata1_t[1], 7, 7) @[el2_dec_tlu_ctl.scala 2308:54] + io.trigger_pkt_any[1].select <= _T_948 @[el2_dec_tlu_ctl.scala 2308:36] + node _T_949 = bits(io.mtdata1_t[1], 4, 4) @[el2_dec_tlu_ctl.scala 2309:54] + io.trigger_pkt_any[1].match_ <= _T_949 @[el2_dec_tlu_ctl.scala 2309:36] + node _T_950 = bits(io.mtdata1_t[1], 1, 1) @[el2_dec_tlu_ctl.scala 2310:54] + io.trigger_pkt_any[1].store <= _T_950 @[el2_dec_tlu_ctl.scala 2310:36] + node _T_951 = bits(io.mtdata1_t[1], 0, 0) @[el2_dec_tlu_ctl.scala 2311:54] + io.trigger_pkt_any[1].load <= _T_951 @[el2_dec_tlu_ctl.scala 2311:36] + node _T_952 = bits(io.mtdata1_t[1], 2, 2) @[el2_dec_tlu_ctl.scala 2312:54] + io.trigger_pkt_any[1].execute <= _T_952 @[el2_dec_tlu_ctl.scala 2312:36] + node _T_953 = bits(io.mtdata1_t[1], 3, 3) @[el2_dec_tlu_ctl.scala 2313:54] + io.trigger_pkt_any[1].m <= _T_953 @[el2_dec_tlu_ctl.scala 2313:36] + node _T_954 = bits(io.mtdata1_t[2], 7, 7) @[el2_dec_tlu_ctl.scala 2308:54] + io.trigger_pkt_any[2].select <= _T_954 @[el2_dec_tlu_ctl.scala 2308:36] + node _T_955 = bits(io.mtdata1_t[2], 4, 4) @[el2_dec_tlu_ctl.scala 2309:54] + io.trigger_pkt_any[2].match_ <= _T_955 @[el2_dec_tlu_ctl.scala 2309:36] + node _T_956 = bits(io.mtdata1_t[2], 1, 1) @[el2_dec_tlu_ctl.scala 2310:54] + io.trigger_pkt_any[2].store <= _T_956 @[el2_dec_tlu_ctl.scala 2310:36] + node _T_957 = bits(io.mtdata1_t[2], 0, 0) @[el2_dec_tlu_ctl.scala 2311:54] + io.trigger_pkt_any[2].load <= _T_957 @[el2_dec_tlu_ctl.scala 2311:36] + node _T_958 = bits(io.mtdata1_t[2], 2, 2) @[el2_dec_tlu_ctl.scala 2312:54] + io.trigger_pkt_any[2].execute <= _T_958 @[el2_dec_tlu_ctl.scala 2312:36] + node _T_959 = bits(io.mtdata1_t[2], 3, 3) @[el2_dec_tlu_ctl.scala 2313:54] + io.trigger_pkt_any[2].m <= _T_959 @[el2_dec_tlu_ctl.scala 2313:36] + node _T_960 = bits(io.mtdata1_t[3], 7, 7) @[el2_dec_tlu_ctl.scala 2308:54] + io.trigger_pkt_any[3].select <= _T_960 @[el2_dec_tlu_ctl.scala 2308:36] + node _T_961 = bits(io.mtdata1_t[3], 4, 4) @[el2_dec_tlu_ctl.scala 2309:54] + io.trigger_pkt_any[3].match_ <= _T_961 @[el2_dec_tlu_ctl.scala 2309:36] + node _T_962 = bits(io.mtdata1_t[3], 1, 1) @[el2_dec_tlu_ctl.scala 2310:54] + io.trigger_pkt_any[3].store <= _T_962 @[el2_dec_tlu_ctl.scala 2310:36] + node _T_963 = bits(io.mtdata1_t[3], 0, 0) @[el2_dec_tlu_ctl.scala 2311:54] + io.trigger_pkt_any[3].load <= _T_963 @[el2_dec_tlu_ctl.scala 2311:36] + node _T_964 = bits(io.mtdata1_t[3], 2, 2) @[el2_dec_tlu_ctl.scala 2312:54] + io.trigger_pkt_any[3].execute <= _T_964 @[el2_dec_tlu_ctl.scala 2312:36] + node _T_965 = bits(io.mtdata1_t[3], 3, 3) @[el2_dec_tlu_ctl.scala 2313:54] + io.trigger_pkt_any[3].m <= _T_965 @[el2_dec_tlu_ctl.scala 2313:36] + node _T_966 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2320:92] + node _T_967 = eq(_T_966, UInt<12>("h07a2")) @[el2_dec_tlu_ctl.scala 2320:99] + node _T_968 = and(io.dec_csr_wen_r_mod, _T_967) @[el2_dec_tlu_ctl.scala 2320:70] + node _T_969 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2320:121] + node _T_970 = and(_T_968, _T_969) @[el2_dec_tlu_ctl.scala 2320:112] + node _T_971 = bits(io.mtdata1_t[0], 9, 9) @[el2_dec_tlu_ctl.scala 2320:154] + node _T_972 = not(_T_971) @[el2_dec_tlu_ctl.scala 2320:138] + node _T_973 = or(_T_972, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2320:170] + node _T_974 = and(_T_970, _T_973) @[el2_dec_tlu_ctl.scala 2320:135] + node _T_975 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2320:92] + node _T_976 = eq(_T_975, UInt<12>("h07a2")) @[el2_dec_tlu_ctl.scala 2320:99] + node _T_977 = and(io.dec_csr_wen_r_mod, _T_976) @[el2_dec_tlu_ctl.scala 2320:70] + node _T_978 = eq(mtsel, UInt<2>("h01")) @[el2_dec_tlu_ctl.scala 2320:121] + node _T_979 = and(_T_977, _T_978) @[el2_dec_tlu_ctl.scala 2320:112] + node _T_980 = bits(io.mtdata1_t[1], 9, 9) @[el2_dec_tlu_ctl.scala 2320:154] + node _T_981 = not(_T_980) @[el2_dec_tlu_ctl.scala 2320:138] + node _T_982 = or(_T_981, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2320:170] + node _T_983 = and(_T_979, _T_982) @[el2_dec_tlu_ctl.scala 2320:135] + node _T_984 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2320:92] + node _T_985 = eq(_T_984, UInt<12>("h07a2")) @[el2_dec_tlu_ctl.scala 2320:99] + node _T_986 = and(io.dec_csr_wen_r_mod, _T_985) @[el2_dec_tlu_ctl.scala 2320:70] + node _T_987 = eq(mtsel, UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2320:121] + node _T_988 = and(_T_986, _T_987) @[el2_dec_tlu_ctl.scala 2320:112] + node _T_989 = bits(io.mtdata1_t[2], 9, 9) @[el2_dec_tlu_ctl.scala 2320:154] + node _T_990 = not(_T_989) @[el2_dec_tlu_ctl.scala 2320:138] + node _T_991 = or(_T_990, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2320:170] + node _T_992 = and(_T_988, _T_991) @[el2_dec_tlu_ctl.scala 2320:135] + node _T_993 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2320:92] + node _T_994 = eq(_T_993, UInt<12>("h07a2")) @[el2_dec_tlu_ctl.scala 2320:99] + node _T_995 = and(io.dec_csr_wen_r_mod, _T_994) @[el2_dec_tlu_ctl.scala 2320:70] + node _T_996 = eq(mtsel, UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2320:121] + node _T_997 = and(_T_995, _T_996) @[el2_dec_tlu_ctl.scala 2320:112] + node _T_998 = bits(io.mtdata1_t[3], 9, 9) @[el2_dec_tlu_ctl.scala 2320:154] + node _T_999 = not(_T_998) @[el2_dec_tlu_ctl.scala 2320:138] + node _T_1000 = or(_T_999, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2320:170] + node _T_1001 = and(_T_997, _T_1000) @[el2_dec_tlu_ctl.scala 2320:135] + wire wr_mtdata2_t_r : UInt<1>[4] @[el2_dec_tlu_ctl.scala 2320:43] + wr_mtdata2_t_r[0] <= _T_974 @[el2_dec_tlu_ctl.scala 2320:43] + wr_mtdata2_t_r[1] <= _T_983 @[el2_dec_tlu_ctl.scala 2320:43] + wr_mtdata2_t_r[2] <= _T_992 @[el2_dec_tlu_ctl.scala 2320:43] + wr_mtdata2_t_r[3] <= _T_1001 @[el2_dec_tlu_ctl.scala 2320:43] + node _T_1002 = bits(wr_mtdata2_t_r[0], 0, 0) @[el2_dec_tlu_ctl.scala 2321:86] + inst rvclkhdr_22 of rvclkhdr_81 @[el2_lib.scala 485:23] + rvclkhdr_22.clock <= clock + rvclkhdr_22.reset <= reset + rvclkhdr_22.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_22.io.en <= _T_1002 @[el2_lib.scala 488:17] + rvclkhdr_22.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_1003 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1003 <= io.dec_csr_wrdata_r @[el2_lib.scala 491:16] + mtdata2_t[0] <= _T_1003 @[el2_dec_tlu_ctl.scala 2321:38] + node _T_1004 = bits(wr_mtdata2_t_r[1], 0, 0) @[el2_dec_tlu_ctl.scala 2321:86] + inst rvclkhdr_23 of rvclkhdr_82 @[el2_lib.scala 485:23] + rvclkhdr_23.clock <= clock + rvclkhdr_23.reset <= reset + rvclkhdr_23.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_23.io.en <= _T_1004 @[el2_lib.scala 488:17] + rvclkhdr_23.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_1005 : UInt, rvclkhdr_23.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1005 <= io.dec_csr_wrdata_r @[el2_lib.scala 491:16] + mtdata2_t[1] <= _T_1005 @[el2_dec_tlu_ctl.scala 2321:38] + node _T_1006 = bits(wr_mtdata2_t_r[2], 0, 0) @[el2_dec_tlu_ctl.scala 2321:86] + inst rvclkhdr_24 of rvclkhdr_83 @[el2_lib.scala 485:23] + rvclkhdr_24.clock <= clock + rvclkhdr_24.reset <= reset + rvclkhdr_24.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_24.io.en <= _T_1006 @[el2_lib.scala 488:17] + rvclkhdr_24.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_1007 : UInt, rvclkhdr_24.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1007 <= io.dec_csr_wrdata_r @[el2_lib.scala 491:16] + mtdata2_t[2] <= _T_1007 @[el2_dec_tlu_ctl.scala 2321:38] + node _T_1008 = bits(wr_mtdata2_t_r[3], 0, 0) @[el2_dec_tlu_ctl.scala 2321:86] + inst rvclkhdr_25 of rvclkhdr_84 @[el2_lib.scala 485:23] + rvclkhdr_25.clock <= clock + rvclkhdr_25.reset <= reset + rvclkhdr_25.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_25.io.en <= _T_1008 @[el2_lib.scala 488:17] + rvclkhdr_25.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_1009 : UInt, rvclkhdr_25.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1009 <= io.dec_csr_wrdata_r @[el2_lib.scala 491:16] + mtdata2_t[3] <= _T_1009 @[el2_dec_tlu_ctl.scala 2321:38] + node _T_1010 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2325:59] + node _T_1011 = eq(mtsel, UInt<2>("h01")) @[el2_dec_tlu_ctl.scala 2325:59] + node _T_1012 = eq(mtsel, UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2325:59] + node _T_1013 = eq(mtsel, UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2325:59] + node _T_1014 = mux(_T_1010, mtdata2_t[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1015 = mux(_T_1011, mtdata2_t[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1016 = mux(_T_1012, mtdata2_t[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1017 = mux(_T_1013, mtdata2_t[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1018 = or(_T_1014, _T_1015) @[Mux.scala 27:72] + node _T_1019 = or(_T_1018, _T_1016) @[Mux.scala 27:72] + node _T_1020 = or(_T_1019, _T_1017) @[Mux.scala 27:72] + wire mtdata2_tsel_out : UInt<32> @[Mux.scala 27:72] + mtdata2_tsel_out <= _T_1020 @[Mux.scala 27:72] + io.trigger_pkt_any[0].tdata2 <= mtdata2_t[0] @[el2_dec_tlu_ctl.scala 2326:53] + io.trigger_pkt_any[1].tdata2 <= mtdata2_t[1] @[el2_dec_tlu_ctl.scala 2326:53] + io.trigger_pkt_any[2].tdata2 <= mtdata2_t[2] @[el2_dec_tlu_ctl.scala 2326:53] + io.trigger_pkt_any[3].tdata2 <= mtdata2_t[3] @[el2_dec_tlu_ctl.scala 2326:53] + mhpme_vec[0] <= mhpme3 @[el2_dec_tlu_ctl.scala 2336:16] + mhpme_vec[1] <= mhpme4 @[el2_dec_tlu_ctl.scala 2337:16] + mhpme_vec[2] <= mhpme5 @[el2_dec_tlu_ctl.scala 2338:16] + mhpme_vec[3] <= mhpme6 @[el2_dec_tlu_ctl.scala 2339:16] + node _T_1021 = bits(io.tlu_i0_commit_cmt, 0, 0) @[Bitwise.scala 72:15] + node _T_1022 = mux(_T_1021, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node pmu_i0_itype_qual = and(io.dec_tlu_packet_r.pmu_i0_itype, _T_1022) @[el2_dec_tlu_ctl.scala 2345:60] + wire mhpmc_inc_r : UInt<1>[4] @[el2_dec_tlu_ctl.scala 2346:25] + wire mhpmc_inc_r_d1 : UInt<1>[4] @[el2_dec_tlu_ctl.scala 2347:28] + node _T_1023 = bits(mcountinhibit, 3, 3) @[el2_dec_tlu_ctl.scala 2351:39] + node _T_1024 = not(_T_1023) @[el2_dec_tlu_ctl.scala 2351:25] + node _T_1025 = eq(mhpme_vec[0], UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 2352:21] + node _T_1026 = bits(_T_1025, 0, 0) @[el2_dec_tlu_ctl.scala 2352:49] + node _T_1027 = eq(mhpme_vec[0], UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2353:21] + node _T_1028 = bits(_T_1027, 0, 0) @[el2_dec_tlu_ctl.scala 2353:49] + node _T_1029 = eq(mhpme_vec[0], UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2354:21] + node _T_1030 = bits(_T_1029, 0, 0) @[el2_dec_tlu_ctl.scala 2354:49] + node _T_1031 = eq(mhpme_vec[0], UInt<3>("h04")) @[el2_dec_tlu_ctl.scala 2355:21] + node _T_1032 = bits(_T_1031, 0, 0) @[el2_dec_tlu_ctl.scala 2355:49] + node _T_1033 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2355:83] + node _T_1034 = and(io.tlu_i0_commit_cmt, _T_1033) @[el2_dec_tlu_ctl.scala 2355:81] + node _T_1035 = eq(mhpme_vec[0], UInt<3>("h05")) @[el2_dec_tlu_ctl.scala 2356:21] + node _T_1036 = bits(_T_1035, 0, 0) @[el2_dec_tlu_ctl.scala 2356:49] + node _T_1037 = not(io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2356:83] + node _T_1038 = and(io.tlu_i0_commit_cmt, _T_1037) @[el2_dec_tlu_ctl.scala 2356:81] + node _T_1039 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2356:104] + node _T_1040 = and(_T_1038, _T_1039) @[el2_dec_tlu_ctl.scala 2356:102] + node _T_1041 = eq(mhpme_vec[0], UInt<3>("h06")) @[el2_dec_tlu_ctl.scala 2357:21] + node _T_1042 = bits(_T_1041, 0, 0) @[el2_dec_tlu_ctl.scala 2357:49] + node _T_1043 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2357:81] + node _T_1044 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2357:104] + node _T_1045 = and(_T_1043, _T_1044) @[el2_dec_tlu_ctl.scala 2357:102] + node _T_1046 = eq(mhpme_vec[0], UInt<3>("h07")) @[el2_dec_tlu_ctl.scala 2358:21] + node _T_1047 = bits(_T_1046, 0, 0) @[el2_dec_tlu_ctl.scala 2358:49] + node _T_1048 = eq(mhpme_vec[0], UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2359:21] + node _T_1049 = bits(_T_1048, 0, 0) @[el2_dec_tlu_ctl.scala 2359:49] + node _T_1050 = eq(mhpme_vec[0], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2360:21] + node _T_1051 = bits(_T_1050, 0, 0) @[el2_dec_tlu_ctl.scala 2360:49] + node _T_1052 = eq(mhpme_vec[0], UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2361:21] + node _T_1053 = bits(_T_1052, 0, 0) @[el2_dec_tlu_ctl.scala 2361:49] + node _T_1054 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[el2_dec_tlu_ctl.scala 2361:78] + node _T_1055 = eq(mhpme_vec[0], UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2362:21] + node _T_1056 = bits(_T_1055, 0, 0) @[el2_dec_tlu_ctl.scala 2362:49] + node _T_1057 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2362:92] + node _T_1058 = eq(mhpme_vec[0], UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2363:21] + node _T_1059 = bits(_T_1058, 0, 0) @[el2_dec_tlu_ctl.scala 2363:49] + node _T_1060 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2363:78] + node _T_1061 = eq(mhpme_vec[0], UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2364:21] + node _T_1062 = bits(_T_1061, 0, 0) @[el2_dec_tlu_ctl.scala 2364:49] + node _T_1063 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2364:78] + node _T_1064 = eq(mhpme_vec[0], UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2365:21] + node _T_1065 = bits(_T_1064, 0, 0) @[el2_dec_tlu_ctl.scala 2365:49] + node _T_1066 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2365:79] + node _T_1067 = eq(mhpme_vec[0], UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2366:21] + node _T_1068 = dshr(io.dec_tlu_packet_r.pmu_lsu_misaligned, _T_1067) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1069 = bits(_T_1068, 0, 0) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1070 = and(_T_1066, _T_1069) @[el2_dec_tlu_ctl.scala 2365:89] + node _T_1071 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2366:66] + node _T_1072 = and(_T_1070, _T_1071) @[el2_dec_tlu_ctl.scala 2366:45] + node _T_1073 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[el2_dec_tlu_ctl.scala 2367:48] + node _T_1074 = and(_T_1072, _T_1073) @[el2_dec_tlu_ctl.scala 2366:77] + node _T_1075 = eq(mhpme_vec[0], UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2368:21] + node _T_1076 = bits(_T_1075, 0, 0) @[el2_dec_tlu_ctl.scala 2368:41] + node _T_1077 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[el2_dec_tlu_ctl.scala 2368:76] + node _T_1078 = eq(mhpme_vec[0], UInt<5>("h010")) @[el2_dec_tlu_ctl.scala 2369:21] + node _T_1079 = bits(_T_1078, 0, 0) @[el2_dec_tlu_ctl.scala 2369:46] + node _T_1080 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[el2_dec_tlu_ctl.scala 2369:76] + node _T_1081 = eq(mhpme_vec[0], UInt<5>("h012")) @[el2_dec_tlu_ctl.scala 2370:21] + node _T_1082 = bits(_T_1081, 0, 0) @[el2_dec_tlu_ctl.scala 2370:46] + node _T_1083 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[el2_dec_tlu_ctl.scala 2370:76] + node _T_1084 = eq(mhpme_vec[0], UInt<5>("h011")) @[el2_dec_tlu_ctl.scala 2371:21] + node _T_1085 = bits(_T_1084, 0, 0) @[el2_dec_tlu_ctl.scala 2371:46] + node _T_1086 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[el2_dec_tlu_ctl.scala 2371:76] + node _T_1087 = eq(mhpme_vec[0], UInt<5>("h013")) @[el2_dec_tlu_ctl.scala 2372:21] + node _T_1088 = bits(_T_1087, 0, 0) @[el2_dec_tlu_ctl.scala 2372:46] + node _T_1089 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2372:76] + node _T_1090 = eq(mhpme_vec[0], UInt<5>("h014")) @[el2_dec_tlu_ctl.scala 2373:21] + node _T_1091 = bits(_T_1090, 0, 0) @[el2_dec_tlu_ctl.scala 2373:46] + node _T_1092 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2373:76] + node _T_1093 = eq(mhpme_vec[0], UInt<5>("h015")) @[el2_dec_tlu_ctl.scala 2374:21] + node _T_1094 = bits(_T_1093, 0, 0) @[el2_dec_tlu_ctl.scala 2374:46] + node _T_1095 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2374:76] + node _T_1096 = eq(mhpme_vec[0], UInt<5>("h016")) @[el2_dec_tlu_ctl.scala 2375:21] + node _T_1097 = bits(_T_1096, 0, 0) @[el2_dec_tlu_ctl.scala 2375:46] + node _T_1098 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2375:76] + node _T_1099 = eq(mhpme_vec[0], UInt<5>("h017")) @[el2_dec_tlu_ctl.scala 2376:21] + node _T_1100 = bits(_T_1099, 0, 0) @[el2_dec_tlu_ctl.scala 2376:46] + node _T_1101 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2376:76] + node _T_1102 = eq(mhpme_vec[0], UInt<5>("h018")) @[el2_dec_tlu_ctl.scala 2377:21] + node _T_1103 = bits(_T_1102, 0, 0) @[el2_dec_tlu_ctl.scala 2377:46] + node _T_1104 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2377:76] + node _T_1105 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2377:109] + node _T_1106 = or(_T_1104, _T_1105) @[el2_dec_tlu_ctl.scala 2377:88] + node _T_1107 = eq(mhpme_vec[0], UInt<5>("h019")) @[el2_dec_tlu_ctl.scala 2378:21] + node _T_1108 = bits(_T_1107, 0, 0) @[el2_dec_tlu_ctl.scala 2378:49] + node _T_1109 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2378:82] + node _T_1110 = eq(mhpme_vec[0], UInt<5>("h01a")) @[el2_dec_tlu_ctl.scala 2379:21] + node _T_1111 = bits(_T_1110, 0, 0) @[el2_dec_tlu_ctl.scala 2379:49] + node _T_1112 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2379:84] + node _T_1113 = eq(mhpme_vec[0], UInt<5>("h01b")) @[el2_dec_tlu_ctl.scala 2380:21] + node _T_1114 = bits(_T_1113, 0, 0) @[el2_dec_tlu_ctl.scala 2380:49] + node _T_1115 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2380:97] + node _T_1116 = eq(mhpme_vec[0], UInt<5>("h01c")) @[el2_dec_tlu_ctl.scala 2381:21] + node _T_1117 = bits(_T_1116, 0, 0) @[el2_dec_tlu_ctl.scala 2381:49] + node _T_1118 = eq(mhpme_vec[0], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2382:21] + node _T_1119 = bits(_T_1118, 0, 0) @[el2_dec_tlu_ctl.scala 2382:49] + node _T_1120 = eq(mhpme_vec[0], UInt<5>("h01f")) @[el2_dec_tlu_ctl.scala 2383:21] + node _T_1121 = bits(_T_1120, 0, 0) @[el2_dec_tlu_ctl.scala 2383:49] + node _T_1122 = eq(mhpme_vec[0], UInt<6>("h020")) @[el2_dec_tlu_ctl.scala 2384:21] + node _T_1123 = bits(_T_1122, 0, 0) @[el2_dec_tlu_ctl.scala 2384:49] + node _T_1124 = eq(mhpme_vec[0], UInt<6>("h022")) @[el2_dec_tlu_ctl.scala 2385:21] + node _T_1125 = bits(_T_1124, 0, 0) @[el2_dec_tlu_ctl.scala 2385:49] + node _T_1126 = eq(mhpme_vec[0], UInt<6>("h023")) @[el2_dec_tlu_ctl.scala 2386:21] + node _T_1127 = bits(_T_1126, 0, 0) @[el2_dec_tlu_ctl.scala 2386:49] + node _T_1128 = eq(mhpme_vec[0], UInt<6>("h024")) @[el2_dec_tlu_ctl.scala 2387:21] + node _T_1129 = bits(_T_1128, 0, 0) @[el2_dec_tlu_ctl.scala 2387:49] + node _T_1130 = eq(mhpme_vec[0], UInt<6>("h025")) @[el2_dec_tlu_ctl.scala 2388:21] + node _T_1131 = bits(_T_1130, 0, 0) @[el2_dec_tlu_ctl.scala 2388:49] + node _T_1132 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 2388:85] + node _T_1133 = or(_T_1132, io.lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 2388:107] + node _T_1134 = eq(mhpme_vec[0], UInt<6>("h026")) @[el2_dec_tlu_ctl.scala 2389:21] + node _T_1135 = bits(_T_1134, 0, 0) @[el2_dec_tlu_ctl.scala 2389:49] + node _T_1136 = or(io.take_timer_int, io.take_int_timer0_int) @[el2_dec_tlu_ctl.scala 2389:79] + node _T_1137 = or(_T_1136, io.take_int_timer1_int) @[el2_dec_tlu_ctl.scala 2389:104] + node _T_1138 = eq(mhpme_vec[0], UInt<6>("h027")) @[el2_dec_tlu_ctl.scala 2390:21] + node _T_1139 = bits(_T_1138, 0, 0) @[el2_dec_tlu_ctl.scala 2390:49] + node _T_1140 = eq(mhpme_vec[0], UInt<6>("h028")) @[el2_dec_tlu_ctl.scala 2391:21] + node _T_1141 = bits(_T_1140, 0, 0) @[el2_dec_tlu_ctl.scala 2391:49] + node _T_1142 = eq(mhpme_vec[0], UInt<6>("h029")) @[el2_dec_tlu_ctl.scala 2392:21] + node _T_1143 = bits(_T_1142, 0, 0) @[el2_dec_tlu_ctl.scala 2392:49] + node _T_1144 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[el2_dec_tlu_ctl.scala 2392:84] + node _T_1145 = and(_T_1144, io.rfpc_i0_r) @[el2_dec_tlu_ctl.scala 2392:116] + node _T_1146 = eq(mhpme_vec[0], UInt<6>("h02a")) @[el2_dec_tlu_ctl.scala 2393:21] + node _T_1147 = bits(_T_1146, 0, 0) @[el2_dec_tlu_ctl.scala 2393:49] + node _T_1148 = eq(mhpme_vec[0], UInt<6>("h02b")) @[el2_dec_tlu_ctl.scala 2394:21] + node _T_1149 = bits(_T_1148, 0, 0) @[el2_dec_tlu_ctl.scala 2394:49] + node _T_1150 = eq(mhpme_vec[0], UInt<6>("h02c")) @[el2_dec_tlu_ctl.scala 2395:21] + node _T_1151 = bits(_T_1150, 0, 0) @[el2_dec_tlu_ctl.scala 2395:49] + node _T_1152 = eq(mhpme_vec[0], UInt<6>("h02d")) @[el2_dec_tlu_ctl.scala 2396:21] + node _T_1153 = bits(_T_1152, 0, 0) @[el2_dec_tlu_ctl.scala 2396:49] + node _T_1154 = eq(mhpme_vec[0], UInt<6>("h02e")) @[el2_dec_tlu_ctl.scala 2397:21] + node _T_1155 = bits(_T_1154, 0, 0) @[el2_dec_tlu_ctl.scala 2397:49] + node _T_1156 = eq(mhpme_vec[0], UInt<6>("h02f")) @[el2_dec_tlu_ctl.scala 2398:21] + node _T_1157 = bits(_T_1156, 0, 0) @[el2_dec_tlu_ctl.scala 2398:49] + node _T_1158 = eq(mhpme_vec[0], UInt<6>("h030")) @[el2_dec_tlu_ctl.scala 2399:21] + node _T_1159 = bits(_T_1158, 0, 0) @[el2_dec_tlu_ctl.scala 2399:49] + node _T_1160 = eq(mhpme_vec[0], UInt<6>("h031")) @[el2_dec_tlu_ctl.scala 2400:21] + node _T_1161 = bits(_T_1160, 0, 0) @[el2_dec_tlu_ctl.scala 2400:49] + node _T_1162 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_1163 = bits(_T_1162, 0, 0) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_1164 = not(_T_1163) @[el2_dec_tlu_ctl.scala 2400:60] + node _T_1165 = eq(mhpme_vec[0], UInt<6>("h032")) @[el2_dec_tlu_ctl.scala 2401:21] + node _T_1166 = bits(_T_1165, 0, 0) @[el2_dec_tlu_ctl.scala 2401:49] + node _T_1167 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_1168 = bits(_T_1167, 0, 0) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_1169 = not(_T_1168) @[el2_dec_tlu_ctl.scala 2401:60] + node _T_1170 = bits(io.mip, 5, 0) @[el2_dec_tlu_ctl.scala 2401:94] + node _T_1171 = bits(mie, 5, 0) @[el2_dec_tlu_ctl.scala 2401:105] + node _T_1172 = and(_T_1170, _T_1171) @[el2_dec_tlu_ctl.scala 2401:100] + node _T_1173 = and(_T_1169, _T_1172) @[el2_dec_tlu_ctl.scala 2401:85] + node _T_1174 = eq(mhpme_vec[0], UInt<6>("h036")) @[el2_dec_tlu_ctl.scala 2402:21] + node _T_1175 = bits(_T_1174, 0, 0) @[el2_dec_tlu_ctl.scala 2402:49] + node _T_1176 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2402:78] + node _T_1177 = eq(mhpme_vec[0], UInt<6>("h037")) @[el2_dec_tlu_ctl.scala 2403:21] + node _T_1178 = bits(_T_1177, 0, 0) @[el2_dec_tlu_ctl.scala 2403:49] + node _T_1179 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[el2_dec_tlu_ctl.scala 2403:81] + node _T_1180 = eq(mhpme_vec[0], UInt<6>("h038")) @[el2_dec_tlu_ctl.scala 2404:21] + node _T_1181 = bits(_T_1180, 0, 0) @[el2_dec_tlu_ctl.scala 2404:49] + node _T_1182 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[el2_dec_tlu_ctl.scala 2404:81] + node _T_1183 = eq(mhpme_vec[0], UInt<10>("h0200")) @[el2_dec_tlu_ctl.scala 2406:21] + node _T_1184 = bits(_T_1183, 0, 0) @[el2_dec_tlu_ctl.scala 2406:49] + node _T_1185 = eq(mhpme_vec[0], UInt<10>("h0201")) @[el2_dec_tlu_ctl.scala 2407:21] + node _T_1186 = bits(_T_1185, 0, 0) @[el2_dec_tlu_ctl.scala 2407:49] + node _T_1187 = eq(mhpme_vec[0], UInt<10>("h0202")) @[el2_dec_tlu_ctl.scala 2408:21] + node _T_1188 = bits(_T_1187, 0, 0) @[el2_dec_tlu_ctl.scala 2408:49] + node _T_1189 = eq(mhpme_vec[0], UInt<10>("h0203")) @[el2_dec_tlu_ctl.scala 2409:21] + node _T_1190 = bits(_T_1189, 0, 0) @[el2_dec_tlu_ctl.scala 2409:49] + node _T_1191 = eq(mhpme_vec[0], UInt<10>("h0204")) @[el2_dec_tlu_ctl.scala 2410:21] + node _T_1192 = bits(_T_1191, 0, 0) @[el2_dec_tlu_ctl.scala 2410:49] + node _T_1193 = mux(_T_1026, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1194 = mux(_T_1028, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1195 = mux(_T_1030, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1196 = mux(_T_1032, _T_1034, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = mux(_T_1036, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1198 = mux(_T_1042, _T_1045, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1047, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = mux(_T_1049, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1201 = mux(_T_1051, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1202 = mux(_T_1053, _T_1054, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1203 = mux(_T_1056, _T_1057, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1204 = mux(_T_1059, _T_1060, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1205 = mux(_T_1062, _T_1063, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1206 = mux(_T_1065, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1207 = mux(_T_1076, _T_1077, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1208 = mux(_T_1079, _T_1080, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1209 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1210 = mux(_T_1085, _T_1086, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1211 = mux(_T_1088, _T_1089, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1212 = mux(_T_1091, _T_1092, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1213 = mux(_T_1094, _T_1095, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1214 = mux(_T_1097, _T_1098, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1215 = mux(_T_1100, _T_1101, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1216 = mux(_T_1103, _T_1106, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1217 = mux(_T_1108, _T_1109, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1218 = mux(_T_1111, _T_1112, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1219 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1220 = mux(_T_1117, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1221 = mux(_T_1119, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1222 = mux(_T_1121, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = mux(_T_1123, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1224 = mux(_T_1125, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1225 = mux(_T_1127, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1226 = mux(_T_1129, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1227 = mux(_T_1131, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1228 = mux(_T_1135, _T_1137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1229 = mux(_T_1139, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1230 = mux(_T_1141, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1231 = mux(_T_1143, _T_1145, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1232 = mux(_T_1147, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1233 = mux(_T_1149, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1234 = mux(_T_1151, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1235 = mux(_T_1153, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1236 = mux(_T_1155, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1237 = mux(_T_1157, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1238 = mux(_T_1159, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1239 = mux(_T_1161, _T_1164, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1240 = mux(_T_1166, _T_1173, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1241 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1242 = mux(_T_1178, _T_1179, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1243 = mux(_T_1181, _T_1182, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1244 = mux(_T_1184, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1245 = mux(_T_1186, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1246 = mux(_T_1188, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1247 = mux(_T_1190, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1248 = mux(_T_1192, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1249 = or(_T_1193, _T_1194) @[Mux.scala 27:72] + node _T_1250 = or(_T_1249, _T_1195) @[Mux.scala 27:72] + node _T_1251 = or(_T_1250, _T_1196) @[Mux.scala 27:72] + node _T_1252 = or(_T_1251, _T_1197) @[Mux.scala 27:72] + node _T_1253 = or(_T_1252, _T_1198) @[Mux.scala 27:72] + node _T_1254 = or(_T_1253, _T_1199) @[Mux.scala 27:72] + node _T_1255 = or(_T_1254, _T_1200) @[Mux.scala 27:72] + node _T_1256 = or(_T_1255, _T_1201) @[Mux.scala 27:72] + node _T_1257 = or(_T_1256, _T_1202) @[Mux.scala 27:72] + node _T_1258 = or(_T_1257, _T_1203) @[Mux.scala 27:72] + node _T_1259 = or(_T_1258, _T_1204) @[Mux.scala 27:72] + node _T_1260 = or(_T_1259, _T_1205) @[Mux.scala 27:72] + node _T_1261 = or(_T_1260, _T_1206) @[Mux.scala 27:72] + node _T_1262 = or(_T_1261, _T_1207) @[Mux.scala 27:72] + node _T_1263 = or(_T_1262, _T_1208) @[Mux.scala 27:72] + node _T_1264 = or(_T_1263, _T_1209) @[Mux.scala 27:72] + node _T_1265 = or(_T_1264, _T_1210) @[Mux.scala 27:72] + node _T_1266 = or(_T_1265, _T_1211) @[Mux.scala 27:72] + node _T_1267 = or(_T_1266, _T_1212) @[Mux.scala 27:72] + node _T_1268 = or(_T_1267, _T_1213) @[Mux.scala 27:72] + node _T_1269 = or(_T_1268, _T_1214) @[Mux.scala 27:72] + node _T_1270 = or(_T_1269, _T_1215) @[Mux.scala 27:72] + node _T_1271 = or(_T_1270, _T_1216) @[Mux.scala 27:72] + node _T_1272 = or(_T_1271, _T_1217) @[Mux.scala 27:72] + node _T_1273 = or(_T_1272, _T_1218) @[Mux.scala 27:72] + node _T_1274 = or(_T_1273, _T_1219) @[Mux.scala 27:72] + node _T_1275 = or(_T_1274, _T_1220) @[Mux.scala 27:72] + node _T_1276 = or(_T_1275, _T_1221) @[Mux.scala 27:72] + node _T_1277 = or(_T_1276, _T_1222) @[Mux.scala 27:72] + node _T_1278 = or(_T_1277, _T_1223) @[Mux.scala 27:72] + node _T_1279 = or(_T_1278, _T_1224) @[Mux.scala 27:72] + node _T_1280 = or(_T_1279, _T_1225) @[Mux.scala 27:72] + node _T_1281 = or(_T_1280, _T_1226) @[Mux.scala 27:72] + node _T_1282 = or(_T_1281, _T_1227) @[Mux.scala 27:72] + node _T_1283 = or(_T_1282, _T_1228) @[Mux.scala 27:72] + node _T_1284 = or(_T_1283, _T_1229) @[Mux.scala 27:72] + node _T_1285 = or(_T_1284, _T_1230) @[Mux.scala 27:72] + node _T_1286 = or(_T_1285, _T_1231) @[Mux.scala 27:72] + node _T_1287 = or(_T_1286, _T_1232) @[Mux.scala 27:72] + node _T_1288 = or(_T_1287, _T_1233) @[Mux.scala 27:72] + node _T_1289 = or(_T_1288, _T_1234) @[Mux.scala 27:72] + node _T_1290 = or(_T_1289, _T_1235) @[Mux.scala 27:72] + node _T_1291 = or(_T_1290, _T_1236) @[Mux.scala 27:72] + node _T_1292 = or(_T_1291, _T_1237) @[Mux.scala 27:72] + node _T_1293 = or(_T_1292, _T_1238) @[Mux.scala 27:72] + node _T_1294 = or(_T_1293, _T_1239) @[Mux.scala 27:72] + node _T_1295 = or(_T_1294, _T_1240) @[Mux.scala 27:72] + node _T_1296 = or(_T_1295, _T_1241) @[Mux.scala 27:72] + node _T_1297 = or(_T_1296, _T_1242) @[Mux.scala 27:72] + node _T_1298 = or(_T_1297, _T_1243) @[Mux.scala 27:72] + node _T_1299 = or(_T_1298, _T_1244) @[Mux.scala 27:72] + node _T_1300 = or(_T_1299, _T_1245) @[Mux.scala 27:72] + node _T_1301 = or(_T_1300, _T_1246) @[Mux.scala 27:72] + node _T_1302 = or(_T_1301, _T_1247) @[Mux.scala 27:72] + node _T_1303 = or(_T_1302, _T_1248) @[Mux.scala 27:72] + wire _T_1304 : UInt<6> @[Mux.scala 27:72] + _T_1304 <= _T_1303 @[Mux.scala 27:72] + node _T_1305 = and(_T_1024, _T_1304) @[el2_dec_tlu_ctl.scala 2351:45] + mhpmc_inc_r[0] <= _T_1305 @[el2_dec_tlu_ctl.scala 2351:20] + node _T_1306 = bits(mcountinhibit, 4, 4) @[el2_dec_tlu_ctl.scala 2351:39] + node _T_1307 = not(_T_1306) @[el2_dec_tlu_ctl.scala 2351:25] + node _T_1308 = eq(mhpme_vec[1], UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 2352:21] + node _T_1309 = bits(_T_1308, 0, 0) @[el2_dec_tlu_ctl.scala 2352:49] + node _T_1310 = eq(mhpme_vec[1], UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2353:21] + node _T_1311 = bits(_T_1310, 0, 0) @[el2_dec_tlu_ctl.scala 2353:49] + node _T_1312 = eq(mhpme_vec[1], UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2354:21] + node _T_1313 = bits(_T_1312, 0, 0) @[el2_dec_tlu_ctl.scala 2354:49] + node _T_1314 = eq(mhpme_vec[1], UInt<3>("h04")) @[el2_dec_tlu_ctl.scala 2355:21] + node _T_1315 = bits(_T_1314, 0, 0) @[el2_dec_tlu_ctl.scala 2355:49] + node _T_1316 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2355:83] + node _T_1317 = and(io.tlu_i0_commit_cmt, _T_1316) @[el2_dec_tlu_ctl.scala 2355:81] + node _T_1318 = eq(mhpme_vec[1], UInt<3>("h05")) @[el2_dec_tlu_ctl.scala 2356:21] + node _T_1319 = bits(_T_1318, 0, 0) @[el2_dec_tlu_ctl.scala 2356:49] + node _T_1320 = not(io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2356:83] + node _T_1321 = and(io.tlu_i0_commit_cmt, _T_1320) @[el2_dec_tlu_ctl.scala 2356:81] + node _T_1322 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2356:104] + node _T_1323 = and(_T_1321, _T_1322) @[el2_dec_tlu_ctl.scala 2356:102] + node _T_1324 = eq(mhpme_vec[1], UInt<3>("h06")) @[el2_dec_tlu_ctl.scala 2357:21] + node _T_1325 = bits(_T_1324, 0, 0) @[el2_dec_tlu_ctl.scala 2357:49] + node _T_1326 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2357:81] + node _T_1327 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2357:104] + node _T_1328 = and(_T_1326, _T_1327) @[el2_dec_tlu_ctl.scala 2357:102] + node _T_1329 = eq(mhpme_vec[1], UInt<3>("h07")) @[el2_dec_tlu_ctl.scala 2358:21] + node _T_1330 = bits(_T_1329, 0, 0) @[el2_dec_tlu_ctl.scala 2358:49] + node _T_1331 = eq(mhpme_vec[1], UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2359:21] + node _T_1332 = bits(_T_1331, 0, 0) @[el2_dec_tlu_ctl.scala 2359:49] + node _T_1333 = eq(mhpme_vec[1], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2360:21] + node _T_1334 = bits(_T_1333, 0, 0) @[el2_dec_tlu_ctl.scala 2360:49] + node _T_1335 = eq(mhpme_vec[1], UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2361:21] + node _T_1336 = bits(_T_1335, 0, 0) @[el2_dec_tlu_ctl.scala 2361:49] + node _T_1337 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[el2_dec_tlu_ctl.scala 2361:78] + node _T_1338 = eq(mhpme_vec[1], UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2362:21] + node _T_1339 = bits(_T_1338, 0, 0) @[el2_dec_tlu_ctl.scala 2362:49] + node _T_1340 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2362:92] + node _T_1341 = eq(mhpme_vec[1], UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2363:21] + node _T_1342 = bits(_T_1341, 0, 0) @[el2_dec_tlu_ctl.scala 2363:49] + node _T_1343 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2363:78] + node _T_1344 = eq(mhpme_vec[1], UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2364:21] + node _T_1345 = bits(_T_1344, 0, 0) @[el2_dec_tlu_ctl.scala 2364:49] + node _T_1346 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2364:78] + node _T_1347 = eq(mhpme_vec[1], UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2365:21] + node _T_1348 = bits(_T_1347, 0, 0) @[el2_dec_tlu_ctl.scala 2365:49] + node _T_1349 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2365:79] + node _T_1350 = eq(mhpme_vec[1], UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2366:21] + node _T_1351 = dshr(io.dec_tlu_packet_r.pmu_lsu_misaligned, _T_1350) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1352 = bits(_T_1351, 0, 0) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1353 = and(_T_1349, _T_1352) @[el2_dec_tlu_ctl.scala 2365:89] + node _T_1354 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2366:66] + node _T_1355 = and(_T_1353, _T_1354) @[el2_dec_tlu_ctl.scala 2366:45] + node _T_1356 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[el2_dec_tlu_ctl.scala 2367:48] + node _T_1357 = and(_T_1355, _T_1356) @[el2_dec_tlu_ctl.scala 2366:77] + node _T_1358 = eq(mhpme_vec[1], UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2368:21] + node _T_1359 = bits(_T_1358, 0, 0) @[el2_dec_tlu_ctl.scala 2368:41] + node _T_1360 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[el2_dec_tlu_ctl.scala 2368:76] + node _T_1361 = eq(mhpme_vec[1], UInt<5>("h010")) @[el2_dec_tlu_ctl.scala 2369:21] + node _T_1362 = bits(_T_1361, 0, 0) @[el2_dec_tlu_ctl.scala 2369:46] + node _T_1363 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[el2_dec_tlu_ctl.scala 2369:76] + node _T_1364 = eq(mhpme_vec[1], UInt<5>("h012")) @[el2_dec_tlu_ctl.scala 2370:21] + node _T_1365 = bits(_T_1364, 0, 0) @[el2_dec_tlu_ctl.scala 2370:46] + node _T_1366 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[el2_dec_tlu_ctl.scala 2370:76] + node _T_1367 = eq(mhpme_vec[1], UInt<5>("h011")) @[el2_dec_tlu_ctl.scala 2371:21] + node _T_1368 = bits(_T_1367, 0, 0) @[el2_dec_tlu_ctl.scala 2371:46] + node _T_1369 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[el2_dec_tlu_ctl.scala 2371:76] + node _T_1370 = eq(mhpme_vec[1], UInt<5>("h013")) @[el2_dec_tlu_ctl.scala 2372:21] + node _T_1371 = bits(_T_1370, 0, 0) @[el2_dec_tlu_ctl.scala 2372:46] + node _T_1372 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2372:76] + node _T_1373 = eq(mhpme_vec[1], UInt<5>("h014")) @[el2_dec_tlu_ctl.scala 2373:21] + node _T_1374 = bits(_T_1373, 0, 0) @[el2_dec_tlu_ctl.scala 2373:46] + node _T_1375 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2373:76] + node _T_1376 = eq(mhpme_vec[1], UInt<5>("h015")) @[el2_dec_tlu_ctl.scala 2374:21] + node _T_1377 = bits(_T_1376, 0, 0) @[el2_dec_tlu_ctl.scala 2374:46] + node _T_1378 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2374:76] + node _T_1379 = eq(mhpme_vec[1], UInt<5>("h016")) @[el2_dec_tlu_ctl.scala 2375:21] + node _T_1380 = bits(_T_1379, 0, 0) @[el2_dec_tlu_ctl.scala 2375:46] + node _T_1381 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2375:76] + node _T_1382 = eq(mhpme_vec[1], UInt<5>("h017")) @[el2_dec_tlu_ctl.scala 2376:21] + node _T_1383 = bits(_T_1382, 0, 0) @[el2_dec_tlu_ctl.scala 2376:46] + node _T_1384 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2376:76] + node _T_1385 = eq(mhpme_vec[1], UInt<5>("h018")) @[el2_dec_tlu_ctl.scala 2377:21] + node _T_1386 = bits(_T_1385, 0, 0) @[el2_dec_tlu_ctl.scala 2377:46] + node _T_1387 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2377:76] + node _T_1388 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2377:109] + node _T_1389 = or(_T_1387, _T_1388) @[el2_dec_tlu_ctl.scala 2377:88] + node _T_1390 = eq(mhpme_vec[1], UInt<5>("h019")) @[el2_dec_tlu_ctl.scala 2378:21] + node _T_1391 = bits(_T_1390, 0, 0) @[el2_dec_tlu_ctl.scala 2378:49] + node _T_1392 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2378:82] + node _T_1393 = eq(mhpme_vec[1], UInt<5>("h01a")) @[el2_dec_tlu_ctl.scala 2379:21] + node _T_1394 = bits(_T_1393, 0, 0) @[el2_dec_tlu_ctl.scala 2379:49] + node _T_1395 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2379:84] + node _T_1396 = eq(mhpme_vec[1], UInt<5>("h01b")) @[el2_dec_tlu_ctl.scala 2380:21] + node _T_1397 = bits(_T_1396, 0, 0) @[el2_dec_tlu_ctl.scala 2380:49] + node _T_1398 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2380:97] + node _T_1399 = eq(mhpme_vec[1], UInt<5>("h01c")) @[el2_dec_tlu_ctl.scala 2381:21] + node _T_1400 = bits(_T_1399, 0, 0) @[el2_dec_tlu_ctl.scala 2381:49] + node _T_1401 = eq(mhpme_vec[1], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2382:21] + node _T_1402 = bits(_T_1401, 0, 0) @[el2_dec_tlu_ctl.scala 2382:49] + node _T_1403 = eq(mhpme_vec[1], UInt<5>("h01f")) @[el2_dec_tlu_ctl.scala 2383:21] + node _T_1404 = bits(_T_1403, 0, 0) @[el2_dec_tlu_ctl.scala 2383:49] + node _T_1405 = eq(mhpme_vec[1], UInt<6>("h020")) @[el2_dec_tlu_ctl.scala 2384:21] + node _T_1406 = bits(_T_1405, 0, 0) @[el2_dec_tlu_ctl.scala 2384:49] + node _T_1407 = eq(mhpme_vec[1], UInt<6>("h022")) @[el2_dec_tlu_ctl.scala 2385:21] + node _T_1408 = bits(_T_1407, 0, 0) @[el2_dec_tlu_ctl.scala 2385:49] + node _T_1409 = eq(mhpme_vec[1], UInt<6>("h023")) @[el2_dec_tlu_ctl.scala 2386:21] + node _T_1410 = bits(_T_1409, 0, 0) @[el2_dec_tlu_ctl.scala 2386:49] + node _T_1411 = eq(mhpme_vec[1], UInt<6>("h024")) @[el2_dec_tlu_ctl.scala 2387:21] + node _T_1412 = bits(_T_1411, 0, 0) @[el2_dec_tlu_ctl.scala 2387:49] + node _T_1413 = eq(mhpme_vec[1], UInt<6>("h025")) @[el2_dec_tlu_ctl.scala 2388:21] + node _T_1414 = bits(_T_1413, 0, 0) @[el2_dec_tlu_ctl.scala 2388:49] + node _T_1415 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 2388:85] + node _T_1416 = or(_T_1415, io.lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 2388:107] + node _T_1417 = eq(mhpme_vec[1], UInt<6>("h026")) @[el2_dec_tlu_ctl.scala 2389:21] + node _T_1418 = bits(_T_1417, 0, 0) @[el2_dec_tlu_ctl.scala 2389:49] + node _T_1419 = or(io.take_timer_int, io.take_int_timer0_int) @[el2_dec_tlu_ctl.scala 2389:79] + node _T_1420 = or(_T_1419, io.take_int_timer1_int) @[el2_dec_tlu_ctl.scala 2389:104] + node _T_1421 = eq(mhpme_vec[1], UInt<6>("h027")) @[el2_dec_tlu_ctl.scala 2390:21] + node _T_1422 = bits(_T_1421, 0, 0) @[el2_dec_tlu_ctl.scala 2390:49] + node _T_1423 = eq(mhpme_vec[1], UInt<6>("h028")) @[el2_dec_tlu_ctl.scala 2391:21] + node _T_1424 = bits(_T_1423, 0, 0) @[el2_dec_tlu_ctl.scala 2391:49] + node _T_1425 = eq(mhpme_vec[1], UInt<6>("h029")) @[el2_dec_tlu_ctl.scala 2392:21] + node _T_1426 = bits(_T_1425, 0, 0) @[el2_dec_tlu_ctl.scala 2392:49] + node _T_1427 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[el2_dec_tlu_ctl.scala 2392:84] + node _T_1428 = and(_T_1427, io.rfpc_i0_r) @[el2_dec_tlu_ctl.scala 2392:116] + node _T_1429 = eq(mhpme_vec[1], UInt<6>("h02a")) @[el2_dec_tlu_ctl.scala 2393:21] + node _T_1430 = bits(_T_1429, 0, 0) @[el2_dec_tlu_ctl.scala 2393:49] + node _T_1431 = eq(mhpme_vec[1], UInt<6>("h02b")) @[el2_dec_tlu_ctl.scala 2394:21] + node _T_1432 = bits(_T_1431, 0, 0) @[el2_dec_tlu_ctl.scala 2394:49] + node _T_1433 = eq(mhpme_vec[1], UInt<6>("h02c")) @[el2_dec_tlu_ctl.scala 2395:21] + node _T_1434 = bits(_T_1433, 0, 0) @[el2_dec_tlu_ctl.scala 2395:49] + node _T_1435 = eq(mhpme_vec[1], UInt<6>("h02d")) @[el2_dec_tlu_ctl.scala 2396:21] + node _T_1436 = bits(_T_1435, 0, 0) @[el2_dec_tlu_ctl.scala 2396:49] + node _T_1437 = eq(mhpme_vec[1], UInt<6>("h02e")) @[el2_dec_tlu_ctl.scala 2397:21] + node _T_1438 = bits(_T_1437, 0, 0) @[el2_dec_tlu_ctl.scala 2397:49] + node _T_1439 = eq(mhpme_vec[1], UInt<6>("h02f")) @[el2_dec_tlu_ctl.scala 2398:21] + node _T_1440 = bits(_T_1439, 0, 0) @[el2_dec_tlu_ctl.scala 2398:49] + node _T_1441 = eq(mhpme_vec[1], UInt<6>("h030")) @[el2_dec_tlu_ctl.scala 2399:21] + node _T_1442 = bits(_T_1441, 0, 0) @[el2_dec_tlu_ctl.scala 2399:49] + node _T_1443 = eq(mhpme_vec[1], UInt<6>("h031")) @[el2_dec_tlu_ctl.scala 2400:21] + node _T_1444 = bits(_T_1443, 0, 0) @[el2_dec_tlu_ctl.scala 2400:49] + node _T_1445 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_1446 = bits(_T_1445, 0, 0) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_1447 = not(_T_1446) @[el2_dec_tlu_ctl.scala 2400:60] + node _T_1448 = eq(mhpme_vec[1], UInt<6>("h032")) @[el2_dec_tlu_ctl.scala 2401:21] + node _T_1449 = bits(_T_1448, 0, 0) @[el2_dec_tlu_ctl.scala 2401:49] + node _T_1450 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_1451 = bits(_T_1450, 0, 0) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_1452 = not(_T_1451) @[el2_dec_tlu_ctl.scala 2401:60] + node _T_1453 = bits(io.mip, 5, 0) @[el2_dec_tlu_ctl.scala 2401:94] + node _T_1454 = bits(mie, 5, 0) @[el2_dec_tlu_ctl.scala 2401:105] + node _T_1455 = and(_T_1453, _T_1454) @[el2_dec_tlu_ctl.scala 2401:100] + node _T_1456 = and(_T_1452, _T_1455) @[el2_dec_tlu_ctl.scala 2401:85] + node _T_1457 = eq(mhpme_vec[1], UInt<6>("h036")) @[el2_dec_tlu_ctl.scala 2402:21] + node _T_1458 = bits(_T_1457, 0, 0) @[el2_dec_tlu_ctl.scala 2402:49] + node _T_1459 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2402:78] + node _T_1460 = eq(mhpme_vec[1], UInt<6>("h037")) @[el2_dec_tlu_ctl.scala 2403:21] + node _T_1461 = bits(_T_1460, 0, 0) @[el2_dec_tlu_ctl.scala 2403:49] + node _T_1462 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[el2_dec_tlu_ctl.scala 2403:81] + node _T_1463 = eq(mhpme_vec[1], UInt<6>("h038")) @[el2_dec_tlu_ctl.scala 2404:21] + node _T_1464 = bits(_T_1463, 0, 0) @[el2_dec_tlu_ctl.scala 2404:49] + node _T_1465 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[el2_dec_tlu_ctl.scala 2404:81] + node _T_1466 = eq(mhpme_vec[1], UInt<10>("h0200")) @[el2_dec_tlu_ctl.scala 2406:21] + node _T_1467 = bits(_T_1466, 0, 0) @[el2_dec_tlu_ctl.scala 2406:49] + node _T_1468 = eq(mhpme_vec[1], UInt<10>("h0201")) @[el2_dec_tlu_ctl.scala 2407:21] + node _T_1469 = bits(_T_1468, 0, 0) @[el2_dec_tlu_ctl.scala 2407:49] + node _T_1470 = eq(mhpme_vec[1], UInt<10>("h0202")) @[el2_dec_tlu_ctl.scala 2408:21] + node _T_1471 = bits(_T_1470, 0, 0) @[el2_dec_tlu_ctl.scala 2408:49] + node _T_1472 = eq(mhpme_vec[1], UInt<10>("h0203")) @[el2_dec_tlu_ctl.scala 2409:21] + node _T_1473 = bits(_T_1472, 0, 0) @[el2_dec_tlu_ctl.scala 2409:49] + node _T_1474 = eq(mhpme_vec[1], UInt<10>("h0204")) @[el2_dec_tlu_ctl.scala 2410:21] + node _T_1475 = bits(_T_1474, 0, 0) @[el2_dec_tlu_ctl.scala 2410:49] + node _T_1476 = mux(_T_1309, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1477 = mux(_T_1311, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1478 = mux(_T_1313, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1479 = mux(_T_1315, _T_1317, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1480 = mux(_T_1319, _T_1323, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1481 = mux(_T_1325, _T_1328, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1482 = mux(_T_1330, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1332, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = mux(_T_1334, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1485 = mux(_T_1336, _T_1337, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1486 = mux(_T_1339, _T_1340, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1487 = mux(_T_1342, _T_1343, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1488 = mux(_T_1345, _T_1346, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1489 = mux(_T_1348, _T_1357, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1490 = mux(_T_1359, _T_1360, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1491 = mux(_T_1362, _T_1363, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1492 = mux(_T_1365, _T_1366, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1493 = mux(_T_1368, _T_1369, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1494 = mux(_T_1371, _T_1372, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1495 = mux(_T_1374, _T_1375, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1496 = mux(_T_1377, _T_1378, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1497 = mux(_T_1380, _T_1381, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1498 = mux(_T_1383, _T_1384, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1499 = mux(_T_1386, _T_1389, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1500 = mux(_T_1391, _T_1392, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1394, _T_1395, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = mux(_T_1397, _T_1398, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1503 = mux(_T_1400, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1504 = mux(_T_1402, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1505 = mux(_T_1404, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1506 = mux(_T_1406, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1507 = mux(_T_1408, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1508 = mux(_T_1410, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1509 = mux(_T_1412, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1510 = mux(_T_1414, _T_1416, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1511 = mux(_T_1418, _T_1420, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1512 = mux(_T_1422, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1513 = mux(_T_1424, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1514 = mux(_T_1426, _T_1428, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1430, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = mux(_T_1432, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1517 = mux(_T_1434, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1518 = mux(_T_1436, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1519 = mux(_T_1438, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1520 = mux(_T_1440, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1521 = mux(_T_1442, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1522 = mux(_T_1444, _T_1447, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1523 = mux(_T_1449, _T_1456, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1524 = mux(_T_1458, _T_1459, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1525 = mux(_T_1461, _T_1462, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1526 = mux(_T_1464, _T_1465, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1527 = mux(_T_1467, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1469, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = mux(_T_1471, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1530 = mux(_T_1473, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1531 = mux(_T_1475, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1532 = or(_T_1476, _T_1477) @[Mux.scala 27:72] + node _T_1533 = or(_T_1532, _T_1478) @[Mux.scala 27:72] + node _T_1534 = or(_T_1533, _T_1479) @[Mux.scala 27:72] + node _T_1535 = or(_T_1534, _T_1480) @[Mux.scala 27:72] + node _T_1536 = or(_T_1535, _T_1481) @[Mux.scala 27:72] + node _T_1537 = or(_T_1536, _T_1482) @[Mux.scala 27:72] + node _T_1538 = or(_T_1537, _T_1483) @[Mux.scala 27:72] + node _T_1539 = or(_T_1538, _T_1484) @[Mux.scala 27:72] + node _T_1540 = or(_T_1539, _T_1485) @[Mux.scala 27:72] + node _T_1541 = or(_T_1540, _T_1486) @[Mux.scala 27:72] + node _T_1542 = or(_T_1541, _T_1487) @[Mux.scala 27:72] + node _T_1543 = or(_T_1542, _T_1488) @[Mux.scala 27:72] + node _T_1544 = or(_T_1543, _T_1489) @[Mux.scala 27:72] + node _T_1545 = or(_T_1544, _T_1490) @[Mux.scala 27:72] + node _T_1546 = or(_T_1545, _T_1491) @[Mux.scala 27:72] + node _T_1547 = or(_T_1546, _T_1492) @[Mux.scala 27:72] + node _T_1548 = or(_T_1547, _T_1493) @[Mux.scala 27:72] + node _T_1549 = or(_T_1548, _T_1494) @[Mux.scala 27:72] + node _T_1550 = or(_T_1549, _T_1495) @[Mux.scala 27:72] + node _T_1551 = or(_T_1550, _T_1496) @[Mux.scala 27:72] + node _T_1552 = or(_T_1551, _T_1497) @[Mux.scala 27:72] + node _T_1553 = or(_T_1552, _T_1498) @[Mux.scala 27:72] + node _T_1554 = or(_T_1553, _T_1499) @[Mux.scala 27:72] + node _T_1555 = or(_T_1554, _T_1500) @[Mux.scala 27:72] + node _T_1556 = or(_T_1555, _T_1501) @[Mux.scala 27:72] + node _T_1557 = or(_T_1556, _T_1502) @[Mux.scala 27:72] + node _T_1558 = or(_T_1557, _T_1503) @[Mux.scala 27:72] + node _T_1559 = or(_T_1558, _T_1504) @[Mux.scala 27:72] + node _T_1560 = or(_T_1559, _T_1505) @[Mux.scala 27:72] + node _T_1561 = or(_T_1560, _T_1506) @[Mux.scala 27:72] + node _T_1562 = or(_T_1561, _T_1507) @[Mux.scala 27:72] + node _T_1563 = or(_T_1562, _T_1508) @[Mux.scala 27:72] + node _T_1564 = or(_T_1563, _T_1509) @[Mux.scala 27:72] + node _T_1565 = or(_T_1564, _T_1510) @[Mux.scala 27:72] + node _T_1566 = or(_T_1565, _T_1511) @[Mux.scala 27:72] + node _T_1567 = or(_T_1566, _T_1512) @[Mux.scala 27:72] + node _T_1568 = or(_T_1567, _T_1513) @[Mux.scala 27:72] + node _T_1569 = or(_T_1568, _T_1514) @[Mux.scala 27:72] + node _T_1570 = or(_T_1569, _T_1515) @[Mux.scala 27:72] + node _T_1571 = or(_T_1570, _T_1516) @[Mux.scala 27:72] + node _T_1572 = or(_T_1571, _T_1517) @[Mux.scala 27:72] + node _T_1573 = or(_T_1572, _T_1518) @[Mux.scala 27:72] + node _T_1574 = or(_T_1573, _T_1519) @[Mux.scala 27:72] + node _T_1575 = or(_T_1574, _T_1520) @[Mux.scala 27:72] + node _T_1576 = or(_T_1575, _T_1521) @[Mux.scala 27:72] + node _T_1577 = or(_T_1576, _T_1522) @[Mux.scala 27:72] + node _T_1578 = or(_T_1577, _T_1523) @[Mux.scala 27:72] + node _T_1579 = or(_T_1578, _T_1524) @[Mux.scala 27:72] + node _T_1580 = or(_T_1579, _T_1525) @[Mux.scala 27:72] + node _T_1581 = or(_T_1580, _T_1526) @[Mux.scala 27:72] + node _T_1582 = or(_T_1581, _T_1527) @[Mux.scala 27:72] + node _T_1583 = or(_T_1582, _T_1528) @[Mux.scala 27:72] + node _T_1584 = or(_T_1583, _T_1529) @[Mux.scala 27:72] + node _T_1585 = or(_T_1584, _T_1530) @[Mux.scala 27:72] + node _T_1586 = or(_T_1585, _T_1531) @[Mux.scala 27:72] + wire _T_1587 : UInt<6> @[Mux.scala 27:72] + _T_1587 <= _T_1586 @[Mux.scala 27:72] + node _T_1588 = and(_T_1307, _T_1587) @[el2_dec_tlu_ctl.scala 2351:45] + mhpmc_inc_r[1] <= _T_1588 @[el2_dec_tlu_ctl.scala 2351:20] + node _T_1589 = bits(mcountinhibit, 5, 5) @[el2_dec_tlu_ctl.scala 2351:39] + node _T_1590 = not(_T_1589) @[el2_dec_tlu_ctl.scala 2351:25] + node _T_1591 = eq(mhpme_vec[2], UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 2352:21] + node _T_1592 = bits(_T_1591, 0, 0) @[el2_dec_tlu_ctl.scala 2352:49] + node _T_1593 = eq(mhpme_vec[2], UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2353:21] + node _T_1594 = bits(_T_1593, 0, 0) @[el2_dec_tlu_ctl.scala 2353:49] + node _T_1595 = eq(mhpme_vec[2], UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2354:21] + node _T_1596 = bits(_T_1595, 0, 0) @[el2_dec_tlu_ctl.scala 2354:49] + node _T_1597 = eq(mhpme_vec[2], UInt<3>("h04")) @[el2_dec_tlu_ctl.scala 2355:21] + node _T_1598 = bits(_T_1597, 0, 0) @[el2_dec_tlu_ctl.scala 2355:49] + node _T_1599 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2355:83] + node _T_1600 = and(io.tlu_i0_commit_cmt, _T_1599) @[el2_dec_tlu_ctl.scala 2355:81] + node _T_1601 = eq(mhpme_vec[2], UInt<3>("h05")) @[el2_dec_tlu_ctl.scala 2356:21] + node _T_1602 = bits(_T_1601, 0, 0) @[el2_dec_tlu_ctl.scala 2356:49] + node _T_1603 = not(io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2356:83] + node _T_1604 = and(io.tlu_i0_commit_cmt, _T_1603) @[el2_dec_tlu_ctl.scala 2356:81] + node _T_1605 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2356:104] + node _T_1606 = and(_T_1604, _T_1605) @[el2_dec_tlu_ctl.scala 2356:102] + node _T_1607 = eq(mhpme_vec[2], UInt<3>("h06")) @[el2_dec_tlu_ctl.scala 2357:21] + node _T_1608 = bits(_T_1607, 0, 0) @[el2_dec_tlu_ctl.scala 2357:49] + node _T_1609 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2357:81] + node _T_1610 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2357:104] + node _T_1611 = and(_T_1609, _T_1610) @[el2_dec_tlu_ctl.scala 2357:102] + node _T_1612 = eq(mhpme_vec[2], UInt<3>("h07")) @[el2_dec_tlu_ctl.scala 2358:21] + node _T_1613 = bits(_T_1612, 0, 0) @[el2_dec_tlu_ctl.scala 2358:49] + node _T_1614 = eq(mhpme_vec[2], UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2359:21] + node _T_1615 = bits(_T_1614, 0, 0) @[el2_dec_tlu_ctl.scala 2359:49] + node _T_1616 = eq(mhpme_vec[2], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2360:21] + node _T_1617 = bits(_T_1616, 0, 0) @[el2_dec_tlu_ctl.scala 2360:49] + node _T_1618 = eq(mhpme_vec[2], UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2361:21] + node _T_1619 = bits(_T_1618, 0, 0) @[el2_dec_tlu_ctl.scala 2361:49] + node _T_1620 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[el2_dec_tlu_ctl.scala 2361:78] + node _T_1621 = eq(mhpme_vec[2], UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2362:21] + node _T_1622 = bits(_T_1621, 0, 0) @[el2_dec_tlu_ctl.scala 2362:49] + node _T_1623 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2362:92] + node _T_1624 = eq(mhpme_vec[2], UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2363:21] + node _T_1625 = bits(_T_1624, 0, 0) @[el2_dec_tlu_ctl.scala 2363:49] + node _T_1626 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2363:78] + node _T_1627 = eq(mhpme_vec[2], UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2364:21] + node _T_1628 = bits(_T_1627, 0, 0) @[el2_dec_tlu_ctl.scala 2364:49] + node _T_1629 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2364:78] + node _T_1630 = eq(mhpme_vec[2], UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2365:21] + node _T_1631 = bits(_T_1630, 0, 0) @[el2_dec_tlu_ctl.scala 2365:49] + node _T_1632 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2365:79] + node _T_1633 = eq(mhpme_vec[2], UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2366:21] + node _T_1634 = dshr(io.dec_tlu_packet_r.pmu_lsu_misaligned, _T_1633) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1635 = bits(_T_1634, 0, 0) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1636 = and(_T_1632, _T_1635) @[el2_dec_tlu_ctl.scala 2365:89] + node _T_1637 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2366:66] + node _T_1638 = and(_T_1636, _T_1637) @[el2_dec_tlu_ctl.scala 2366:45] + node _T_1639 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[el2_dec_tlu_ctl.scala 2367:48] + node _T_1640 = and(_T_1638, _T_1639) @[el2_dec_tlu_ctl.scala 2366:77] + node _T_1641 = eq(mhpme_vec[2], UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2368:21] + node _T_1642 = bits(_T_1641, 0, 0) @[el2_dec_tlu_ctl.scala 2368:41] + node _T_1643 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[el2_dec_tlu_ctl.scala 2368:76] + node _T_1644 = eq(mhpme_vec[2], UInt<5>("h010")) @[el2_dec_tlu_ctl.scala 2369:21] + node _T_1645 = bits(_T_1644, 0, 0) @[el2_dec_tlu_ctl.scala 2369:46] + node _T_1646 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[el2_dec_tlu_ctl.scala 2369:76] + node _T_1647 = eq(mhpme_vec[2], UInt<5>("h012")) @[el2_dec_tlu_ctl.scala 2370:21] + node _T_1648 = bits(_T_1647, 0, 0) @[el2_dec_tlu_ctl.scala 2370:46] + node _T_1649 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[el2_dec_tlu_ctl.scala 2370:76] + node _T_1650 = eq(mhpme_vec[2], UInt<5>("h011")) @[el2_dec_tlu_ctl.scala 2371:21] + node _T_1651 = bits(_T_1650, 0, 0) @[el2_dec_tlu_ctl.scala 2371:46] + node _T_1652 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[el2_dec_tlu_ctl.scala 2371:76] + node _T_1653 = eq(mhpme_vec[2], UInt<5>("h013")) @[el2_dec_tlu_ctl.scala 2372:21] + node _T_1654 = bits(_T_1653, 0, 0) @[el2_dec_tlu_ctl.scala 2372:46] + node _T_1655 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2372:76] + node _T_1656 = eq(mhpme_vec[2], UInt<5>("h014")) @[el2_dec_tlu_ctl.scala 2373:21] + node _T_1657 = bits(_T_1656, 0, 0) @[el2_dec_tlu_ctl.scala 2373:46] + node _T_1658 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2373:76] + node _T_1659 = eq(mhpme_vec[2], UInt<5>("h015")) @[el2_dec_tlu_ctl.scala 2374:21] + node _T_1660 = bits(_T_1659, 0, 0) @[el2_dec_tlu_ctl.scala 2374:46] + node _T_1661 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2374:76] + node _T_1662 = eq(mhpme_vec[2], UInt<5>("h016")) @[el2_dec_tlu_ctl.scala 2375:21] + node _T_1663 = bits(_T_1662, 0, 0) @[el2_dec_tlu_ctl.scala 2375:46] + node _T_1664 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2375:76] + node _T_1665 = eq(mhpme_vec[2], UInt<5>("h017")) @[el2_dec_tlu_ctl.scala 2376:21] + node _T_1666 = bits(_T_1665, 0, 0) @[el2_dec_tlu_ctl.scala 2376:46] + node _T_1667 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2376:76] + node _T_1668 = eq(mhpme_vec[2], UInt<5>("h018")) @[el2_dec_tlu_ctl.scala 2377:21] + node _T_1669 = bits(_T_1668, 0, 0) @[el2_dec_tlu_ctl.scala 2377:46] + node _T_1670 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2377:76] + node _T_1671 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2377:109] + node _T_1672 = or(_T_1670, _T_1671) @[el2_dec_tlu_ctl.scala 2377:88] + node _T_1673 = eq(mhpme_vec[2], UInt<5>("h019")) @[el2_dec_tlu_ctl.scala 2378:21] + node _T_1674 = bits(_T_1673, 0, 0) @[el2_dec_tlu_ctl.scala 2378:49] + node _T_1675 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2378:82] + node _T_1676 = eq(mhpme_vec[2], UInt<5>("h01a")) @[el2_dec_tlu_ctl.scala 2379:21] + node _T_1677 = bits(_T_1676, 0, 0) @[el2_dec_tlu_ctl.scala 2379:49] + node _T_1678 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2379:84] + node _T_1679 = eq(mhpme_vec[2], UInt<5>("h01b")) @[el2_dec_tlu_ctl.scala 2380:21] + node _T_1680 = bits(_T_1679, 0, 0) @[el2_dec_tlu_ctl.scala 2380:49] + node _T_1681 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2380:97] + node _T_1682 = eq(mhpme_vec[2], UInt<5>("h01c")) @[el2_dec_tlu_ctl.scala 2381:21] + node _T_1683 = bits(_T_1682, 0, 0) @[el2_dec_tlu_ctl.scala 2381:49] + node _T_1684 = eq(mhpme_vec[2], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2382:21] + node _T_1685 = bits(_T_1684, 0, 0) @[el2_dec_tlu_ctl.scala 2382:49] + node _T_1686 = eq(mhpme_vec[2], UInt<5>("h01f")) @[el2_dec_tlu_ctl.scala 2383:21] + node _T_1687 = bits(_T_1686, 0, 0) @[el2_dec_tlu_ctl.scala 2383:49] + node _T_1688 = eq(mhpme_vec[2], UInt<6>("h020")) @[el2_dec_tlu_ctl.scala 2384:21] + node _T_1689 = bits(_T_1688, 0, 0) @[el2_dec_tlu_ctl.scala 2384:49] + node _T_1690 = eq(mhpme_vec[2], UInt<6>("h022")) @[el2_dec_tlu_ctl.scala 2385:21] + node _T_1691 = bits(_T_1690, 0, 0) @[el2_dec_tlu_ctl.scala 2385:49] + node _T_1692 = eq(mhpme_vec[2], UInt<6>("h023")) @[el2_dec_tlu_ctl.scala 2386:21] + node _T_1693 = bits(_T_1692, 0, 0) @[el2_dec_tlu_ctl.scala 2386:49] + node _T_1694 = eq(mhpme_vec[2], UInt<6>("h024")) @[el2_dec_tlu_ctl.scala 2387:21] + node _T_1695 = bits(_T_1694, 0, 0) @[el2_dec_tlu_ctl.scala 2387:49] + node _T_1696 = eq(mhpme_vec[2], UInt<6>("h025")) @[el2_dec_tlu_ctl.scala 2388:21] + node _T_1697 = bits(_T_1696, 0, 0) @[el2_dec_tlu_ctl.scala 2388:49] + node _T_1698 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 2388:85] + node _T_1699 = or(_T_1698, io.lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 2388:107] + node _T_1700 = eq(mhpme_vec[2], UInt<6>("h026")) @[el2_dec_tlu_ctl.scala 2389:21] + node _T_1701 = bits(_T_1700, 0, 0) @[el2_dec_tlu_ctl.scala 2389:49] + node _T_1702 = or(io.take_timer_int, io.take_int_timer0_int) @[el2_dec_tlu_ctl.scala 2389:79] + node _T_1703 = or(_T_1702, io.take_int_timer1_int) @[el2_dec_tlu_ctl.scala 2389:104] + node _T_1704 = eq(mhpme_vec[2], UInt<6>("h027")) @[el2_dec_tlu_ctl.scala 2390:21] + node _T_1705 = bits(_T_1704, 0, 0) @[el2_dec_tlu_ctl.scala 2390:49] + node _T_1706 = eq(mhpme_vec[2], UInt<6>("h028")) @[el2_dec_tlu_ctl.scala 2391:21] + node _T_1707 = bits(_T_1706, 0, 0) @[el2_dec_tlu_ctl.scala 2391:49] + node _T_1708 = eq(mhpme_vec[2], UInt<6>("h029")) @[el2_dec_tlu_ctl.scala 2392:21] + node _T_1709 = bits(_T_1708, 0, 0) @[el2_dec_tlu_ctl.scala 2392:49] + node _T_1710 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[el2_dec_tlu_ctl.scala 2392:84] + node _T_1711 = and(_T_1710, io.rfpc_i0_r) @[el2_dec_tlu_ctl.scala 2392:116] + node _T_1712 = eq(mhpme_vec[2], UInt<6>("h02a")) @[el2_dec_tlu_ctl.scala 2393:21] + node _T_1713 = bits(_T_1712, 0, 0) @[el2_dec_tlu_ctl.scala 2393:49] + node _T_1714 = eq(mhpme_vec[2], UInt<6>("h02b")) @[el2_dec_tlu_ctl.scala 2394:21] + node _T_1715 = bits(_T_1714, 0, 0) @[el2_dec_tlu_ctl.scala 2394:49] + node _T_1716 = eq(mhpme_vec[2], UInt<6>("h02c")) @[el2_dec_tlu_ctl.scala 2395:21] + node _T_1717 = bits(_T_1716, 0, 0) @[el2_dec_tlu_ctl.scala 2395:49] + node _T_1718 = eq(mhpme_vec[2], UInt<6>("h02d")) @[el2_dec_tlu_ctl.scala 2396:21] + node _T_1719 = bits(_T_1718, 0, 0) @[el2_dec_tlu_ctl.scala 2396:49] + node _T_1720 = eq(mhpme_vec[2], UInt<6>("h02e")) @[el2_dec_tlu_ctl.scala 2397:21] + node _T_1721 = bits(_T_1720, 0, 0) @[el2_dec_tlu_ctl.scala 2397:49] + node _T_1722 = eq(mhpme_vec[2], UInt<6>("h02f")) @[el2_dec_tlu_ctl.scala 2398:21] + node _T_1723 = bits(_T_1722, 0, 0) @[el2_dec_tlu_ctl.scala 2398:49] + node _T_1724 = eq(mhpme_vec[2], UInt<6>("h030")) @[el2_dec_tlu_ctl.scala 2399:21] + node _T_1725 = bits(_T_1724, 0, 0) @[el2_dec_tlu_ctl.scala 2399:49] + node _T_1726 = eq(mhpme_vec[2], UInt<6>("h031")) @[el2_dec_tlu_ctl.scala 2400:21] + node _T_1727 = bits(_T_1726, 0, 0) @[el2_dec_tlu_ctl.scala 2400:49] + node _T_1728 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_1729 = bits(_T_1728, 0, 0) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_1730 = not(_T_1729) @[el2_dec_tlu_ctl.scala 2400:60] + node _T_1731 = eq(mhpme_vec[2], UInt<6>("h032")) @[el2_dec_tlu_ctl.scala 2401:21] + node _T_1732 = bits(_T_1731, 0, 0) @[el2_dec_tlu_ctl.scala 2401:49] + node _T_1733 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_1734 = bits(_T_1733, 0, 0) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_1735 = not(_T_1734) @[el2_dec_tlu_ctl.scala 2401:60] + node _T_1736 = bits(io.mip, 5, 0) @[el2_dec_tlu_ctl.scala 2401:94] + node _T_1737 = bits(mie, 5, 0) @[el2_dec_tlu_ctl.scala 2401:105] + node _T_1738 = and(_T_1736, _T_1737) @[el2_dec_tlu_ctl.scala 2401:100] + node _T_1739 = and(_T_1735, _T_1738) @[el2_dec_tlu_ctl.scala 2401:85] + node _T_1740 = eq(mhpme_vec[2], UInt<6>("h036")) @[el2_dec_tlu_ctl.scala 2402:21] + node _T_1741 = bits(_T_1740, 0, 0) @[el2_dec_tlu_ctl.scala 2402:49] + node _T_1742 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2402:78] + node _T_1743 = eq(mhpme_vec[2], UInt<6>("h037")) @[el2_dec_tlu_ctl.scala 2403:21] + node _T_1744 = bits(_T_1743, 0, 0) @[el2_dec_tlu_ctl.scala 2403:49] + node _T_1745 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[el2_dec_tlu_ctl.scala 2403:81] + node _T_1746 = eq(mhpme_vec[2], UInt<6>("h038")) @[el2_dec_tlu_ctl.scala 2404:21] + node _T_1747 = bits(_T_1746, 0, 0) @[el2_dec_tlu_ctl.scala 2404:49] + node _T_1748 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[el2_dec_tlu_ctl.scala 2404:81] + node _T_1749 = eq(mhpme_vec[2], UInt<10>("h0200")) @[el2_dec_tlu_ctl.scala 2406:21] + node _T_1750 = bits(_T_1749, 0, 0) @[el2_dec_tlu_ctl.scala 2406:49] + node _T_1751 = eq(mhpme_vec[2], UInt<10>("h0201")) @[el2_dec_tlu_ctl.scala 2407:21] + node _T_1752 = bits(_T_1751, 0, 0) @[el2_dec_tlu_ctl.scala 2407:49] + node _T_1753 = eq(mhpme_vec[2], UInt<10>("h0202")) @[el2_dec_tlu_ctl.scala 2408:21] + node _T_1754 = bits(_T_1753, 0, 0) @[el2_dec_tlu_ctl.scala 2408:49] + node _T_1755 = eq(mhpme_vec[2], UInt<10>("h0203")) @[el2_dec_tlu_ctl.scala 2409:21] + node _T_1756 = bits(_T_1755, 0, 0) @[el2_dec_tlu_ctl.scala 2409:49] + node _T_1757 = eq(mhpme_vec[2], UInt<10>("h0204")) @[el2_dec_tlu_ctl.scala 2410:21] + node _T_1758 = bits(_T_1757, 0, 0) @[el2_dec_tlu_ctl.scala 2410:49] + node _T_1759 = mux(_T_1592, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1760 = mux(_T_1594, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1761 = mux(_T_1596, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1762 = mux(_T_1598, _T_1600, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1763 = mux(_T_1602, _T_1606, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1764 = mux(_T_1608, _T_1611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1765 = mux(_T_1613, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1766 = mux(_T_1615, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1767 = mux(_T_1617, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1768 = mux(_T_1619, _T_1620, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1769 = mux(_T_1622, _T_1623, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1770 = mux(_T_1625, _T_1626, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1771 = mux(_T_1628, _T_1629, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1772 = mux(_T_1631, _T_1640, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1773 = mux(_T_1642, _T_1643, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1774 = mux(_T_1645, _T_1646, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1775 = mux(_T_1648, _T_1649, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1776 = mux(_T_1651, _T_1652, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1777 = mux(_T_1654, _T_1655, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1778 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1779 = mux(_T_1660, _T_1661, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1780 = mux(_T_1663, _T_1664, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1781 = mux(_T_1666, _T_1667, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1782 = mux(_T_1669, _T_1672, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1783 = mux(_T_1674, _T_1675, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1784 = mux(_T_1677, _T_1678, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1785 = mux(_T_1680, _T_1681, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1786 = mux(_T_1683, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1787 = mux(_T_1685, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1788 = mux(_T_1687, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1789 = mux(_T_1689, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1790 = mux(_T_1691, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1791 = mux(_T_1693, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1792 = mux(_T_1695, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1793 = mux(_T_1697, _T_1699, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1794 = mux(_T_1701, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1795 = mux(_T_1705, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1796 = mux(_T_1707, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1797 = mux(_T_1709, _T_1711, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1798 = mux(_T_1713, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1799 = mux(_T_1715, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1800 = mux(_T_1717, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1801 = mux(_T_1719, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1802 = mux(_T_1721, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1803 = mux(_T_1723, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1804 = mux(_T_1725, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1805 = mux(_T_1727, _T_1730, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1806 = mux(_T_1732, _T_1739, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1807 = mux(_T_1741, _T_1742, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1808 = mux(_T_1744, _T_1745, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1809 = mux(_T_1747, _T_1748, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1810 = mux(_T_1750, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1811 = mux(_T_1752, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1812 = mux(_T_1754, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1813 = mux(_T_1756, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1814 = mux(_T_1758, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1815 = or(_T_1759, _T_1760) @[Mux.scala 27:72] + node _T_1816 = or(_T_1815, _T_1761) @[Mux.scala 27:72] + node _T_1817 = or(_T_1816, _T_1762) @[Mux.scala 27:72] + node _T_1818 = or(_T_1817, _T_1763) @[Mux.scala 27:72] + node _T_1819 = or(_T_1818, _T_1764) @[Mux.scala 27:72] + node _T_1820 = or(_T_1819, _T_1765) @[Mux.scala 27:72] + node _T_1821 = or(_T_1820, _T_1766) @[Mux.scala 27:72] + node _T_1822 = or(_T_1821, _T_1767) @[Mux.scala 27:72] + node _T_1823 = or(_T_1822, _T_1768) @[Mux.scala 27:72] + node _T_1824 = or(_T_1823, _T_1769) @[Mux.scala 27:72] + node _T_1825 = or(_T_1824, _T_1770) @[Mux.scala 27:72] + node _T_1826 = or(_T_1825, _T_1771) @[Mux.scala 27:72] + node _T_1827 = or(_T_1826, _T_1772) @[Mux.scala 27:72] + node _T_1828 = or(_T_1827, _T_1773) @[Mux.scala 27:72] + node _T_1829 = or(_T_1828, _T_1774) @[Mux.scala 27:72] + node _T_1830 = or(_T_1829, _T_1775) @[Mux.scala 27:72] + node _T_1831 = or(_T_1830, _T_1776) @[Mux.scala 27:72] + node _T_1832 = or(_T_1831, _T_1777) @[Mux.scala 27:72] + node _T_1833 = or(_T_1832, _T_1778) @[Mux.scala 27:72] + node _T_1834 = or(_T_1833, _T_1779) @[Mux.scala 27:72] + node _T_1835 = or(_T_1834, _T_1780) @[Mux.scala 27:72] + node _T_1836 = or(_T_1835, _T_1781) @[Mux.scala 27:72] + node _T_1837 = or(_T_1836, _T_1782) @[Mux.scala 27:72] + node _T_1838 = or(_T_1837, _T_1783) @[Mux.scala 27:72] + node _T_1839 = or(_T_1838, _T_1784) @[Mux.scala 27:72] + node _T_1840 = or(_T_1839, _T_1785) @[Mux.scala 27:72] + node _T_1841 = or(_T_1840, _T_1786) @[Mux.scala 27:72] + node _T_1842 = or(_T_1841, _T_1787) @[Mux.scala 27:72] + node _T_1843 = or(_T_1842, _T_1788) @[Mux.scala 27:72] + node _T_1844 = or(_T_1843, _T_1789) @[Mux.scala 27:72] + node _T_1845 = or(_T_1844, _T_1790) @[Mux.scala 27:72] + node _T_1846 = or(_T_1845, _T_1791) @[Mux.scala 27:72] + node _T_1847 = or(_T_1846, _T_1792) @[Mux.scala 27:72] + node _T_1848 = or(_T_1847, _T_1793) @[Mux.scala 27:72] + node _T_1849 = or(_T_1848, _T_1794) @[Mux.scala 27:72] + node _T_1850 = or(_T_1849, _T_1795) @[Mux.scala 27:72] + node _T_1851 = or(_T_1850, _T_1796) @[Mux.scala 27:72] + node _T_1852 = or(_T_1851, _T_1797) @[Mux.scala 27:72] + node _T_1853 = or(_T_1852, _T_1798) @[Mux.scala 27:72] + node _T_1854 = or(_T_1853, _T_1799) @[Mux.scala 27:72] + node _T_1855 = or(_T_1854, _T_1800) @[Mux.scala 27:72] + node _T_1856 = or(_T_1855, _T_1801) @[Mux.scala 27:72] + node _T_1857 = or(_T_1856, _T_1802) @[Mux.scala 27:72] + node _T_1858 = or(_T_1857, _T_1803) @[Mux.scala 27:72] + node _T_1859 = or(_T_1858, _T_1804) @[Mux.scala 27:72] + node _T_1860 = or(_T_1859, _T_1805) @[Mux.scala 27:72] + node _T_1861 = or(_T_1860, _T_1806) @[Mux.scala 27:72] + node _T_1862 = or(_T_1861, _T_1807) @[Mux.scala 27:72] + node _T_1863 = or(_T_1862, _T_1808) @[Mux.scala 27:72] + node _T_1864 = or(_T_1863, _T_1809) @[Mux.scala 27:72] + node _T_1865 = or(_T_1864, _T_1810) @[Mux.scala 27:72] + node _T_1866 = or(_T_1865, _T_1811) @[Mux.scala 27:72] + node _T_1867 = or(_T_1866, _T_1812) @[Mux.scala 27:72] + node _T_1868 = or(_T_1867, _T_1813) @[Mux.scala 27:72] + node _T_1869 = or(_T_1868, _T_1814) @[Mux.scala 27:72] + wire _T_1870 : UInt<6> @[Mux.scala 27:72] + _T_1870 <= _T_1869 @[Mux.scala 27:72] + node _T_1871 = and(_T_1590, _T_1870) @[el2_dec_tlu_ctl.scala 2351:45] + mhpmc_inc_r[2] <= _T_1871 @[el2_dec_tlu_ctl.scala 2351:20] + node _T_1872 = bits(mcountinhibit, 6, 6) @[el2_dec_tlu_ctl.scala 2351:39] + node _T_1873 = not(_T_1872) @[el2_dec_tlu_ctl.scala 2351:25] + node _T_1874 = eq(mhpme_vec[3], UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 2352:21] + node _T_1875 = bits(_T_1874, 0, 0) @[el2_dec_tlu_ctl.scala 2352:49] + node _T_1876 = eq(mhpme_vec[3], UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2353:21] + node _T_1877 = bits(_T_1876, 0, 0) @[el2_dec_tlu_ctl.scala 2353:49] + node _T_1878 = eq(mhpme_vec[3], UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2354:21] + node _T_1879 = bits(_T_1878, 0, 0) @[el2_dec_tlu_ctl.scala 2354:49] + node _T_1880 = eq(mhpme_vec[3], UInt<3>("h04")) @[el2_dec_tlu_ctl.scala 2355:21] + node _T_1881 = bits(_T_1880, 0, 0) @[el2_dec_tlu_ctl.scala 2355:49] + node _T_1882 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2355:83] + node _T_1883 = and(io.tlu_i0_commit_cmt, _T_1882) @[el2_dec_tlu_ctl.scala 2355:81] + node _T_1884 = eq(mhpme_vec[3], UInt<3>("h05")) @[el2_dec_tlu_ctl.scala 2356:21] + node _T_1885 = bits(_T_1884, 0, 0) @[el2_dec_tlu_ctl.scala 2356:49] + node _T_1886 = not(io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2356:83] + node _T_1887 = and(io.tlu_i0_commit_cmt, _T_1886) @[el2_dec_tlu_ctl.scala 2356:81] + node _T_1888 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2356:104] + node _T_1889 = and(_T_1887, _T_1888) @[el2_dec_tlu_ctl.scala 2356:102] + node _T_1890 = eq(mhpme_vec[3], UInt<3>("h06")) @[el2_dec_tlu_ctl.scala 2357:21] + node _T_1891 = bits(_T_1890, 0, 0) @[el2_dec_tlu_ctl.scala 2357:49] + node _T_1892 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2357:81] + node _T_1893 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2357:104] + node _T_1894 = and(_T_1892, _T_1893) @[el2_dec_tlu_ctl.scala 2357:102] + node _T_1895 = eq(mhpme_vec[3], UInt<3>("h07")) @[el2_dec_tlu_ctl.scala 2358:21] + node _T_1896 = bits(_T_1895, 0, 0) @[el2_dec_tlu_ctl.scala 2358:49] + node _T_1897 = eq(mhpme_vec[3], UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2359:21] + node _T_1898 = bits(_T_1897, 0, 0) @[el2_dec_tlu_ctl.scala 2359:49] + node _T_1899 = eq(mhpme_vec[3], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2360:21] + node _T_1900 = bits(_T_1899, 0, 0) @[el2_dec_tlu_ctl.scala 2360:49] + node _T_1901 = eq(mhpme_vec[3], UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2361:21] + node _T_1902 = bits(_T_1901, 0, 0) @[el2_dec_tlu_ctl.scala 2361:49] + node _T_1903 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[el2_dec_tlu_ctl.scala 2361:78] + node _T_1904 = eq(mhpme_vec[3], UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2362:21] + node _T_1905 = bits(_T_1904, 0, 0) @[el2_dec_tlu_ctl.scala 2362:49] + node _T_1906 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2362:92] + node _T_1907 = eq(mhpme_vec[3], UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2363:21] + node _T_1908 = bits(_T_1907, 0, 0) @[el2_dec_tlu_ctl.scala 2363:49] + node _T_1909 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2363:78] + node _T_1910 = eq(mhpme_vec[3], UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2364:21] + node _T_1911 = bits(_T_1910, 0, 0) @[el2_dec_tlu_ctl.scala 2364:49] + node _T_1912 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2364:78] + node _T_1913 = eq(mhpme_vec[3], UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2365:21] + node _T_1914 = bits(_T_1913, 0, 0) @[el2_dec_tlu_ctl.scala 2365:49] + node _T_1915 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2365:79] + node _T_1916 = eq(mhpme_vec[3], UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2366:21] + node _T_1917 = dshr(io.dec_tlu_packet_r.pmu_lsu_misaligned, _T_1916) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1918 = bits(_T_1917, 0, 0) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1919 = and(_T_1915, _T_1918) @[el2_dec_tlu_ctl.scala 2365:89] + node _T_1920 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2366:66] + node _T_1921 = and(_T_1919, _T_1920) @[el2_dec_tlu_ctl.scala 2366:45] + node _T_1922 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[el2_dec_tlu_ctl.scala 2367:48] + node _T_1923 = and(_T_1921, _T_1922) @[el2_dec_tlu_ctl.scala 2366:77] + node _T_1924 = eq(mhpme_vec[3], UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2368:21] + node _T_1925 = bits(_T_1924, 0, 0) @[el2_dec_tlu_ctl.scala 2368:41] + node _T_1926 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[el2_dec_tlu_ctl.scala 2368:76] + node _T_1927 = eq(mhpme_vec[3], UInt<5>("h010")) @[el2_dec_tlu_ctl.scala 2369:21] + node _T_1928 = bits(_T_1927, 0, 0) @[el2_dec_tlu_ctl.scala 2369:46] + node _T_1929 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[el2_dec_tlu_ctl.scala 2369:76] + node _T_1930 = eq(mhpme_vec[3], UInt<5>("h012")) @[el2_dec_tlu_ctl.scala 2370:21] + node _T_1931 = bits(_T_1930, 0, 0) @[el2_dec_tlu_ctl.scala 2370:46] + node _T_1932 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[el2_dec_tlu_ctl.scala 2370:76] + node _T_1933 = eq(mhpme_vec[3], UInt<5>("h011")) @[el2_dec_tlu_ctl.scala 2371:21] + node _T_1934 = bits(_T_1933, 0, 0) @[el2_dec_tlu_ctl.scala 2371:46] + node _T_1935 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[el2_dec_tlu_ctl.scala 2371:76] + node _T_1936 = eq(mhpme_vec[3], UInt<5>("h013")) @[el2_dec_tlu_ctl.scala 2372:21] + node _T_1937 = bits(_T_1936, 0, 0) @[el2_dec_tlu_ctl.scala 2372:46] + node _T_1938 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2372:76] + node _T_1939 = eq(mhpme_vec[3], UInt<5>("h014")) @[el2_dec_tlu_ctl.scala 2373:21] + node _T_1940 = bits(_T_1939, 0, 0) @[el2_dec_tlu_ctl.scala 2373:46] + node _T_1941 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2373:76] + node _T_1942 = eq(mhpme_vec[3], UInt<5>("h015")) @[el2_dec_tlu_ctl.scala 2374:21] + node _T_1943 = bits(_T_1942, 0, 0) @[el2_dec_tlu_ctl.scala 2374:46] + node _T_1944 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2374:76] + node _T_1945 = eq(mhpme_vec[3], UInt<5>("h016")) @[el2_dec_tlu_ctl.scala 2375:21] + node _T_1946 = bits(_T_1945, 0, 0) @[el2_dec_tlu_ctl.scala 2375:46] + node _T_1947 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2375:76] + node _T_1948 = eq(mhpme_vec[3], UInt<5>("h017")) @[el2_dec_tlu_ctl.scala 2376:21] + node _T_1949 = bits(_T_1948, 0, 0) @[el2_dec_tlu_ctl.scala 2376:46] + node _T_1950 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2376:76] + node _T_1951 = eq(mhpme_vec[3], UInt<5>("h018")) @[el2_dec_tlu_ctl.scala 2377:21] + node _T_1952 = bits(_T_1951, 0, 0) @[el2_dec_tlu_ctl.scala 2377:46] + node _T_1953 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2377:76] + node _T_1954 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2377:109] + node _T_1955 = or(_T_1953, _T_1954) @[el2_dec_tlu_ctl.scala 2377:88] + node _T_1956 = eq(mhpme_vec[3], UInt<5>("h019")) @[el2_dec_tlu_ctl.scala 2378:21] + node _T_1957 = bits(_T_1956, 0, 0) @[el2_dec_tlu_ctl.scala 2378:49] + node _T_1958 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2378:82] + node _T_1959 = eq(mhpme_vec[3], UInt<5>("h01a")) @[el2_dec_tlu_ctl.scala 2379:21] + node _T_1960 = bits(_T_1959, 0, 0) @[el2_dec_tlu_ctl.scala 2379:49] + node _T_1961 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2379:84] + node _T_1962 = eq(mhpme_vec[3], UInt<5>("h01b")) @[el2_dec_tlu_ctl.scala 2380:21] + node _T_1963 = bits(_T_1962, 0, 0) @[el2_dec_tlu_ctl.scala 2380:49] + node _T_1964 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2380:97] + node _T_1965 = eq(mhpme_vec[3], UInt<5>("h01c")) @[el2_dec_tlu_ctl.scala 2381:21] + node _T_1966 = bits(_T_1965, 0, 0) @[el2_dec_tlu_ctl.scala 2381:49] + node _T_1967 = eq(mhpme_vec[3], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2382:21] + node _T_1968 = bits(_T_1967, 0, 0) @[el2_dec_tlu_ctl.scala 2382:49] + node _T_1969 = eq(mhpme_vec[3], UInt<5>("h01f")) @[el2_dec_tlu_ctl.scala 2383:21] + node _T_1970 = bits(_T_1969, 0, 0) @[el2_dec_tlu_ctl.scala 2383:49] + node _T_1971 = eq(mhpme_vec[3], UInt<6>("h020")) @[el2_dec_tlu_ctl.scala 2384:21] + node _T_1972 = bits(_T_1971, 0, 0) @[el2_dec_tlu_ctl.scala 2384:49] + node _T_1973 = eq(mhpme_vec[3], UInt<6>("h022")) @[el2_dec_tlu_ctl.scala 2385:21] + node _T_1974 = bits(_T_1973, 0, 0) @[el2_dec_tlu_ctl.scala 2385:49] + node _T_1975 = eq(mhpme_vec[3], UInt<6>("h023")) @[el2_dec_tlu_ctl.scala 2386:21] + node _T_1976 = bits(_T_1975, 0, 0) @[el2_dec_tlu_ctl.scala 2386:49] + node _T_1977 = eq(mhpme_vec[3], UInt<6>("h024")) @[el2_dec_tlu_ctl.scala 2387:21] + node _T_1978 = bits(_T_1977, 0, 0) @[el2_dec_tlu_ctl.scala 2387:49] + node _T_1979 = eq(mhpme_vec[3], UInt<6>("h025")) @[el2_dec_tlu_ctl.scala 2388:21] + node _T_1980 = bits(_T_1979, 0, 0) @[el2_dec_tlu_ctl.scala 2388:49] + node _T_1981 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 2388:85] + node _T_1982 = or(_T_1981, io.lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 2388:107] + node _T_1983 = eq(mhpme_vec[3], UInt<6>("h026")) @[el2_dec_tlu_ctl.scala 2389:21] + node _T_1984 = bits(_T_1983, 0, 0) @[el2_dec_tlu_ctl.scala 2389:49] + node _T_1985 = or(io.take_timer_int, io.take_int_timer0_int) @[el2_dec_tlu_ctl.scala 2389:79] + node _T_1986 = or(_T_1985, io.take_int_timer1_int) @[el2_dec_tlu_ctl.scala 2389:104] + node _T_1987 = eq(mhpme_vec[3], UInt<6>("h027")) @[el2_dec_tlu_ctl.scala 2390:21] + node _T_1988 = bits(_T_1987, 0, 0) @[el2_dec_tlu_ctl.scala 2390:49] + node _T_1989 = eq(mhpme_vec[3], UInt<6>("h028")) @[el2_dec_tlu_ctl.scala 2391:21] + node _T_1990 = bits(_T_1989, 0, 0) @[el2_dec_tlu_ctl.scala 2391:49] + node _T_1991 = eq(mhpme_vec[3], UInt<6>("h029")) @[el2_dec_tlu_ctl.scala 2392:21] + node _T_1992 = bits(_T_1991, 0, 0) @[el2_dec_tlu_ctl.scala 2392:49] + node _T_1993 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[el2_dec_tlu_ctl.scala 2392:84] + node _T_1994 = and(_T_1993, io.rfpc_i0_r) @[el2_dec_tlu_ctl.scala 2392:116] + node _T_1995 = eq(mhpme_vec[3], UInt<6>("h02a")) @[el2_dec_tlu_ctl.scala 2393:21] + node _T_1996 = bits(_T_1995, 0, 0) @[el2_dec_tlu_ctl.scala 2393:49] + node _T_1997 = eq(mhpme_vec[3], UInt<6>("h02b")) @[el2_dec_tlu_ctl.scala 2394:21] + node _T_1998 = bits(_T_1997, 0, 0) @[el2_dec_tlu_ctl.scala 2394:49] + node _T_1999 = eq(mhpme_vec[3], UInt<6>("h02c")) @[el2_dec_tlu_ctl.scala 2395:21] + node _T_2000 = bits(_T_1999, 0, 0) @[el2_dec_tlu_ctl.scala 2395:49] + node _T_2001 = eq(mhpme_vec[3], UInt<6>("h02d")) @[el2_dec_tlu_ctl.scala 2396:21] + node _T_2002 = bits(_T_2001, 0, 0) @[el2_dec_tlu_ctl.scala 2396:49] + node _T_2003 = eq(mhpme_vec[3], UInt<6>("h02e")) @[el2_dec_tlu_ctl.scala 2397:21] + node _T_2004 = bits(_T_2003, 0, 0) @[el2_dec_tlu_ctl.scala 2397:49] + node _T_2005 = eq(mhpme_vec[3], UInt<6>("h02f")) @[el2_dec_tlu_ctl.scala 2398:21] + node _T_2006 = bits(_T_2005, 0, 0) @[el2_dec_tlu_ctl.scala 2398:49] + node _T_2007 = eq(mhpme_vec[3], UInt<6>("h030")) @[el2_dec_tlu_ctl.scala 2399:21] + node _T_2008 = bits(_T_2007, 0, 0) @[el2_dec_tlu_ctl.scala 2399:49] + node _T_2009 = eq(mhpme_vec[3], UInt<6>("h031")) @[el2_dec_tlu_ctl.scala 2400:21] + node _T_2010 = bits(_T_2009, 0, 0) @[el2_dec_tlu_ctl.scala 2400:49] + node _T_2011 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_2012 = bits(_T_2011, 0, 0) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_2013 = not(_T_2012) @[el2_dec_tlu_ctl.scala 2400:60] + node _T_2014 = eq(mhpme_vec[3], UInt<6>("h032")) @[el2_dec_tlu_ctl.scala 2401:21] + node _T_2015 = bits(_T_2014, 0, 0) @[el2_dec_tlu_ctl.scala 2401:49] + node _T_2016 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_2017 = bits(_T_2016, 0, 0) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_2018 = not(_T_2017) @[el2_dec_tlu_ctl.scala 2401:60] + node _T_2019 = bits(io.mip, 5, 0) @[el2_dec_tlu_ctl.scala 2401:94] + node _T_2020 = bits(mie, 5, 0) @[el2_dec_tlu_ctl.scala 2401:105] + node _T_2021 = and(_T_2019, _T_2020) @[el2_dec_tlu_ctl.scala 2401:100] + node _T_2022 = and(_T_2018, _T_2021) @[el2_dec_tlu_ctl.scala 2401:85] + node _T_2023 = eq(mhpme_vec[3], UInt<6>("h036")) @[el2_dec_tlu_ctl.scala 2402:21] + node _T_2024 = bits(_T_2023, 0, 0) @[el2_dec_tlu_ctl.scala 2402:49] + node _T_2025 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2402:78] + node _T_2026 = eq(mhpme_vec[3], UInt<6>("h037")) @[el2_dec_tlu_ctl.scala 2403:21] + node _T_2027 = bits(_T_2026, 0, 0) @[el2_dec_tlu_ctl.scala 2403:49] + node _T_2028 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[el2_dec_tlu_ctl.scala 2403:81] + node _T_2029 = eq(mhpme_vec[3], UInt<6>("h038")) @[el2_dec_tlu_ctl.scala 2404:21] + node _T_2030 = bits(_T_2029, 0, 0) @[el2_dec_tlu_ctl.scala 2404:49] + node _T_2031 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[el2_dec_tlu_ctl.scala 2404:81] + node _T_2032 = eq(mhpme_vec[3], UInt<10>("h0200")) @[el2_dec_tlu_ctl.scala 2406:21] + node _T_2033 = bits(_T_2032, 0, 0) @[el2_dec_tlu_ctl.scala 2406:49] + node _T_2034 = eq(mhpme_vec[3], UInt<10>("h0201")) @[el2_dec_tlu_ctl.scala 2407:21] + node _T_2035 = bits(_T_2034, 0, 0) @[el2_dec_tlu_ctl.scala 2407:49] + node _T_2036 = eq(mhpme_vec[3], UInt<10>("h0202")) @[el2_dec_tlu_ctl.scala 2408:21] + node _T_2037 = bits(_T_2036, 0, 0) @[el2_dec_tlu_ctl.scala 2408:49] + node _T_2038 = eq(mhpme_vec[3], UInt<10>("h0203")) @[el2_dec_tlu_ctl.scala 2409:21] + node _T_2039 = bits(_T_2038, 0, 0) @[el2_dec_tlu_ctl.scala 2409:49] + node _T_2040 = eq(mhpme_vec[3], UInt<10>("h0204")) @[el2_dec_tlu_ctl.scala 2410:21] + node _T_2041 = bits(_T_2040, 0, 0) @[el2_dec_tlu_ctl.scala 2410:49] + node _T_2042 = mux(_T_1875, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2043 = mux(_T_1877, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2044 = mux(_T_1879, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2045 = mux(_T_1881, _T_1883, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2046 = mux(_T_1885, _T_1889, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2047 = mux(_T_1891, _T_1894, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2048 = mux(_T_1896, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2049 = mux(_T_1898, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2050 = mux(_T_1900, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2051 = mux(_T_1902, _T_1903, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2052 = mux(_T_1905, _T_1906, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2053 = mux(_T_1908, _T_1909, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2054 = mux(_T_1911, _T_1912, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2055 = mux(_T_1914, _T_1923, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2056 = mux(_T_1925, _T_1926, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2057 = mux(_T_1928, _T_1929, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2058 = mux(_T_1931, _T_1932, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2059 = mux(_T_1934, _T_1935, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2060 = mux(_T_1937, _T_1938, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2061 = mux(_T_1940, _T_1941, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2062 = mux(_T_1943, _T_1944, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2063 = mux(_T_1946, _T_1947, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2064 = mux(_T_1949, _T_1950, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2065 = mux(_T_1952, _T_1955, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2066 = mux(_T_1957, _T_1958, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2067 = mux(_T_1960, _T_1961, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2068 = mux(_T_1963, _T_1964, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2069 = mux(_T_1966, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2070 = mux(_T_1968, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2071 = mux(_T_1970, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2072 = mux(_T_1972, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2073 = mux(_T_1974, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2074 = mux(_T_1976, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2075 = mux(_T_1978, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2076 = mux(_T_1980, _T_1982, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2077 = mux(_T_1984, _T_1986, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2078 = mux(_T_1988, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2079 = mux(_T_1990, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2080 = mux(_T_1992, _T_1994, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2081 = mux(_T_1996, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2082 = mux(_T_1998, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2083 = mux(_T_2000, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2084 = mux(_T_2002, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2085 = mux(_T_2004, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2086 = mux(_T_2006, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2087 = mux(_T_2008, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2088 = mux(_T_2010, _T_2013, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2089 = mux(_T_2015, _T_2022, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2090 = mux(_T_2024, _T_2025, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2091 = mux(_T_2027, _T_2028, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2092 = mux(_T_2030, _T_2031, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2093 = mux(_T_2033, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2094 = mux(_T_2035, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2095 = mux(_T_2037, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2096 = mux(_T_2039, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2097 = mux(_T_2041, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2098 = or(_T_2042, _T_2043) @[Mux.scala 27:72] + node _T_2099 = or(_T_2098, _T_2044) @[Mux.scala 27:72] + node _T_2100 = or(_T_2099, _T_2045) @[Mux.scala 27:72] + node _T_2101 = or(_T_2100, _T_2046) @[Mux.scala 27:72] + node _T_2102 = or(_T_2101, _T_2047) @[Mux.scala 27:72] + node _T_2103 = or(_T_2102, _T_2048) @[Mux.scala 27:72] + node _T_2104 = or(_T_2103, _T_2049) @[Mux.scala 27:72] + node _T_2105 = or(_T_2104, _T_2050) @[Mux.scala 27:72] + node _T_2106 = or(_T_2105, _T_2051) @[Mux.scala 27:72] + node _T_2107 = or(_T_2106, _T_2052) @[Mux.scala 27:72] + node _T_2108 = or(_T_2107, _T_2053) @[Mux.scala 27:72] + node _T_2109 = or(_T_2108, _T_2054) @[Mux.scala 27:72] + node _T_2110 = or(_T_2109, _T_2055) @[Mux.scala 27:72] + node _T_2111 = or(_T_2110, _T_2056) @[Mux.scala 27:72] + node _T_2112 = or(_T_2111, _T_2057) @[Mux.scala 27:72] + node _T_2113 = or(_T_2112, _T_2058) @[Mux.scala 27:72] + node _T_2114 = or(_T_2113, _T_2059) @[Mux.scala 27:72] + node _T_2115 = or(_T_2114, _T_2060) @[Mux.scala 27:72] + node _T_2116 = or(_T_2115, _T_2061) @[Mux.scala 27:72] + node _T_2117 = or(_T_2116, _T_2062) @[Mux.scala 27:72] + node _T_2118 = or(_T_2117, _T_2063) @[Mux.scala 27:72] + node _T_2119 = or(_T_2118, _T_2064) @[Mux.scala 27:72] + node _T_2120 = or(_T_2119, _T_2065) @[Mux.scala 27:72] + node _T_2121 = or(_T_2120, _T_2066) @[Mux.scala 27:72] + node _T_2122 = or(_T_2121, _T_2067) @[Mux.scala 27:72] + node _T_2123 = or(_T_2122, _T_2068) @[Mux.scala 27:72] + node _T_2124 = or(_T_2123, _T_2069) @[Mux.scala 27:72] + node _T_2125 = or(_T_2124, _T_2070) @[Mux.scala 27:72] + node _T_2126 = or(_T_2125, _T_2071) @[Mux.scala 27:72] + node _T_2127 = or(_T_2126, _T_2072) @[Mux.scala 27:72] + node _T_2128 = or(_T_2127, _T_2073) @[Mux.scala 27:72] + node _T_2129 = or(_T_2128, _T_2074) @[Mux.scala 27:72] + node _T_2130 = or(_T_2129, _T_2075) @[Mux.scala 27:72] + node _T_2131 = or(_T_2130, _T_2076) @[Mux.scala 27:72] + node _T_2132 = or(_T_2131, _T_2077) @[Mux.scala 27:72] + node _T_2133 = or(_T_2132, _T_2078) @[Mux.scala 27:72] + node _T_2134 = or(_T_2133, _T_2079) @[Mux.scala 27:72] + node _T_2135 = or(_T_2134, _T_2080) @[Mux.scala 27:72] + node _T_2136 = or(_T_2135, _T_2081) @[Mux.scala 27:72] + node _T_2137 = or(_T_2136, _T_2082) @[Mux.scala 27:72] + node _T_2138 = or(_T_2137, _T_2083) @[Mux.scala 27:72] + node _T_2139 = or(_T_2138, _T_2084) @[Mux.scala 27:72] + node _T_2140 = or(_T_2139, _T_2085) @[Mux.scala 27:72] + node _T_2141 = or(_T_2140, _T_2086) @[Mux.scala 27:72] + node _T_2142 = or(_T_2141, _T_2087) @[Mux.scala 27:72] + node _T_2143 = or(_T_2142, _T_2088) @[Mux.scala 27:72] + node _T_2144 = or(_T_2143, _T_2089) @[Mux.scala 27:72] + node _T_2145 = or(_T_2144, _T_2090) @[Mux.scala 27:72] + node _T_2146 = or(_T_2145, _T_2091) @[Mux.scala 27:72] + node _T_2147 = or(_T_2146, _T_2092) @[Mux.scala 27:72] + node _T_2148 = or(_T_2147, _T_2093) @[Mux.scala 27:72] + node _T_2149 = or(_T_2148, _T_2094) @[Mux.scala 27:72] + node _T_2150 = or(_T_2149, _T_2095) @[Mux.scala 27:72] + node _T_2151 = or(_T_2150, _T_2096) @[Mux.scala 27:72] + node _T_2152 = or(_T_2151, _T_2097) @[Mux.scala 27:72] + wire _T_2153 : UInt<6> @[Mux.scala 27:72] + _T_2153 <= _T_2152 @[Mux.scala 27:72] + node _T_2154 = and(_T_1873, _T_2153) @[el2_dec_tlu_ctl.scala 2351:45] + mhpmc_inc_r[3] <= _T_2154 @[el2_dec_tlu_ctl.scala 2351:20] + reg _T_2155 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2413:54] + _T_2155 <= mhpmc_inc_r[0] @[el2_dec_tlu_ctl.scala 2413:54] + mhpmc_inc_r_d1[0] <= _T_2155 @[el2_dec_tlu_ctl.scala 2413:21] + reg _T_2156 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2414:54] + _T_2156 <= mhpmc_inc_r[1] @[el2_dec_tlu_ctl.scala 2414:54] + mhpmc_inc_r_d1[1] <= _T_2156 @[el2_dec_tlu_ctl.scala 2414:21] + reg _T_2157 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2415:54] + _T_2157 <= mhpmc_inc_r[2] @[el2_dec_tlu_ctl.scala 2415:54] + mhpmc_inc_r_d1[2] <= _T_2157 @[el2_dec_tlu_ctl.scala 2415:21] + reg _T_2158 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2416:54] + _T_2158 <= mhpmc_inc_r[3] @[el2_dec_tlu_ctl.scala 2416:54] + mhpmc_inc_r_d1[3] <= _T_2158 @[el2_dec_tlu_ctl.scala 2416:21] + reg perfcnt_halted_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2417:57] + perfcnt_halted_d1 <= perfcnt_halted @[el2_dec_tlu_ctl.scala 2417:57] + node _T_2159 = bits(io.dcsr, 10, 10) @[el2_dec_tlu_ctl.scala 2420:54] + node _T_2160 = and(io.dec_tlu_dbg_halted, _T_2159) @[el2_dec_tlu_ctl.scala 2420:45] + node _T_2161 = or(_T_2160, io.dec_tlu_pmu_fw_halted) @[el2_dec_tlu_ctl.scala 2420:68] + perfcnt_halted <= _T_2161 @[el2_dec_tlu_ctl.scala 2420:18] + node _T_2162 = bits(io.dcsr, 10, 10) @[el2_dec_tlu_ctl.scala 2421:71] + node _T_2163 = and(io.dec_tlu_dbg_halted, _T_2162) @[el2_dec_tlu_ctl.scala 2421:62] + node _T_2164 = not(_T_2163) @[el2_dec_tlu_ctl.scala 2421:38] + node _T_2165 = bits(_T_2164, 0, 0) @[Bitwise.scala 72:15] + node _T_2166 = mux(_T_2165, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2167 = bits(mhpme_vec[3], 9, 9) @[el2_dec_tlu_ctl.scala 2421:105] + node _T_2168 = bits(mhpme_vec[2], 9, 9) @[el2_dec_tlu_ctl.scala 2421:121] + node _T_2169 = bits(mhpme_vec[1], 9, 9) @[el2_dec_tlu_ctl.scala 2421:137] + node _T_2170 = bits(mhpme_vec[0], 9, 9) @[el2_dec_tlu_ctl.scala 2421:153] + node _T_2171 = cat(_T_2169, _T_2170) @[Cat.scala 29:58] + node _T_2172 = cat(_T_2167, _T_2168) @[Cat.scala 29:58] + node _T_2173 = cat(_T_2172, _T_2171) @[Cat.scala 29:58] + node perfcnt_during_sleep = and(_T_2166, _T_2173) @[el2_dec_tlu_ctl.scala 2421:87] + node _T_2174 = bits(perfcnt_during_sleep, 0, 0) @[el2_dec_tlu_ctl.scala 2423:89] + node _T_2175 = not(_T_2174) @[el2_dec_tlu_ctl.scala 2423:68] + node _T_2176 = and(perfcnt_halted_d1, _T_2175) @[el2_dec_tlu_ctl.scala 2423:66] + node _T_2177 = not(_T_2176) @[el2_dec_tlu_ctl.scala 2423:46] + node _T_2178 = and(mhpmc_inc_r_d1[0], _T_2177) @[el2_dec_tlu_ctl.scala 2423:44] + io.dec_tlu_perfcnt0 <= _T_2178 @[el2_dec_tlu_ctl.scala 2423:23] + node _T_2179 = bits(perfcnt_during_sleep, 1, 1) @[el2_dec_tlu_ctl.scala 2424:89] + node _T_2180 = not(_T_2179) @[el2_dec_tlu_ctl.scala 2424:68] + node _T_2181 = and(perfcnt_halted_d1, _T_2180) @[el2_dec_tlu_ctl.scala 2424:66] + node _T_2182 = not(_T_2181) @[el2_dec_tlu_ctl.scala 2424:46] + node _T_2183 = and(mhpmc_inc_r_d1[1], _T_2182) @[el2_dec_tlu_ctl.scala 2424:44] + io.dec_tlu_perfcnt1 <= _T_2183 @[el2_dec_tlu_ctl.scala 2424:23] + node _T_2184 = bits(perfcnt_during_sleep, 2, 2) @[el2_dec_tlu_ctl.scala 2425:89] + node _T_2185 = not(_T_2184) @[el2_dec_tlu_ctl.scala 2425:68] + node _T_2186 = and(perfcnt_halted_d1, _T_2185) @[el2_dec_tlu_ctl.scala 2425:66] + node _T_2187 = not(_T_2186) @[el2_dec_tlu_ctl.scala 2425:46] + node _T_2188 = and(mhpmc_inc_r_d1[2], _T_2187) @[el2_dec_tlu_ctl.scala 2425:44] + io.dec_tlu_perfcnt2 <= _T_2188 @[el2_dec_tlu_ctl.scala 2425:23] + node _T_2189 = bits(perfcnt_during_sleep, 3, 3) @[el2_dec_tlu_ctl.scala 2426:89] + node _T_2190 = not(_T_2189) @[el2_dec_tlu_ctl.scala 2426:68] + node _T_2191 = and(perfcnt_halted_d1, _T_2190) @[el2_dec_tlu_ctl.scala 2426:66] + node _T_2192 = not(_T_2191) @[el2_dec_tlu_ctl.scala 2426:46] + node _T_2193 = and(mhpmc_inc_r_d1[3], _T_2192) @[el2_dec_tlu_ctl.scala 2426:44] + io.dec_tlu_perfcnt3 <= _T_2193 @[el2_dec_tlu_ctl.scala 2426:23] + node _T_2194 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2432:66] + node _T_2195 = eq(_T_2194, UInt<12>("h0b03")) @[el2_dec_tlu_ctl.scala 2432:73] + node mhpmc3_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2195) @[el2_dec_tlu_ctl.scala 2432:44] + node _T_2196 = not(perfcnt_halted) @[el2_dec_tlu_ctl.scala 2433:24] + node _T_2197 = bits(perfcnt_during_sleep, 0, 0) @[el2_dec_tlu_ctl.scala 2433:62] + node _T_2198 = or(_T_2196, _T_2197) @[el2_dec_tlu_ctl.scala 2433:40] + node _T_2199 = orr(mhpmc_inc_r[0]) @[el2_dec_tlu_ctl.scala 2433:87] + node mhpmc3_wr_en1 = and(_T_2198, _T_2199) @[el2_dec_tlu_ctl.scala 2433:67] + node mhpmc3_wr_en = or(mhpmc3_wr_en0, mhpmc3_wr_en1) @[el2_dec_tlu_ctl.scala 2434:37] + node _T_2200 = bits(mhpmc3h, 31, 0) @[el2_dec_tlu_ctl.scala 2437:29] + node _T_2201 = bits(mhpmc3, 31, 0) @[el2_dec_tlu_ctl.scala 2437:42] + node _T_2202 = cat(_T_2200, _T_2201) @[Cat.scala 29:58] + node _T_2203 = cat(UInt<63>("h00"), mhpmc_inc_r[0]) @[Cat.scala 29:58] + node _T_2204 = add(_T_2202, _T_2203) @[el2_dec_tlu_ctl.scala 2437:50] + node _T_2205 = tail(_T_2204, 1) @[el2_dec_tlu_ctl.scala 2437:50] + mhpmc3_incr <= _T_2205 @[el2_dec_tlu_ctl.scala 2437:15] + node _T_2206 = bits(mhpmc3_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2438:37] + node _T_2207 = bits(mhpmc3_incr, 31, 0) @[el2_dec_tlu_ctl.scala 2438:77] + node mhpmc3_ns = mux(_T_2206, io.dec_csr_wrdata_r, _T_2207) @[el2_dec_tlu_ctl.scala 2438:22] + node _T_2208 = bits(mhpmc3_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2440:43] + inst rvclkhdr_26 of rvclkhdr_85 @[el2_lib.scala 485:23] + rvclkhdr_26.clock <= clock + rvclkhdr_26.reset <= reset + rvclkhdr_26.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_26.io.en <= _T_2208 @[el2_lib.scala 488:17] + rvclkhdr_26.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2209 : UInt, rvclkhdr_26.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2209 <= mhpmc3_ns @[el2_lib.scala 491:16] + mhpmc3 <= _T_2209 @[el2_dec_tlu_ctl.scala 2440:10] + node _T_2210 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2442:67] + node _T_2211 = eq(_T_2210, UInt<12>("h0b83")) @[el2_dec_tlu_ctl.scala 2442:74] + node mhpmc3h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2211) @[el2_dec_tlu_ctl.scala 2442:45] + node mhpmc3h_wr_en = or(mhpmc3h_wr_en0, mhpmc3_wr_en1) @[el2_dec_tlu_ctl.scala 2443:39] + node _T_2212 = bits(mhpmc3h_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2444:39] + node _T_2213 = bits(mhpmc3_incr, 63, 32) @[el2_dec_tlu_ctl.scala 2444:79] + node mhpmc3h_ns = mux(_T_2212, io.dec_csr_wrdata_r, _T_2213) @[el2_dec_tlu_ctl.scala 2444:23] + node _T_2214 = bits(mhpmc3h_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2446:47] + inst rvclkhdr_27 of rvclkhdr_86 @[el2_lib.scala 485:23] + rvclkhdr_27.clock <= clock + rvclkhdr_27.reset <= reset + rvclkhdr_27.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_27.io.en <= _T_2214 @[el2_lib.scala 488:17] + rvclkhdr_27.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2215 : UInt, rvclkhdr_27.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2215 <= mhpmc3h_ns @[el2_lib.scala 491:16] + mhpmc3h <= _T_2215 @[el2_dec_tlu_ctl.scala 2446:11] + node _T_2216 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2451:66] + node _T_2217 = eq(_T_2216, UInt<12>("h0b04")) @[el2_dec_tlu_ctl.scala 2451:73] + node mhpmc4_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2217) @[el2_dec_tlu_ctl.scala 2451:44] + node _T_2218 = not(perfcnt_halted) @[el2_dec_tlu_ctl.scala 2452:24] + node _T_2219 = bits(perfcnt_during_sleep, 1, 1) @[el2_dec_tlu_ctl.scala 2452:62] + node _T_2220 = or(_T_2218, _T_2219) @[el2_dec_tlu_ctl.scala 2452:40] + node _T_2221 = orr(mhpmc_inc_r[1]) @[el2_dec_tlu_ctl.scala 2452:87] + node mhpmc4_wr_en1 = and(_T_2220, _T_2221) @[el2_dec_tlu_ctl.scala 2452:67] + node mhpmc4_wr_en = or(mhpmc4_wr_en0, mhpmc4_wr_en1) @[el2_dec_tlu_ctl.scala 2453:37] + node _T_2222 = bits(mhpmc4h, 31, 0) @[el2_dec_tlu_ctl.scala 2457:29] + node _T_2223 = bits(mhpmc4, 31, 0) @[el2_dec_tlu_ctl.scala 2457:42] + node _T_2224 = cat(_T_2222, _T_2223) @[Cat.scala 29:58] + node _T_2225 = cat(UInt<63>("h00"), mhpmc_inc_r[1]) @[Cat.scala 29:58] + node _T_2226 = add(_T_2224, _T_2225) @[el2_dec_tlu_ctl.scala 2457:50] + node _T_2227 = tail(_T_2226, 1) @[el2_dec_tlu_ctl.scala 2457:50] + mhpmc4_incr <= _T_2227 @[el2_dec_tlu_ctl.scala 2457:15] + node _T_2228 = bits(mhpmc4_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2458:37] + node _T_2229 = bits(io.dec_csr_wrdata_r, 31, 0) @[el2_dec_tlu_ctl.scala 2458:64] + node _T_2230 = bits(mhpmc4_incr, 31, 0) @[el2_dec_tlu_ctl.scala 2458:83] + node mhpmc4_ns = mux(_T_2228, _T_2229, _T_2230) @[el2_dec_tlu_ctl.scala 2458:22] + node _T_2231 = bits(mhpmc4_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2459:44] + inst rvclkhdr_28 of rvclkhdr_87 @[el2_lib.scala 485:23] + rvclkhdr_28.clock <= clock + rvclkhdr_28.reset <= reset + rvclkhdr_28.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_28.io.en <= _T_2231 @[el2_lib.scala 488:17] + rvclkhdr_28.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2232 : UInt, rvclkhdr_28.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2232 <= mhpmc4_ns @[el2_lib.scala 491:16] + mhpmc4 <= _T_2232 @[el2_dec_tlu_ctl.scala 2459:10] + node _T_2233 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2461:67] + node _T_2234 = eq(_T_2233, UInt<12>("h0b84")) @[el2_dec_tlu_ctl.scala 2461:74] + node mhpmc4h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2234) @[el2_dec_tlu_ctl.scala 2461:45] + node mhpmc4h_wr_en = or(mhpmc4h_wr_en0, mhpmc4_wr_en1) @[el2_dec_tlu_ctl.scala 2462:39] + node _T_2235 = bits(mhpmc4h_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2463:39] + node _T_2236 = bits(mhpmc4_incr, 63, 32) @[el2_dec_tlu_ctl.scala 2463:79] + node mhpmc4h_ns = mux(_T_2235, io.dec_csr_wrdata_r, _T_2236) @[el2_dec_tlu_ctl.scala 2463:23] + node _T_2237 = bits(mhpmc4h_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2464:47] + inst rvclkhdr_29 of rvclkhdr_88 @[el2_lib.scala 485:23] + rvclkhdr_29.clock <= clock + rvclkhdr_29.reset <= reset + rvclkhdr_29.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_29.io.en <= _T_2237 @[el2_lib.scala 488:17] + rvclkhdr_29.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2238 : UInt, rvclkhdr_29.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2238 <= mhpmc4h_ns @[el2_lib.scala 491:16] + mhpmc4h <= _T_2238 @[el2_dec_tlu_ctl.scala 2464:11] + node _T_2239 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2470:66] + node _T_2240 = eq(_T_2239, UInt<12>("h0b05")) @[el2_dec_tlu_ctl.scala 2470:73] + node mhpmc5_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2240) @[el2_dec_tlu_ctl.scala 2470:44] + node _T_2241 = not(perfcnt_halted) @[el2_dec_tlu_ctl.scala 2471:24] + node _T_2242 = bits(perfcnt_during_sleep, 2, 2) @[el2_dec_tlu_ctl.scala 2471:62] + node _T_2243 = or(_T_2241, _T_2242) @[el2_dec_tlu_ctl.scala 2471:40] + node _T_2244 = orr(mhpmc_inc_r[2]) @[el2_dec_tlu_ctl.scala 2471:87] + node mhpmc5_wr_en1 = and(_T_2243, _T_2244) @[el2_dec_tlu_ctl.scala 2471:67] + node mhpmc5_wr_en = or(mhpmc5_wr_en0, mhpmc5_wr_en1) @[el2_dec_tlu_ctl.scala 2472:37] + node _T_2245 = bits(mhpmc5h, 31, 0) @[el2_dec_tlu_ctl.scala 2474:29] + node _T_2246 = bits(mhpmc5, 31, 0) @[el2_dec_tlu_ctl.scala 2474:42] + node _T_2247 = cat(_T_2245, _T_2246) @[Cat.scala 29:58] + node _T_2248 = cat(UInt<63>("h00"), mhpmc_inc_r[2]) @[Cat.scala 29:58] + node _T_2249 = add(_T_2247, _T_2248) @[el2_dec_tlu_ctl.scala 2474:50] + node _T_2250 = tail(_T_2249, 1) @[el2_dec_tlu_ctl.scala 2474:50] + mhpmc5_incr <= _T_2250 @[el2_dec_tlu_ctl.scala 2474:15] + node _T_2251 = bits(mhpmc5_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2475:37] + node _T_2252 = bits(mhpmc5_incr, 31, 0) @[el2_dec_tlu_ctl.scala 2475:77] + node mhpmc5_ns = mux(_T_2251, io.dec_csr_wrdata_r, _T_2252) @[el2_dec_tlu_ctl.scala 2475:22] + node _T_2253 = bits(mhpmc5_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2477:44] + inst rvclkhdr_30 of rvclkhdr_89 @[el2_lib.scala 485:23] + rvclkhdr_30.clock <= clock + rvclkhdr_30.reset <= reset + rvclkhdr_30.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_30.io.en <= _T_2253 @[el2_lib.scala 488:17] + rvclkhdr_30.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2254 : UInt, rvclkhdr_30.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2254 <= mhpmc5_ns @[el2_lib.scala 491:16] + mhpmc5 <= _T_2254 @[el2_dec_tlu_ctl.scala 2477:10] + node _T_2255 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2479:67] + node _T_2256 = eq(_T_2255, UInt<12>("h0b85")) @[el2_dec_tlu_ctl.scala 2479:74] + node mhpmc5h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2256) @[el2_dec_tlu_ctl.scala 2479:45] + node mhpmc5h_wr_en = or(mhpmc5h_wr_en0, mhpmc5_wr_en1) @[el2_dec_tlu_ctl.scala 2480:39] + node _T_2257 = bits(mhpmc5h_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2481:39] + node _T_2258 = bits(mhpmc5_incr, 63, 32) @[el2_dec_tlu_ctl.scala 2481:79] + node mhpmc5h_ns = mux(_T_2257, io.dec_csr_wrdata_r, _T_2258) @[el2_dec_tlu_ctl.scala 2481:23] + node _T_2259 = bits(mhpmc5h_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2483:47] + inst rvclkhdr_31 of rvclkhdr_90 @[el2_lib.scala 485:23] + rvclkhdr_31.clock <= clock + rvclkhdr_31.reset <= reset + rvclkhdr_31.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_31.io.en <= _T_2259 @[el2_lib.scala 488:17] + rvclkhdr_31.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2260 : UInt, rvclkhdr_31.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2260 <= mhpmc5h_ns @[el2_lib.scala 491:16] + mhpmc5h <= _T_2260 @[el2_dec_tlu_ctl.scala 2483:11] + node _T_2261 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2488:66] + node _T_2262 = eq(_T_2261, UInt<12>("h0b06")) @[el2_dec_tlu_ctl.scala 2488:73] + node mhpmc6_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2262) @[el2_dec_tlu_ctl.scala 2488:44] + node _T_2263 = not(perfcnt_halted) @[el2_dec_tlu_ctl.scala 2489:24] + node _T_2264 = bits(perfcnt_during_sleep, 3, 3) @[el2_dec_tlu_ctl.scala 2489:62] + node _T_2265 = or(_T_2263, _T_2264) @[el2_dec_tlu_ctl.scala 2489:40] + node _T_2266 = orr(mhpmc_inc_r[3]) @[el2_dec_tlu_ctl.scala 2489:87] + node mhpmc6_wr_en1 = and(_T_2265, _T_2266) @[el2_dec_tlu_ctl.scala 2489:67] + node mhpmc6_wr_en = or(mhpmc6_wr_en0, mhpmc6_wr_en1) @[el2_dec_tlu_ctl.scala 2490:37] + node _T_2267 = bits(mhpmc6h, 31, 0) @[el2_dec_tlu_ctl.scala 2492:29] + node _T_2268 = bits(mhpmc6, 31, 0) @[el2_dec_tlu_ctl.scala 2492:42] + node _T_2269 = cat(_T_2267, _T_2268) @[Cat.scala 29:58] + node _T_2270 = cat(UInt<63>("h00"), mhpmc_inc_r[3]) @[Cat.scala 29:58] + node _T_2271 = add(_T_2269, _T_2270) @[el2_dec_tlu_ctl.scala 2492:50] + node _T_2272 = tail(_T_2271, 1) @[el2_dec_tlu_ctl.scala 2492:50] + mhpmc6_incr <= _T_2272 @[el2_dec_tlu_ctl.scala 2492:15] + node _T_2273 = bits(mhpmc6_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2493:37] + node _T_2274 = bits(mhpmc6_incr, 31, 0) @[el2_dec_tlu_ctl.scala 2493:77] + node mhpmc6_ns = mux(_T_2273, io.dec_csr_wrdata_r, _T_2274) @[el2_dec_tlu_ctl.scala 2493:22] + node _T_2275 = bits(mhpmc6_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2495:44] + inst rvclkhdr_32 of rvclkhdr_91 @[el2_lib.scala 485:23] + rvclkhdr_32.clock <= clock + rvclkhdr_32.reset <= reset + rvclkhdr_32.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_32.io.en <= _T_2275 @[el2_lib.scala 488:17] + rvclkhdr_32.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2276 : UInt, rvclkhdr_32.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2276 <= mhpmc6_ns @[el2_lib.scala 491:16] + mhpmc6 <= _T_2276 @[el2_dec_tlu_ctl.scala 2495:10] + node _T_2277 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2497:67] + node _T_2278 = eq(_T_2277, UInt<12>("h0b86")) @[el2_dec_tlu_ctl.scala 2497:74] + node mhpmc6h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2278) @[el2_dec_tlu_ctl.scala 2497:45] + node mhpmc6h_wr_en = or(mhpmc6h_wr_en0, mhpmc6_wr_en1) @[el2_dec_tlu_ctl.scala 2498:39] + node _T_2279 = bits(mhpmc6h_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2499:39] + node _T_2280 = bits(mhpmc6_incr, 63, 32) @[el2_dec_tlu_ctl.scala 2499:79] + node mhpmc6h_ns = mux(_T_2279, io.dec_csr_wrdata_r, _T_2280) @[el2_dec_tlu_ctl.scala 2499:23] + node _T_2281 = bits(mhpmc6h_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2501:47] + inst rvclkhdr_33 of rvclkhdr_92 @[el2_lib.scala 485:23] + rvclkhdr_33.clock <= clock + rvclkhdr_33.reset <= reset + rvclkhdr_33.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_33.io.en <= _T_2281 @[el2_lib.scala 488:17] + rvclkhdr_33.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2282 : UInt, rvclkhdr_33.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2282 <= mhpmc6h_ns @[el2_lib.scala 491:16] + mhpmc6h <= _T_2282 @[el2_dec_tlu_ctl.scala 2501:11] + node _T_2283 = bits(io.dec_csr_wrdata_r, 9, 0) @[el2_dec_tlu_ctl.scala 2508:51] + node _T_2284 = gt(_T_2283, UInt<10>("h0204")) @[el2_dec_tlu_ctl.scala 2508:57] + node _T_2285 = bits(io.dec_csr_wrdata_r, 31, 10) @[el2_dec_tlu_ctl.scala 2508:94] + node _T_2286 = orr(_T_2285) @[el2_dec_tlu_ctl.scala 2508:103] + node _T_2287 = or(_T_2284, _T_2286) @[el2_dec_tlu_ctl.scala 2508:72] + node _T_2288 = bits(io.dec_csr_wrdata_r, 9, 0) @[el2_dec_tlu_ctl.scala 2508:142] + node event_saturate_r = mux(_T_2287, UInt<10>("h0204"), _T_2288) @[el2_dec_tlu_ctl.scala 2508:29] + node _T_2289 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2510:64] + node _T_2290 = eq(_T_2289, UInt<12>("h0323")) @[el2_dec_tlu_ctl.scala 2510:71] + node wr_mhpme3_r = and(io.dec_csr_wen_r_mod, _T_2290) @[el2_dec_tlu_ctl.scala 2510:42] + node _T_2291 = bits(wr_mhpme3_r, 0, 0) @[el2_dec_tlu_ctl.scala 2512:81] + reg _T_2292 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2291 : @[Reg.scala 28:19] + _T_2292 <= event_saturate_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mhpme3 <= _T_2292 @[el2_dec_tlu_ctl.scala 2512:10] + node _T_2293 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2517:64] + node _T_2294 = eq(_T_2293, UInt<12>("h0324")) @[el2_dec_tlu_ctl.scala 2517:71] + node wr_mhpme4_r = and(io.dec_csr_wen_r_mod, _T_2294) @[el2_dec_tlu_ctl.scala 2517:42] + node _T_2295 = bits(wr_mhpme4_r, 0, 0) @[el2_dec_tlu_ctl.scala 2518:81] + reg _T_2296 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2295 : @[Reg.scala 28:19] + _T_2296 <= event_saturate_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mhpme4 <= _T_2296 @[el2_dec_tlu_ctl.scala 2518:10] + node _T_2297 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2524:64] + node _T_2298 = eq(_T_2297, UInt<12>("h0325")) @[el2_dec_tlu_ctl.scala 2524:71] + node wr_mhpme5_r = and(io.dec_csr_wen_r_mod, _T_2298) @[el2_dec_tlu_ctl.scala 2524:42] + node _T_2299 = bits(wr_mhpme5_r, 0, 0) @[el2_dec_tlu_ctl.scala 2525:81] + reg _T_2300 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2299 : @[Reg.scala 28:19] + _T_2300 <= event_saturate_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mhpme5 <= _T_2300 @[el2_dec_tlu_ctl.scala 2525:10] + node _T_2301 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2531:64] + node _T_2302 = eq(_T_2301, UInt<12>("h0326")) @[el2_dec_tlu_ctl.scala 2531:71] + node wr_mhpme6_r = and(io.dec_csr_wen_r_mod, _T_2302) @[el2_dec_tlu_ctl.scala 2531:42] + node _T_2303 = bits(wr_mhpme6_r, 0, 0) @[el2_dec_tlu_ctl.scala 2532:81] + reg _T_2304 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2303 : @[Reg.scala 28:19] + _T_2304 <= event_saturate_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mhpme6 <= _T_2304 @[el2_dec_tlu_ctl.scala 2532:10] + node _T_2305 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2548:71] + node _T_2306 = eq(_T_2305, UInt<12>("h0320")) @[el2_dec_tlu_ctl.scala 2548:78] + node wr_mcountinhibit_r = and(io.dec_csr_wen_r_mod, _T_2306) @[el2_dec_tlu_ctl.scala 2548:49] + node _T_2307 = bits(mcountinhibit, 0, 0) @[el2_dec_tlu_ctl.scala 2550:55] + wire temp_ncount0 : UInt<1> + temp_ncount0 <= _T_2307 + node _T_2308 = bits(mcountinhibit, 1, 1) @[el2_dec_tlu_ctl.scala 2551:55] + wire temp_ncount1 : UInt<1> + temp_ncount1 <= _T_2308 + node _T_2309 = bits(mcountinhibit, 6, 2) @[el2_dec_tlu_ctl.scala 2552:56] + wire temp_ncount6_2 : UInt<5> + temp_ncount6_2 <= _T_2309 + node _T_2310 = bits(io.dec_csr_wrdata_r, 6, 2) @[el2_dec_tlu_ctl.scala 2553:75] + node _T_2311 = bits(wr_mcountinhibit_r, 0, 0) @[el2_dec_tlu_ctl.scala 2553:104] + reg _T_2312 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2311 : @[Reg.scala 28:19] + _T_2312 <= _T_2310 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + temp_ncount6_2 <= _T_2312 @[el2_dec_tlu_ctl.scala 2553:18] + node _T_2313 = bits(io.dec_csr_wrdata_r, 0, 0) @[el2_dec_tlu_ctl.scala 2555:73] + node _T_2314 = bits(wr_mcountinhibit_r, 0, 0) @[el2_dec_tlu_ctl.scala 2555:100] + reg _T_2315 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2314 : @[Reg.scala 28:19] + _T_2315 <= _T_2313 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + temp_ncount0 <= _T_2315 @[el2_dec_tlu_ctl.scala 2555:16] + node _T_2316 = cat(temp_ncount6_2, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2317 = cat(_T_2316, temp_ncount0) @[Cat.scala 29:58] + mcountinhibit <= _T_2317 @[el2_dec_tlu_ctl.scala 2556:17] + node _T_2318 = or(io.i0_valid_wb, io.exc_or_int_valid_r_d1) @[el2_dec_tlu_ctl.scala 2563:52] + node _T_2319 = or(_T_2318, io.interrupt_valid_r_d1) @[el2_dec_tlu_ctl.scala 2563:79] + node _T_2320 = or(_T_2319, io.dec_tlu_i0_valid_wb1) @[el2_dec_tlu_ctl.scala 2563:105] + node _T_2321 = or(_T_2320, io.dec_tlu_i0_exc_valid_wb1) @[el2_dec_tlu_ctl.scala 2563:131] + node _T_2322 = or(_T_2321, io.dec_tlu_int_valid_wb1) @[el2_dec_tlu_ctl.scala 2564:33] + node _T_2323 = or(_T_2322, io.clk_override) @[el2_dec_tlu_ctl.scala 2564:60] + node _T_2324 = bits(_T_2323, 0, 0) @[el2_dec_tlu_ctl.scala 2564:79] + inst rvclkhdr_34 of rvclkhdr_93 @[el2_lib.scala 474:22] + rvclkhdr_34.clock <= clock + rvclkhdr_34.reset <= reset + rvclkhdr_34.io.clk <= clock @[el2_lib.scala 475:17] + rvclkhdr_34.io.en <= _T_2324 @[el2_lib.scala 476:16] + rvclkhdr_34.io.scan_mode <= io.scan_mode @[el2_lib.scala 477:23] + reg _T_2325 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2566:63] + _T_2325 <= io.i0_valid_wb @[el2_dec_tlu_ctl.scala 2566:63] + io.dec_tlu_i0_valid_wb1 <= _T_2325 @[el2_dec_tlu_ctl.scala 2566:31] + node _T_2326 = or(io.i0_exception_valid_r_d1, io.lsu_i0_exc_r_d1) @[el2_dec_tlu_ctl.scala 2567:92] + node _T_2327 = not(io.trigger_hit_dmode_r_d1) @[el2_dec_tlu_ctl.scala 2567:138] + node _T_2328 = and(io.trigger_hit_r_d1, _T_2327) @[el2_dec_tlu_ctl.scala 2567:136] + node _T_2329 = or(_T_2326, _T_2328) @[el2_dec_tlu_ctl.scala 2567:113] + reg _T_2330 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2567:63] + _T_2330 <= _T_2329 @[el2_dec_tlu_ctl.scala 2567:63] + io.dec_tlu_i0_exc_valid_wb1 <= _T_2330 @[el2_dec_tlu_ctl.scala 2567:31] + reg _T_2331 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2568:63] + _T_2331 <= io.exc_cause_wb @[el2_dec_tlu_ctl.scala 2568:63] + io.dec_tlu_exc_cause_wb1 <= _T_2331 @[el2_dec_tlu_ctl.scala 2568:31] + reg _T_2332 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2569:63] + _T_2332 <= io.interrupt_valid_r_d1 @[el2_dec_tlu_ctl.scala 2569:63] + io.dec_tlu_int_valid_wb1 <= _T_2332 @[el2_dec_tlu_ctl.scala 2569:31] + io.dec_tlu_mtval_wb1 <= mtval @[el2_dec_tlu_ctl.scala 2571:25] + node _T_2333 = bits(io.csr_pkt.csr_misa, 0, 0) @[el2_dec_tlu_ctl.scala 2577:25] + node _T_2334 = bits(io.csr_pkt.csr_mvendorid, 0, 0) @[el2_dec_tlu_ctl.scala 2578:30] + node _T_2335 = bits(io.csr_pkt.csr_marchid, 0, 0) @[el2_dec_tlu_ctl.scala 2579:28] + node _T_2336 = bits(io.csr_pkt.csr_mimpid, 0, 0) @[el2_dec_tlu_ctl.scala 2580:27] + node _T_2337 = bits(io.csr_pkt.csr_mhartid, 0, 0) @[el2_dec_tlu_ctl.scala 2581:28] + node _T_2338 = cat(io.core_id, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_2339 = bits(io.csr_pkt.csr_mstatus, 0, 0) @[el2_dec_tlu_ctl.scala 2582:28] + node _T_2340 = bits(io.mstatus, 1, 1) @[el2_dec_tlu_ctl.scala 2582:91] + node _T_2341 = bits(io.mstatus, 0, 0) @[el2_dec_tlu_ctl.scala 2582:116] + node _T_2342 = cat(UInt<3>("h00"), _T_2341) @[Cat.scala 29:58] + node _T_2343 = cat(_T_2342, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2344 = cat(UInt<3>("h00"), _T_2340) @[Cat.scala 29:58] + node _T_2345 = cat(UInt<19>("h00"), UInt<2>("h03")) @[Cat.scala 29:58] + node _T_2346 = cat(_T_2345, _T_2344) @[Cat.scala 29:58] + node _T_2347 = cat(_T_2346, _T_2343) @[Cat.scala 29:58] + node _T_2348 = bits(io.csr_pkt.csr_mtvec, 0, 0) @[el2_dec_tlu_ctl.scala 2583:26] + node _T_2349 = bits(io.mtvec, 30, 1) @[el2_dec_tlu_ctl.scala 2583:58] + node _T_2350 = bits(io.mtvec, 0, 0) @[el2_dec_tlu_ctl.scala 2583:84] + node _T_2351 = cat(_T_2349, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2352 = cat(_T_2351, _T_2350) @[Cat.scala 29:58] + node _T_2353 = bits(io.csr_pkt.csr_mip, 0, 0) @[el2_dec_tlu_ctl.scala 2584:24] + node _T_2354 = bits(io.mip, 5, 3) @[el2_dec_tlu_ctl.scala 2584:66] + node _T_2355 = bits(io.mip, 2, 2) @[el2_dec_tlu_ctl.scala 2584:90] + node _T_2356 = bits(io.mip, 1, 1) @[el2_dec_tlu_ctl.scala 2584:111] + node _T_2357 = bits(io.mip, 0, 0) @[el2_dec_tlu_ctl.scala 2584:132] + node _T_2358 = cat(_T_2357, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2359 = cat(_T_2356, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2360 = cat(_T_2359, _T_2358) @[Cat.scala 29:58] + node _T_2361 = cat(_T_2355, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2362 = cat(UInt<1>("h00"), _T_2354) @[Cat.scala 29:58] + node _T_2363 = cat(_T_2362, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_2364 = cat(_T_2363, _T_2361) @[Cat.scala 29:58] + node _T_2365 = cat(_T_2364, _T_2360) @[Cat.scala 29:58] + node _T_2366 = bits(io.csr_pkt.csr_mie, 0, 0) @[el2_dec_tlu_ctl.scala 2585:24] + node _T_2367 = bits(mie, 5, 3) @[el2_dec_tlu_ctl.scala 2585:63] + node _T_2368 = bits(mie, 2, 2) @[el2_dec_tlu_ctl.scala 2585:84] + node _T_2369 = bits(mie, 1, 1) @[el2_dec_tlu_ctl.scala 2585:102] + node _T_2370 = bits(mie, 0, 0) @[el2_dec_tlu_ctl.scala 2585:120] + node _T_2371 = cat(_T_2370, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2372 = cat(_T_2369, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2373 = cat(_T_2372, _T_2371) @[Cat.scala 29:58] + node _T_2374 = cat(_T_2368, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2375 = cat(UInt<1>("h00"), _T_2367) @[Cat.scala 29:58] + node _T_2376 = cat(_T_2375, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_2377 = cat(_T_2376, _T_2374) @[Cat.scala 29:58] + node _T_2378 = cat(_T_2377, _T_2373) @[Cat.scala 29:58] + node _T_2379 = bits(io.csr_pkt.csr_mcyclel, 0, 0) @[el2_dec_tlu_ctl.scala 2586:28] + node _T_2380 = bits(mcyclel, 31, 0) @[el2_dec_tlu_ctl.scala 2586:53] + node _T_2381 = bits(io.csr_pkt.csr_mcycleh, 0, 0) @[el2_dec_tlu_ctl.scala 2587:28] + node _T_2382 = bits(mcycleh_inc, 31, 0) @[el2_dec_tlu_ctl.scala 2587:57] + node _T_2383 = bits(io.csr_pkt.csr_minstretl, 0, 0) @[el2_dec_tlu_ctl.scala 2588:30] + node _T_2384 = bits(minstretl, 31, 0) @[el2_dec_tlu_ctl.scala 2588:60] + node _T_2385 = bits(io.csr_pkt.csr_minstreth, 0, 0) @[el2_dec_tlu_ctl.scala 2589:30] + node _T_2386 = bits(minstreth_inc, 31, 0) @[el2_dec_tlu_ctl.scala 2589:60] + node _T_2387 = bits(io.csr_pkt.csr_mscratch, 0, 0) @[el2_dec_tlu_ctl.scala 2590:29] + node _T_2388 = bits(mscratch, 31, 0) @[el2_dec_tlu_ctl.scala 2590:54] + node _T_2389 = bits(io.csr_pkt.csr_mepc, 0, 0) @[el2_dec_tlu_ctl.scala 2591:25] + node _T_2390 = cat(io.mepc, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2391 = bits(io.csr_pkt.csr_mcause, 0, 0) @[el2_dec_tlu_ctl.scala 2592:27] + node _T_2392 = bits(mcause, 31, 0) @[el2_dec_tlu_ctl.scala 2592:52] + node _T_2393 = bits(io.csr_pkt.csr_mscause, 0, 0) @[el2_dec_tlu_ctl.scala 2593:28] + node _T_2394 = bits(mscause, 3, 0) @[el2_dec_tlu_ctl.scala 2593:68] + node _T_2395 = cat(UInt<28>("h00"), _T_2394) @[Cat.scala 29:58] + node _T_2396 = bits(io.csr_pkt.csr_mtval, 0, 0) @[el2_dec_tlu_ctl.scala 2594:26] + node _T_2397 = bits(mtval, 31, 0) @[el2_dec_tlu_ctl.scala 2594:51] + node _T_2398 = bits(io.csr_pkt.csr_mrac, 0, 0) @[el2_dec_tlu_ctl.scala 2595:25] + node _T_2399 = bits(mrac, 31, 0) @[el2_dec_tlu_ctl.scala 2595:50] + node _T_2400 = bits(io.csr_pkt.csr_mdseac, 0, 0) @[el2_dec_tlu_ctl.scala 2596:27] + node _T_2401 = bits(mdseac, 31, 0) @[el2_dec_tlu_ctl.scala 2596:52] + node _T_2402 = bits(io.csr_pkt.csr_meivt, 0, 0) @[el2_dec_tlu_ctl.scala 2597:26] + node _T_2403 = cat(meivt, UInt<10>("h00")) @[Cat.scala 29:58] + node _T_2404 = bits(io.csr_pkt.csr_meihap, 0, 0) @[el2_dec_tlu_ctl.scala 2598:27] + node _T_2405 = cat(meivt, meihap) @[Cat.scala 29:58] + node _T_2406 = cat(_T_2405, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_2407 = bits(io.csr_pkt.csr_meicurpl, 0, 0) @[el2_dec_tlu_ctl.scala 2599:29] + node _T_2408 = bits(meicurpl, 3, 0) @[el2_dec_tlu_ctl.scala 2599:69] + node _T_2409 = cat(UInt<28>("h00"), _T_2408) @[Cat.scala 29:58] + node _T_2410 = bits(io.csr_pkt.csr_meicidpl, 0, 0) @[el2_dec_tlu_ctl.scala 2600:29] + node _T_2411 = bits(meicidpl, 3, 0) @[el2_dec_tlu_ctl.scala 2600:69] + node _T_2412 = cat(UInt<28>("h00"), _T_2411) @[Cat.scala 29:58] + node _T_2413 = bits(io.csr_pkt.csr_meipt, 0, 0) @[el2_dec_tlu_ctl.scala 2601:26] + node _T_2414 = bits(meipt, 3, 0) @[el2_dec_tlu_ctl.scala 2601:66] + node _T_2415 = cat(UInt<28>("h00"), _T_2414) @[Cat.scala 29:58] + node _T_2416 = bits(io.csr_pkt.csr_mcgc, 0, 0) @[el2_dec_tlu_ctl.scala 2602:25] + node _T_2417 = bits(mcgc, 8, 0) @[el2_dec_tlu_ctl.scala 2602:65] + node _T_2418 = cat(UInt<23>("h00"), _T_2417) @[Cat.scala 29:58] + node _T_2419 = bits(io.csr_pkt.csr_mfdc, 0, 0) @[el2_dec_tlu_ctl.scala 2603:25] + node _T_2420 = bits(mfdc, 18, 0) @[el2_dec_tlu_ctl.scala 2603:65] + node _T_2421 = cat(UInt<13>("h00"), _T_2420) @[Cat.scala 29:58] + node _T_2422 = bits(io.csr_pkt.csr_dcsr, 0, 0) @[el2_dec_tlu_ctl.scala 2604:25] + node _T_2423 = bits(io.dcsr, 15, 2) @[el2_dec_tlu_ctl.scala 2604:73] + node _T_2424 = cat(UInt<16>("h04000"), _T_2423) @[Cat.scala 29:58] + node _T_2425 = cat(_T_2424, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_2426 = bits(io.csr_pkt.csr_dpc, 0, 0) @[el2_dec_tlu_ctl.scala 2605:24] + node _T_2427 = cat(io.dpc, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2428 = bits(io.csr_pkt.csr_dicad0, 0, 0) @[el2_dec_tlu_ctl.scala 2606:27] + node _T_2429 = bits(dicad0, 31, 0) @[el2_dec_tlu_ctl.scala 2606:52] + node _T_2430 = bits(io.csr_pkt.csr_dicad0h, 0, 0) @[el2_dec_tlu_ctl.scala 2607:28] + node _T_2431 = bits(dicad0h, 31, 0) @[el2_dec_tlu_ctl.scala 2607:53] + node _T_2432 = bits(io.csr_pkt.csr_dicad1, 0, 0) @[el2_dec_tlu_ctl.scala 2608:27] + node _T_2433 = bits(dicad1, 31, 0) @[el2_dec_tlu_ctl.scala 2608:52] + node _T_2434 = bits(io.csr_pkt.csr_dicawics, 0, 0) @[el2_dec_tlu_ctl.scala 2609:29] + node _T_2435 = bits(dicawics, 16, 16) @[el2_dec_tlu_ctl.scala 2609:68] + node _T_2436 = bits(dicawics, 15, 14) @[el2_dec_tlu_ctl.scala 2609:92] + node _T_2437 = bits(dicawics, 13, 0) @[el2_dec_tlu_ctl.scala 2609:119] + node _T_2438 = cat(UInt<3>("h00"), _T_2437) @[Cat.scala 29:58] + node _T_2439 = cat(_T_2438, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2440 = cat(UInt<2>("h00"), _T_2436) @[Cat.scala 29:58] + node _T_2441 = cat(UInt<7>("h00"), _T_2435) @[Cat.scala 29:58] + node _T_2442 = cat(_T_2441, _T_2440) @[Cat.scala 29:58] + node _T_2443 = cat(_T_2442, _T_2439) @[Cat.scala 29:58] + node _T_2444 = bits(io.csr_pkt.csr_mtsel, 0, 0) @[el2_dec_tlu_ctl.scala 2610:26] + node _T_2445 = bits(mtsel, 1, 0) @[el2_dec_tlu_ctl.scala 2610:66] + node _T_2446 = cat(UInt<30>("h00"), _T_2445) @[Cat.scala 29:58] + node _T_2447 = bits(io.csr_pkt.csr_mtdata1, 0, 0) @[el2_dec_tlu_ctl.scala 2611:28] + node _T_2448 = bits(mtdata1_tsel_out, 31, 0) @[el2_dec_tlu_ctl.scala 2611:62] + node _T_2449 = bits(io.csr_pkt.csr_mtdata2, 0, 0) @[el2_dec_tlu_ctl.scala 2612:28] + node _T_2450 = bits(mtdata2_tsel_out, 31, 0) @[el2_dec_tlu_ctl.scala 2612:62] + node _T_2451 = bits(io.csr_pkt.csr_micect, 0, 0) @[el2_dec_tlu_ctl.scala 2613:27] + node _T_2452 = bits(micect, 31, 0) @[el2_dec_tlu_ctl.scala 2613:52] + node _T_2453 = bits(io.csr_pkt.csr_miccmect, 0, 0) @[el2_dec_tlu_ctl.scala 2614:29] + node _T_2454 = bits(miccmect, 31, 0) @[el2_dec_tlu_ctl.scala 2614:54] + node _T_2455 = bits(io.csr_pkt.csr_mdccmect, 0, 0) @[el2_dec_tlu_ctl.scala 2615:29] + node _T_2456 = bits(mdccmect, 31, 0) @[el2_dec_tlu_ctl.scala 2615:54] + node _T_2457 = bits(io.csr_pkt.csr_mhpmc3, 0, 0) @[el2_dec_tlu_ctl.scala 2616:27] + node _T_2458 = bits(mhpmc3, 31, 0) @[el2_dec_tlu_ctl.scala 2616:52] + node _T_2459 = bits(io.csr_pkt.csr_mhpmc4, 0, 0) @[el2_dec_tlu_ctl.scala 2617:27] + node _T_2460 = bits(mhpmc4, 31, 0) @[el2_dec_tlu_ctl.scala 2617:52] + node _T_2461 = bits(io.csr_pkt.csr_mhpmc5, 0, 0) @[el2_dec_tlu_ctl.scala 2618:27] + node _T_2462 = bits(mhpmc5, 31, 0) @[el2_dec_tlu_ctl.scala 2618:52] + node _T_2463 = bits(io.csr_pkt.csr_mhpmc6, 0, 0) @[el2_dec_tlu_ctl.scala 2619:27] + node _T_2464 = bits(mhpmc6, 31, 0) @[el2_dec_tlu_ctl.scala 2619:52] + node _T_2465 = bits(io.csr_pkt.csr_mhpmc3h, 0, 0) @[el2_dec_tlu_ctl.scala 2620:28] + node _T_2466 = bits(mhpmc3h, 31, 0) @[el2_dec_tlu_ctl.scala 2620:53] + node _T_2467 = bits(io.csr_pkt.csr_mhpmc4h, 0, 0) @[el2_dec_tlu_ctl.scala 2621:28] + node _T_2468 = bits(mhpmc4h, 31, 0) @[el2_dec_tlu_ctl.scala 2621:53] + node _T_2469 = bits(io.csr_pkt.csr_mhpmc5h, 0, 0) @[el2_dec_tlu_ctl.scala 2622:28] + node _T_2470 = bits(mhpmc5h, 31, 0) @[el2_dec_tlu_ctl.scala 2622:53] + node _T_2471 = bits(io.csr_pkt.csr_mhpmc6h, 0, 0) @[el2_dec_tlu_ctl.scala 2623:28] + node _T_2472 = bits(mhpmc6h, 31, 0) @[el2_dec_tlu_ctl.scala 2623:53] + node _T_2473 = bits(io.csr_pkt.csr_mfdht, 0, 0) @[el2_dec_tlu_ctl.scala 2624:26] + node _T_2474 = bits(mfdht, 5, 0) @[el2_dec_tlu_ctl.scala 2624:66] + node _T_2475 = cat(UInt<26>("h00"), _T_2474) @[Cat.scala 29:58] + node _T_2476 = bits(io.csr_pkt.csr_mfdhs, 0, 0) @[el2_dec_tlu_ctl.scala 2625:26] + node _T_2477 = bits(mfdhs, 1, 0) @[el2_dec_tlu_ctl.scala 2625:66] + node _T_2478 = cat(UInt<30>("h00"), _T_2477) @[Cat.scala 29:58] + node _T_2479 = bits(io.csr_pkt.csr_mhpme3, 0, 0) @[el2_dec_tlu_ctl.scala 2626:27] + node _T_2480 = bits(mhpme3, 9, 0) @[el2_dec_tlu_ctl.scala 2626:67] + node _T_2481 = cat(UInt<22>("h00"), _T_2480) @[Cat.scala 29:58] + node _T_2482 = bits(io.csr_pkt.csr_mhpme4, 0, 0) @[el2_dec_tlu_ctl.scala 2627:27] + node _T_2483 = bits(mhpme4, 9, 0) @[el2_dec_tlu_ctl.scala 2627:67] + node _T_2484 = cat(UInt<22>("h00"), _T_2483) @[Cat.scala 29:58] + node _T_2485 = bits(io.csr_pkt.csr_mhpme5, 0, 0) @[el2_dec_tlu_ctl.scala 2628:27] + node _T_2486 = bits(mhpme5, 9, 0) @[el2_dec_tlu_ctl.scala 2628:66] + node _T_2487 = cat(UInt<22>("h00"), _T_2486) @[Cat.scala 29:58] + node _T_2488 = bits(io.csr_pkt.csr_mhpme6, 0, 0) @[el2_dec_tlu_ctl.scala 2629:27] + node _T_2489 = bits(mhpme6, 9, 0) @[el2_dec_tlu_ctl.scala 2629:66] + node _T_2490 = cat(UInt<22>("h00"), _T_2489) @[Cat.scala 29:58] + node _T_2491 = bits(io.csr_pkt.csr_mcountinhibit, 0, 0) @[el2_dec_tlu_ctl.scala 2630:34] + node _T_2492 = bits(mcountinhibit, 6, 0) @[el2_dec_tlu_ctl.scala 2630:74] + node _T_2493 = cat(UInt<25>("h00"), _T_2492) @[Cat.scala 29:58] + node _T_2494 = bits(io.csr_pkt.csr_mpmc, 0, 0) @[el2_dec_tlu_ctl.scala 2631:25] + node _T_2495 = cat(UInt<30>("h00"), mpmc) @[Cat.scala 29:58] + node _T_2496 = cat(_T_2495, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2497 = bits(io.dec_timer_read_d, 0, 0) @[el2_dec_tlu_ctl.scala 2632:25] + node _T_2498 = bits(io.dec_timer_rddata_d, 31, 0) @[el2_dec_tlu_ctl.scala 2632:64] + node _T_2499 = mux(_T_2333, UInt<32>("h040001104"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2500 = mux(_T_2334, UInt<32>("h045"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2501 = mux(_T_2335, UInt<32>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2502 = mux(_T_2336, UInt<32>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2503 = mux(_T_2337, _T_2338, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2504 = mux(_T_2339, _T_2347, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2505 = mux(_T_2348, _T_2352, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2506 = mux(_T_2353, _T_2365, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2507 = mux(_T_2366, _T_2378, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2508 = mux(_T_2379, _T_2380, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2509 = mux(_T_2381, _T_2382, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2510 = mux(_T_2383, _T_2384, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2511 = mux(_T_2385, _T_2386, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2512 = mux(_T_2387, _T_2388, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2513 = mux(_T_2389, _T_2390, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2514 = mux(_T_2391, _T_2392, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2515 = mux(_T_2393, _T_2395, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2516 = mux(_T_2396, _T_2397, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2517 = mux(_T_2398, _T_2399, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2518 = mux(_T_2400, _T_2401, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2519 = mux(_T_2402, _T_2403, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2520 = mux(_T_2404, _T_2406, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2521 = mux(_T_2407, _T_2409, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2522 = mux(_T_2410, _T_2412, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2523 = mux(_T_2413, _T_2415, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2524 = mux(_T_2416, _T_2418, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2525 = mux(_T_2419, _T_2421, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2526 = mux(_T_2422, _T_2425, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2527 = mux(_T_2426, _T_2427, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2528 = mux(_T_2428, _T_2429, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2529 = mux(_T_2430, _T_2431, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2530 = mux(_T_2432, _T_2433, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2531 = mux(_T_2434, _T_2443, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2532 = mux(_T_2444, _T_2446, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2533 = mux(_T_2447, _T_2448, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2534 = mux(_T_2449, _T_2450, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2535 = mux(_T_2451, _T_2452, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2536 = mux(_T_2453, _T_2454, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2537 = mux(_T_2455, _T_2456, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2538 = mux(_T_2457, _T_2458, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2539 = mux(_T_2459, _T_2460, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2540 = mux(_T_2461, _T_2462, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2541 = mux(_T_2463, _T_2464, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2542 = mux(_T_2465, _T_2466, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2543 = mux(_T_2467, _T_2468, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2544 = mux(_T_2469, _T_2470, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2545 = mux(_T_2471, _T_2472, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2546 = mux(_T_2473, _T_2475, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2547 = mux(_T_2476, _T_2478, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2548 = mux(_T_2479, _T_2481, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2549 = mux(_T_2482, _T_2484, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2550 = mux(_T_2485, _T_2487, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2551 = mux(_T_2488, _T_2490, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2552 = mux(_T_2491, _T_2493, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2553 = mux(_T_2494, _T_2496, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2554 = mux(_T_2497, _T_2498, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2555 = or(_T_2499, _T_2500) @[Mux.scala 27:72] + node _T_2556 = or(_T_2555, _T_2501) @[Mux.scala 27:72] + node _T_2557 = or(_T_2556, _T_2502) @[Mux.scala 27:72] + node _T_2558 = or(_T_2557, _T_2503) @[Mux.scala 27:72] + node _T_2559 = or(_T_2558, _T_2504) @[Mux.scala 27:72] + node _T_2560 = or(_T_2559, _T_2505) @[Mux.scala 27:72] + node _T_2561 = or(_T_2560, _T_2506) @[Mux.scala 27:72] + node _T_2562 = or(_T_2561, _T_2507) @[Mux.scala 27:72] + node _T_2563 = or(_T_2562, _T_2508) @[Mux.scala 27:72] + node _T_2564 = or(_T_2563, _T_2509) @[Mux.scala 27:72] + node _T_2565 = or(_T_2564, _T_2510) @[Mux.scala 27:72] + node _T_2566 = or(_T_2565, _T_2511) @[Mux.scala 27:72] + node _T_2567 = or(_T_2566, _T_2512) @[Mux.scala 27:72] + node _T_2568 = or(_T_2567, _T_2513) @[Mux.scala 27:72] + node _T_2569 = or(_T_2568, _T_2514) @[Mux.scala 27:72] + node _T_2570 = or(_T_2569, _T_2515) @[Mux.scala 27:72] + node _T_2571 = or(_T_2570, _T_2516) @[Mux.scala 27:72] + node _T_2572 = or(_T_2571, _T_2517) @[Mux.scala 27:72] + node _T_2573 = or(_T_2572, _T_2518) @[Mux.scala 27:72] + node _T_2574 = or(_T_2573, _T_2519) @[Mux.scala 27:72] + node _T_2575 = or(_T_2574, _T_2520) @[Mux.scala 27:72] + node _T_2576 = or(_T_2575, _T_2521) @[Mux.scala 27:72] + node _T_2577 = or(_T_2576, _T_2522) @[Mux.scala 27:72] + node _T_2578 = or(_T_2577, _T_2523) @[Mux.scala 27:72] + node _T_2579 = or(_T_2578, _T_2524) @[Mux.scala 27:72] + node _T_2580 = or(_T_2579, _T_2525) @[Mux.scala 27:72] + node _T_2581 = or(_T_2580, _T_2526) @[Mux.scala 27:72] + node _T_2582 = or(_T_2581, _T_2527) @[Mux.scala 27:72] + node _T_2583 = or(_T_2582, _T_2528) @[Mux.scala 27:72] + node _T_2584 = or(_T_2583, _T_2529) @[Mux.scala 27:72] + node _T_2585 = or(_T_2584, _T_2530) @[Mux.scala 27:72] + node _T_2586 = or(_T_2585, _T_2531) @[Mux.scala 27:72] + node _T_2587 = or(_T_2586, _T_2532) @[Mux.scala 27:72] + node _T_2588 = or(_T_2587, _T_2533) @[Mux.scala 27:72] + node _T_2589 = or(_T_2588, _T_2534) @[Mux.scala 27:72] + node _T_2590 = or(_T_2589, _T_2535) @[Mux.scala 27:72] + node _T_2591 = or(_T_2590, _T_2536) @[Mux.scala 27:72] + node _T_2592 = or(_T_2591, _T_2537) @[Mux.scala 27:72] + node _T_2593 = or(_T_2592, _T_2538) @[Mux.scala 27:72] + node _T_2594 = or(_T_2593, _T_2539) @[Mux.scala 27:72] + node _T_2595 = or(_T_2594, _T_2540) @[Mux.scala 27:72] + node _T_2596 = or(_T_2595, _T_2541) @[Mux.scala 27:72] + node _T_2597 = or(_T_2596, _T_2542) @[Mux.scala 27:72] + node _T_2598 = or(_T_2597, _T_2543) @[Mux.scala 27:72] + node _T_2599 = or(_T_2598, _T_2544) @[Mux.scala 27:72] + node _T_2600 = or(_T_2599, _T_2545) @[Mux.scala 27:72] + node _T_2601 = or(_T_2600, _T_2546) @[Mux.scala 27:72] + node _T_2602 = or(_T_2601, _T_2547) @[Mux.scala 27:72] + node _T_2603 = or(_T_2602, _T_2548) @[Mux.scala 27:72] + node _T_2604 = or(_T_2603, _T_2549) @[Mux.scala 27:72] + node _T_2605 = or(_T_2604, _T_2550) @[Mux.scala 27:72] + node _T_2606 = or(_T_2605, _T_2551) @[Mux.scala 27:72] + node _T_2607 = or(_T_2606, _T_2552) @[Mux.scala 27:72] + node _T_2608 = or(_T_2607, _T_2553) @[Mux.scala 27:72] + node _T_2609 = or(_T_2608, _T_2554) @[Mux.scala 27:72] + wire _T_2610 : UInt @[Mux.scala 27:72] + _T_2610 <= _T_2609 @[Mux.scala 27:72] + io.dec_csr_rddata_d <= _T_2610 @[el2_dec_tlu_ctl.scala 2576:22] + + module el2_dec_decode_csr_read : + input clock : Clock + input reset : Reset + output io : {flip dec_csr_rdaddr_d : UInt<12>, csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>}} + + node _T = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1 = eq(_T, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_2 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_3 = eq(_T_2, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_4 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_5 = eq(_T_4, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_6 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_7 = eq(_T_6, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_8 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_9 = and(_T_1, _T_3) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_10 = and(_T_9, _T_5) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_11 = and(_T_10, _T_7) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_12 = and(_T_11, _T_8) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_misa <= _T_12 @[el2_dec_tlu_ctl.scala 2650:49] + node _T_13 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_14 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_16 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_17 = eq(_T_16, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_18 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_19 = and(_T_13, _T_15) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_20 = and(_T_19, _T_17) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_21 = and(_T_20, _T_18) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mvendorid <= _T_21 @[el2_dec_tlu_ctl.scala 2651:49] + node _T_22 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_23 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_24 = eq(_T_23, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_25 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_26 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_27 = eq(_T_26, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_28 = and(_T_22, _T_24) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_29 = and(_T_28, _T_25) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_30 = and(_T_29, _T_27) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_marchid <= _T_30 @[el2_dec_tlu_ctl.scala 2652:57] + node _T_31 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_32 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_34 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_35 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_36 = and(_T_31, _T_33) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_37 = and(_T_36, _T_34) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_38 = and(_T_37, _T_35) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mimpid <= _T_38 @[el2_dec_tlu_ctl.scala 2653:57] + node _T_39 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_40 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_41 = eq(_T_40, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_42 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_43 = and(_T_39, _T_41) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_44 = and(_T_43, _T_42) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhartid <= _T_44 @[el2_dec_tlu_ctl.scala 2654:57] + node _T_45 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_46 = eq(_T_45, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_47 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_49 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_50 = eq(_T_49, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_51 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_52 = eq(_T_51, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_53 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_54 = eq(_T_53, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_55 = and(_T_46, _T_48) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_56 = and(_T_55, _T_50) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_57 = and(_T_56, _T_52) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_58 = and(_T_57, _T_54) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mstatus <= _T_58 @[el2_dec_tlu_ctl.scala 2655:57] + node _T_59 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_60 = eq(_T_59, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_61 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_62 = eq(_T_61, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_63 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_64 = eq(_T_63, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_65 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_66 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_67 = and(_T_60, _T_62) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_68 = and(_T_67, _T_64) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_69 = and(_T_68, _T_65) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_70 = and(_T_69, _T_66) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mtvec <= _T_70 @[el2_dec_tlu_ctl.scala 2656:49] + node _T_71 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_72 = eq(_T_71, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_73 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_74 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_75 = and(_T_72, _T_73) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_76 = and(_T_75, _T_74) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mip <= _T_76 @[el2_dec_tlu_ctl.scala 2657:57] + node _T_77 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_78 = eq(_T_77, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_79 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_80 = eq(_T_79, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_81 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_82 = eq(_T_81, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_83 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_84 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_85 = eq(_T_84, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_86 = and(_T_78, _T_80) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_87 = and(_T_86, _T_82) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_88 = and(_T_87, _T_83) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_89 = and(_T_88, _T_85) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mie <= _T_89 @[el2_dec_tlu_ctl.scala 2658:57] + node _T_90 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_91 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_92 = eq(_T_91, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_93 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_94 = eq(_T_93, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_95 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_96 = eq(_T_95, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_97 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_98 = eq(_T_97, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_99 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_100 = eq(_T_99, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_101 = and(_T_90, _T_92) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_102 = and(_T_101, _T_94) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_103 = and(_T_102, _T_96) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_104 = and(_T_103, _T_98) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_105 = and(_T_104, _T_100) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mcyclel <= _T_105 @[el2_dec_tlu_ctl.scala 2659:57] + node _T_106 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_107 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_108 = eq(_T_107, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_109 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_111 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_112 = eq(_T_111, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_113 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_114 = eq(_T_113, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_115 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_116 = eq(_T_115, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_117 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_118 = eq(_T_117, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_119 = and(_T_106, _T_108) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_120 = and(_T_119, _T_110) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_121 = and(_T_120, _T_112) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_122 = and(_T_121, _T_114) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_123 = and(_T_122, _T_116) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_124 = and(_T_123, _T_118) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mcycleh <= _T_124 @[el2_dec_tlu_ctl.scala 2660:57] + node _T_125 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_127 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_128 = eq(_T_127, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_129 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_130 = eq(_T_129, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_131 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_132 = eq(_T_131, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_133 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_134 = eq(_T_133, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_135 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_136 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_137 = eq(_T_136, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_138 = and(_T_126, _T_128) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_139 = and(_T_138, _T_130) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_140 = and(_T_139, _T_132) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_141 = and(_T_140, _T_134) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_142 = and(_T_141, _T_135) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_143 = and(_T_142, _T_137) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_minstretl <= _T_143 @[el2_dec_tlu_ctl.scala 2661:49] + node _T_144 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_145 = eq(_T_144, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_146 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_147 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_148 = eq(_T_147, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_149 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_150 = eq(_T_149, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_151 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_152 = eq(_T_151, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_153 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_154 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_155 = eq(_T_154, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_156 = and(_T_145, _T_146) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_157 = and(_T_156, _T_148) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_158 = and(_T_157, _T_150) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_159 = and(_T_158, _T_152) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_160 = and(_T_159, _T_153) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_161 = and(_T_160, _T_155) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_minstreth <= _T_161 @[el2_dec_tlu_ctl.scala 2662:49] + node _T_162 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_163 = eq(_T_162, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_164 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_165 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_166 = eq(_T_165, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_167 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_168 = eq(_T_167, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_169 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_170 = eq(_T_169, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_171 = and(_T_163, _T_164) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_172 = and(_T_171, _T_166) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_173 = and(_T_172, _T_168) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_174 = and(_T_173, _T_170) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mscratch <= _T_174 @[el2_dec_tlu_ctl.scala 2663:49] + node _T_175 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_176 = eq(_T_175, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_177 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_178 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_179 = eq(_T_178, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_180 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_181 = and(_T_176, _T_177) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_182 = and(_T_181, _T_179) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_183 = and(_T_182, _T_180) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mepc <= _T_183 @[el2_dec_tlu_ctl.scala 2664:49] + node _T_184 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_185 = eq(_T_184, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_186 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_187 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_188 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_189 = eq(_T_188, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_190 = and(_T_185, _T_186) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_191 = and(_T_190, _T_187) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_192 = and(_T_191, _T_189) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mcause <= _T_192 @[el2_dec_tlu_ctl.scala 2665:57] + node _T_193 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_194 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_195 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_196 = and(_T_193, _T_194) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_197 = and(_T_196, _T_195) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mscause <= _T_197 @[el2_dec_tlu_ctl.scala 2666:57] + node _T_198 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_199 = eq(_T_198, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_200 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_201 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_202 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_203 = and(_T_199, _T_200) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_204 = and(_T_203, _T_201) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_205 = and(_T_204, _T_202) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mtval <= _T_205 @[el2_dec_tlu_ctl.scala 2667:49] + node _T_206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_208 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_209 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_210 = eq(_T_209, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_211 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_212 = eq(_T_211, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_213 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_214 = eq(_T_213, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_215 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_216 = eq(_T_215, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_217 = and(_T_207, _T_208) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_218 = and(_T_217, _T_210) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_219 = and(_T_218, _T_212) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_220 = and(_T_219, _T_214) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_221 = and(_T_220, _T_216) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mrac <= _T_221 @[el2_dec_tlu_ctl.scala 2668:49] + node _T_222 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_223 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_224 = eq(_T_223, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_225 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_226 = eq(_T_225, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_227 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_228 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_229 = eq(_T_228, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_230 = and(_T_222, _T_224) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_231 = and(_T_230, _T_226) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_232 = and(_T_231, _T_227) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_233 = and(_T_232, _T_229) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dmst <= _T_233 @[el2_dec_tlu_ctl.scala 2669:49] + node _T_234 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_235 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_236 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_237 = eq(_T_236, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_238 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_239 = eq(_T_238, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_240 = and(_T_234, _T_235) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_241 = and(_T_240, _T_237) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_242 = and(_T_241, _T_239) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mdseac <= _T_242 @[el2_dec_tlu_ctl.scala 2670:57] + node _T_243 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_244 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_245 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_246 = and(_T_243, _T_244) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_247 = and(_T_246, _T_245) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_meihap <= _T_247 @[el2_dec_tlu_ctl.scala 2671:57] + node _T_248 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_250 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_251 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_252 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_253 = eq(_T_252, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_254 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_255 = eq(_T_254, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_256 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_258 = and(_T_249, _T_250) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_259 = and(_T_258, _T_251) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_260 = and(_T_259, _T_253) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_261 = and(_T_260, _T_255) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_262 = and(_T_261, _T_257) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_meivt <= _T_262 @[el2_dec_tlu_ctl.scala 2672:49] + node _T_263 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_264 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_265 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_266 = eq(_T_265, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_267 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_268 = and(_T_263, _T_264) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_269 = and(_T_268, _T_266) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_270 = and(_T_269, _T_267) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_meipt <= _T_270 @[el2_dec_tlu_ctl.scala 2673:49] + node _T_271 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_272 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_273 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_274 = and(_T_271, _T_272) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_275 = and(_T_274, _T_273) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_meicurpl <= _T_275 @[el2_dec_tlu_ctl.scala 2674:49] + node _T_276 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_277 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_278 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_279 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_280 = and(_T_276, _T_277) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_281 = and(_T_280, _T_278) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_282 = and(_T_281, _T_279) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_meicidpl <= _T_282 @[el2_dec_tlu_ctl.scala 2675:49] + node _T_283 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_284 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_285 = eq(_T_284, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_286 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_287 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_288 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_289 = eq(_T_288, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_290 = and(_T_283, _T_285) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_291 = and(_T_290, _T_286) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_292 = and(_T_291, _T_287) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_293 = and(_T_292, _T_289) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dcsr <= _T_293 @[el2_dec_tlu_ctl.scala 2676:49] + node _T_294 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_295 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_296 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_297 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_298 = eq(_T_297, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_299 = and(_T_294, _T_295) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_300 = and(_T_299, _T_296) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_301 = and(_T_300, _T_298) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mcgc <= _T_301 @[el2_dec_tlu_ctl.scala 2677:49] + node _T_302 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_303 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_304 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_305 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_307 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_308 = and(_T_302, _T_303) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_309 = and(_T_308, _T_304) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_310 = and(_T_309, _T_306) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_311 = and(_T_310, _T_307) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mfdc <= _T_311 @[el2_dec_tlu_ctl.scala 2678:49] + node _T_312 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_313 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_315 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_316 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_317 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_318 = and(_T_312, _T_314) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_319 = and(_T_318, _T_315) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_320 = and(_T_319, _T_316) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_321 = and(_T_320, _T_317) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dpc <= _T_321 @[el2_dec_tlu_ctl.scala 2679:57] + node _T_322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_323 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_324 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_326 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_328 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_330 = and(_T_322, _T_323) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_331 = and(_T_330, _T_325) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_332 = and(_T_331, _T_327) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_333 = and(_T_332, _T_329) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mtsel <= _T_333 @[el2_dec_tlu_ctl.scala 2680:49] + node _T_334 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_335 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_336 = eq(_T_335, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_337 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_339 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_340 = and(_T_334, _T_336) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_341 = and(_T_340, _T_338) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_342 = and(_T_341, _T_339) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mtdata1 <= _T_342 @[el2_dec_tlu_ctl.scala 2681:57] + node _T_343 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_344 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_345 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_347 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_348 = and(_T_343, _T_344) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_349 = and(_T_348, _T_346) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_350 = and(_T_349, _T_347) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mtdata2 <= _T_350 @[el2_dec_tlu_ctl.scala 2682:57] + node _T_351 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_352 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_354 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_356 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_358 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_359 = eq(_T_358, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_360 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_361 = and(_T_351, _T_353) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_362 = and(_T_361, _T_355) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_363 = and(_T_362, _T_357) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_364 = and(_T_363, _T_359) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_365 = and(_T_364, _T_360) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc3 <= _T_365 @[el2_dec_tlu_ctl.scala 2683:57] + node _T_366 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_367 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_368 = eq(_T_367, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_369 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_370 = eq(_T_369, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_371 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_372 = eq(_T_371, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_373 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_374 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_375 = eq(_T_374, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_376 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_377 = eq(_T_376, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_378 = and(_T_366, _T_368) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_379 = and(_T_378, _T_370) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_380 = and(_T_379, _T_372) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_381 = and(_T_380, _T_373) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_382 = and(_T_381, _T_375) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_383 = and(_T_382, _T_377) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc4 <= _T_383 @[el2_dec_tlu_ctl.scala 2684:57] + node _T_384 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_385 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_386 = eq(_T_385, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_387 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_388 = eq(_T_387, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_389 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_390 = eq(_T_389, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_391 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_393 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_394 = and(_T_384, _T_386) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_395 = and(_T_394, _T_388) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_396 = and(_T_395, _T_390) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_397 = and(_T_396, _T_392) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_398 = and(_T_397, _T_393) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc5 <= _T_398 @[el2_dec_tlu_ctl.scala 2685:57] + node _T_399 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_401 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_402 = eq(_T_401, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_403 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_404 = eq(_T_403, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_405 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_406 = eq(_T_405, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_407 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_408 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_409 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_410 = eq(_T_409, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_411 = and(_T_400, _T_402) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_412 = and(_T_411, _T_404) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_413 = and(_T_412, _T_406) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_414 = and(_T_413, _T_407) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_415 = and(_T_414, _T_408) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_416 = and(_T_415, _T_410) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc6 <= _T_416 @[el2_dec_tlu_ctl.scala 2686:57] + node _T_417 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_418 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_420 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_421 = eq(_T_420, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_422 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_423 = eq(_T_422, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_424 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_425 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_426 = and(_T_417, _T_419) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_427 = and(_T_426, _T_421) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_428 = and(_T_427, _T_423) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_429 = and(_T_428, _T_424) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_430 = and(_T_429, _T_425) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc3h <= _T_430 @[el2_dec_tlu_ctl.scala 2687:57] + node _T_431 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_432 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_433 = eq(_T_432, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_434 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_436 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_437 = eq(_T_436, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_438 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_439 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_440 = eq(_T_439, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_441 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_442 = eq(_T_441, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_443 = and(_T_431, _T_433) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_444 = and(_T_443, _T_435) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_445 = and(_T_444, _T_437) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_446 = and(_T_445, _T_438) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_447 = and(_T_446, _T_440) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_448 = and(_T_447, _T_442) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc4h <= _T_448 @[el2_dec_tlu_ctl.scala 2688:57] + node _T_449 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_450 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_452 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_454 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_455 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_456 = eq(_T_455, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_457 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_458 = and(_T_449, _T_451) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_459 = and(_T_458, _T_453) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_460 = and(_T_459, _T_454) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_461 = and(_T_460, _T_456) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_462 = and(_T_461, _T_457) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc5h <= _T_462 @[el2_dec_tlu_ctl.scala 2689:57] + node _T_463 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_464 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_465 = eq(_T_464, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_466 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_468 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_469 = eq(_T_468, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_470 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_471 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_472 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_473 = eq(_T_472, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_474 = and(_T_463, _T_465) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_475 = and(_T_474, _T_467) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_476 = and(_T_475, _T_469) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_477 = and(_T_476, _T_470) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_478 = and(_T_477, _T_471) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_479 = and(_T_478, _T_473) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc6h <= _T_479 @[el2_dec_tlu_ctl.scala 2690:57] + node _T_480 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_482 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_483 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_484 = eq(_T_483, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_485 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_487 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_489 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_490 = and(_T_481, _T_482) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_491 = and(_T_490, _T_484) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_492 = and(_T_491, _T_486) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_493 = and(_T_492, _T_488) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_494 = and(_T_493, _T_489) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpme3 <= _T_494 @[el2_dec_tlu_ctl.scala 2691:57] + node _T_495 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_496 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_498 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_499 = eq(_T_498, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_500 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_501 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_503 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_504 = eq(_T_503, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_505 = and(_T_495, _T_497) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_506 = and(_T_505, _T_499) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_507 = and(_T_506, _T_500) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_508 = and(_T_507, _T_502) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_509 = and(_T_508, _T_504) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpme4 <= _T_509 @[el2_dec_tlu_ctl.scala 2692:57] + node _T_510 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_511 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_512 = eq(_T_511, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_513 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_515 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_516 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_517 = eq(_T_516, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_518 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_519 = and(_T_510, _T_512) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_520 = and(_T_519, _T_514) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_521 = and(_T_520, _T_515) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_522 = and(_T_521, _T_517) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_523 = and(_T_522, _T_518) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpme5 <= _T_523 @[el2_dec_tlu_ctl.scala 2693:57] + node _T_524 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_525 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_526 = eq(_T_525, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_527 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_528 = eq(_T_527, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_529 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_530 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_531 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_532 = eq(_T_531, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_533 = and(_T_524, _T_526) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_534 = and(_T_533, _T_528) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_535 = and(_T_534, _T_529) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_536 = and(_T_535, _T_530) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_537 = and(_T_536, _T_532) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpme6 <= _T_537 @[el2_dec_tlu_ctl.scala 2694:57] + node _T_538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_539 = eq(_T_538, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_540 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_541 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_542 = eq(_T_541, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_544 = eq(_T_543, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_545 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_546 = eq(_T_545, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_547 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_548 = eq(_T_547, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_549 = and(_T_539, _T_540) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_550 = and(_T_549, _T_542) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_551 = and(_T_550, _T_544) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_552 = and(_T_551, _T_546) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_553 = and(_T_552, _T_548) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mcountinhibit <= _T_553 @[el2_dec_tlu_ctl.scala 2695:41] + node _T_554 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_555 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_556 = eq(_T_555, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_557 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_558 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_559 = eq(_T_558, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_560 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_561 = eq(_T_560, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_562 = and(_T_554, _T_556) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_563 = and(_T_562, _T_557) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_564 = and(_T_563, _T_559) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_565 = and(_T_564, _T_561) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mitctl0 <= _T_565 @[el2_dec_tlu_ctl.scala 2696:57] + node _T_566 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_567 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_568 = eq(_T_567, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_569 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_570 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_571 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_572 = and(_T_566, _T_568) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_573 = and(_T_572, _T_569) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_574 = and(_T_573, _T_570) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_575 = and(_T_574, _T_571) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mitctl1 <= _T_575 @[el2_dec_tlu_ctl.scala 2697:57] + node _T_576 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_577 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_578 = eq(_T_577, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_579 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_580 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_581 = eq(_T_580, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_582 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_583 = and(_T_576, _T_578) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_584 = and(_T_583, _T_579) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_585 = and(_T_584, _T_581) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_586 = and(_T_585, _T_582) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mitb0 <= _T_586 @[el2_dec_tlu_ctl.scala 2698:49] + node _T_587 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_588 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_589 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_590 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_591 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_592 = eq(_T_591, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_593 = and(_T_587, _T_588) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_594 = and(_T_593, _T_589) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_595 = and(_T_594, _T_590) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_596 = and(_T_595, _T_592) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mitb1 <= _T_596 @[el2_dec_tlu_ctl.scala 2699:49] + node _T_597 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_598 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_599 = eq(_T_598, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_600 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_601 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_602 = eq(_T_601, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_603 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_605 = and(_T_597, _T_599) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_606 = and(_T_605, _T_600) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_607 = and(_T_606, _T_602) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_608 = and(_T_607, _T_604) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mitcnt0 <= _T_608 @[el2_dec_tlu_ctl.scala 2700:57] + node _T_609 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_610 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_611 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_612 = eq(_T_611, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_613 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_614 = and(_T_609, _T_610) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_615 = and(_T_614, _T_612) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_616 = and(_T_615, _T_613) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mitcnt1 <= _T_616 @[el2_dec_tlu_ctl.scala 2701:57] + node _T_617 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_618 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_619 = eq(_T_618, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_620 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_621 = eq(_T_620, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_622 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_623 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_624 = and(_T_617, _T_619) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_625 = and(_T_624, _T_621) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_626 = and(_T_625, _T_622) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_627 = and(_T_626, _T_623) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mpmc <= _T_627 @[el2_dec_tlu_ctl.scala 2702:49] + node _T_628 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_629 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_630 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_631 = eq(_T_630, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_632 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_634 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_635 = eq(_T_634, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_636 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_637 = and(_T_628, _T_629) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_638 = and(_T_637, _T_631) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_639 = and(_T_638, _T_633) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_640 = and(_T_639, _T_635) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_641 = and(_T_640, _T_636) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mcpc <= _T_641 @[el2_dec_tlu_ctl.scala 2703:49] + node _T_642 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_643 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_644 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_645 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_646 = eq(_T_645, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_647 = and(_T_642, _T_643) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_648 = and(_T_647, _T_644) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_649 = and(_T_648, _T_646) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_meicpct <= _T_649 @[el2_dec_tlu_ctl.scala 2704:57] + node _T_650 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_651 = eq(_T_650, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_652 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_653 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_654 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_655 = eq(_T_654, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_656 = and(_T_651, _T_652) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_657 = and(_T_656, _T_653) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_658 = and(_T_657, _T_655) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mdeau <= _T_658 @[el2_dec_tlu_ctl.scala 2705:49] + node _T_659 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_660 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_661 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_662 = eq(_T_661, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_663 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_664 = eq(_T_663, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_665 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_666 = eq(_T_665, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_667 = and(_T_659, _T_660) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_668 = and(_T_667, _T_662) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_669 = and(_T_668, _T_664) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_670 = and(_T_669, _T_666) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_micect <= _T_670 @[el2_dec_tlu_ctl.scala 2706:57] + node _T_671 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_672 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_673 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_674 = eq(_T_673, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_675 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_676 = and(_T_671, _T_672) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_677 = and(_T_676, _T_674) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_678 = and(_T_677, _T_675) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_miccmect <= _T_678 @[el2_dec_tlu_ctl.scala 2707:49] + node _T_679 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_680 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_681 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_682 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_683 = eq(_T_682, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_684 = and(_T_679, _T_680) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_685 = and(_T_684, _T_681) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_686 = and(_T_685, _T_683) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mdccmect <= _T_686 @[el2_dec_tlu_ctl.scala 2708:49] + node _T_687 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_688 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_689 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_690 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_691 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_692 = eq(_T_691, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_693 = and(_T_687, _T_688) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_694 = and(_T_693, _T_689) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_695 = and(_T_694, _T_690) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_696 = and(_T_695, _T_692) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mfdht <= _T_696 @[el2_dec_tlu_ctl.scala 2709:49] + node _T_697 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_698 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_699 = eq(_T_698, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_700 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_701 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_702 = and(_T_697, _T_699) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_703 = and(_T_702, _T_700) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_704 = and(_T_703, _T_701) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mfdhs <= _T_704 @[el2_dec_tlu_ctl.scala 2710:49] + node _T_705 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_706 = eq(_T_705, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_707 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_708 = eq(_T_707, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_709 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_710 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_711 = eq(_T_710, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_712 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_713 = eq(_T_712, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_714 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_715 = eq(_T_714, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_716 = and(_T_706, _T_708) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_717 = and(_T_716, _T_709) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_718 = and(_T_717, _T_711) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_719 = and(_T_718, _T_713) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_720 = and(_T_719, _T_715) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dicawics <= _T_720 @[el2_dec_tlu_ctl.scala 2711:49] + node _T_721 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_722 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_723 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_724 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_725 = eq(_T_724, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_726 = and(_T_721, _T_722) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_727 = and(_T_726, _T_723) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_728 = and(_T_727, _T_725) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dicad0h <= _T_728 @[el2_dec_tlu_ctl.scala 2712:57] + node _T_729 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_730 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_731 = eq(_T_730, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_732 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_733 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_734 = eq(_T_733, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_735 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_736 = and(_T_729, _T_731) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_737 = and(_T_736, _T_732) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_738 = and(_T_737, _T_734) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_739 = and(_T_738, _T_735) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dicad0 <= _T_739 @[el2_dec_tlu_ctl.scala 2713:57] + node _T_740 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_741 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_742 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_743 = eq(_T_742, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_744 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_745 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_746 = eq(_T_745, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_747 = and(_T_740, _T_741) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_748 = and(_T_747, _T_743) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_749 = and(_T_748, _T_744) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_750 = and(_T_749, _T_746) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dicad1 <= _T_750 @[el2_dec_tlu_ctl.scala 2714:57] + node _T_751 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_752 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_753 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_754 = eq(_T_753, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_755 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_756 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_757 = and(_T_751, _T_752) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_758 = and(_T_757, _T_754) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_759 = and(_T_758, _T_755) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_760 = and(_T_759, _T_756) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dicago <= _T_760 @[el2_dec_tlu_ctl.scala 2715:57] + node _T_761 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_762 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_763 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_764 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_765 = eq(_T_764, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_766 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_767 = and(_T_761, _T_762) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_768 = and(_T_767, _T_763) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_769 = and(_T_768, _T_765) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_770 = and(_T_769, _T_766) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_771 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_772 = eq(_T_771, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_773 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_774 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_775 = eq(_T_774, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_776 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_778 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_779 = eq(_T_778, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_780 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_781 = eq(_T_780, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_782 = and(_T_772, _T_773) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_783 = and(_T_782, _T_775) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_784 = and(_T_783, _T_777) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_785 = and(_T_784, _T_779) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_786 = and(_T_785, _T_781) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_787 = or(_T_770, _T_786) @[el2_dec_tlu_ctl.scala 2716:73] + node _T_788 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_789 = eq(_T_788, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_790 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_791 = eq(_T_790, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_792 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_793 = eq(_T_792, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_794 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_795 = eq(_T_794, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_796 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_797 = eq(_T_796, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_798 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_799 = and(_T_789, _T_791) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_800 = and(_T_799, _T_793) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_801 = and(_T_800, _T_795) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_802 = and(_T_801, _T_797) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_803 = and(_T_802, _T_798) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_804 = or(_T_787, _T_803) @[el2_dec_tlu_ctl.scala 2716:113] + node _T_805 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_806 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_807 = eq(_T_806, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_808 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_809 = eq(_T_808, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_810 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_811 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_812 = eq(_T_811, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_813 = and(_T_805, _T_807) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_814 = and(_T_813, _T_809) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_815 = and(_T_814, _T_810) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_816 = and(_T_815, _T_812) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_817 = or(_T_804, _T_816) @[el2_dec_tlu_ctl.scala 2716:147] + node _T_818 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_819 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_820 = eq(_T_819, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_821 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_822 = eq(_T_821, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_823 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_824 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_825 = eq(_T_824, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_826 = and(_T_818, _T_820) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_827 = and(_T_826, _T_822) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_828 = and(_T_827, _T_823) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_829 = and(_T_828, _T_825) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_830 = or(_T_817, _T_829) @[el2_dec_tlu_ctl.scala 2717:41] + node _T_831 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_832 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_833 = eq(_T_832, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_834 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_835 = eq(_T_834, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_836 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_837 = eq(_T_836, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_838 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_839 = eq(_T_838, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_840 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_841 = and(_T_831, _T_833) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_842 = and(_T_841, _T_835) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_843 = and(_T_842, _T_837) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_844 = and(_T_843, _T_839) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_845 = and(_T_844, _T_840) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_846 = or(_T_830, _T_845) @[el2_dec_tlu_ctl.scala 2717:81] + io.csr_pkt.presync <= _T_846 @[el2_dec_tlu_ctl.scala 2716:26] + node _T_847 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_848 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_849 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_850 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_851 = eq(_T_850, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_852 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_853 = and(_T_847, _T_848) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_854 = and(_T_853, _T_849) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_855 = and(_T_854, _T_851) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_856 = and(_T_855, _T_852) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_857 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_858 = eq(_T_857, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_859 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_860 = eq(_T_859, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_861 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_862 = eq(_T_861, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_863 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_864 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_865 = and(_T_858, _T_860) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_866 = and(_T_865, _T_862) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_867 = and(_T_866, _T_863) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_868 = and(_T_867, _T_864) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_869 = or(_T_856, _T_868) @[el2_dec_tlu_ctl.scala 2718:73] + node _T_870 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_871 = eq(_T_870, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_872 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_873 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_874 = eq(_T_873, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_875 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_876 = and(_T_871, _T_872) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_877 = and(_T_876, _T_874) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_878 = and(_T_877, _T_875) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_879 = or(_T_869, _T_878) @[el2_dec_tlu_ctl.scala 2718:113] + node _T_880 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_881 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_882 = eq(_T_881, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_883 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_884 = eq(_T_883, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_885 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_886 = and(_T_880, _T_882) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_887 = and(_T_886, _T_884) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_888 = and(_T_887, _T_885) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_889 = or(_T_879, _T_888) @[el2_dec_tlu_ctl.scala 2718:154] + node _T_890 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_891 = eq(_T_890, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_892 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_893 = eq(_T_892, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_894 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_895 = eq(_T_894, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_896 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_897 = eq(_T_896, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_898 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_899 = eq(_T_898, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_900 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_901 = eq(_T_900, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_902 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_903 = eq(_T_902, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_904 = and(_T_891, _T_893) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_905 = and(_T_904, _T_895) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_906 = and(_T_905, _T_897) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_907 = and(_T_906, _T_899) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_908 = and(_T_907, _T_901) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_909 = and(_T_908, _T_903) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_910 = or(_T_889, _T_909) @[el2_dec_tlu_ctl.scala 2719:41] + node _T_911 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_912 = eq(_T_911, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_913 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_914 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_915 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_916 = eq(_T_915, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_917 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_918 = eq(_T_917, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_919 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_920 = eq(_T_919, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_921 = and(_T_912, _T_913) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_922 = and(_T_921, _T_914) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_923 = and(_T_922, _T_916) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_924 = and(_T_923, _T_918) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_925 = and(_T_924, _T_920) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_926 = or(_T_910, _T_925) @[el2_dec_tlu_ctl.scala 2719:81] + node _T_927 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_928 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_929 = eq(_T_928, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_930 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_931 = eq(_T_930, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_932 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_933 = eq(_T_932, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_934 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_935 = and(_T_927, _T_929) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_936 = and(_T_935, _T_931) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_937 = and(_T_936, _T_933) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_938 = and(_T_937, _T_934) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_939 = or(_T_926, _T_938) @[el2_dec_tlu_ctl.scala 2719:114] + io.csr_pkt.postsync <= _T_939 @[el2_dec_tlu_ctl.scala 2718:24] + node _T_940 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_941 = eq(_T_940, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_942 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_943 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_944 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_945 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_946 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_947 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_948 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_949 = eq(_T_948, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_950 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_951 = eq(_T_950, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_952 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_953 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_954 = eq(_T_953, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_955 = and(_T_941, _T_942) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_956 = and(_T_955, _T_943) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_957 = and(_T_956, _T_944) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_958 = and(_T_957, _T_945) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_959 = and(_T_958, _T_946) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_960 = and(_T_959, _T_947) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_961 = and(_T_960, _T_949) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_962 = and(_T_961, _T_951) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_963 = and(_T_962, _T_952) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_964 = and(_T_963, _T_954) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_965 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_966 = eq(_T_965, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_967 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_968 = eq(_T_967, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_969 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_970 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_971 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_972 = eq(_T_971, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_973 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_974 = eq(_T_973, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_975 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_976 = eq(_T_975, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_977 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_978 = eq(_T_977, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_979 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_981 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_983 = and(_T_966, _T_968) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_984 = and(_T_983, _T_969) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_985 = and(_T_984, _T_970) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_986 = and(_T_985, _T_972) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_987 = and(_T_986, _T_974) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_988 = and(_T_987, _T_976) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_989 = and(_T_988, _T_978) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_990 = and(_T_989, _T_980) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_991 = and(_T_990, _T_982) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_992 = or(_T_964, _T_991) @[el2_dec_tlu_ctl.scala 2721:73] + node _T_993 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_994 = eq(_T_993, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_995 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_996 = eq(_T_995, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_997 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_998 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_999 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1000 = eq(_T_999, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1001 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1003 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1004 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1005 = eq(_T_1004, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1006 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1007 = eq(_T_1006, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1008 = and(_T_994, _T_996) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1009 = and(_T_1008, _T_997) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1010 = and(_T_1009, _T_998) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1011 = and(_T_1010, _T_1000) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1012 = and(_T_1011, _T_1002) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1013 = and(_T_1012, _T_1003) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1014 = and(_T_1013, _T_1005) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1015 = and(_T_1014, _T_1007) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1016 = or(_T_992, _T_1015) @[el2_dec_tlu_ctl.scala 2721:121] + node _T_1017 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1018 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1019 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1020 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1021 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1022 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1023 = eq(_T_1022, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1024 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1025 = eq(_T_1024, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1026 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1027 = eq(_T_1026, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1028 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1030 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1031 = eq(_T_1030, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1032 = and(_T_1017, _T_1018) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1033 = and(_T_1032, _T_1019) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1034 = and(_T_1033, _T_1020) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1035 = and(_T_1034, _T_1021) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1036 = and(_T_1035, _T_1023) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1037 = and(_T_1036, _T_1025) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1038 = and(_T_1037, _T_1027) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1039 = and(_T_1038, _T_1029) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1040 = and(_T_1039, _T_1031) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1041 = or(_T_1016, _T_1040) @[el2_dec_tlu_ctl.scala 2722:57] + node _T_1042 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1043 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1044 = eq(_T_1043, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1045 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1046 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1047 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1049 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1050 = eq(_T_1049, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1051 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1052 = eq(_T_1051, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1053 = and(_T_1042, _T_1044) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1054 = and(_T_1053, _T_1045) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1055 = and(_T_1054, _T_1046) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1056 = and(_T_1055, _T_1048) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1057 = and(_T_1056, _T_1050) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1058 = and(_T_1057, _T_1052) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1059 = or(_T_1041, _T_1058) @[el2_dec_tlu_ctl.scala 2722:105] + node _T_1060 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1061 = eq(_T_1060, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1062 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1063 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1064 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1065 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1066 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1067 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1068 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1069 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1070 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1071 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1072 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1073 = and(_T_1061, _T_1062) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1074 = and(_T_1073, _T_1063) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1075 = and(_T_1074, _T_1064) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1076 = and(_T_1075, _T_1065) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1077 = and(_T_1076, _T_1066) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1078 = and(_T_1077, _T_1067) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1079 = and(_T_1078, _T_1068) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1080 = and(_T_1079, _T_1069) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1081 = and(_T_1080, _T_1070) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1082 = and(_T_1081, _T_1071) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1083 = and(_T_1082, _T_1072) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1084 = or(_T_1059, _T_1083) @[el2_dec_tlu_ctl.scala 2723:65] + node _T_1085 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1086 = eq(_T_1085, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1087 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1088 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1089 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1090 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1091 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1092 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1093 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1094 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1095 = eq(_T_1094, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1096 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1097 = eq(_T_1096, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1098 = and(_T_1086, _T_1087) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1099 = and(_T_1098, _T_1088) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1100 = and(_T_1099, _T_1089) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1101 = and(_T_1100, _T_1090) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1102 = and(_T_1101, _T_1091) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1103 = and(_T_1102, _T_1092) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1104 = and(_T_1103, _T_1093) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1105 = and(_T_1104, _T_1095) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1106 = and(_T_1105, _T_1097) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1107 = or(_T_1084, _T_1106) @[el2_dec_tlu_ctl.scala 2723:113] + node _T_1108 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1109 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1110 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1111 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1112 = eq(_T_1111, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1113 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1115 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1116 = eq(_T_1115, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1117 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1118 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1119 = eq(_T_1118, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1120 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1121 = eq(_T_1120, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1122 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1123 = and(_T_1108, _T_1109) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1124 = and(_T_1123, _T_1110) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1125 = and(_T_1124, _T_1112) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1126 = and(_T_1125, _T_1114) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1127 = and(_T_1126, _T_1116) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1128 = and(_T_1127, _T_1117) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1129 = and(_T_1128, _T_1119) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1130 = and(_T_1129, _T_1121) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1131 = and(_T_1130, _T_1122) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1132 = or(_T_1107, _T_1131) @[el2_dec_tlu_ctl.scala 2724:57] + node _T_1133 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1134 = eq(_T_1133, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1135 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1136 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1137 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1138 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1139 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1140 = eq(_T_1139, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1141 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1142 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1143 = eq(_T_1142, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1144 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1145 = eq(_T_1144, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1146 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1148 = and(_T_1134, _T_1135) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1149 = and(_T_1148, _T_1136) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1150 = and(_T_1149, _T_1137) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1151 = and(_T_1150, _T_1138) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1152 = and(_T_1151, _T_1140) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1153 = and(_T_1152, _T_1141) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1154 = and(_T_1153, _T_1143) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1155 = and(_T_1154, _T_1145) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1156 = and(_T_1155, _T_1147) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1157 = or(_T_1132, _T_1156) @[el2_dec_tlu_ctl.scala 2724:113] + node _T_1158 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1159 = eq(_T_1158, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1160 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1161 = eq(_T_1160, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1162 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1163 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1164 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1165 = eq(_T_1164, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1166 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1167 = eq(_T_1166, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1168 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1169 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1170 = and(_T_1159, _T_1161) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1171 = and(_T_1170, _T_1162) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1172 = and(_T_1171, _T_1163) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1173 = and(_T_1172, _T_1165) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1174 = and(_T_1173, _T_1167) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1175 = and(_T_1174, _T_1168) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1176 = and(_T_1175, _T_1169) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1177 = or(_T_1157, _T_1176) @[el2_dec_tlu_ctl.scala 2725:57] + node _T_1178 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1179 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1180 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1181 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1182 = eq(_T_1181, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1183 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1184 = eq(_T_1183, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1185 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1186 = eq(_T_1185, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1187 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1188 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1189 = eq(_T_1188, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1190 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1191 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1192 = eq(_T_1191, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1193 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1194 = eq(_T_1193, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1195 = and(_T_1178, _T_1179) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1196 = and(_T_1195, _T_1180) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1197 = and(_T_1196, _T_1182) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1198 = and(_T_1197, _T_1184) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1199 = and(_T_1198, _T_1186) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1200 = and(_T_1199, _T_1187) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1201 = and(_T_1200, _T_1189) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1202 = and(_T_1201, _T_1190) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1203 = and(_T_1202, _T_1192) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1204 = and(_T_1203, _T_1194) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1205 = or(_T_1177, _T_1204) @[el2_dec_tlu_ctl.scala 2725:113] + node _T_1206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1207 = eq(_T_1206, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1208 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1209 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1210 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1211 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1212 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1213 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1214 = eq(_T_1213, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1215 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1216 = eq(_T_1215, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1217 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1218 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1219 = and(_T_1207, _T_1208) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1220 = and(_T_1219, _T_1209) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1221 = and(_T_1220, _T_1210) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1222 = and(_T_1221, _T_1211) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1223 = and(_T_1222, _T_1212) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1224 = and(_T_1223, _T_1214) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1225 = and(_T_1224, _T_1216) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1226 = and(_T_1225, _T_1217) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1227 = and(_T_1226, _T_1218) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1228 = or(_T_1205, _T_1227) @[el2_dec_tlu_ctl.scala 2726:57] + node _T_1229 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1230 = eq(_T_1229, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1231 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1232 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1233 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1234 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1235 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1236 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1238 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1239 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1241 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1242 = and(_T_1230, _T_1231) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1243 = and(_T_1242, _T_1232) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1244 = and(_T_1243, _T_1233) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1245 = and(_T_1244, _T_1234) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1246 = and(_T_1245, _T_1235) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1247 = and(_T_1246, _T_1237) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1248 = and(_T_1247, _T_1238) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1249 = and(_T_1248, _T_1240) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1250 = and(_T_1249, _T_1241) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1251 = or(_T_1228, _T_1250) @[el2_dec_tlu_ctl.scala 2726:113] + node _T_1252 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1253 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1254 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1255 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1256 = eq(_T_1255, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1257 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1258 = eq(_T_1257, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1259 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1260 = eq(_T_1259, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1261 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1262 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1263 = eq(_T_1262, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1264 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1265 = eq(_T_1264, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1266 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1267 = and(_T_1252, _T_1253) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1268 = and(_T_1267, _T_1254) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1269 = and(_T_1268, _T_1256) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1270 = and(_T_1269, _T_1258) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1271 = and(_T_1270, _T_1260) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1272 = and(_T_1271, _T_1261) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1273 = and(_T_1272, _T_1263) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1274 = and(_T_1273, _T_1265) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1275 = and(_T_1274, _T_1266) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1276 = or(_T_1251, _T_1275) @[el2_dec_tlu_ctl.scala 2727:57] + node _T_1277 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1278 = eq(_T_1277, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1279 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1280 = eq(_T_1279, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1281 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1282 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1283 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1284 = eq(_T_1283, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1285 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1286 = eq(_T_1285, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1287 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1288 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1289 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1290 = and(_T_1278, _T_1280) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1291 = and(_T_1290, _T_1281) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1292 = and(_T_1291, _T_1282) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1293 = and(_T_1292, _T_1284) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1294 = and(_T_1293, _T_1286) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1295 = and(_T_1294, _T_1287) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1296 = and(_T_1295, _T_1288) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1297 = and(_T_1296, _T_1289) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1298 = or(_T_1276, _T_1297) @[el2_dec_tlu_ctl.scala 2727:113] + node _T_1299 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1300 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1301 = eq(_T_1300, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1302 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1303 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1304 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1305 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1306 = eq(_T_1305, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1307 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1309 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1310 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1312 = and(_T_1299, _T_1301) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1313 = and(_T_1312, _T_1302) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1314 = and(_T_1313, _T_1303) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1315 = and(_T_1314, _T_1304) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1316 = and(_T_1315, _T_1306) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1317 = and(_T_1316, _T_1308) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1318 = and(_T_1317, _T_1309) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1319 = and(_T_1318, _T_1311) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1320 = or(_T_1298, _T_1319) @[el2_dec_tlu_ctl.scala 2728:65] + node _T_1321 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1324 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1325 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1326 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1327 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1328 = eq(_T_1327, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1329 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1331 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1332 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1334 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1336 = and(_T_1321, _T_1323) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1337 = and(_T_1336, _T_1324) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1338 = and(_T_1337, _T_1325) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1339 = and(_T_1338, _T_1326) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1340 = and(_T_1339, _T_1328) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1341 = and(_T_1340, _T_1330) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1342 = and(_T_1341, _T_1331) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1343 = and(_T_1342, _T_1333) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1344 = and(_T_1343, _T_1335) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1345 = or(_T_1320, _T_1344) @[el2_dec_tlu_ctl.scala 2728:121] + node _T_1346 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1347 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1348 = eq(_T_1347, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1349 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1350 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1351 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1352 = eq(_T_1351, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1353 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1354 = eq(_T_1353, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1355 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1356 = and(_T_1346, _T_1348) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1357 = and(_T_1356, _T_1349) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1358 = and(_T_1357, _T_1350) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1359 = and(_T_1358, _T_1352) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1360 = and(_T_1359, _T_1354) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1361 = and(_T_1360, _T_1355) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1362 = or(_T_1345, _T_1361) @[el2_dec_tlu_ctl.scala 2729:57] + node _T_1363 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1364 = eq(_T_1363, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1365 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1366 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1367 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1368 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1369 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1370 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1371 = eq(_T_1370, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1372 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1373 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1374 = eq(_T_1373, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1375 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1376 = and(_T_1364, _T_1365) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1377 = and(_T_1376, _T_1366) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1378 = and(_T_1377, _T_1367) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1379 = and(_T_1378, _T_1368) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1380 = and(_T_1379, _T_1369) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1381 = and(_T_1380, _T_1371) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1382 = and(_T_1381, _T_1372) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1383 = and(_T_1382, _T_1374) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1384 = and(_T_1383, _T_1375) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1385 = or(_T_1362, _T_1384) @[el2_dec_tlu_ctl.scala 2729:113] + node _T_1386 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1387 = eq(_T_1386, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1388 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1389 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1390 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1391 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1392 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1393 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1394 = eq(_T_1393, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1395 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1396 = eq(_T_1395, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1397 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1398 = eq(_T_1397, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1399 = and(_T_1387, _T_1388) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1400 = and(_T_1399, _T_1389) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1401 = and(_T_1400, _T_1390) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1402 = and(_T_1401, _T_1391) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1403 = and(_T_1402, _T_1392) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1404 = and(_T_1403, _T_1394) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1405 = and(_T_1404, _T_1396) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1406 = and(_T_1405, _T_1398) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1407 = or(_T_1385, _T_1406) @[el2_dec_tlu_ctl.scala 2730:57] + node _T_1408 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1409 = eq(_T_1408, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1410 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1411 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1412 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1413 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1414 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1415 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1416 = eq(_T_1415, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1417 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1418 = eq(_T_1417, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1419 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1420 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1421 = eq(_T_1420, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1422 = and(_T_1409, _T_1410) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1423 = and(_T_1422, _T_1411) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1424 = and(_T_1423, _T_1412) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1425 = and(_T_1424, _T_1413) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1426 = and(_T_1425, _T_1414) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1427 = and(_T_1426, _T_1416) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1428 = and(_T_1427, _T_1418) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1429 = and(_T_1428, _T_1419) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1430 = and(_T_1429, _T_1421) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1431 = or(_T_1407, _T_1430) @[el2_dec_tlu_ctl.scala 2730:113] + node _T_1432 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1433 = eq(_T_1432, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1434 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1435 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1436 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1437 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1438 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1439 = eq(_T_1438, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1440 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1441 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1442 = eq(_T_1441, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1443 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1444 = eq(_T_1443, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1445 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1446 = eq(_T_1445, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1447 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1448 = eq(_T_1447, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1449 = and(_T_1433, _T_1434) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1450 = and(_T_1449, _T_1435) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1451 = and(_T_1450, _T_1436) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1452 = and(_T_1451, _T_1437) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1453 = and(_T_1452, _T_1439) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1454 = and(_T_1453, _T_1440) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1455 = and(_T_1454, _T_1442) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1456 = and(_T_1455, _T_1444) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1457 = and(_T_1456, _T_1446) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1458 = and(_T_1457, _T_1448) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1459 = or(_T_1431, _T_1458) @[el2_dec_tlu_ctl.scala 2731:57] + node _T_1460 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1461 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1462 = eq(_T_1461, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1463 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1464 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1465 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1467 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1468 = eq(_T_1467, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1469 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1470 = and(_T_1460, _T_1462) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1471 = and(_T_1470, _T_1463) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1472 = and(_T_1471, _T_1464) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1473 = and(_T_1472, _T_1466) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1474 = and(_T_1473, _T_1468) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1475 = and(_T_1474, _T_1469) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1476 = or(_T_1459, _T_1475) @[el2_dec_tlu_ctl.scala 2731:105] + node _T_1477 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1478 = eq(_T_1477, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1479 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1480 = eq(_T_1479, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1481 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1482 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1483 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1484 = eq(_T_1483, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1485 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1486 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1487 = eq(_T_1486, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1488 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1489 = eq(_T_1488, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1490 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1491 = eq(_T_1490, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1492 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1494 = and(_T_1478, _T_1480) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1495 = and(_T_1494, _T_1481) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1496 = and(_T_1495, _T_1482) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1497 = and(_T_1496, _T_1484) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1498 = and(_T_1497, _T_1485) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1499 = and(_T_1498, _T_1487) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1500 = and(_T_1499, _T_1489) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1501 = and(_T_1500, _T_1491) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1502 = and(_T_1501, _T_1493) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1503 = or(_T_1476, _T_1502) @[el2_dec_tlu_ctl.scala 2732:65] + node _T_1504 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1505 = eq(_T_1504, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1506 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1507 = eq(_T_1506, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1508 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1509 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1510 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1511 = eq(_T_1510, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1512 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1513 = eq(_T_1512, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1514 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1515 = eq(_T_1514, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1516 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1517 = eq(_T_1516, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1518 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1519 = eq(_T_1518, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1520 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1521 = eq(_T_1520, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1522 = and(_T_1505, _T_1507) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1523 = and(_T_1522, _T_1508) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1524 = and(_T_1523, _T_1509) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1525 = and(_T_1524, _T_1511) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1526 = and(_T_1525, _T_1513) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1527 = and(_T_1526, _T_1515) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1528 = and(_T_1527, _T_1517) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1529 = and(_T_1528, _T_1519) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1530 = and(_T_1529, _T_1521) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1531 = or(_T_1503, _T_1530) @[el2_dec_tlu_ctl.scala 2732:113] + node _T_1532 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1533 = eq(_T_1532, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1534 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1536 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1537 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1539 = eq(_T_1538, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1540 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1541 = eq(_T_1540, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1542 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1544 = and(_T_1533, _T_1535) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1545 = and(_T_1544, _T_1536) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1546 = and(_T_1545, _T_1537) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1547 = and(_T_1546, _T_1539) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1548 = and(_T_1547, _T_1541) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1549 = and(_T_1548, _T_1542) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1550 = and(_T_1549, _T_1543) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1551 = or(_T_1531, _T_1550) @[el2_dec_tlu_ctl.scala 2733:57] + node _T_1552 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1553 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1554 = eq(_T_1553, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1555 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1556 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1557 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1558 = eq(_T_1557, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1559 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1560 = eq(_T_1559, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1561 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1562 = and(_T_1552, _T_1554) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1563 = and(_T_1562, _T_1555) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1564 = and(_T_1563, _T_1556) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1565 = and(_T_1564, _T_1558) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1566 = and(_T_1565, _T_1560) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1567 = and(_T_1566, _T_1561) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1568 = or(_T_1551, _T_1567) @[el2_dec_tlu_ctl.scala 2733:113] + node _T_1569 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1571 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1572 = eq(_T_1571, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1573 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1574 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1575 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1576 = eq(_T_1575, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1577 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1578 = eq(_T_1577, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1579 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1580 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1581 = and(_T_1570, _T_1572) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1582 = and(_T_1581, _T_1573) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1583 = and(_T_1582, _T_1574) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1584 = and(_T_1583, _T_1576) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1585 = and(_T_1584, _T_1578) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1586 = and(_T_1585, _T_1579) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1587 = and(_T_1586, _T_1580) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1588 = or(_T_1568, _T_1587) @[el2_dec_tlu_ctl.scala 2734:65] + node _T_1589 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1590 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1591 = eq(_T_1590, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1592 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1593 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1594 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1595 = eq(_T_1594, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1596 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1598 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1599 = and(_T_1589, _T_1591) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1600 = and(_T_1599, _T_1592) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1601 = and(_T_1600, _T_1593) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1602 = and(_T_1601, _T_1595) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1603 = and(_T_1602, _T_1597) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1604 = and(_T_1603, _T_1598) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1605 = or(_T_1588, _T_1604) @[el2_dec_tlu_ctl.scala 2734:121] + io.csr_pkt.legal <= _T_1605 @[el2_dec_tlu_ctl.scala 2721:20] + + module el2_dec_tlu_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip active_clk : Clock, flip free_clk : Clock, flip scan_mode : UInt<1>, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, flip lsu_fastint_stall_any : UInt<1>, flip ifu_pmu_instr_aligned : UInt<1>, flip ifu_pmu_fetch_stall : UInt<1>, flip ifu_pmu_ic_miss : UInt<1>, flip ifu_pmu_ic_hit : UInt<1>, flip ifu_pmu_bus_error : UInt<1>, flip ifu_pmu_bus_busy : UInt<1>, flip ifu_pmu_bus_trxn : UInt<1>, flip dec_pmu_instr_decoded : UInt<1>, flip dec_pmu_decode_stall : UInt<1>, flip dec_pmu_presync_stall : UInt<1>, flip dec_pmu_postsync_stall : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>, flip exu_pmu_i0_br_misp : UInt<1>, flip exu_pmu_i0_br_ataken : UInt<1>, flip exu_pmu_i0_pc4 : UInt<1>, flip lsu_pmu_bus_trxn : UInt<1>, flip lsu_pmu_bus_misaligned : UInt<1>, flip lsu_pmu_bus_error : UInt<1>, flip lsu_pmu_bus_busy : UInt<1>, flip lsu_pmu_load_external_m : UInt<1>, flip lsu_pmu_store_external_m : UInt<1>, flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, flip lsu_fir_addr : UInt<31>, flip lsu_fir_error : UInt<2>, flip iccm_dma_sb_error : UInt<1>, flip lsu_error_pkt_r : {exc_valid : UInt<1>, single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<1>, addr : UInt<1>}, flip lsu_single_ecc_error_incr : UInt<1>, flip dec_pause_state : UInt<1>, flip lsu_imprecise_error_store_any : UInt<1>, flip lsu_imprecise_error_load_any : UInt<1>, flip lsu_imprecise_error_addr_any : UInt<32>, flip dec_csr_wen_unq_d : UInt<1>, flip dec_csr_any_unq_d : UInt<1>, flip dec_csr_rdaddr_d : UInt<12>, flip dec_csr_wen_r : UInt<1>, flip dec_csr_wraddr_r : UInt<12>, flip dec_csr_wrdata_r : UInt<32>, flip dec_csr_stall_int_ff : UInt<1>, flip dec_tlu_i0_valid_r : UInt<1>, flip exu_npc_r : UInt<31>, flip dec_tlu_i0_pc_r : UInt<31>, flip dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, flip dec_illegal_inst : UInt<32>, flip dec_i0_decode_d : UInt<1>, flip exu_i0_br_hist_r : UInt<2>, flip exu_i0_br_error_r : UInt<1>, flip exu_i0_br_start_error_r : UInt<1>, flip exu_i0_br_valid_r : UInt<1>, flip exu_i0_br_mp_r : UInt<1>, flip exu_i0_br_middle_r : UInt<1>, flip exu_i0_br_way_r : UInt<1>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_debug_stall : UInt<1>, dec_tlu_flush_noredir_r : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_tlu_flush_leak_one_r : UInt<1>, dec_tlu_flush_err_r : UInt<1>, dec_tlu_flush_extint : UInt<1>, dec_tlu_meihap : UInt<30>, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, flip ifu_miss_state_idle : UInt<1>, flip lsu_idle_any : UInt<1>, flip dec_div_active : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_ : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip ifu_ic_error_start : UInt<1>, flip ifu_iccm_rd_ecc_single_err : UInt<1>, flip ifu_ic_debug_rd_data : UInt<71>, flip ifu_ic_debug_rd_data_valid : UInt<1>, dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, flip mexintpend : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, dec_csr_rddata_d : UInt<32>, dec_csr_legal_d : UInt<1>, dec_tlu_br0_r_pkt : {valid : UInt<1>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}, dec_tlu_i0_kill_writeb_wb : UInt<1>, dec_tlu_flush_lower_wb : UInt<1>, dec_tlu_i0_commit_cmt : UInt<1>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_flush_lower_r : UInt<1>, dec_tlu_flush_path_r : UInt<31>, dec_tlu_fence_i_r : UInt<1>, dec_tlu_wr_pause_r : UInt<1>, dec_tlu_flush_pause_r : UInt<1>, dec_tlu_presync_d : UInt<1>, dec_tlu_postsync_d : UInt<1>, dec_tlu_mrac_ff : UInt<32>, dec_tlu_force_halt : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_tlu_i0_exc_valid_wb1 : UInt<1>, dec_tlu_i0_valid_wb1 : UInt<1>, dec_tlu_int_valid_wb1 : UInt<1>, dec_tlu_exc_cause_wb1 : UInt<5>, dec_tlu_mtval_wb1 : UInt<32>, dec_tlu_external_ldfwd_disable : UInt<1>, dec_tlu_sideeffect_posted_disable : UInt<1>, dec_tlu_core_ecc_disable : UInt<1>, dec_tlu_bpred_disable : UInt<1>, dec_tlu_wb_coalescing_disable : UInt<1>, dec_tlu_pipelining_disable : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_dec_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>} + + wire mtdata1_t : UInt<10>[4] @[el2_dec_tlu_ctl.scala 236:59] + wire pause_expired_wb : UInt<1> @[el2_dec_tlu_ctl.scala 237:54] + wire take_nmi_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 238:62] + wire exc_or_int_valid_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 239:54] + wire interrupt_valid_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 240:54] + wire tlu_flush_lower_r : UInt<1> @[el2_dec_tlu_ctl.scala 241:54] + wire synchronous_flush_r : UInt<1> @[el2_dec_tlu_ctl.scala 242:62] + wire interrupt_valid_r : UInt<1> @[el2_dec_tlu_ctl.scala 243:54] + wire take_nmi : UInt<1> @[el2_dec_tlu_ctl.scala 244:62] + wire take_reset : UInt<1> @[el2_dec_tlu_ctl.scala 245:70] + wire take_int_timer1_int : UInt<1> @[el2_dec_tlu_ctl.scala 246:62] + wire take_int_timer0_int : UInt<1> @[el2_dec_tlu_ctl.scala 247:62] + wire take_timer_int : UInt<1> @[el2_dec_tlu_ctl.scala 248:62] + wire take_soft_int : UInt<1> @[el2_dec_tlu_ctl.scala 249:62] + wire take_ce_int : UInt<1> @[el2_dec_tlu_ctl.scala 250:70] + wire take_ext_int_start : UInt<1> @[el2_dec_tlu_ctl.scala 251:62] + wire ext_int_freeze : UInt<1> @[el2_dec_tlu_ctl.scala 252:62] + wire ext_int_freeze_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 253:62] + wire take_ext_int_start_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 254:54] + wire take_ext_int_start_d2 : UInt<1> @[el2_dec_tlu_ctl.scala 255:54] + wire take_ext_int_start_d3 : UInt<1> @[el2_dec_tlu_ctl.scala 256:54] + wire fast_int_meicpct : UInt<1> @[el2_dec_tlu_ctl.scala 257:54] + wire ignore_ext_int_due_to_lsu_stall : UInt<1> @[el2_dec_tlu_ctl.scala 258:44] + wire take_ext_int : UInt<1> @[el2_dec_tlu_ctl.scala 259:62] + wire internal_dbg_halt_timers : UInt<1> @[el2_dec_tlu_ctl.scala 260:46] + wire int_timer1_int_hold : UInt<1> @[el2_dec_tlu_ctl.scala 261:62] + wire int_timer0_int_hold : UInt<1> @[el2_dec_tlu_ctl.scala 262:62] + wire mhwakeup_ready : UInt<1> @[el2_dec_tlu_ctl.scala 263:62] + wire ext_int_ready : UInt<1> @[el2_dec_tlu_ctl.scala 264:54] + wire ce_int_ready : UInt<1> @[el2_dec_tlu_ctl.scala 265:54] + wire soft_int_ready : UInt<1> @[el2_dec_tlu_ctl.scala 266:54] + wire timer_int_ready : UInt<1> @[el2_dec_tlu_ctl.scala 267:54] + wire ebreak_to_debug_mode_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 268:54] + wire ebreak_to_debug_mode_r : UInt<1> @[el2_dec_tlu_ctl.scala 269:54] + wire inst_acc_r : UInt<1> @[el2_dec_tlu_ctl.scala 270:70] + wire inst_acc_r_raw : UInt<1> @[el2_dec_tlu_ctl.scala 271:62] + wire iccm_sbecc_r : UInt<1> @[el2_dec_tlu_ctl.scala 272:62] + wire ic_perr_r : UInt<1> @[el2_dec_tlu_ctl.scala 273:62] + wire fence_i_r : UInt<1> @[el2_dec_tlu_ctl.scala 274:62] + wire ebreak_r : UInt<1> @[el2_dec_tlu_ctl.scala 275:62] + wire ecall_r : UInt<1> @[el2_dec_tlu_ctl.scala 276:62] + wire illegal_r : UInt<1> @[el2_dec_tlu_ctl.scala 277:62] + wire mret_r : UInt<1> @[el2_dec_tlu_ctl.scala 278:62] + wire iccm_repair_state_ns : UInt<1> @[el2_dec_tlu_ctl.scala 279:54] + wire rfpc_i0_r : UInt<1> @[el2_dec_tlu_ctl.scala 280:70] + wire tlu_i0_kill_writeb_r : UInt<1> @[el2_dec_tlu_ctl.scala 281:54] + wire lsu_exc_valid_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 282:62] + wire lsu_i0_exc_r_raw : UInt<1> @[el2_dec_tlu_ctl.scala 283:54] + wire mdseac_locked_f : UInt<1> @[el2_dec_tlu_ctl.scala 284:62] + wire i_cpu_run_req_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 285:54] + wire cpu_run_ack : UInt<1> @[el2_dec_tlu_ctl.scala 286:70] + wire cpu_halt_status : UInt<1> @[el2_dec_tlu_ctl.scala 287:62] + wire cpu_halt_ack : UInt<1> @[el2_dec_tlu_ctl.scala 288:62] + wire pmu_fw_tlu_halted : UInt<1> @[el2_dec_tlu_ctl.scala 289:54] + wire internal_pmu_fw_halt_mode : UInt<1> @[el2_dec_tlu_ctl.scala 290:46] + wire pmu_fw_halt_req_ns : UInt<1> @[el2_dec_tlu_ctl.scala 291:62] + wire pmu_fw_halt_req_f : UInt<1> @[el2_dec_tlu_ctl.scala 292:62] + wire pmu_fw_tlu_halted_f : UInt<1> @[el2_dec_tlu_ctl.scala 293:54] + wire int_timer0_int_hold_f : UInt<1> @[el2_dec_tlu_ctl.scala 294:54] + wire int_timer1_int_hold_f : UInt<1> @[el2_dec_tlu_ctl.scala 295:54] + wire trigger_hit_dmode_r : UInt<1> @[el2_dec_tlu_ctl.scala 296:54] + wire i0_trigger_hit_r : UInt<1> @[el2_dec_tlu_ctl.scala 297:54] + wire pause_expired_r : UInt<1> @[el2_dec_tlu_ctl.scala 298:62] + wire dec_tlu_pmu_fw_halted : UInt<1> @[el2_dec_tlu_ctl.scala 299:54] + wire dec_tlu_flush_noredir_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 300:54] + wire halt_taken_f : UInt<1> @[el2_dec_tlu_ctl.scala 301:62] + wire lsu_idle_any_f : UInt<1> @[el2_dec_tlu_ctl.scala 302:62] + wire ifu_miss_state_idle_f : UInt<1> @[el2_dec_tlu_ctl.scala 303:54] + wire dbg_tlu_halted_f : UInt<1> @[el2_dec_tlu_ctl.scala 304:54] + wire debug_halt_req_f : UInt<1> @[el2_dec_tlu_ctl.scala 305:54] + wire debug_resume_req_f : UInt<1> @[el2_dec_tlu_ctl.scala 306:62] + wire trigger_hit_dmode_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 307:54] + wire dcsr_single_step_done_f : UInt<1> @[el2_dec_tlu_ctl.scala 308:54] + wire debug_halt_req_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 309:54] + wire request_debug_mode_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 310:54] + wire request_debug_mode_done_f : UInt<1> @[el2_dec_tlu_ctl.scala 311:46] + wire dcsr_single_step_running_f : UInt<1> @[el2_dec_tlu_ctl.scala 312:54] + wire dec_tlu_flush_pause_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 313:46] + wire dbg_halt_req_held : UInt<1> @[el2_dec_tlu_ctl.scala 314:54] + wire debug_halt_req_ns : UInt<1> @[el2_dec_tlu_ctl.scala 315:62] + wire internal_dbg_halt_mode : UInt<1> @[el2_dec_tlu_ctl.scala 316:54] + wire core_empty : UInt<1> @[el2_dec_tlu_ctl.scala 317:70] + wire dbg_halt_req_final : UInt<1> @[el2_dec_tlu_ctl.scala 318:62] + wire debug_brkpt_status_ns : UInt<1> @[el2_dec_tlu_ctl.scala 319:54] + wire mpc_debug_halt_ack_ns : UInt<1> @[el2_dec_tlu_ctl.scala 320:54] + wire mpc_debug_run_ack_ns : UInt<1> @[el2_dec_tlu_ctl.scala 321:54] + wire mpc_halt_state_ns : UInt<1> @[el2_dec_tlu_ctl.scala 322:62] + wire mpc_run_state_ns : UInt<1> @[el2_dec_tlu_ctl.scala 323:54] + wire dbg_halt_state_ns : UInt<1> @[el2_dec_tlu_ctl.scala 324:62] + wire dbg_run_state_ns : UInt<1> @[el2_dec_tlu_ctl.scala 325:54] + wire dbg_halt_state_f : UInt<1> @[el2_dec_tlu_ctl.scala 326:54] + wire mpc_halt_state_f : UInt<1> @[el2_dec_tlu_ctl.scala 327:54] + wire nmi_int_detected : UInt<1> @[el2_dec_tlu_ctl.scala 328:54] + wire nmi_lsu_load_type : UInt<1> @[el2_dec_tlu_ctl.scala 329:62] + wire nmi_lsu_store_type : UInt<1> @[el2_dec_tlu_ctl.scala 330:62] + wire reset_delayed : UInt<1> @[el2_dec_tlu_ctl.scala 331:62] + wire debug_mode_status : UInt<1> @[el2_dec_tlu_ctl.scala 332:46] + wire e5_valid : UInt<1> @[el2_dec_tlu_ctl.scala 333:62] + wire ic_perr_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 334:62] + wire iccm_sbecc_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 335:62] + wire npc_r : UInt<31> @[el2_dec_tlu_ctl.scala 337:41] + wire npc_r_d1 : UInt<31> @[el2_dec_tlu_ctl.scala 338:41] + wire mie_ns : UInt<6> @[el2_dec_tlu_ctl.scala 339:41] + wire mepc : UInt<31> @[el2_dec_tlu_ctl.scala 340:41] + wire mdseac_locked_ns : UInt<1> @[el2_dec_tlu_ctl.scala 341:41] + wire force_halt : UInt<1> @[el2_dec_tlu_ctl.scala 342:57] + wire dpc : UInt<31> @[el2_dec_tlu_ctl.scala 343:41] + wire mstatus_mie_ns : UInt<1> @[el2_dec_tlu_ctl.scala 344:41] + wire dec_csr_wen_r_mod : UInt<1> @[el2_dec_tlu_ctl.scala 345:41] + wire fw_halt_req : UInt<1> @[el2_dec_tlu_ctl.scala 346:41] + wire mstatus : UInt<2> @[el2_dec_tlu_ctl.scala 347:41] + wire dcsr : UInt<16> @[el2_dec_tlu_ctl.scala 348:41] + wire mtvec : UInt<31> @[el2_dec_tlu_ctl.scala 349:41] + wire mip : UInt<6> @[el2_dec_tlu_ctl.scala 350:41] + wire csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>} @[el2_dec_tlu_ctl.scala 351:41] + wire dec_tlu_mpc_halted_only_ns : UInt<1> @[el2_dec_tlu_ctl.scala 352:41] + node _T = not(dbg_halt_state_f) @[el2_dec_tlu_ctl.scala 354:33] + node _T_1 = and(_T, mpc_halt_state_f) @[el2_dec_tlu_ctl.scala 354:51] + dec_tlu_mpc_halted_only_ns <= _T_1 @[el2_dec_tlu_ctl.scala 354:30] + inst int_timers of el2_dec_timer_ctl @[el2_dec_tlu_ctl.scala 355:24] + int_timers.clock <= clock + int_timers.reset <= reset + int_timers.io.free_clk <= io.free_clk @[el2_dec_tlu_ctl.scala 356:57] + int_timers.io.scan_mode <= io.scan_mode @[el2_dec_tlu_ctl.scala 357:57] + int_timers.io.dec_csr_wen_r_mod <= dec_csr_wen_r_mod @[el2_dec_tlu_ctl.scala 358:49] + int_timers.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[el2_dec_tlu_ctl.scala 359:49] + int_timers.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[el2_dec_tlu_ctl.scala 360:49] + int_timers.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[el2_dec_tlu_ctl.scala 361:49] + int_timers.io.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[el2_dec_tlu_ctl.scala 362:49] + int_timers.io.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[el2_dec_tlu_ctl.scala 363:49] + int_timers.io.csr_mitb0 <= csr_pkt.csr_mitb0 @[el2_dec_tlu_ctl.scala 364:57] + int_timers.io.csr_mitb1 <= csr_pkt.csr_mitb1 @[el2_dec_tlu_ctl.scala 365:57] + int_timers.io.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[el2_dec_tlu_ctl.scala 366:49] + int_timers.io.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[el2_dec_tlu_ctl.scala 367:49] + int_timers.io.dec_pause_state <= io.dec_pause_state @[el2_dec_tlu_ctl.scala 368:41] + int_timers.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[el2_dec_tlu_ctl.scala 369:41] + int_timers.io.internal_dbg_halt_timers <= internal_dbg_halt_timers @[el2_dec_tlu_ctl.scala 370:41] + node _T_2 = cat(io.i_cpu_run_req, io.mpc_debug_halt_req) @[Cat.scala 29:58] + node _T_3 = cat(_T_2, io.mpc_debug_run_req) @[Cat.scala 29:58] + node _T_4 = cat(io.soft_int, io.i_cpu_halt_req) @[Cat.scala 29:58] + node _T_5 = cat(io.nmi_int, io.timer_int) @[Cat.scala 29:58] + node _T_6 = cat(_T_5, _T_4) @[Cat.scala 29:58] + node _T_7 = cat(_T_6, _T_3) @[Cat.scala 29:58] + reg _T_8 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 174:81] + _T_8 <= _T_7 @[el2_lib.scala 174:81] + reg syncro_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 174:58] + syncro_ff <= _T_8 @[el2_lib.scala 174:58] + node nmi_int_sync = bits(syncro_ff, 6, 6) @[el2_dec_tlu_ctl.scala 382:67] + node timer_int_sync = bits(syncro_ff, 5, 5) @[el2_dec_tlu_ctl.scala 383:59] + node soft_int_sync = bits(syncro_ff, 4, 4) @[el2_dec_tlu_ctl.scala 384:59] + node i_cpu_halt_req_sync = bits(syncro_ff, 3, 3) @[el2_dec_tlu_ctl.scala 385:59] + node i_cpu_run_req_sync = bits(syncro_ff, 2, 2) @[el2_dec_tlu_ctl.scala 386:59] + node mpc_debug_halt_req_sync_raw = bits(syncro_ff, 1, 1) @[el2_dec_tlu_ctl.scala 387:51] + node mpc_debug_run_req_sync = bits(syncro_ff, 0, 0) @[el2_dec_tlu_ctl.scala 388:51] + node _T_9 = or(dec_csr_wen_r_mod, io.dec_tlu_dec_clk_override) @[el2_dec_tlu_ctl.scala 391:52] + node _T_10 = bits(_T_9, 0, 0) @[el2_dec_tlu_ctl.scala 391:68] + inst rvclkhdr of rvclkhdr_55 @[el2_lib.scala 474:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 475:17] + rvclkhdr.io.en <= _T_10 @[el2_lib.scala 476:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 477:23] + node _T_11 = or(io.lsu_error_pkt_r.exc_valid, lsu_exc_valid_r_d1) @[el2_dec_tlu_ctl.scala 392:65] + node _T_12 = or(_T_11, io.dec_tlu_dec_clk_override) @[el2_dec_tlu_ctl.scala 392:86] + node _T_13 = bits(_T_12, 0, 0) @[el2_dec_tlu_ctl.scala 392:102] + inst rvclkhdr_1 of rvclkhdr_56 @[el2_lib.scala 474:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[el2_lib.scala 475:17] + rvclkhdr_1.io.en <= _T_13 @[el2_lib.scala 476:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 477:23] + node e4e5_valid = or(io.dec_tlu_i0_valid_r, e5_valid) @[el2_dec_tlu_ctl.scala 395:29] + node _T_14 = or(debug_mode_status, i_cpu_run_req_d1) @[el2_dec_tlu_ctl.scala 396:49] + node _T_15 = or(_T_14, interrupt_valid_r) @[el2_dec_tlu_ctl.scala 396:68] + node _T_16 = or(_T_15, interrupt_valid_r_d1) @[el2_dec_tlu_ctl.scala 396:88] + node _T_17 = or(_T_16, reset_delayed) @[el2_dec_tlu_ctl.scala 396:111] + node _T_18 = or(_T_17, pause_expired_r) @[el2_dec_tlu_ctl.scala 396:127] + node _T_19 = or(_T_18, pause_expired_wb) @[el2_dec_tlu_ctl.scala 396:145] + node _T_20 = or(_T_19, ic_perr_r) @[el2_dec_tlu_ctl.scala 396:164] + node _T_21 = or(_T_20, ic_perr_r_d1) @[el2_dec_tlu_ctl.scala 396:176] + node _T_22 = or(_T_21, iccm_sbecc_r) @[el2_dec_tlu_ctl.scala 396:191] + node _T_23 = or(_T_22, iccm_sbecc_r_d1) @[el2_dec_tlu_ctl.scala 396:206] + node flush_clkvalid = or(_T_23, io.dec_tlu_dec_clk_override) @[el2_dec_tlu_ctl.scala 396:224] + node _T_24 = or(e4e5_valid, io.dec_tlu_dec_clk_override) @[el2_dec_tlu_ctl.scala 398:43] + node _T_25 = bits(_T_24, 0, 0) @[el2_dec_tlu_ctl.scala 398:59] + inst rvclkhdr_2 of rvclkhdr_57 @[el2_lib.scala 474:22] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[el2_lib.scala 475:17] + rvclkhdr_2.io.en <= _T_25 @[el2_lib.scala 476:16] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 477:23] + node _T_26 = or(e4e5_valid, flush_clkvalid) @[el2_dec_tlu_ctl.scala 399:47] + node _T_27 = bits(_T_26, 0, 0) @[el2_dec_tlu_ctl.scala 399:65] + inst rvclkhdr_3 of rvclkhdr_58 @[el2_lib.scala 474:22] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[el2_lib.scala 475:17] + rvclkhdr_3.io.en <= _T_27 @[el2_lib.scala 476:16] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 477:23] + reg iccm_repair_state_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 401:72] + iccm_repair_state_d1 <= iccm_repair_state_ns @[el2_dec_tlu_ctl.scala 401:72] + reg _T_28 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 402:81] + _T_28 <= ic_perr_r @[el2_dec_tlu_ctl.scala 402:81] + ic_perr_r_d1 <= _T_28 @[el2_dec_tlu_ctl.scala 402:49] + reg _T_29 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 403:89] + _T_29 <= iccm_sbecc_r @[el2_dec_tlu_ctl.scala 403:89] + iccm_sbecc_r_d1 <= _T_29 @[el2_dec_tlu_ctl.scala 403:57] + reg _T_30 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 404:89] + _T_30 <= io.dec_tlu_i0_valid_r @[el2_dec_tlu_ctl.scala 404:89] + e5_valid <= _T_30 @[el2_dec_tlu_ctl.scala 404:57] + reg _T_31 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 405:73] + _T_31 <= internal_dbg_halt_mode @[el2_dec_tlu_ctl.scala 405:73] + debug_mode_status <= _T_31 @[el2_dec_tlu_ctl.scala 405:41] + reg lsu_pmu_load_external_r : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 406:72] + lsu_pmu_load_external_r <= io.lsu_pmu_load_external_m @[el2_dec_tlu_ctl.scala 406:72] + reg lsu_pmu_store_external_r : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 407:64] + lsu_pmu_store_external_r <= io.lsu_pmu_store_external_m @[el2_dec_tlu_ctl.scala 407:64] + reg tlu_flush_lower_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 408:72] + tlu_flush_lower_r_d1 <= tlu_flush_lower_r @[el2_dec_tlu_ctl.scala 408:72] + reg _T_32 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 409:65] + _T_32 <= tlu_i0_kill_writeb_r @[el2_dec_tlu_ctl.scala 409:65] + io.dec_tlu_i0_kill_writeb_wb <= _T_32 @[el2_dec_tlu_ctl.scala 409:33] + reg internal_dbg_halt_mode_f2 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 410:64] + internal_dbg_halt_mode_f2 <= debug_mode_status @[el2_dec_tlu_ctl.scala 410:64] + reg _T_33 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 411:73] + _T_33 <= force_halt @[el2_dec_tlu_ctl.scala 411:73] + io.dec_tlu_force_halt <= _T_33 @[el2_dec_tlu_ctl.scala 411:41] + io.dec_tlu_i0_kill_writeb_r <= tlu_i0_kill_writeb_r @[el2_dec_tlu_ctl.scala 415:33] + reg reset_detect : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 416:80] + reset_detect <= UInt<1>("h01") @[el2_dec_tlu_ctl.scala 416:80] + reg reset_detected : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 417:80] + reset_detected <= reset_detect @[el2_dec_tlu_ctl.scala 417:80] + node _T_34 = xor(reset_detect, reset_detected) @[el2_dec_tlu_ctl.scala 418:64] + reset_delayed <= _T_34 @[el2_dec_tlu_ctl.scala 418:49] + reg nmi_int_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 420:64] + nmi_int_delayed <= nmi_int_sync @[el2_dec_tlu_ctl.scala 420:64] + reg nmi_int_detected_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 421:72] + nmi_int_detected_f <= nmi_int_detected @[el2_dec_tlu_ctl.scala 421:72] + reg nmi_lsu_load_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 422:72] + nmi_lsu_load_type_f <= nmi_lsu_load_type @[el2_dec_tlu_ctl.scala 422:72] + reg nmi_lsu_store_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 423:64] + nmi_lsu_store_type_f <= nmi_lsu_store_type @[el2_dec_tlu_ctl.scala 423:64] + node _T_35 = not(mdseac_locked_f) @[el2_dec_tlu_ctl.scala 427:26] + node _T_36 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_dec_tlu_ctl.scala 427:78] + node nmi_lsu_detected = and(_T_35, _T_36) @[el2_dec_tlu_ctl.scala 427:43] + node _T_37 = not(nmi_int_delayed) @[el2_dec_tlu_ctl.scala 429:39] + node _T_38 = and(nmi_int_sync, _T_37) @[el2_dec_tlu_ctl.scala 429:37] + node _T_39 = or(_T_38, nmi_lsu_detected) @[el2_dec_tlu_ctl.scala 429:57] + node _T_40 = not(take_nmi_r_d1) @[el2_dec_tlu_ctl.scala 429:100] + node _T_41 = and(nmi_int_detected_f, _T_40) @[el2_dec_tlu_ctl.scala 429:98] + node _T_42 = or(_T_39, _T_41) @[el2_dec_tlu_ctl.scala 429:76] + node _T_43 = orr(io.lsu_fir_error) @[el2_dec_tlu_ctl.scala 429:159] + node _T_44 = and(take_ext_int_start_d3, _T_43) @[el2_dec_tlu_ctl.scala 429:140] + node _T_45 = or(_T_42, _T_44) @[el2_dec_tlu_ctl.scala 429:116] + nmi_int_detected <= _T_45 @[el2_dec_tlu_ctl.scala 429:20] + node _T_46 = and(nmi_lsu_detected, io.lsu_imprecise_error_load_any) @[el2_dec_tlu_ctl.scala 431:42] + node _T_47 = not(take_nmi_r_d1) @[el2_dec_tlu_ctl.scala 431:101] + node _T_48 = and(nmi_int_detected_f, _T_47) @[el2_dec_tlu_ctl.scala 431:99] + node _T_49 = not(_T_48) @[el2_dec_tlu_ctl.scala 431:78] + node _T_50 = and(_T_46, _T_49) @[el2_dec_tlu_ctl.scala 431:76] + node _T_51 = not(take_nmi_r_d1) @[el2_dec_tlu_ctl.scala 431:143] + node _T_52 = and(nmi_lsu_load_type_f, _T_51) @[el2_dec_tlu_ctl.scala 431:141] + node _T_53 = or(_T_50, _T_52) @[el2_dec_tlu_ctl.scala 431:118] + nmi_lsu_load_type <= _T_53 @[el2_dec_tlu_ctl.scala 431:21] + node _T_54 = and(nmi_lsu_detected, io.lsu_imprecise_error_store_any) @[el2_dec_tlu_ctl.scala 432:43] + node _T_55 = not(take_nmi_r_d1) @[el2_dec_tlu_ctl.scala 432:103] + node _T_56 = and(nmi_int_detected_f, _T_55) @[el2_dec_tlu_ctl.scala 432:101] + node _T_57 = not(_T_56) @[el2_dec_tlu_ctl.scala 432:80] + node _T_58 = and(_T_54, _T_57) @[el2_dec_tlu_ctl.scala 432:78] + node _T_59 = not(take_nmi_r_d1) @[el2_dec_tlu_ctl.scala 432:146] + node _T_60 = and(nmi_lsu_store_type_f, _T_59) @[el2_dec_tlu_ctl.scala 432:144] + node _T_61 = or(_T_58, _T_60) @[el2_dec_tlu_ctl.scala 432:120] + nmi_lsu_store_type <= _T_61 @[el2_dec_tlu_ctl.scala 432:22] + node _T_62 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 439:63] + node mpc_debug_halt_req_sync = and(mpc_debug_halt_req_sync_raw, _T_62) @[el2_dec_tlu_ctl.scala 439:61] + reg mpc_debug_halt_req_sync_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 440:64] + mpc_debug_halt_req_sync_f <= mpc_debug_halt_req_sync @[el2_dec_tlu_ctl.scala 440:64] + reg mpc_debug_run_req_sync_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 441:64] + mpc_debug_run_req_sync_f <= mpc_debug_run_req_sync @[el2_dec_tlu_ctl.scala 441:64] + reg _T_63 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 442:81] + _T_63 <= mpc_halt_state_ns @[el2_dec_tlu_ctl.scala 442:81] + mpc_halt_state_f <= _T_63 @[el2_dec_tlu_ctl.scala 442:49] + reg mpc_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 443:80] + mpc_run_state_f <= mpc_run_state_ns @[el2_dec_tlu_ctl.scala 443:80] + reg debug_brkpt_status_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 444:72] + debug_brkpt_status_f <= debug_brkpt_status_ns @[el2_dec_tlu_ctl.scala 444:72] + reg mpc_debug_halt_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 445:72] + mpc_debug_halt_ack_f <= mpc_debug_halt_ack_ns @[el2_dec_tlu_ctl.scala 445:72] + reg mpc_debug_run_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 446:80] + mpc_debug_run_ack_f <= mpc_debug_run_ack_ns @[el2_dec_tlu_ctl.scala 446:80] + reg _T_64 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 447:81] + _T_64 <= dbg_halt_state_ns @[el2_dec_tlu_ctl.scala 447:81] + dbg_halt_state_f <= _T_64 @[el2_dec_tlu_ctl.scala 447:49] + reg dbg_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 448:80] + dbg_run_state_f <= dbg_run_state_ns @[el2_dec_tlu_ctl.scala 448:80] + reg _T_65 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 449:73] + _T_65 <= dec_tlu_mpc_halted_only_ns @[el2_dec_tlu_ctl.scala 449:73] + io.dec_tlu_mpc_halted_only <= _T_65 @[el2_dec_tlu_ctl.scala 449:41] + node _T_66 = not(mpc_debug_halt_req_sync_f) @[el2_dec_tlu_ctl.scala 453:65] + node mpc_debug_halt_req_sync_pulse = and(mpc_debug_halt_req_sync, _T_66) @[el2_dec_tlu_ctl.scala 453:63] + node _T_67 = not(mpc_debug_run_req_sync_f) @[el2_dec_tlu_ctl.scala 454:64] + node mpc_debug_run_req_sync_pulse = and(mpc_debug_run_req_sync, _T_67) @[el2_dec_tlu_ctl.scala 454:62] + node _T_68 = or(mpc_halt_state_f, mpc_debug_halt_req_sync_pulse) @[el2_dec_tlu_ctl.scala 456:42] + node _T_69 = not(io.mpc_reset_run_req) @[el2_dec_tlu_ctl.scala 456:93] + node _T_70 = and(reset_delayed, _T_69) @[el2_dec_tlu_ctl.scala 456:91] + node _T_71 = or(_T_68, _T_70) @[el2_dec_tlu_ctl.scala 456:74] + node _T_72 = not(mpc_debug_run_req_sync) @[el2_dec_tlu_ctl.scala 456:119] + node _T_73 = and(_T_71, _T_72) @[el2_dec_tlu_ctl.scala 456:117] + mpc_halt_state_ns <= _T_73 @[el2_dec_tlu_ctl.scala 456:21] + node _T_74 = not(mpc_debug_run_ack_f) @[el2_dec_tlu_ctl.scala 457:74] + node _T_75 = and(mpc_debug_run_req_sync_pulse, _T_74) @[el2_dec_tlu_ctl.scala 457:72] + node _T_76 = or(mpc_run_state_f, _T_75) @[el2_dec_tlu_ctl.scala 457:40] + node _T_77 = not(dcsr_single_step_running_f) @[el2_dec_tlu_ctl.scala 457:127] + node _T_78 = and(debug_mode_status, _T_77) @[el2_dec_tlu_ctl.scala 457:125] + node _T_79 = and(_T_76, _T_78) @[el2_dec_tlu_ctl.scala 457:97] + mpc_run_state_ns <= _T_79 @[el2_dec_tlu_ctl.scala 457:20] + node _T_80 = or(dbg_halt_req_final, dcsr_single_step_done_f) @[el2_dec_tlu_ctl.scala 459:64] + node _T_81 = or(_T_80, trigger_hit_dmode_r_d1) @[el2_dec_tlu_ctl.scala 459:90] + node _T_82 = or(_T_81, ebreak_to_debug_mode_r_d1) @[el2_dec_tlu_ctl.scala 459:115] + node _T_83 = or(dbg_halt_state_f, _T_82) @[el2_dec_tlu_ctl.scala 459:42] + node _T_84 = not(io.dbg_resume_req) @[el2_dec_tlu_ctl.scala 459:147] + node _T_85 = and(_T_83, _T_84) @[el2_dec_tlu_ctl.scala 459:145] + dbg_halt_state_ns <= _T_85 @[el2_dec_tlu_ctl.scala 459:21] + node _T_86 = or(dbg_run_state_f, io.dbg_resume_req) @[el2_dec_tlu_ctl.scala 460:40] + node _T_87 = not(dcsr_single_step_running_f) @[el2_dec_tlu_ctl.scala 460:91] + node _T_88 = and(debug_mode_status, _T_87) @[el2_dec_tlu_ctl.scala 460:89] + node _T_89 = and(_T_86, _T_88) @[el2_dec_tlu_ctl.scala 460:61] + dbg_run_state_ns <= _T_89 @[el2_dec_tlu_ctl.scala 460:20] + node _T_90 = not(dbg_halt_state_f) @[el2_dec_tlu_ctl.scala 463:33] + node _T_91 = and(_T_90, mpc_halt_state_f) @[el2_dec_tlu_ctl.scala 463:51] + dec_tlu_mpc_halted_only_ns <= _T_91 @[el2_dec_tlu_ctl.scala 463:30] + node debug_brkpt_valid = or(ebreak_to_debug_mode_r_d1, trigger_hit_dmode_r_d1) @[el2_dec_tlu_ctl.scala 466:53] + node _T_92 = or(debug_brkpt_valid, debug_brkpt_status_f) @[el2_dec_tlu_ctl.scala 467:47] + node _T_93 = not(dcsr_single_step_running_f) @[el2_dec_tlu_ctl.scala 467:99] + node _T_94 = and(internal_dbg_halt_mode, _T_93) @[el2_dec_tlu_ctl.scala 467:97] + node _T_95 = and(_T_92, _T_94) @[el2_dec_tlu_ctl.scala 467:71] + debug_brkpt_status_ns <= _T_95 @[el2_dec_tlu_ctl.scala 467:25] + node _T_96 = and(mpc_halt_state_f, debug_mode_status) @[el2_dec_tlu_ctl.scala 470:45] + node _T_97 = and(_T_96, mpc_debug_halt_req_sync) @[el2_dec_tlu_ctl.scala 470:72] + node _T_98 = and(_T_97, core_empty) @[el2_dec_tlu_ctl.scala 470:98] + mpc_debug_halt_ack_ns <= _T_98 @[el2_dec_tlu_ctl.scala 470:25] + node _T_99 = not(dbg_halt_state_ns) @[el2_dec_tlu_ctl.scala 471:53] + node _T_100 = and(mpc_debug_run_req_sync, _T_99) @[el2_dec_tlu_ctl.scala 471:51] + node _T_101 = not(mpc_debug_halt_req_sync) @[el2_dec_tlu_ctl.scala 471:74] + node _T_102 = and(_T_100, _T_101) @[el2_dec_tlu_ctl.scala 471:72] + node _T_103 = and(mpc_debug_run_ack_f, mpc_debug_run_req_sync) @[el2_dec_tlu_ctl.scala 471:123] + node _T_104 = or(_T_102, _T_103) @[el2_dec_tlu_ctl.scala 471:100] + mpc_debug_run_ack_ns <= _T_104 @[el2_dec_tlu_ctl.scala 471:24] + io.mpc_debug_halt_ack <= mpc_debug_halt_ack_f @[el2_dec_tlu_ctl.scala 474:25] + io.mpc_debug_run_ack <= mpc_debug_run_ack_f @[el2_dec_tlu_ctl.scala 475:25] + io.debug_brkpt_status <= debug_brkpt_status_f @[el2_dec_tlu_ctl.scala 476:25] + node _T_105 = or(io.dbg_halt_req, dbg_halt_req_held) @[el2_dec_tlu_ctl.scala 479:47] + node dbg_halt_req_held_ns = and(_T_105, ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 479:68] + node _T_106 = or(io.dbg_halt_req, dbg_halt_req_held) @[el2_dec_tlu_ctl.scala 480:42] + node _T_107 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 480:65] + node _T_108 = and(_T_106, _T_107) @[el2_dec_tlu_ctl.scala 480:63] + dbg_halt_req_final <= _T_108 @[el2_dec_tlu_ctl.scala 480:22] + node _T_109 = or(dbg_halt_req_final, mpc_debug_halt_req_sync) @[el2_dec_tlu_ctl.scala 483:44] + node _T_110 = not(io.mpc_reset_run_req) @[el2_dec_tlu_ctl.scala 483:89] + node _T_111 = and(reset_delayed, _T_110) @[el2_dec_tlu_ctl.scala 483:87] + node _T_112 = or(_T_109, _T_111) @[el2_dec_tlu_ctl.scala 483:70] + node _T_113 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 483:115] + node _T_114 = and(_T_112, _T_113) @[el2_dec_tlu_ctl.scala 483:113] + node _T_115 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 483:143] + node debug_halt_req = and(_T_114, _T_115) @[el2_dec_tlu_ctl.scala 483:141] + node _T_116 = not(debug_resume_req_f) @[el2_dec_tlu_ctl.scala 485:26] + node _T_117 = not(dbg_halt_state_ns) @[el2_dec_tlu_ctl.scala 485:69] + node _T_118 = and(mpc_run_state_ns, _T_117) @[el2_dec_tlu_ctl.scala 485:67] + node _T_119 = not(mpc_halt_state_ns) @[el2_dec_tlu_ctl.scala 485:111] + node _T_120 = and(dbg_run_state_ns, _T_119) @[el2_dec_tlu_ctl.scala 485:109] + node _T_121 = or(_T_118, _T_120) @[el2_dec_tlu_ctl.scala 485:89] + node debug_resume_req = and(_T_116, _T_121) @[el2_dec_tlu_ctl.scala 485:46] + node _T_122 = or(debug_halt_req_f, pmu_fw_halt_req_f) @[el2_dec_tlu_ctl.scala 490:37] + node _T_123 = not(synchronous_flush_r) @[el2_dec_tlu_ctl.scala 490:60] + node _T_124 = and(_T_122, _T_123) @[el2_dec_tlu_ctl.scala 490:58] + node _T_125 = not(mret_r) @[el2_dec_tlu_ctl.scala 490:83] + node _T_126 = and(_T_124, _T_125) @[el2_dec_tlu_ctl.scala 490:81] + node _T_127 = not(halt_taken_f) @[el2_dec_tlu_ctl.scala 490:93] + node _T_128 = and(_T_126, _T_127) @[el2_dec_tlu_ctl.scala 490:91] + node _T_129 = not(dec_tlu_flush_noredir_r_d1) @[el2_dec_tlu_ctl.scala 490:109] + node _T_130 = and(_T_128, _T_129) @[el2_dec_tlu_ctl.scala 490:107] + node _T_131 = not(take_reset) @[el2_dec_tlu_ctl.scala 490:139] + node take_halt = and(_T_130, _T_131) @[el2_dec_tlu_ctl.scala 490:137] + node _T_132 = not(dec_tlu_flush_pause_r_d1) @[el2_dec_tlu_ctl.scala 493:50] + node _T_133 = and(dec_tlu_flush_noredir_r_d1, _T_132) @[el2_dec_tlu_ctl.scala 493:48] + node _T_134 = not(take_ext_int_start_d1) @[el2_dec_tlu_ctl.scala 493:78] + node _T_135 = and(_T_133, _T_134) @[el2_dec_tlu_ctl.scala 493:76] + node _T_136 = not(dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 493:120] + node _T_137 = and(halt_taken_f, _T_136) @[el2_dec_tlu_ctl.scala 493:118] + node _T_138 = not(pmu_fw_tlu_halted_f) @[el2_dec_tlu_ctl.scala 493:140] + node _T_139 = and(_T_137, _T_138) @[el2_dec_tlu_ctl.scala 493:138] + node _T_140 = not(interrupt_valid_r_d1) @[el2_dec_tlu_ctl.scala 493:163] + node _T_141 = and(_T_139, _T_140) @[el2_dec_tlu_ctl.scala 493:161] + node halt_taken = or(_T_135, _T_141) @[el2_dec_tlu_ctl.scala 493:102] + node _T_142 = and(io.lsu_idle_any, lsu_idle_any_f) @[el2_dec_tlu_ctl.scala 497:47] + node _T_143 = and(_T_142, io.ifu_miss_state_idle) @[el2_dec_tlu_ctl.scala 497:64] + node _T_144 = and(_T_143, ifu_miss_state_idle_f) @[el2_dec_tlu_ctl.scala 497:89] + node _T_145 = not(debug_halt_req) @[el2_dec_tlu_ctl.scala 497:115] + node _T_146 = and(_T_144, _T_145) @[el2_dec_tlu_ctl.scala 497:113] + node _T_147 = not(debug_halt_req_d1) @[el2_dec_tlu_ctl.scala 497:133] + node _T_148 = and(_T_146, _T_147) @[el2_dec_tlu_ctl.scala 497:131] + node _T_149 = not(io.dec_div_active) @[el2_dec_tlu_ctl.scala 497:154] + node _T_150 = and(_T_148, _T_149) @[el2_dec_tlu_ctl.scala 497:152] + node _T_151 = or(force_halt, _T_150) @[el2_dec_tlu_ctl.scala 497:28] + core_empty <= _T_151 @[el2_dec_tlu_ctl.scala 497:14] + node _T_152 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 503:31] + node _T_153 = and(_T_152, debug_halt_req) @[el2_dec_tlu_ctl.scala 503:57] + node _T_154 = or(_T_153, dcsr_single_step_done_f) @[el2_dec_tlu_ctl.scala 503:75] + node _T_155 = or(_T_154, trigger_hit_dmode_r_d1) @[el2_dec_tlu_ctl.scala 503:101] + node enter_debug_halt_req = or(_T_155, ebreak_to_debug_mode_r_d1) @[el2_dec_tlu_ctl.scala 503:126] + node _T_156 = bits(dcsr, 2, 2) @[el2_dec_tlu_ctl.scala 506:105] + node _T_157 = not(_T_156) @[el2_dec_tlu_ctl.scala 506:100] + node _T_158 = and(debug_resume_req_f, _T_157) @[el2_dec_tlu_ctl.scala 506:98] + node _T_159 = not(_T_158) @[el2_dec_tlu_ctl.scala 506:77] + node _T_160 = and(debug_mode_status, _T_159) @[el2_dec_tlu_ctl.scala 506:75] + node _T_161 = or(debug_halt_req_ns, _T_160) @[el2_dec_tlu_ctl.scala 506:47] + internal_dbg_halt_mode <= _T_161 @[el2_dec_tlu_ctl.scala 506:26] + node _T_162 = not(dcsr_single_step_running_f) @[el2_dec_tlu_ctl.scala 508:61] + node allow_dbg_halt_csr_write = and(debug_mode_status, _T_162) @[el2_dec_tlu_ctl.scala 508:59] + node _T_163 = and(debug_halt_req_f, core_empty) @[el2_dec_tlu_ctl.scala 513:42] + node _T_164 = and(_T_163, halt_taken) @[el2_dec_tlu_ctl.scala 513:55] + node _T_165 = not(debug_resume_req_f) @[el2_dec_tlu_ctl.scala 513:91] + node _T_166 = and(dbg_tlu_halted_f, _T_165) @[el2_dec_tlu_ctl.scala 513:89] + node dbg_tlu_halted = or(_T_164, _T_166) @[el2_dec_tlu_ctl.scala 513:69] + node _T_167 = not(dbg_tlu_halted) @[el2_dec_tlu_ctl.scala 514:67] + node _T_168 = and(debug_halt_req_f, _T_167) @[el2_dec_tlu_ctl.scala 514:65] + node _T_169 = or(enter_debug_halt_req, _T_168) @[el2_dec_tlu_ctl.scala 514:45] + debug_halt_req_ns <= _T_169 @[el2_dec_tlu_ctl.scala 514:21] + node _T_170 = and(debug_resume_req_f, dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 515:43] + node resume_ack_ns = and(_T_170, dbg_run_state_ns) @[el2_dec_tlu_ctl.scala 515:62] + node _T_171 = not(io.dec_tlu_dbg_halted) @[el2_dec_tlu_ctl.scala 517:55] + node _T_172 = and(io.dec_tlu_i0_valid_r, _T_171) @[el2_dec_tlu_ctl.scala 517:53] + node _T_173 = bits(dcsr, 2, 2) @[el2_dec_tlu_ctl.scala 517:84] + node _T_174 = and(_T_172, _T_173) @[el2_dec_tlu_ctl.scala 517:78] + node _T_175 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 517:98] + node dcsr_single_step_done = and(_T_174, _T_175) @[el2_dec_tlu_ctl.scala 517:96] + node _T_176 = bits(dcsr, 2, 2) @[el2_dec_tlu_ctl.scala 519:60] + node _T_177 = and(debug_resume_req_f, _T_176) @[el2_dec_tlu_ctl.scala 519:54] + node _T_178 = not(dcsr_single_step_done_f) @[el2_dec_tlu_ctl.scala 519:105] + node _T_179 = and(dcsr_single_step_running_f, _T_178) @[el2_dec_tlu_ctl.scala 519:103] + node dcsr_single_step_running = or(_T_177, _T_179) @[el2_dec_tlu_ctl.scala 519:73] + node dbg_cmd_done_ns = and(io.dec_tlu_i0_valid_r, io.dec_tlu_dbg_halted) @[el2_dec_tlu_ctl.scala 521:47] + node _T_180 = or(trigger_hit_dmode_r, ebreak_to_debug_mode_r) @[el2_dec_tlu_ctl.scala 524:51] + node _T_181 = not(io.dec_tlu_flush_lower_wb) @[el2_dec_tlu_ctl.scala 524:106] + node _T_182 = and(request_debug_mode_r_d1, _T_181) @[el2_dec_tlu_ctl.scala 524:104] + node request_debug_mode_r = or(_T_180, _T_182) @[el2_dec_tlu_ctl.scala 524:77] + node _T_183 = or(request_debug_mode_r_d1, request_debug_mode_done_f) @[el2_dec_tlu_ctl.scala 526:58] + node _T_184 = not(dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 526:89] + node request_debug_mode_done = and(_T_183, _T_184) @[el2_dec_tlu_ctl.scala 526:87] + reg _T_185 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 529:73] + _T_185 <= io.dec_tlu_flush_noredir_r @[el2_dec_tlu_ctl.scala 529:73] + dec_tlu_flush_noredir_r_d1 <= _T_185 @[el2_dec_tlu_ctl.scala 529:41] + reg _T_186 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 530:81] + _T_186 <= halt_taken @[el2_dec_tlu_ctl.scala 530:81] + halt_taken_f <= _T_186 @[el2_dec_tlu_ctl.scala 530:49] + reg _T_187 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 531:89] + _T_187 <= io.lsu_idle_any @[el2_dec_tlu_ctl.scala 531:89] + lsu_idle_any_f <= _T_187 @[el2_dec_tlu_ctl.scala 531:57] + reg _T_188 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 532:73] + _T_188 <= io.ifu_miss_state_idle @[el2_dec_tlu_ctl.scala 532:73] + ifu_miss_state_idle_f <= _T_188 @[el2_dec_tlu_ctl.scala 532:41] + reg _T_189 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 533:81] + _T_189 <= dbg_tlu_halted @[el2_dec_tlu_ctl.scala 533:81] + dbg_tlu_halted_f <= _T_189 @[el2_dec_tlu_ctl.scala 533:49] + reg _T_190 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 534:73] + _T_190 <= resume_ack_ns @[el2_dec_tlu_ctl.scala 534:73] + io.dec_tlu_resume_ack <= _T_190 @[el2_dec_tlu_ctl.scala 534:41] + reg _T_191 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 535:81] + _T_191 <= debug_halt_req_ns @[el2_dec_tlu_ctl.scala 535:81] + debug_halt_req_f <= _T_191 @[el2_dec_tlu_ctl.scala 535:49] + reg _T_192 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 536:81] + _T_192 <= debug_resume_req @[el2_dec_tlu_ctl.scala 536:81] + debug_resume_req_f <= _T_192 @[el2_dec_tlu_ctl.scala 536:49] + reg _T_193 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 537:81] + _T_193 <= trigger_hit_dmode_r @[el2_dec_tlu_ctl.scala 537:81] + trigger_hit_dmode_r_d1 <= _T_193 @[el2_dec_tlu_ctl.scala 537:49] + reg _T_194 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 538:81] + _T_194 <= dcsr_single_step_done @[el2_dec_tlu_ctl.scala 538:81] + dcsr_single_step_done_f <= _T_194 @[el2_dec_tlu_ctl.scala 538:49] + reg _T_195 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 539:81] + _T_195 <= debug_halt_req @[el2_dec_tlu_ctl.scala 539:81] + debug_halt_req_d1 <= _T_195 @[el2_dec_tlu_ctl.scala 539:49] + reg dec_tlu_wr_pause_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 540:73] + dec_tlu_wr_pause_r_d1 <= io.dec_tlu_wr_pause_r @[el2_dec_tlu_ctl.scala 540:73] + reg dec_pause_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 541:73] + dec_pause_state_f <= io.dec_pause_state @[el2_dec_tlu_ctl.scala 541:73] + reg _T_196 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 542:81] + _T_196 <= request_debug_mode_r @[el2_dec_tlu_ctl.scala 542:81] + request_debug_mode_r_d1 <= _T_196 @[el2_dec_tlu_ctl.scala 542:49] + reg _T_197 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 543:73] + _T_197 <= request_debug_mode_done @[el2_dec_tlu_ctl.scala 543:73] + request_debug_mode_done_f <= _T_197 @[el2_dec_tlu_ctl.scala 543:41] + reg _T_198 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 544:73] + _T_198 <= dcsr_single_step_running @[el2_dec_tlu_ctl.scala 544:73] + dcsr_single_step_running_f <= _T_198 @[el2_dec_tlu_ctl.scala 544:41] + reg _T_199 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 545:73] + _T_199 <= io.dec_tlu_flush_pause_r @[el2_dec_tlu_ctl.scala 545:73] + dec_tlu_flush_pause_r_d1 <= _T_199 @[el2_dec_tlu_ctl.scala 545:41] + reg _T_200 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 546:81] + _T_200 <= dbg_halt_req_held_ns @[el2_dec_tlu_ctl.scala 546:81] + dbg_halt_req_held <= _T_200 @[el2_dec_tlu_ctl.scala 546:49] + io.dec_tlu_debug_stall <= debug_halt_req_f @[el2_dec_tlu_ctl.scala 549:41] + io.dec_tlu_dbg_halted <= dbg_tlu_halted_f @[el2_dec_tlu_ctl.scala 550:41] + io.dec_tlu_debug_mode <= debug_mode_status @[el2_dec_tlu_ctl.scala 551:41] + dec_tlu_pmu_fw_halted <= pmu_fw_tlu_halted_f @[el2_dec_tlu_ctl.scala 552:41] + node _T_201 = and(fence_i_r, internal_dbg_halt_mode) @[el2_dec_tlu_ctl.scala 555:56] + node _T_202 = or(take_halt, _T_201) @[el2_dec_tlu_ctl.scala 555:43] + node _T_203 = or(_T_202, io.dec_tlu_flush_pause_r) @[el2_dec_tlu_ctl.scala 555:82] + node _T_204 = and(i0_trigger_hit_r, trigger_hit_dmode_r) @[el2_dec_tlu_ctl.scala 555:129] + node _T_205 = or(_T_203, _T_204) @[el2_dec_tlu_ctl.scala 555:109] + node _T_206 = or(_T_205, take_ext_int_start) @[el2_dec_tlu_ctl.scala 555:152] + io.dec_tlu_flush_noredir_r <= _T_206 @[el2_dec_tlu_ctl.scala 555:30] + io.dec_tlu_flush_extint <= take_ext_int_start @[el2_dec_tlu_ctl.scala 557:27] + node _T_207 = not(interrupt_valid_r) @[el2_dec_tlu_ctl.scala 560:55] + node _T_208 = and(dec_tlu_wr_pause_r_d1, _T_207) @[el2_dec_tlu_ctl.scala 560:53] + node _T_209 = not(take_ext_int_start) @[el2_dec_tlu_ctl.scala 560:76] + node _T_210 = and(_T_208, _T_209) @[el2_dec_tlu_ctl.scala 560:74] + io.dec_tlu_flush_pause_r <= _T_210 @[el2_dec_tlu_ctl.scala 560:28] + node _T_211 = not(io.dec_pause_state) @[el2_dec_tlu_ctl.scala 562:22] + node _T_212 = and(_T_211, dec_pause_state_f) @[el2_dec_tlu_ctl.scala 562:42] + node _T_213 = or(ext_int_ready, ce_int_ready) @[el2_dec_tlu_ctl.scala 562:80] + node _T_214 = or(_T_213, timer_int_ready) @[el2_dec_tlu_ctl.scala 562:95] + node _T_215 = or(_T_214, soft_int_ready) @[el2_dec_tlu_ctl.scala 562:113] + node _T_216 = or(_T_215, int_timer0_int_hold_f) @[el2_dec_tlu_ctl.scala 562:130] + node _T_217 = or(_T_216, int_timer1_int_hold_f) @[el2_dec_tlu_ctl.scala 562:154] + node _T_218 = or(_T_217, nmi_int_detected) @[el2_dec_tlu_ctl.scala 562:178] + node _T_219 = or(_T_218, ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 562:197] + node _T_220 = not(_T_219) @[el2_dec_tlu_ctl.scala 562:64] + node _T_221 = and(_T_212, _T_220) @[el2_dec_tlu_ctl.scala 562:62] + node _T_222 = not(interrupt_valid_r_d1) @[el2_dec_tlu_ctl.scala 562:220] + node _T_223 = and(_T_221, _T_222) @[el2_dec_tlu_ctl.scala 562:218] + node _T_224 = not(debug_halt_req_f) @[el2_dec_tlu_ctl.scala 562:244] + node _T_225 = and(_T_223, _T_224) @[el2_dec_tlu_ctl.scala 562:242] + node _T_226 = not(pmu_fw_halt_req_f) @[el2_dec_tlu_ctl.scala 562:264] + node _T_227 = and(_T_225, _T_226) @[el2_dec_tlu_ctl.scala 562:262] + node _T_228 = not(halt_taken_f) @[el2_dec_tlu_ctl.scala 562:285] + node _T_229 = and(_T_227, _T_228) @[el2_dec_tlu_ctl.scala 562:283] + pause_expired_r <= _T_229 @[el2_dec_tlu_ctl.scala 562:19] + node _T_230 = bits(dcsr, 2, 2) @[el2_dec_tlu_ctl.scala 564:66] + node _T_231 = and(io.dec_tlu_flush_lower_r, _T_230) @[el2_dec_tlu_ctl.scala 564:60] + node _T_232 = or(io.dec_tlu_resume_ack, dcsr_single_step_running) @[el2_dec_tlu_ctl.scala 564:103] + node _T_233 = and(_T_231, _T_232) @[el2_dec_tlu_ctl.scala 564:78] + node _T_234 = not(io.dec_tlu_flush_noredir_r) @[el2_dec_tlu_ctl.scala 564:133] + node _T_235 = and(_T_233, _T_234) @[el2_dec_tlu_ctl.scala 564:131] + io.dec_tlu_flush_leak_one_r <= _T_235 @[el2_dec_tlu_ctl.scala 564:31] + node _T_236 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[el2_dec_tlu_ctl.scala 565:70] + node _T_237 = and(io.dec_tlu_flush_lower_r, _T_236) @[el2_dec_tlu_ctl.scala 565:54] + io.dec_tlu_flush_err_r <= _T_237 @[el2_dec_tlu_ctl.scala 565:26] + io.dec_dbg_cmd_done <= dbg_cmd_done_ns @[el2_dec_tlu_ctl.scala 568:23] + node _T_238 = and(illegal_r, io.dec_dbg_cmd_done) @[el2_dec_tlu_ctl.scala 569:36] + io.dec_dbg_cmd_fail <= _T_238 @[el2_dec_tlu_ctl.scala 569:23] + node _T_239 = bits(mtdata1_t[3], 2, 2) @[el2_dec_tlu_ctl.scala 582:42] + node _T_240 = bits(mtdata1_t[2], 2, 2) @[el2_dec_tlu_ctl.scala 582:69] + node _T_241 = bits(mtdata1_t[1], 2, 2) @[el2_dec_tlu_ctl.scala 582:96] + node _T_242 = bits(mtdata1_t[0], 2, 2) @[el2_dec_tlu_ctl.scala 582:123] + node _T_243 = cat(_T_241, _T_242) @[Cat.scala 29:58] + node _T_244 = cat(_T_239, _T_240) @[Cat.scala 29:58] + node trigger_execute = cat(_T_244, _T_243) @[Cat.scala 29:58] + node _T_245 = bits(mtdata1_t[3], 7, 7) @[el2_dec_tlu_ctl.scala 583:44] + node _T_246 = bits(mtdata1_t[2], 7, 7) @[el2_dec_tlu_ctl.scala 583:71] + node _T_247 = bits(mtdata1_t[1], 7, 7) @[el2_dec_tlu_ctl.scala 583:98] + node _T_248 = bits(mtdata1_t[0], 7, 7) @[el2_dec_tlu_ctl.scala 583:125] + node _T_249 = cat(_T_247, _T_248) @[Cat.scala 29:58] + node _T_250 = cat(_T_245, _T_246) @[Cat.scala 29:58] + node trigger_data = cat(_T_250, _T_249) @[Cat.scala 29:58] + node _T_251 = bits(mtdata1_t[3], 1, 1) @[el2_dec_tlu_ctl.scala 584:44] + node _T_252 = bits(mtdata1_t[2], 1, 1) @[el2_dec_tlu_ctl.scala 584:71] + node _T_253 = bits(mtdata1_t[1], 1, 1) @[el2_dec_tlu_ctl.scala 584:98] + node _T_254 = bits(mtdata1_t[0], 1, 1) @[el2_dec_tlu_ctl.scala 584:125] + node _T_255 = cat(_T_253, _T_254) @[Cat.scala 29:58] + node _T_256 = cat(_T_251, _T_252) @[Cat.scala 29:58] + node trigger_store = cat(_T_256, _T_255) @[Cat.scala 29:58] + node _T_257 = bits(mtdata1_t[3], 6, 6) @[el2_dec_tlu_ctl.scala 587:45] + node _T_258 = bits(mstatus, 0, 0) @[el2_dec_tlu_ctl.scala 587:71] + node _T_259 = or(_T_257, _T_258) @[el2_dec_tlu_ctl.scala 587:62] + node _T_260 = bits(mtdata1_t[3], 3, 3) @[el2_dec_tlu_ctl.scala 587:100] + node _T_261 = and(_T_259, _T_260) @[el2_dec_tlu_ctl.scala 587:86] + node _T_262 = bits(mtdata1_t[2], 6, 6) @[el2_dec_tlu_ctl.scala 587:133] + node _T_263 = bits(mstatus, 0, 0) @[el2_dec_tlu_ctl.scala 587:159] + node _T_264 = or(_T_262, _T_263) @[el2_dec_tlu_ctl.scala 587:150] + node _T_265 = bits(mtdata1_t[2], 3, 3) @[el2_dec_tlu_ctl.scala 587:188] + node _T_266 = and(_T_264, _T_265) @[el2_dec_tlu_ctl.scala 587:174] + node _T_267 = bits(mtdata1_t[1], 6, 6) @[el2_dec_tlu_ctl.scala 587:222] + node _T_268 = bits(mstatus, 0, 0) @[el2_dec_tlu_ctl.scala 587:248] + node _T_269 = or(_T_267, _T_268) @[el2_dec_tlu_ctl.scala 587:239] + node _T_270 = bits(mtdata1_t[1], 3, 3) @[el2_dec_tlu_ctl.scala 587:277] + node _T_271 = and(_T_269, _T_270) @[el2_dec_tlu_ctl.scala 587:263] + node _T_272 = bits(mtdata1_t[0], 6, 6) @[el2_dec_tlu_ctl.scala 587:311] + node _T_273 = bits(mstatus, 0, 0) @[el2_dec_tlu_ctl.scala 587:337] + node _T_274 = or(_T_272, _T_273) @[el2_dec_tlu_ctl.scala 587:328] + node _T_275 = bits(mtdata1_t[0], 3, 3) @[el2_dec_tlu_ctl.scala 587:366] + node _T_276 = and(_T_274, _T_275) @[el2_dec_tlu_ctl.scala 587:352] + node _T_277 = cat(_T_271, _T_276) @[Cat.scala 29:58] + node _T_278 = cat(_T_261, _T_266) @[Cat.scala 29:58] + node trigger_enabled = cat(_T_278, _T_277) @[Cat.scala 29:58] + node _T_279 = and(trigger_execute, trigger_data) @[el2_dec_tlu_ctl.scala 590:56] + node _T_280 = bits(inst_acc_r_raw, 0, 0) @[Bitwise.scala 72:15] + node _T_281 = mux(_T_280, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_282 = and(_T_279, _T_281) @[el2_dec_tlu_ctl.scala 590:71] + node _T_283 = or(io.exu_i0_br_error_r, io.exu_i0_br_start_error_r) @[el2_dec_tlu_ctl.scala 590:128] + node _T_284 = bits(_T_283, 0, 0) @[Bitwise.scala 72:15] + node _T_285 = mux(_T_284, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_286 = or(_T_282, _T_285) @[el2_dec_tlu_ctl.scala 590:97] + node i0_iside_trigger_has_pri_r = not(_T_286) @[el2_dec_tlu_ctl.scala 590:37] + node _T_287 = and(trigger_store, trigger_data) @[el2_dec_tlu_ctl.scala 593:50] + node _T_288 = bits(lsu_i0_exc_r_raw, 0, 0) @[Bitwise.scala 72:15] + node _T_289 = mux(_T_288, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_290 = and(_T_287, _T_289) @[el2_dec_tlu_ctl.scala 593:65] + node i0_lsu_trigger_has_pri_r = not(_T_290) @[el2_dec_tlu_ctl.scala 593:34] + node _T_291 = bits(io.dec_tlu_i0_valid_r, 0, 0) @[Bitwise.scala 72:15] + node _T_292 = mux(_T_291, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_293 = bits(io.dec_tlu_packet_r.i0trigger, 3, 0) @[el2_dec_tlu_ctl.scala 598:83] + node _T_294 = and(_T_292, _T_293) @[el2_dec_tlu_ctl.scala 598:52] + node _T_295 = and(_T_294, i0_iside_trigger_has_pri_r) @[el2_dec_tlu_ctl.scala 598:89] + node _T_296 = and(_T_295, i0_lsu_trigger_has_pri_r) @[el2_dec_tlu_ctl.scala 598:118] + node i0trigger_qual_r = and(_T_296, trigger_enabled) @[el2_dec_tlu_ctl.scala 598:145] + node _T_297 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_dbg_halted) @[el2_dec_tlu_ctl.scala 600:57] + node _T_298 = bits(_T_297, 0, 0) @[Bitwise.scala 72:15] + node _T_299 = mux(_T_298, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_300 = not(_T_299) @[el2_dec_tlu_ctl.scala 600:22] + node i0_trigger_r = and(_T_300, i0trigger_qual_r) @[el2_dec_tlu_ctl.scala 600:83] + node _T_301 = bits(i0_trigger_r, 3, 3) @[el2_dec_tlu_ctl.scala 603:52] + node _T_302 = bits(mtdata1_t[2], 5, 5) @[el2_dec_tlu_ctl.scala 603:72] + node _T_303 = not(_T_302) @[el2_dec_tlu_ctl.scala 603:59] + node _T_304 = bits(i0_trigger_r, 2, 2) @[el2_dec_tlu_ctl.scala 603:102] + node _T_305 = or(_T_303, _T_304) @[el2_dec_tlu_ctl.scala 603:88] + node _T_306 = and(_T_301, _T_305) @[el2_dec_tlu_ctl.scala 603:56] + node _T_307 = bits(i0_trigger_r, 2, 2) @[el2_dec_tlu_ctl.scala 603:120] + node _T_308 = bits(mtdata1_t[2], 5, 5) @[el2_dec_tlu_ctl.scala 603:140] + node _T_309 = not(_T_308) @[el2_dec_tlu_ctl.scala 603:127] + node _T_310 = bits(i0_trigger_r, 3, 3) @[el2_dec_tlu_ctl.scala 603:170] + node _T_311 = or(_T_309, _T_310) @[el2_dec_tlu_ctl.scala 603:156] + node _T_312 = and(_T_307, _T_311) @[el2_dec_tlu_ctl.scala 603:124] + node _T_313 = bits(i0_trigger_r, 1, 1) @[el2_dec_tlu_ctl.scala 603:188] + node _T_314 = bits(mtdata1_t[0], 5, 5) @[el2_dec_tlu_ctl.scala 603:208] + node _T_315 = not(_T_314) @[el2_dec_tlu_ctl.scala 603:195] + node _T_316 = bits(i0_trigger_r, 0, 0) @[el2_dec_tlu_ctl.scala 603:238] + node _T_317 = or(_T_315, _T_316) @[el2_dec_tlu_ctl.scala 603:224] + node _T_318 = and(_T_313, _T_317) @[el2_dec_tlu_ctl.scala 603:192] + node _T_319 = bits(i0_trigger_r, 0, 0) @[el2_dec_tlu_ctl.scala 603:256] + node _T_320 = bits(mtdata1_t[0], 5, 5) @[el2_dec_tlu_ctl.scala 603:276] + node _T_321 = not(_T_320) @[el2_dec_tlu_ctl.scala 603:263] + node _T_322 = bits(i0_trigger_r, 1, 1) @[el2_dec_tlu_ctl.scala 603:306] + node _T_323 = or(_T_321, _T_322) @[el2_dec_tlu_ctl.scala 603:292] + node _T_324 = and(_T_319, _T_323) @[el2_dec_tlu_ctl.scala 603:260] + node _T_325 = cat(_T_318, _T_324) @[Cat.scala 29:58] + node _T_326 = cat(_T_306, _T_312) @[Cat.scala 29:58] + node i0_trigger_chain_masked_r = cat(_T_326, _T_325) @[Cat.scala 29:58] + node i0_trigger_hit_raw_r = orr(i0_trigger_chain_masked_r) @[el2_dec_tlu_ctl.scala 606:56] + i0_trigger_hit_r <= i0_trigger_hit_raw_r @[el2_dec_tlu_ctl.scala 608:25] + node _T_327 = bits(mtdata1_t[3], 6, 6) @[el2_dec_tlu_ctl.scala 612:44] + node _T_328 = bits(mtdata1_t[3], 9, 9) @[el2_dec_tlu_ctl.scala 612:75] + node _T_329 = and(_T_327, _T_328) @[el2_dec_tlu_ctl.scala 612:61] + node _T_330 = bits(mtdata1_t[2], 6, 6) @[el2_dec_tlu_ctl.scala 612:104] + node _T_331 = bits(mtdata1_t[2], 9, 9) @[el2_dec_tlu_ctl.scala 612:135] + node _T_332 = and(_T_330, _T_331) @[el2_dec_tlu_ctl.scala 612:121] + node _T_333 = bits(mtdata1_t[1], 6, 6) @[el2_dec_tlu_ctl.scala 612:164] + node _T_334 = bits(mtdata1_t[1], 9, 9) @[el2_dec_tlu_ctl.scala 612:195] + node _T_335 = and(_T_333, _T_334) @[el2_dec_tlu_ctl.scala 612:181] + node _T_336 = bits(mtdata1_t[0], 6, 6) @[el2_dec_tlu_ctl.scala 612:224] + node _T_337 = bits(mtdata1_t[0], 9, 9) @[el2_dec_tlu_ctl.scala 612:255] + node _T_338 = and(_T_336, _T_337) @[el2_dec_tlu_ctl.scala 612:241] + node _T_339 = cat(_T_335, _T_338) @[Cat.scala 29:58] + node _T_340 = cat(_T_329, _T_332) @[Cat.scala 29:58] + node trigger_action = cat(_T_340, _T_339) @[Cat.scala 29:58] + node _T_341 = bits(i0_trigger_hit_r, 0, 0) @[Bitwise.scala 72:15] + node _T_342 = mux(_T_341, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node update_hit_bit_r = and(_T_342, i0_trigger_chain_masked_r) @[el2_dec_tlu_ctl.scala 615:56] + node _T_343 = and(i0_trigger_chain_masked_r, trigger_action) @[el2_dec_tlu_ctl.scala 618:56] + node i0_trigger_action_r = orr(_T_343) @[el2_dec_tlu_ctl.scala 618:74] + node _T_344 = and(i0_trigger_hit_r, i0_trigger_action_r) @[el2_dec_tlu_ctl.scala 620:44] + trigger_hit_dmode_r <= _T_344 @[el2_dec_tlu_ctl.scala 620:23] + node _T_345 = not(trigger_hit_dmode_r) @[el2_dec_tlu_ctl.scala 622:54] + node mepc_trigger_hit_sel_pc_r = and(i0_trigger_hit_r, _T_345) @[el2_dec_tlu_ctl.scala 622:52] + node _T_346 = not(io.dec_tlu_debug_mode) @[el2_dec_tlu_ctl.scala 649:56] + node _T_347 = and(i_cpu_halt_req_sync, _T_346) @[el2_dec_tlu_ctl.scala 649:54] + node _T_348 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 649:81] + node i_cpu_halt_req_sync_qual = and(_T_347, _T_348) @[el2_dec_tlu_ctl.scala 649:79] + node _T_349 = not(io.dec_tlu_debug_mode) @[el2_dec_tlu_ctl.scala 650:54] + node _T_350 = and(i_cpu_run_req_sync, _T_349) @[el2_dec_tlu_ctl.scala 650:52] + node _T_351 = and(_T_350, pmu_fw_tlu_halted_f) @[el2_dec_tlu_ctl.scala 650:77] + node _T_352 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 650:101] + node i_cpu_run_req_sync_qual = and(_T_351, _T_352) @[el2_dec_tlu_ctl.scala 650:99] + reg i_cpu_halt_req_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 652:80] + i_cpu_halt_req_d1 <= i_cpu_halt_req_sync_qual @[el2_dec_tlu_ctl.scala 652:80] + reg i_cpu_run_req_d1_raw : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 653:72] + i_cpu_run_req_d1_raw <= i_cpu_run_req_sync_qual @[el2_dec_tlu_ctl.scala 653:72] + reg _T_353 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 654:73] + _T_353 <= cpu_halt_status @[el2_dec_tlu_ctl.scala 654:73] + io.o_cpu_halt_status <= _T_353 @[el2_dec_tlu_ctl.scala 654:41] + reg _T_354 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 655:81] + _T_354 <= cpu_halt_ack @[el2_dec_tlu_ctl.scala 655:81] + io.o_cpu_halt_ack <= _T_354 @[el2_dec_tlu_ctl.scala 655:49] + reg _T_355 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 656:81] + _T_355 <= cpu_run_ack @[el2_dec_tlu_ctl.scala 656:81] + io.o_cpu_run_ack <= _T_355 @[el2_dec_tlu_ctl.scala 656:49] + reg internal_pmu_fw_halt_mode_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 657:66] + internal_pmu_fw_halt_mode_f <= internal_pmu_fw_halt_mode @[el2_dec_tlu_ctl.scala 657:66] + reg _T_356 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 658:73] + _T_356 <= pmu_fw_halt_req_ns @[el2_dec_tlu_ctl.scala 658:73] + pmu_fw_halt_req_f <= _T_356 @[el2_dec_tlu_ctl.scala 658:41] + reg _T_357 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 659:73] + _T_357 <= pmu_fw_tlu_halted @[el2_dec_tlu_ctl.scala 659:73] + pmu_fw_tlu_halted_f <= _T_357 @[el2_dec_tlu_ctl.scala 659:41] + reg _T_358 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 660:65] + _T_358 <= int_timer0_int_hold @[el2_dec_tlu_ctl.scala 660:65] + int_timer0_int_hold_f <= _T_358 @[el2_dec_tlu_ctl.scala 660:33] + reg _T_359 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 661:65] + _T_359 <= int_timer1_int_hold @[el2_dec_tlu_ctl.scala 661:65] + int_timer1_int_hold_f <= _T_359 @[el2_dec_tlu_ctl.scala 661:33] + node _T_360 = not(i_cpu_halt_req_d1) @[el2_dec_tlu_ctl.scala 665:51] + node ext_halt_pulse = and(i_cpu_halt_req_sync_qual, _T_360) @[el2_dec_tlu_ctl.scala 665:49] + node enter_pmu_fw_halt_req = or(ext_halt_pulse, fw_halt_req) @[el2_dec_tlu_ctl.scala 666:47] + node _T_361 = not(pmu_fw_tlu_halted) @[el2_dec_tlu_ctl.scala 667:71] + node _T_362 = and(pmu_fw_halt_req_f, _T_361) @[el2_dec_tlu_ctl.scala 667:69] + node _T_363 = or(enter_pmu_fw_halt_req, _T_362) @[el2_dec_tlu_ctl.scala 667:48] + node _T_364 = not(debug_halt_req_f) @[el2_dec_tlu_ctl.scala 667:94] + node _T_365 = and(_T_363, _T_364) @[el2_dec_tlu_ctl.scala 667:92] + pmu_fw_halt_req_ns <= _T_365 @[el2_dec_tlu_ctl.scala 667:22] + node _T_366 = not(i_cpu_run_req_d1) @[el2_dec_tlu_ctl.scala 668:84] + node _T_367 = and(internal_pmu_fw_halt_mode_f, _T_366) @[el2_dec_tlu_ctl.scala 668:82] + node _T_368 = not(debug_halt_req_f) @[el2_dec_tlu_ctl.scala 668:104] + node _T_369 = and(_T_367, _T_368) @[el2_dec_tlu_ctl.scala 668:102] + node _T_370 = or(pmu_fw_halt_req_ns, _T_369) @[el2_dec_tlu_ctl.scala 668:51] + internal_pmu_fw_halt_mode <= _T_370 @[el2_dec_tlu_ctl.scala 668:29] + node _T_371 = and(pmu_fw_halt_req_f, core_empty) @[el2_dec_tlu_ctl.scala 671:44] + node _T_372 = and(_T_371, halt_taken) @[el2_dec_tlu_ctl.scala 671:57] + node _T_373 = not(enter_debug_halt_req) @[el2_dec_tlu_ctl.scala 671:72] + node _T_374 = and(_T_372, _T_373) @[el2_dec_tlu_ctl.scala 671:70] + node _T_375 = not(i_cpu_run_req_d1) @[el2_dec_tlu_ctl.scala 671:120] + node _T_376 = and(pmu_fw_tlu_halted_f, _T_375) @[el2_dec_tlu_ctl.scala 671:118] + node _T_377 = or(_T_374, _T_376) @[el2_dec_tlu_ctl.scala 671:95] + node _T_378 = not(debug_halt_req_f) @[el2_dec_tlu_ctl.scala 671:142] + node _T_379 = and(_T_377, _T_378) @[el2_dec_tlu_ctl.scala 671:140] + pmu_fw_tlu_halted <= _T_379 @[el2_dec_tlu_ctl.scala 671:21] + node _T_380 = and(i_cpu_halt_req_d1, pmu_fw_tlu_halted_f) @[el2_dec_tlu_ctl.scala 673:37] + cpu_halt_ack <= _T_380 @[el2_dec_tlu_ctl.scala 673:16] + node _T_381 = not(i_cpu_run_req_d1) @[el2_dec_tlu_ctl.scala 674:45] + node _T_382 = and(pmu_fw_tlu_halted_f, _T_381) @[el2_dec_tlu_ctl.scala 674:43] + node _T_383 = not(i_cpu_run_req_d1) @[el2_dec_tlu_ctl.scala 674:90] + node _T_384 = and(io.o_cpu_halt_status, _T_383) @[el2_dec_tlu_ctl.scala 674:88] + node _T_385 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 674:110] + node _T_386 = and(_T_384, _T_385) @[el2_dec_tlu_ctl.scala 674:108] + node _T_387 = or(_T_382, _T_386) @[el2_dec_tlu_ctl.scala 674:64] + cpu_halt_status <= _T_387 @[el2_dec_tlu_ctl.scala 674:19] + node _T_388 = and(io.o_cpu_halt_status, i_cpu_run_req_sync_qual) @[el2_dec_tlu_ctl.scala 675:40] + node _T_389 = and(io.o_cpu_run_ack, i_cpu_run_req_sync_qual) @[el2_dec_tlu_ctl.scala 675:87] + node _T_390 = or(_T_388, _T_389) @[el2_dec_tlu_ctl.scala 675:67] + cpu_run_ack <= _T_390 @[el2_dec_tlu_ctl.scala 675:15] + io.o_debug_mode_status <= debug_mode_status @[el2_dec_tlu_ctl.scala 677:26] + node _T_391 = or(nmi_int_detected, timer_int_ready) @[el2_dec_tlu_ctl.scala 680:65] + node _T_392 = or(_T_391, soft_int_ready) @[el2_dec_tlu_ctl.scala 680:83] + node _T_393 = or(_T_392, int_timer0_int_hold_f) @[el2_dec_tlu_ctl.scala 680:100] + node _T_394 = or(_T_393, int_timer1_int_hold_f) @[el2_dec_tlu_ctl.scala 680:124] + node _T_395 = and(io.mhwakeup, mhwakeup_ready) @[el2_dec_tlu_ctl.scala 680:163] + node _T_396 = or(_T_394, _T_395) @[el2_dec_tlu_ctl.scala 680:148] + node _T_397 = and(_T_396, io.o_cpu_halt_status) @[el2_dec_tlu_ctl.scala 680:182] + node _T_398 = not(i_cpu_halt_req_d1) @[el2_dec_tlu_ctl.scala 680:207] + node _T_399 = and(_T_397, _T_398) @[el2_dec_tlu_ctl.scala 680:205] + node _T_400 = or(i_cpu_run_req_d1_raw, _T_399) @[el2_dec_tlu_ctl.scala 680:44] + i_cpu_run_req_d1 <= _T_400 @[el2_dec_tlu_ctl.scala 680:20] + reg _T_401 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 686:89] + _T_401 <= mdseac_locked_ns @[el2_dec_tlu_ctl.scala 686:89] + mdseac_locked_f <= _T_401 @[el2_dec_tlu_ctl.scala 686:57] + reg lsu_single_ecc_error_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 687:64] + lsu_single_ecc_error_r_d1 <= io.lsu_single_ecc_error_incr @[el2_dec_tlu_ctl.scala 687:64] + node _T_402 = not(io.dec_tlu_flush_lower_wb) @[el2_dec_tlu_ctl.scala 689:60] + node lsu_exc_valid_r_raw = and(io.lsu_error_pkt_r.exc_valid, _T_402) @[el2_dec_tlu_ctl.scala 689:58] + lsu_i0_exc_r_raw <= io.lsu_error_pkt_r.exc_valid @[el2_dec_tlu_ctl.scala 690:20] + node _T_403 = and(lsu_i0_exc_r_raw, lsu_exc_valid_r_raw) @[el2_dec_tlu_ctl.scala 691:39] + node _T_404 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 691:63] + node _T_405 = and(_T_403, _T_404) @[el2_dec_tlu_ctl.scala 691:61] + node _T_406 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 691:83] + node lsu_exc_valid_r = and(_T_405, _T_406) @[el2_dec_tlu_ctl.scala 691:81] + reg _T_407 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 693:74] + _T_407 <= lsu_exc_valid_r @[el2_dec_tlu_ctl.scala 693:74] + lsu_exc_valid_r_d1 <= _T_407 @[el2_dec_tlu_ctl.scala 693:41] + reg lsu_i0_exc_r_d1 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 694:73] + lsu_i0_exc_r_d1 <= lsu_exc_valid_r @[el2_dec_tlu_ctl.scala 694:73] + node _T_408 = not(io.lsu_error_pkt_r.exc_type) @[el2_dec_tlu_ctl.scala 695:39] + node lsu_exc_ma_r = and(lsu_exc_valid_r, _T_408) @[el2_dec_tlu_ctl.scala 695:37] + node lsu_exc_acc_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.exc_type) @[el2_dec_tlu_ctl.scala 696:37] + node lsu_exc_st_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.inst_type) @[el2_dec_tlu_ctl.scala 697:37] + node _T_409 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 701:48] + node _T_410 = and(io.dec_tlu_i0_valid_r, _T_409) @[el2_dec_tlu_ctl.scala 701:46] + node _T_411 = not(io.lsu_error_pkt_r.inst_type) @[el2_dec_tlu_ctl.scala 701:69] + node _T_412 = and(_T_411, io.lsu_error_pkt_r.single_ecc_error) @[el2_dec_tlu_ctl.scala 701:99] + node lsu_i0_rfnpc_r = and(_T_410, _T_412) @[el2_dec_tlu_ctl.scala 701:66] + node _T_413 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 704:51] + node _T_414 = and(io.dec_tlu_i0_valid_r, _T_413) @[el2_dec_tlu_ctl.scala 704:49] + node _T_415 = not(lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 704:64] + node _T_416 = and(_T_414, _T_415) @[el2_dec_tlu_ctl.scala 704:62] + node _T_417 = not(inst_acc_r) @[el2_dec_tlu_ctl.scala 704:81] + node _T_418 = and(_T_416, _T_417) @[el2_dec_tlu_ctl.scala 704:78] + node _T_419 = not(io.dec_tlu_dbg_halted) @[el2_dec_tlu_ctl.scala 704:95] + node _T_420 = and(_T_418, _T_419) @[el2_dec_tlu_ctl.scala 704:93] + node _T_421 = not(request_debug_mode_r_d1) @[el2_dec_tlu_ctl.scala 704:120] + node _T_422 = and(_T_420, _T_421) @[el2_dec_tlu_ctl.scala 704:118] + node _T_423 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 704:147] + node tlu_i0_commit_cmt = and(_T_422, _T_423) @[el2_dec_tlu_ctl.scala 704:145] + node _T_424 = or(rfpc_i0_r, lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 707:37] + node _T_425 = or(_T_424, inst_acc_r) @[el2_dec_tlu_ctl.scala 707:52] + node _T_426 = and(illegal_r, io.dec_tlu_dbg_halted) @[el2_dec_tlu_ctl.scala 707:78] + node _T_427 = or(_T_425, _T_426) @[el2_dec_tlu_ctl.scala 707:65] + node _T_428 = or(_T_427, i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 707:103] + tlu_i0_kill_writeb_r <= _T_428 @[el2_dec_tlu_ctl.scala 707:24] + io.dec_tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[el2_dec_tlu_ctl.scala 708:28] + node _T_429 = not(tlu_flush_lower_r_d1) @[el2_dec_tlu_ctl.scala 713:43] + node _T_430 = and(io.dec_tlu_i0_valid_r, _T_429) @[el2_dec_tlu_ctl.scala 713:41] + node _T_431 = or(io.exu_i0_br_error_r, io.exu_i0_br_start_error_r) @[el2_dec_tlu_ctl.scala 713:89] + node _T_432 = and(_T_430, _T_431) @[el2_dec_tlu_ctl.scala 713:65] + node _T_433 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[el2_dec_tlu_ctl.scala 713:137] + node _T_434 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 713:158] + node _T_435 = and(_T_433, _T_434) @[el2_dec_tlu_ctl.scala 713:156] + node _T_436 = or(_T_432, _T_435) @[el2_dec_tlu_ctl.scala 713:120] + node _T_437 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 713:182] + node _T_438 = and(_T_436, _T_437) @[el2_dec_tlu_ctl.scala 713:179] + node _T_439 = not(lsu_i0_rfnpc_r) @[el2_dec_tlu_ctl.scala 713:203] + node _T_440 = and(_T_438, _T_439) @[el2_dec_tlu_ctl.scala 713:200] + rfpc_i0_r <= _T_440 @[el2_dec_tlu_ctl.scala 713:13] + node _T_441 = not(io.dec_tlu_flush_lower_r) @[el2_dec_tlu_ctl.scala 716:69] + node _T_442 = and(iccm_repair_state_d1, _T_441) @[el2_dec_tlu_ctl.scala 716:67] + node _T_443 = or(iccm_sbecc_r_d1, _T_442) @[el2_dec_tlu_ctl.scala 716:43] + iccm_repair_state_ns <= _T_443 @[el2_dec_tlu_ctl.scala 716:24] + node _T_444 = and(tlu_i0_commit_cmt, iccm_repair_state_d1) @[el2_dec_tlu_ctl.scala 722:51] + node _T_445 = or(ebreak_r, ecall_r) @[el2_dec_tlu_ctl.scala 722:87] + node _T_446 = or(_T_445, mret_r) @[el2_dec_tlu_ctl.scala 722:97] + node _T_447 = or(_T_446, take_reset) @[el2_dec_tlu_ctl.scala 722:106] + node _T_448 = or(_T_447, illegal_r) @[el2_dec_tlu_ctl.scala 722:119] + node _T_449 = eq(io.dec_csr_wraddr_r, UInt<12>("h07c2")) @[el2_dec_tlu_ctl.scala 722:175] + node _T_450 = and(dec_csr_wen_r_mod, _T_449) @[el2_dec_tlu_ctl.scala 722:152] + node _T_451 = or(_T_448, _T_450) @[el2_dec_tlu_ctl.scala 722:131] + node _T_452 = not(_T_451) @[el2_dec_tlu_ctl.scala 722:76] + node iccm_repair_state_rfnpc = and(_T_444, _T_452) @[el2_dec_tlu_ctl.scala 722:74] + node _T_453 = and(io.exu_i0_br_error_r, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 725:50] + node _T_454 = not(tlu_flush_lower_r_d1) @[el2_dec_tlu_ctl.scala 725:76] + node dec_tlu_br0_error_r = and(_T_453, _T_454) @[el2_dec_tlu_ctl.scala 725:74] + node _T_455 = and(io.exu_i0_br_start_error_r, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 726:62] + node _T_456 = not(tlu_flush_lower_r_d1) @[el2_dec_tlu_ctl.scala 726:88] + node dec_tlu_br0_start_error_r = and(_T_455, _T_456) @[el2_dec_tlu_ctl.scala 726:86] + node _T_457 = and(io.exu_i0_br_valid_r, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 727:46] + node _T_458 = not(tlu_flush_lower_r_d1) @[el2_dec_tlu_ctl.scala 727:72] + node _T_459 = and(_T_457, _T_458) @[el2_dec_tlu_ctl.scala 727:70] + node _T_460 = not(io.exu_i0_br_mp_r) @[el2_dec_tlu_ctl.scala 727:97] + node _T_461 = not(io.exu_pmu_i0_br_ataken) @[el2_dec_tlu_ctl.scala 727:118] + node _T_462 = or(_T_460, _T_461) @[el2_dec_tlu_ctl.scala 727:116] + node dec_tlu_br0_v_r = and(_T_459, _T_462) @[el2_dec_tlu_ctl.scala 727:94] + io.dec_tlu_br0_r_pkt.hist <= io.exu_i0_br_hist_r @[el2_dec_tlu_ctl.scala 730:49] + io.dec_tlu_br0_r_pkt.br_error <= dec_tlu_br0_error_r @[el2_dec_tlu_ctl.scala 731:49] + io.dec_tlu_br0_r_pkt.br_start_error <= dec_tlu_br0_start_error_r @[el2_dec_tlu_ctl.scala 732:41] + io.dec_tlu_br0_r_pkt.valid <= dec_tlu_br0_v_r @[el2_dec_tlu_ctl.scala 733:49] + io.dec_tlu_br0_r_pkt.way <= io.exu_i0_br_way_r @[el2_dec_tlu_ctl.scala 734:49] + io.dec_tlu_br0_r_pkt.middle <= io.exu_i0_br_middle_r @[el2_dec_tlu_ctl.scala 735:49] + node _T_463 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 738:51] + node _T_464 = and(_T_463, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 738:64] + node _T_465 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 738:90] + node _T_466 = and(_T_464, _T_465) @[el2_dec_tlu_ctl.scala 738:88] + node _T_467 = bits(dcsr, 15, 15) @[el2_dec_tlu_ctl.scala 738:115] + node _T_468 = not(_T_467) @[el2_dec_tlu_ctl.scala 738:110] + node _T_469 = and(_T_466, _T_468) @[el2_dec_tlu_ctl.scala 738:108] + node _T_470 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 738:132] + node _T_471 = and(_T_469, _T_470) @[el2_dec_tlu_ctl.scala 738:130] + ebreak_r <= _T_471 @[el2_dec_tlu_ctl.scala 738:13] + node _T_472 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 739:51] + node _T_473 = and(_T_472, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 739:64] + node _T_474 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 739:90] + node _T_475 = and(_T_473, _T_474) @[el2_dec_tlu_ctl.scala 739:88] + node _T_476 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 739:110] + node _T_477 = and(_T_475, _T_476) @[el2_dec_tlu_ctl.scala 739:108] + ecall_r <= _T_477 @[el2_dec_tlu_ctl.scala 739:13] + node _T_478 = not(io.dec_tlu_packet_r.legal) @[el2_dec_tlu_ctl.scala 740:17] + node _T_479 = and(_T_478, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 740:46] + node _T_480 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 740:72] + node _T_481 = and(_T_479, _T_480) @[el2_dec_tlu_ctl.scala 740:70] + node _T_482 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 740:92] + node _T_483 = and(_T_481, _T_482) @[el2_dec_tlu_ctl.scala 740:90] + illegal_r <= _T_483 @[el2_dec_tlu_ctl.scala 740:13] + node _T_484 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 741:51] + node _T_485 = and(_T_484, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 741:64] + node _T_486 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 741:90] + node _T_487 = and(_T_485, _T_486) @[el2_dec_tlu_ctl.scala 741:88] + node _T_488 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 741:110] + node _T_489 = and(_T_487, _T_488) @[el2_dec_tlu_ctl.scala 741:108] + mret_r <= _T_489 @[el2_dec_tlu_ctl.scala 741:13] + node _T_490 = and(io.dec_tlu_packet_r.fence_i, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 743:49] + node _T_491 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 743:75] + node _T_492 = and(_T_490, _T_491) @[el2_dec_tlu_ctl.scala 743:73] + node _T_493 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 743:96] + node _T_494 = and(_T_492, _T_493) @[el2_dec_tlu_ctl.scala 743:94] + fence_i_r <= _T_494 @[el2_dec_tlu_ctl.scala 743:16] + node _T_495 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 744:44] + node _T_496 = and(io.ifu_ic_error_start, _T_495) @[el2_dec_tlu_ctl.scala 744:42] + node _T_497 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 744:66] + node _T_498 = or(_T_497, dcsr_single_step_running) @[el2_dec_tlu_ctl.scala 744:92] + node _T_499 = and(_T_496, _T_498) @[el2_dec_tlu_ctl.scala 744:63] + node _T_500 = not(internal_pmu_fw_halt_mode_f) @[el2_dec_tlu_ctl.scala 744:122] + node _T_501 = and(_T_499, _T_500) @[el2_dec_tlu_ctl.scala 744:120] + ic_perr_r <= _T_501 @[el2_dec_tlu_ctl.scala 744:16] + node _T_502 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 745:52] + node _T_503 = and(io.ifu_iccm_rd_ecc_single_err, _T_502) @[el2_dec_tlu_ctl.scala 745:50] + node _T_504 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 745:74] + node _T_505 = or(_T_504, dcsr_single_step_running) @[el2_dec_tlu_ctl.scala 745:100] + node _T_506 = and(_T_503, _T_505) @[el2_dec_tlu_ctl.scala 745:71] + node _T_507 = not(internal_pmu_fw_halt_mode_f) @[el2_dec_tlu_ctl.scala 745:130] + node _T_508 = and(_T_506, _T_507) @[el2_dec_tlu_ctl.scala 745:128] + iccm_sbecc_r <= _T_508 @[el2_dec_tlu_ctl.scala 745:16] + node _T_509 = and(io.dec_tlu_packet_r.icaf, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 746:48] + inst_acc_r_raw <= _T_509 @[el2_dec_tlu_ctl.scala 746:19] + node _T_510 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 747:34] + node _T_511 = and(inst_acc_r_raw, _T_510) @[el2_dec_tlu_ctl.scala 747:32] + node _T_512 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 747:47] + node _T_513 = and(_T_511, _T_512) @[el2_dec_tlu_ctl.scala 747:45] + inst_acc_r <= _T_513 @[el2_dec_tlu_ctl.scala 747:14] + node _T_514 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 750:63] + node _T_515 = and(_T_514, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 750:76] + node _T_516 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 750:102] + node _T_517 = and(_T_515, _T_516) @[el2_dec_tlu_ctl.scala 750:100] + node _T_518 = bits(dcsr, 15, 15) @[el2_dec_tlu_ctl.scala 750:126] + node _T_519 = and(_T_517, _T_518) @[el2_dec_tlu_ctl.scala 750:120] + node _T_520 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 750:143] + node _T_521 = and(_T_519, _T_520) @[el2_dec_tlu_ctl.scala 750:141] + ebreak_to_debug_mode_r <= _T_521 @[el2_dec_tlu_ctl.scala 750:26] + reg _T_522 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 752:58] + _T_522 <= ebreak_to_debug_mode_r @[el2_dec_tlu_ctl.scala 752:58] + ebreak_to_debug_mode_r_d1 <= _T_522 @[el2_dec_tlu_ctl.scala 752:28] + io.dec_tlu_fence_i_r <= fence_i_r @[el2_dec_tlu_ctl.scala 753:24] + node _T_523 = or(ebreak_r, ecall_r) @[el2_dec_tlu_ctl.scala 766:40] + node _T_524 = or(_T_523, illegal_r) @[el2_dec_tlu_ctl.scala 766:50] + node _T_525 = or(_T_524, inst_acc_r) @[el2_dec_tlu_ctl.scala 766:62] + node _T_526 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 766:78] + node _T_527 = and(_T_525, _T_526) @[el2_dec_tlu_ctl.scala 766:76] + node _T_528 = not(io.dec_tlu_dbg_halted) @[el2_dec_tlu_ctl.scala 766:91] + node i0_exception_valid_r = and(_T_527, _T_528) @[el2_dec_tlu_ctl.scala 766:89] + node _T_529 = not(take_nmi) @[el2_dec_tlu_ctl.scala 775:21] + node _T_530 = and(take_ext_int, _T_529) @[el2_dec_tlu_ctl.scala 775:19] + node _T_531 = bits(_T_530, 0, 0) @[el2_dec_tlu_ctl.scala 775:32] + node _T_532 = not(take_nmi) @[el2_dec_tlu_ctl.scala 776:23] + node _T_533 = and(take_timer_int, _T_532) @[el2_dec_tlu_ctl.scala 776:21] + node _T_534 = bits(_T_533, 0, 0) @[el2_dec_tlu_ctl.scala 776:34] + node _T_535 = not(take_nmi) @[el2_dec_tlu_ctl.scala 777:22] + node _T_536 = and(take_soft_int, _T_535) @[el2_dec_tlu_ctl.scala 777:20] + node _T_537 = bits(_T_536, 0, 0) @[el2_dec_tlu_ctl.scala 777:33] + node _T_538 = not(take_nmi) @[el2_dec_tlu_ctl.scala 778:28] + node _T_539 = and(take_int_timer0_int, _T_538) @[el2_dec_tlu_ctl.scala 778:26] + node _T_540 = bits(_T_539, 0, 0) @[el2_dec_tlu_ctl.scala 778:39] + node _T_541 = not(take_nmi) @[el2_dec_tlu_ctl.scala 779:28] + node _T_542 = and(take_int_timer1_int, _T_541) @[el2_dec_tlu_ctl.scala 779:26] + node _T_543 = bits(_T_542, 0, 0) @[el2_dec_tlu_ctl.scala 779:39] + node _T_544 = not(take_nmi) @[el2_dec_tlu_ctl.scala 780:20] + node _T_545 = and(take_ce_int, _T_544) @[el2_dec_tlu_ctl.scala 780:18] + node _T_546 = bits(_T_545, 0, 0) @[el2_dec_tlu_ctl.scala 780:31] + node _T_547 = not(take_nmi) @[el2_dec_tlu_ctl.scala 781:18] + node _T_548 = and(illegal_r, _T_547) @[el2_dec_tlu_ctl.scala 781:16] + node _T_549 = bits(_T_548, 0, 0) @[el2_dec_tlu_ctl.scala 781:29] + node _T_550 = not(take_nmi) @[el2_dec_tlu_ctl.scala 782:17] + node _T_551 = and(ecall_r, _T_550) @[el2_dec_tlu_ctl.scala 782:15] + node _T_552 = bits(_T_551, 0, 0) @[el2_dec_tlu_ctl.scala 782:28] + node _T_553 = not(take_nmi) @[el2_dec_tlu_ctl.scala 783:20] + node _T_554 = and(inst_acc_r, _T_553) @[el2_dec_tlu_ctl.scala 783:18] + node _T_555 = bits(_T_554, 0, 0) @[el2_dec_tlu_ctl.scala 783:31] + node _T_556 = or(ebreak_r, i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 784:16] + node _T_557 = not(take_nmi) @[el2_dec_tlu_ctl.scala 784:38] + node _T_558 = and(_T_556, _T_557) @[el2_dec_tlu_ctl.scala 784:36] + node _T_559 = bits(_T_558, 0, 0) @[el2_dec_tlu_ctl.scala 784:49] + node _T_560 = not(lsu_exc_st_r) @[el2_dec_tlu_ctl.scala 785:21] + node _T_561 = and(lsu_exc_ma_r, _T_560) @[el2_dec_tlu_ctl.scala 785:19] + node _T_562 = not(take_nmi) @[el2_dec_tlu_ctl.scala 785:37] + node _T_563 = and(_T_561, _T_562) @[el2_dec_tlu_ctl.scala 785:35] + node _T_564 = bits(_T_563, 0, 0) @[el2_dec_tlu_ctl.scala 785:48] + node _T_565 = not(lsu_exc_st_r) @[el2_dec_tlu_ctl.scala 786:22] + node _T_566 = and(lsu_exc_acc_r, _T_565) @[el2_dec_tlu_ctl.scala 786:20] + node _T_567 = not(take_nmi) @[el2_dec_tlu_ctl.scala 786:38] + node _T_568 = and(_T_566, _T_567) @[el2_dec_tlu_ctl.scala 786:36] + node _T_569 = bits(_T_568, 0, 0) @[el2_dec_tlu_ctl.scala 786:49] + node _T_570 = and(lsu_exc_ma_r, lsu_exc_st_r) @[el2_dec_tlu_ctl.scala 787:19] + node _T_571 = not(take_nmi) @[el2_dec_tlu_ctl.scala 787:36] + node _T_572 = and(_T_570, _T_571) @[el2_dec_tlu_ctl.scala 787:34] + node _T_573 = bits(_T_572, 0, 0) @[el2_dec_tlu_ctl.scala 787:47] + node _T_574 = and(lsu_exc_acc_r, lsu_exc_st_r) @[el2_dec_tlu_ctl.scala 788:20] + node _T_575 = not(take_nmi) @[el2_dec_tlu_ctl.scala 788:37] + node _T_576 = and(_T_574, _T_575) @[el2_dec_tlu_ctl.scala 788:35] + node _T_577 = bits(_T_576, 0, 0) @[el2_dec_tlu_ctl.scala 788:48] + node _T_578 = mux(_T_531, UInt<5>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_579 = mux(_T_534, UInt<5>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_580 = mux(_T_537, UInt<5>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_581 = mux(_T_540, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_582 = mux(_T_543, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_583 = mux(_T_546, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_584 = mux(_T_549, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_585 = mux(_T_552, UInt<5>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_586 = mux(_T_555, UInt<5>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_587 = mux(_T_559, UInt<5>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_588 = mux(_T_564, UInt<5>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_589 = mux(_T_569, UInt<5>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_590 = mux(_T_573, UInt<5>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_591 = mux(_T_577, UInt<5>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_592 = or(_T_578, _T_579) @[Mux.scala 27:72] + node _T_593 = or(_T_592, _T_580) @[Mux.scala 27:72] + node _T_594 = or(_T_593, _T_581) @[Mux.scala 27:72] + node _T_595 = or(_T_594, _T_582) @[Mux.scala 27:72] + node _T_596 = or(_T_595, _T_583) @[Mux.scala 27:72] + node _T_597 = or(_T_596, _T_584) @[Mux.scala 27:72] + node _T_598 = or(_T_597, _T_585) @[Mux.scala 27:72] + node _T_599 = or(_T_598, _T_586) @[Mux.scala 27:72] + node _T_600 = or(_T_599, _T_587) @[Mux.scala 27:72] + node _T_601 = or(_T_600, _T_588) @[Mux.scala 27:72] + node _T_602 = or(_T_601, _T_589) @[Mux.scala 27:72] + node _T_603 = or(_T_602, _T_590) @[Mux.scala 27:72] + node _T_604 = or(_T_603, _T_591) @[Mux.scala 27:72] + wire exc_cause_r : UInt<5> @[Mux.scala 27:72] + exc_cause_r <= _T_604 @[Mux.scala 27:72] + node _T_605 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 799:23] + node _T_606 = and(_T_605, mstatus_mie_ns) @[el2_dec_tlu_ctl.scala 799:48] + node _T_607 = bits(mip, 2, 2) @[el2_dec_tlu_ctl.scala 799:70] + node _T_608 = and(_T_606, _T_607) @[el2_dec_tlu_ctl.scala 799:65] + node _T_609 = bits(mie_ns, 2, 2) @[el2_dec_tlu_ctl.scala 799:91] + node _T_610 = and(_T_608, _T_609) @[el2_dec_tlu_ctl.scala 799:83] + mhwakeup_ready <= _T_610 @[el2_dec_tlu_ctl.scala 799:19] + node _T_611 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 800:22] + node _T_612 = and(_T_611, mstatus_mie_ns) @[el2_dec_tlu_ctl.scala 800:47] + node _T_613 = bits(mip, 2, 2) @[el2_dec_tlu_ctl.scala 800:69] + node _T_614 = and(_T_612, _T_613) @[el2_dec_tlu_ctl.scala 800:64] + node _T_615 = bits(mie_ns, 2, 2) @[el2_dec_tlu_ctl.scala 800:90] + node _T_616 = and(_T_614, _T_615) @[el2_dec_tlu_ctl.scala 800:82] + node _T_617 = not(ignore_ext_int_due_to_lsu_stall) @[el2_dec_tlu_ctl.scala 800:103] + node _T_618 = and(_T_616, _T_617) @[el2_dec_tlu_ctl.scala 800:101] + ext_int_ready <= _T_618 @[el2_dec_tlu_ctl.scala 800:19] + node _T_619 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 801:22] + node _T_620 = and(_T_619, mstatus_mie_ns) @[el2_dec_tlu_ctl.scala 801:47] + node _T_621 = bits(mip, 5, 5) @[el2_dec_tlu_ctl.scala 801:69] + node _T_622 = and(_T_620, _T_621) @[el2_dec_tlu_ctl.scala 801:64] + node _T_623 = bits(mie_ns, 5, 5) @[el2_dec_tlu_ctl.scala 801:90] + node _T_624 = and(_T_622, _T_623) @[el2_dec_tlu_ctl.scala 801:82] + ce_int_ready <= _T_624 @[el2_dec_tlu_ctl.scala 801:19] + node _T_625 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 802:22] + node _T_626 = and(_T_625, mstatus_mie_ns) @[el2_dec_tlu_ctl.scala 802:47] + node _T_627 = bits(mip, 0, 0) @[el2_dec_tlu_ctl.scala 802:69] + node _T_628 = and(_T_626, _T_627) @[el2_dec_tlu_ctl.scala 802:64] + node _T_629 = bits(mie_ns, 0, 0) @[el2_dec_tlu_ctl.scala 802:90] + node _T_630 = and(_T_628, _T_629) @[el2_dec_tlu_ctl.scala 802:82] + soft_int_ready <= _T_630 @[el2_dec_tlu_ctl.scala 802:19] + node _T_631 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 803:22] + node _T_632 = and(_T_631, mstatus_mie_ns) @[el2_dec_tlu_ctl.scala 803:47] + node _T_633 = bits(mip, 1, 1) @[el2_dec_tlu_ctl.scala 803:69] + node _T_634 = and(_T_632, _T_633) @[el2_dec_tlu_ctl.scala 803:64] + node _T_635 = bits(mie_ns, 1, 1) @[el2_dec_tlu_ctl.scala 803:90] + node _T_636 = and(_T_634, _T_635) @[el2_dec_tlu_ctl.scala 803:82] + timer_int_ready <= _T_636 @[el2_dec_tlu_ctl.scala 803:19] + node _T_637 = bits(mie_ns, 4, 4) @[el2_dec_tlu_ctl.scala 806:56] + node int_timer0_int_possible = and(mstatus_mie_ns, _T_637) @[el2_dec_tlu_ctl.scala 806:48] + node _T_638 = bits(mip, 4, 4) @[el2_dec_tlu_ctl.scala 807:33] + node int_timer0_int_ready = and(_T_638, int_timer0_int_possible) @[el2_dec_tlu_ctl.scala 807:46] + node _T_639 = bits(mie_ns, 3, 3) @[el2_dec_tlu_ctl.scala 808:56] + node int_timer1_int_possible = and(mstatus_mie_ns, _T_639) @[el2_dec_tlu_ctl.scala 808:48] + node _T_640 = bits(mip, 3, 3) @[el2_dec_tlu_ctl.scala 809:33] + node int_timer1_int_ready = and(_T_640, int_timer1_int_possible) @[el2_dec_tlu_ctl.scala 809:46] + node _T_641 = or(io.dec_csr_stall_int_ff, synchronous_flush_r) @[el2_dec_tlu_ctl.scala 813:51] + node _T_642 = or(_T_641, exc_or_int_valid_r_d1) @[el2_dec_tlu_ctl.scala 813:73] + node int_timer_stalled = or(_T_642, mret_r) @[el2_dec_tlu_ctl.scala 813:97] + node _T_643 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[el2_dec_tlu_ctl.scala 815:71] + node _T_644 = and(int_timer0_int_ready, _T_643) @[el2_dec_tlu_ctl.scala 815:48] + node _T_645 = and(int_timer0_int_possible, int_timer0_int_hold_f) @[el2_dec_tlu_ctl.scala 815:120] + node _T_646 = not(interrupt_valid_r) @[el2_dec_tlu_ctl.scala 815:146] + node _T_647 = and(_T_645, _T_646) @[el2_dec_tlu_ctl.scala 815:144] + node _T_648 = not(take_ext_int_start) @[el2_dec_tlu_ctl.scala 815:167] + node _T_649 = and(_T_647, _T_648) @[el2_dec_tlu_ctl.scala 815:165] + node _T_650 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 815:189] + node _T_651 = and(_T_649, _T_650) @[el2_dec_tlu_ctl.scala 815:187] + node _T_652 = or(_T_644, _T_651) @[el2_dec_tlu_ctl.scala 815:93] + int_timer0_int_hold <= _T_652 @[el2_dec_tlu_ctl.scala 815:23] + node _T_653 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[el2_dec_tlu_ctl.scala 816:71] + node _T_654 = and(int_timer1_int_ready, _T_653) @[el2_dec_tlu_ctl.scala 816:48] + node _T_655 = and(int_timer1_int_possible, int_timer1_int_hold_f) @[el2_dec_tlu_ctl.scala 816:120] + node _T_656 = not(interrupt_valid_r) @[el2_dec_tlu_ctl.scala 816:146] + node _T_657 = and(_T_655, _T_656) @[el2_dec_tlu_ctl.scala 816:144] + node _T_658 = not(take_ext_int_start) @[el2_dec_tlu_ctl.scala 816:167] + node _T_659 = and(_T_657, _T_658) @[el2_dec_tlu_ctl.scala 816:165] + node _T_660 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 816:189] + node _T_661 = and(_T_659, _T_660) @[el2_dec_tlu_ctl.scala 816:187] + node _T_662 = or(_T_654, _T_661) @[el2_dec_tlu_ctl.scala 816:93] + int_timer1_int_hold <= _T_662 @[el2_dec_tlu_ctl.scala 816:23] + node _T_663 = not(dcsr_single_step_running) @[el2_dec_tlu_ctl.scala 818:58] + node _T_664 = and(debug_mode_status, _T_663) @[el2_dec_tlu_ctl.scala 818:56] + internal_dbg_halt_timers <= _T_664 @[el2_dec_tlu_ctl.scala 818:28] + node _T_665 = not(dcsr_single_step_running) @[el2_dec_tlu_ctl.scala 820:54] + node _T_666 = or(_T_665, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 820:80] + node _T_667 = and(internal_dbg_halt_mode, _T_666) @[el2_dec_tlu_ctl.scala 820:51] + node _T_668 = or(_T_667, internal_pmu_fw_halt_mode) @[el2_dec_tlu_ctl.scala 820:106] + node _T_669 = or(_T_668, i_cpu_halt_req_d1) @[el2_dec_tlu_ctl.scala 820:134] + node _T_670 = or(_T_669, take_nmi) @[el2_dec_tlu_ctl.scala 820:154] + node _T_671 = or(_T_670, ebreak_to_debug_mode_r) @[el2_dec_tlu_ctl.scala 820:165] + node _T_672 = or(_T_671, synchronous_flush_r) @[el2_dec_tlu_ctl.scala 820:190] + node _T_673 = or(_T_672, exc_or_int_valid_r_d1) @[el2_dec_tlu_ctl.scala 820:213] + node _T_674 = or(_T_673, mret_r) @[el2_dec_tlu_ctl.scala 820:237] + node block_interrupts = or(_T_674, ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 820:246] + reg _T_675 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 824:58] + _T_675 <= take_ext_int_start @[el2_dec_tlu_ctl.scala 824:58] + take_ext_int_start_d1 <= _T_675 @[el2_dec_tlu_ctl.scala 824:26] + reg _T_676 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 825:58] + _T_676 <= take_ext_int_start_d1 @[el2_dec_tlu_ctl.scala 825:58] + take_ext_int_start_d2 <= _T_676 @[el2_dec_tlu_ctl.scala 825:26] + reg _T_677 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 826:58] + _T_677 <= take_ext_int_start_d2 @[el2_dec_tlu_ctl.scala 826:58] + take_ext_int_start_d3 <= _T_677 @[el2_dec_tlu_ctl.scala 826:26] + reg _T_678 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 827:58] + _T_678 <= ext_int_freeze @[el2_dec_tlu_ctl.scala 827:58] + ext_int_freeze_d1 <= _T_678 @[el2_dec_tlu_ctl.scala 827:26] + node _T_679 = not(block_interrupts) @[el2_dec_tlu_ctl.scala 828:43] + node _T_680 = and(ext_int_ready, _T_679) @[el2_dec_tlu_ctl.scala 828:41] + take_ext_int_start <= _T_680 @[el2_dec_tlu_ctl.scala 828:24] + node _T_681 = or(take_ext_int_start, take_ext_int_start_d1) @[el2_dec_tlu_ctl.scala 830:42] + node _T_682 = or(_T_681, take_ext_int_start_d2) @[el2_dec_tlu_ctl.scala 830:66] + node _T_683 = or(_T_682, take_ext_int_start_d3) @[el2_dec_tlu_ctl.scala 830:90] + ext_int_freeze <= _T_683 @[el2_dec_tlu_ctl.scala 830:20] + node _T_684 = orr(io.lsu_fir_error) @[el2_dec_tlu_ctl.scala 831:63] + node _T_685 = not(_T_684) @[el2_dec_tlu_ctl.scala 831:45] + node _T_686 = and(take_ext_int_start_d3, _T_685) @[el2_dec_tlu_ctl.scala 831:43] + take_ext_int <= _T_686 @[el2_dec_tlu_ctl.scala 831:18] + node _T_687 = and(csr_pkt.csr_meicpct, io.dec_csr_any_unq_d) @[el2_dec_tlu_ctl.scala 832:45] + fast_int_meicpct <= _T_687 @[el2_dec_tlu_ctl.scala 832:22] + ignore_ext_int_due_to_lsu_stall <= io.lsu_fastint_stall_any @[el2_dec_tlu_ctl.scala 833:37] + node _T_688 = not(ext_int_ready) @[el2_dec_tlu_ctl.scala 846:34] + node _T_689 = and(ce_int_ready, _T_688) @[el2_dec_tlu_ctl.scala 846:32] + node _T_690 = not(block_interrupts) @[el2_dec_tlu_ctl.scala 846:51] + node _T_691 = and(_T_689, _T_690) @[el2_dec_tlu_ctl.scala 846:49] + take_ce_int <= _T_691 @[el2_dec_tlu_ctl.scala 846:16] + node _T_692 = not(ext_int_ready) @[el2_dec_tlu_ctl.scala 847:37] + node _T_693 = and(soft_int_ready, _T_692) @[el2_dec_tlu_ctl.scala 847:35] + node _T_694 = not(ce_int_ready) @[el2_dec_tlu_ctl.scala 847:54] + node _T_695 = and(_T_693, _T_694) @[el2_dec_tlu_ctl.scala 847:52] + node _T_696 = not(block_interrupts) @[el2_dec_tlu_ctl.scala 847:70] + node _T_697 = and(_T_695, _T_696) @[el2_dec_tlu_ctl.scala 847:68] + take_soft_int <= _T_697 @[el2_dec_tlu_ctl.scala 847:17] + node _T_698 = not(soft_int_ready) @[el2_dec_tlu_ctl.scala 848:39] + node _T_699 = and(timer_int_ready, _T_698) @[el2_dec_tlu_ctl.scala 848:37] + node _T_700 = not(ext_int_ready) @[el2_dec_tlu_ctl.scala 848:57] + node _T_701 = and(_T_699, _T_700) @[el2_dec_tlu_ctl.scala 848:55] + node _T_702 = not(ce_int_ready) @[el2_dec_tlu_ctl.scala 848:74] + node _T_703 = and(_T_701, _T_702) @[el2_dec_tlu_ctl.scala 848:72] + node _T_704 = not(block_interrupts) @[el2_dec_tlu_ctl.scala 848:90] + node _T_705 = and(_T_703, _T_704) @[el2_dec_tlu_ctl.scala 848:88] + take_timer_int <= _T_705 @[el2_dec_tlu_ctl.scala 848:18] + node _T_706 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[el2_dec_tlu_ctl.scala 849:48] + node _T_707 = and(_T_706, int_timer0_int_possible) @[el2_dec_tlu_ctl.scala 849:73] + node _T_708 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 849:101] + node _T_709 = and(_T_707, _T_708) @[el2_dec_tlu_ctl.scala 849:99] + node _T_710 = not(timer_int_ready) @[el2_dec_tlu_ctl.scala 849:128] + node _T_711 = and(_T_709, _T_710) @[el2_dec_tlu_ctl.scala 849:126] + node _T_712 = not(soft_int_ready) @[el2_dec_tlu_ctl.scala 849:147] + node _T_713 = and(_T_711, _T_712) @[el2_dec_tlu_ctl.scala 849:145] + node _T_714 = not(ext_int_ready) @[el2_dec_tlu_ctl.scala 849:165] + node _T_715 = and(_T_713, _T_714) @[el2_dec_tlu_ctl.scala 849:163] + node _T_716 = not(ce_int_ready) @[el2_dec_tlu_ctl.scala 849:182] + node _T_717 = and(_T_715, _T_716) @[el2_dec_tlu_ctl.scala 849:180] + node _T_718 = not(block_interrupts) @[el2_dec_tlu_ctl.scala 849:198] + node _T_719 = and(_T_717, _T_718) @[el2_dec_tlu_ctl.scala 849:196] + take_int_timer0_int <= _T_719 @[el2_dec_tlu_ctl.scala 849:23] + node _T_720 = or(int_timer1_int_ready, int_timer1_int_hold_f) @[el2_dec_tlu_ctl.scala 850:48] + node _T_721 = and(_T_720, int_timer1_int_possible) @[el2_dec_tlu_ctl.scala 850:73] + node _T_722 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 850:101] + node _T_723 = and(_T_721, _T_722) @[el2_dec_tlu_ctl.scala 850:99] + node _T_724 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[el2_dec_tlu_ctl.scala 850:151] + node _T_725 = not(_T_724) @[el2_dec_tlu_ctl.scala 850:128] + node _T_726 = and(_T_723, _T_725) @[el2_dec_tlu_ctl.scala 850:126] + node _T_727 = not(timer_int_ready) @[el2_dec_tlu_ctl.scala 850:178] + node _T_728 = and(_T_726, _T_727) @[el2_dec_tlu_ctl.scala 850:176] + node _T_729 = not(soft_int_ready) @[el2_dec_tlu_ctl.scala 850:197] + node _T_730 = and(_T_728, _T_729) @[el2_dec_tlu_ctl.scala 850:195] + node _T_731 = not(ext_int_ready) @[el2_dec_tlu_ctl.scala 850:215] + node _T_732 = and(_T_730, _T_731) @[el2_dec_tlu_ctl.scala 850:213] + node _T_733 = not(ce_int_ready) @[el2_dec_tlu_ctl.scala 850:232] + node _T_734 = and(_T_732, _T_733) @[el2_dec_tlu_ctl.scala 850:230] + node _T_735 = not(block_interrupts) @[el2_dec_tlu_ctl.scala 850:248] + node _T_736 = and(_T_734, _T_735) @[el2_dec_tlu_ctl.scala 850:246] + take_int_timer1_int <= _T_736 @[el2_dec_tlu_ctl.scala 850:23] + node _T_737 = and(reset_delayed, io.mpc_reset_run_req) @[el2_dec_tlu_ctl.scala 851:31] + take_reset <= _T_737 @[el2_dec_tlu_ctl.scala 851:14] + node _T_738 = not(internal_pmu_fw_halt_mode) @[el2_dec_tlu_ctl.scala 852:34] + node _T_739 = and(nmi_int_detected, _T_738) @[el2_dec_tlu_ctl.scala 852:32] + node _T_740 = not(internal_dbg_halt_mode) @[el2_dec_tlu_ctl.scala 852:64] + node _T_741 = bits(dcsr, 11, 11) @[el2_dec_tlu_ctl.scala 852:124] + node _T_742 = and(dcsr_single_step_running_f, _T_741) @[el2_dec_tlu_ctl.scala 852:118] + node _T_743 = not(io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 852:140] + node _T_744 = and(_T_742, _T_743) @[el2_dec_tlu_ctl.scala 852:138] + node _T_745 = not(dcsr_single_step_done_f) @[el2_dec_tlu_ctl.scala 852:165] + node _T_746 = and(_T_744, _T_745) @[el2_dec_tlu_ctl.scala 852:163] + node _T_747 = or(_T_740, _T_746) @[el2_dec_tlu_ctl.scala 852:88] + node _T_748 = and(_T_739, _T_747) @[el2_dec_tlu_ctl.scala 852:61] + node _T_749 = not(synchronous_flush_r) @[el2_dec_tlu_ctl.scala 852:194] + node _T_750 = and(_T_748, _T_749) @[el2_dec_tlu_ctl.scala 852:192] + node _T_751 = not(mret_r) @[el2_dec_tlu_ctl.scala 852:217] + node _T_752 = and(_T_750, _T_751) @[el2_dec_tlu_ctl.scala 852:215] + node _T_753 = not(take_reset) @[el2_dec_tlu_ctl.scala 852:227] + node _T_754 = and(_T_752, _T_753) @[el2_dec_tlu_ctl.scala 852:225] + node _T_755 = not(ebreak_to_debug_mode_r) @[el2_dec_tlu_ctl.scala 852:241] + node _T_756 = and(_T_754, _T_755) @[el2_dec_tlu_ctl.scala 852:239] + node _T_757 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 852:268] + node _T_758 = orr(io.lsu_fir_error) @[el2_dec_tlu_ctl.scala 852:331] + node _T_759 = and(take_ext_int_start_d3, _T_758) @[el2_dec_tlu_ctl.scala 852:312] + node _T_760 = or(_T_757, _T_759) @[el2_dec_tlu_ctl.scala 852:287] + node _T_761 = and(_T_756, _T_760) @[el2_dec_tlu_ctl.scala 852:265] + take_nmi <= _T_761 @[el2_dec_tlu_ctl.scala 852:12] + node _T_762 = or(take_ext_int, take_timer_int) @[el2_dec_tlu_ctl.scala 855:37] + node _T_763 = or(_T_762, take_soft_int) @[el2_dec_tlu_ctl.scala 855:54] + node _T_764 = or(_T_763, take_nmi) @[el2_dec_tlu_ctl.scala 855:70] + node _T_765 = or(_T_764, take_ce_int) @[el2_dec_tlu_ctl.scala 855:81] + node _T_766 = or(_T_765, take_int_timer0_int) @[el2_dec_tlu_ctl.scala 855:95] + node _T_767 = or(_T_766, take_int_timer1_int) @[el2_dec_tlu_ctl.scala 855:117] + interrupt_valid_r <= _T_767 @[el2_dec_tlu_ctl.scala 855:21] + node _T_768 = bits(mtvec, 30, 1) @[el2_dec_tlu_ctl.scala 860:33] + node _T_769 = cat(_T_768, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_770 = cat(UInt<25>("h00"), exc_cause_r) @[Cat.scala 29:58] + node _T_771 = cat(_T_770, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_772 = add(_T_769, _T_771) @[el2_dec_tlu_ctl.scala 860:50] + node vectored_path = tail(_T_772, 1) @[el2_dec_tlu_ctl.scala 860:50] + node _T_773 = bits(take_nmi, 0, 0) @[el2_dec_tlu_ctl.scala 861:37] + node _T_774 = bits(mtvec, 0, 0) @[el2_dec_tlu_ctl.scala 861:66] + node _T_775 = eq(_T_774, UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 861:70] + node _T_776 = bits(mtvec, 30, 1) @[el2_dec_tlu_ctl.scala 861:103] + node _T_777 = cat(_T_776, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_778 = mux(_T_775, vectored_path, _T_777) @[el2_dec_tlu_ctl.scala 861:60] + node interrupt_path = mux(_T_773, io.nmi_vec, _T_778) @[el2_dec_tlu_ctl.scala 861:27] + node _T_779 = or(lsu_i0_rfnpc_r, fence_i_r) @[el2_dec_tlu_ctl.scala 862:35] + node _T_780 = or(_T_779, iccm_repair_state_rfnpc) @[el2_dec_tlu_ctl.scala 862:47] + node _T_781 = not(interrupt_valid_r) @[el2_dec_tlu_ctl.scala 862:95] + node _T_782 = and(i_cpu_run_req_d1, _T_781) @[el2_dec_tlu_ctl.scala 862:93] + node _T_783 = or(_T_780, _T_782) @[el2_dec_tlu_ctl.scala 862:73] + node _T_784 = not(io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 862:130] + node _T_785 = and(rfpc_i0_r, _T_784) @[el2_dec_tlu_ctl.scala 862:128] + node sel_npc_r = or(_T_783, _T_785) @[el2_dec_tlu_ctl.scala 862:115] + node _T_786 = and(i_cpu_run_req_d1, pmu_fw_tlu_halted_f) @[el2_dec_tlu_ctl.scala 863:42] + node sel_npc_resume = or(_T_786, pause_expired_r) @[el2_dec_tlu_ctl.scala 863:65] + node _T_787 = orr(io.lsu_fir_error) @[el2_dec_tlu_ctl.scala 864:64] + node _T_788 = not(_T_787) @[el2_dec_tlu_ctl.scala 864:46] + node sel_fir_addr = and(take_ext_int_start_d3, _T_788) @[el2_dec_tlu_ctl.scala 864:44] + node _T_789 = or(i0_exception_valid_r, rfpc_i0_r) @[el2_dec_tlu_ctl.scala 865:48] + node _T_790 = or(_T_789, lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 865:60] + node _T_791 = or(_T_790, fence_i_r) @[el2_dec_tlu_ctl.scala 865:78] + node _T_792 = or(_T_791, lsu_i0_rfnpc_r) @[el2_dec_tlu_ctl.scala 865:90] + node _T_793 = or(_T_792, iccm_repair_state_rfnpc) @[el2_dec_tlu_ctl.scala 865:107] + node _T_794 = or(_T_793, debug_resume_req_f) @[el2_dec_tlu_ctl.scala 865:134] + node _T_795 = or(_T_794, sel_npc_resume) @[el2_dec_tlu_ctl.scala 865:156] + node _T_796 = or(_T_795, dec_tlu_wr_pause_r_d1) @[el2_dec_tlu_ctl.scala 865:174] + node _T_797 = or(_T_796, i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 865:200] + synchronous_flush_r <= _T_797 @[el2_dec_tlu_ctl.scala 865:24] + node _T_798 = or(interrupt_valid_r, mret_r) @[el2_dec_tlu_ctl.scala 866:42] + node _T_799 = or(_T_798, synchronous_flush_r) @[el2_dec_tlu_ctl.scala 866:51] + node _T_800 = or(_T_799, take_halt) @[el2_dec_tlu_ctl.scala 866:73] + node _T_801 = or(_T_800, take_reset) @[el2_dec_tlu_ctl.scala 866:85] + node _T_802 = or(_T_801, take_ext_int_start) @[el2_dec_tlu_ctl.scala 866:98] + tlu_flush_lower_r <= _T_802 @[el2_dec_tlu_ctl.scala 866:21] + node _T_803 = bits(take_reset, 0, 0) @[el2_dec_tlu_ctl.scala 868:41] + node _T_804 = bits(sel_fir_addr, 0, 0) @[el2_dec_tlu_ctl.scala 869:20] + node _T_805 = eq(take_nmi, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 870:14] + node _T_806 = eq(sel_npc_r, UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 870:32] + node _T_807 = and(_T_805, _T_806) @[el2_dec_tlu_ctl.scala 870:21] + node _T_808 = eq(take_nmi, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 871:14] + node _T_809 = eq(rfpc_i0_r, UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 871:32] + node _T_810 = and(_T_808, _T_809) @[el2_dec_tlu_ctl.scala 871:21] + node _T_811 = eq(io.dec_tlu_i0_valid_r, UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 871:62] + node _T_812 = and(_T_810, _T_811) @[el2_dec_tlu_ctl.scala 871:39] + node _T_813 = eq(sel_npc_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 871:80] + node _T_814 = and(_T_812, _T_813) @[el2_dec_tlu_ctl.scala 871:69] + node _T_815 = eq(interrupt_valid_r, UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 872:23] + node _T_816 = eq(sel_fir_addr, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 872:44] + node _T_817 = and(_T_815, _T_816) @[el2_dec_tlu_ctl.scala 872:30] + node _T_818 = or(i0_exception_valid_r, lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 873:28] + node _T_819 = not(trigger_hit_dmode_r) @[el2_dec_tlu_ctl.scala 873:68] + node _T_820 = and(i0_trigger_hit_r, _T_819) @[el2_dec_tlu_ctl.scala 873:66] + node _T_821 = or(_T_818, _T_820) @[el2_dec_tlu_ctl.scala 873:46] + node _T_822 = not(interrupt_valid_r) @[el2_dec_tlu_ctl.scala 873:93] + node _T_823 = and(_T_821, _T_822) @[el2_dec_tlu_ctl.scala 873:91] + node _T_824 = not(sel_fir_addr) @[el2_dec_tlu_ctl.scala 873:114] + node _T_825 = and(_T_823, _T_824) @[el2_dec_tlu_ctl.scala 873:112] + node _T_826 = bits(_T_825, 0, 0) @[el2_dec_tlu_ctl.scala 873:129] + node _T_827 = bits(mtvec, 30, 1) @[el2_dec_tlu_ctl.scala 873:149] + node _T_828 = cat(_T_827, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_829 = not(take_nmi) @[el2_dec_tlu_ctl.scala 874:6] + node _T_830 = and(_T_829, mret_r) @[el2_dec_tlu_ctl.scala 874:16] + node _T_831 = bits(_T_830, 0, 0) @[el2_dec_tlu_ctl.scala 874:26] + node _T_832 = not(take_nmi) @[el2_dec_tlu_ctl.scala 875:6] + node _T_833 = and(_T_832, debug_resume_req_f) @[el2_dec_tlu_ctl.scala 875:16] + node _T_834 = bits(_T_833, 0, 0) @[el2_dec_tlu_ctl.scala 875:38] + node _T_835 = not(take_nmi) @[el2_dec_tlu_ctl.scala 876:6] + node _T_836 = and(_T_835, sel_npc_resume) @[el2_dec_tlu_ctl.scala 876:16] + node _T_837 = bits(_T_836, 0, 0) @[el2_dec_tlu_ctl.scala 876:34] + node _T_838 = mux(_T_804, io.lsu_fir_addr, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_839 = mux(_T_807, npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_840 = mux(_T_814, io.dec_tlu_i0_pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_841 = mux(_T_817, interrupt_path, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_842 = mux(_T_826, _T_828, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_843 = mux(_T_831, mepc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_844 = mux(_T_834, dpc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_845 = mux(_T_837, npc_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_846 = or(_T_838, _T_839) @[Mux.scala 27:72] + node _T_847 = or(_T_846, _T_840) @[Mux.scala 27:72] + node _T_848 = or(_T_847, _T_841) @[Mux.scala 27:72] + node _T_849 = or(_T_848, _T_842) @[Mux.scala 27:72] + node _T_850 = or(_T_849, _T_843) @[Mux.scala 27:72] + node _T_851 = or(_T_850, _T_844) @[Mux.scala 27:72] + node _T_852 = or(_T_851, _T_845) @[Mux.scala 27:72] + wire _T_853 : UInt<31> @[Mux.scala 27:72] + _T_853 <= _T_852 @[Mux.scala 27:72] + node tlu_flush_path_r = mux(_T_803, io.rst_vec, _T_853) @[el2_dec_tlu_ctl.scala 868:29] + reg tlu_flush_path_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 879:58] + tlu_flush_path_r_d1 <= tlu_flush_path_r @[el2_dec_tlu_ctl.scala 879:58] + io.dec_tlu_flush_lower_wb <= tlu_flush_lower_r_d1 @[el2_dec_tlu_ctl.scala 881:33] + io.dec_tlu_flush_lower_r <= tlu_flush_lower_r @[el2_dec_tlu_ctl.scala 882:33] + io.dec_tlu_flush_path_r <= tlu_flush_path_r @[el2_dec_tlu_ctl.scala 883:33] + node _T_854 = or(lsu_exc_valid_r, i0_exception_valid_r) @[el2_dec_tlu_ctl.scala 886:44] + node _T_855 = or(_T_854, interrupt_valid_r) @[el2_dec_tlu_ctl.scala 886:67] + node _T_856 = not(trigger_hit_dmode_r) @[el2_dec_tlu_ctl.scala 886:109] + node _T_857 = and(i0_trigger_hit_r, _T_856) @[el2_dec_tlu_ctl.scala 886:107] + node exc_or_int_valid_r = or(_T_855, _T_857) @[el2_dec_tlu_ctl.scala 886:87] + reg _T_858 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 888:82] + _T_858 <= interrupt_valid_r @[el2_dec_tlu_ctl.scala 888:82] + interrupt_valid_r_d1 <= _T_858 @[el2_dec_tlu_ctl.scala 888:49] + reg i0_exception_valid_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 889:81] + i0_exception_valid_r_d1 <= i0_exception_valid_r @[el2_dec_tlu_ctl.scala 889:81] + reg _T_859 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 890:82] + _T_859 <= exc_or_int_valid_r @[el2_dec_tlu_ctl.scala 890:82] + exc_or_int_valid_r_d1 <= _T_859 @[el2_dec_tlu_ctl.scala 890:49] + reg exc_cause_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 891:89] + exc_cause_wb <= exc_cause_r @[el2_dec_tlu_ctl.scala 891:89] + node _T_860 = not(illegal_r) @[el2_dec_tlu_ctl.scala 892:119] + node _T_861 = and(tlu_i0_commit_cmt, _T_860) @[el2_dec_tlu_ctl.scala 892:117] + reg i0_valid_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 892:97] + i0_valid_wb <= _T_861 @[el2_dec_tlu_ctl.scala 892:97] + reg trigger_hit_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 893:81] + trigger_hit_r_d1 <= i0_trigger_hit_r @[el2_dec_tlu_ctl.scala 893:81] + reg _T_862 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 894:90] + _T_862 <= take_nmi @[el2_dec_tlu_ctl.scala 894:90] + take_nmi_r_d1 <= _T_862 @[el2_dec_tlu_ctl.scala 894:57] + reg _T_863 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 895:90] + _T_863 <= pause_expired_r @[el2_dec_tlu_ctl.scala 895:90] + pause_expired_wb <= _T_863 @[el2_dec_tlu_ctl.scala 895:57] + inst csr of csr_tlu @[el2_dec_tlu_ctl.scala 897:17] + csr.clock <= clock + csr.reset <= reset + csr.io.free_clk <= io.free_clk @[el2_dec_tlu_ctl.scala 898:44] + csr.io.active_clk <= io.active_clk @[el2_dec_tlu_ctl.scala 899:44] + csr.io.scan_mode <= io.scan_mode @[el2_dec_tlu_ctl.scala 900:44] + csr.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[el2_dec_tlu_ctl.scala 901:44] + csr.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[el2_dec_tlu_ctl.scala 902:44] + csr.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[el2_dec_tlu_ctl.scala 903:44] + csr.io.dec_csr_wen_unq_d <= io.dec_csr_wen_unq_d @[el2_dec_tlu_ctl.scala 904:44] + csr.io.dec_i0_decode_d <= io.dec_i0_decode_d @[el2_dec_tlu_ctl.scala 905:44] + csr.io.ifu_ic_debug_rd_data_valid <= io.ifu_ic_debug_rd_data_valid @[el2_dec_tlu_ctl.scala 906:44] + csr.io.ifu_pmu_bus_trxn <= io.ifu_pmu_bus_trxn @[el2_dec_tlu_ctl.scala 907:44] + csr.io.dma_iccm_stall_any <= io.dma_iccm_stall_any @[el2_dec_tlu_ctl.scala 908:44] + csr.io.dma_dccm_stall_any <= io.dma_dccm_stall_any @[el2_dec_tlu_ctl.scala 909:44] + csr.io.lsu_store_stall_any <= io.lsu_store_stall_any @[el2_dec_tlu_ctl.scala 910:44] + csr.io.dec_pmu_presync_stall <= io.dec_pmu_presync_stall @[el2_dec_tlu_ctl.scala 911:44] + csr.io.dec_pmu_postsync_stall <= io.dec_pmu_postsync_stall @[el2_dec_tlu_ctl.scala 912:44] + csr.io.dec_pmu_decode_stall <= io.dec_pmu_decode_stall @[el2_dec_tlu_ctl.scala 913:44] + csr.io.ifu_pmu_fetch_stall <= io.ifu_pmu_fetch_stall @[el2_dec_tlu_ctl.scala 914:44] + csr.io.dec_tlu_packet_r.pmu_lsu_misaligned <= io.dec_tlu_packet_r.pmu_lsu_misaligned @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.pmu_divide <= io.dec_tlu_packet_r.pmu_divide @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.pmu_i0_br_unpred <= io.dec_tlu_packet_r.pmu_i0_br_unpred @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.pmu_i0_itype <= io.dec_tlu_packet_r.pmu_i0_itype @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.i0trigger <= io.dec_tlu_packet_r.i0trigger @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.fence_i <= io.dec_tlu_packet_r.fence_i @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.icaf_type <= io.dec_tlu_packet_r.icaf_type @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.icaf_f1 <= io.dec_tlu_packet_r.icaf_f1 @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.icaf <= io.dec_tlu_packet_r.icaf @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.legal <= io.dec_tlu_packet_r.legal @[el2_dec_tlu_ctl.scala 915:44] + csr.io.exu_pmu_i0_br_ataken <= io.exu_pmu_i0_br_ataken @[el2_dec_tlu_ctl.scala 916:44] + csr.io.exu_pmu_i0_br_misp <= io.exu_pmu_i0_br_misp @[el2_dec_tlu_ctl.scala 917:44] + csr.io.dec_pmu_instr_decoded <= io.dec_pmu_instr_decoded @[el2_dec_tlu_ctl.scala 918:44] + csr.io.ifu_pmu_instr_aligned <= io.ifu_pmu_instr_aligned @[el2_dec_tlu_ctl.scala 919:44] + csr.io.exu_pmu_i0_pc4 <= io.exu_pmu_i0_pc4 @[el2_dec_tlu_ctl.scala 920:44] + csr.io.ifu_pmu_ic_miss <= io.ifu_pmu_ic_miss @[el2_dec_tlu_ctl.scala 921:44] + csr.io.ifu_pmu_ic_hit <= io.ifu_pmu_ic_hit @[el2_dec_tlu_ctl.scala 922:44] + csr.io.dec_csr_wen_r <= io.dec_csr_wen_r @[el2_dec_tlu_ctl.scala 923:44] + csr.io.dec_tlu_dbg_halted <= io.dec_tlu_dbg_halted @[el2_dec_tlu_ctl.scala 924:44] + csr.io.dma_pmu_dccm_write <= io.dma_pmu_dccm_write @[el2_dec_tlu_ctl.scala 925:44] + csr.io.dma_pmu_dccm_read <= io.dma_pmu_dccm_read @[el2_dec_tlu_ctl.scala 926:44] + csr.io.dma_pmu_any_write <= io.dma_pmu_any_write @[el2_dec_tlu_ctl.scala 927:44] + csr.io.dma_pmu_any_read <= io.dma_pmu_any_read @[el2_dec_tlu_ctl.scala 928:44] + csr.io.lsu_pmu_bus_busy <= io.lsu_pmu_bus_busy @[el2_dec_tlu_ctl.scala 929:44] + csr.io.dec_tlu_i0_pc_r <= io.dec_tlu_i0_pc_r @[el2_dec_tlu_ctl.scala 930:44] + csr.io.dec_tlu_i0_valid_r <= io.dec_tlu_i0_valid_r @[el2_dec_tlu_ctl.scala 931:44] + csr.io.dec_csr_stall_int_ff <= io.dec_csr_stall_int_ff @[el2_dec_tlu_ctl.scala 932:44] + csr.io.dec_csr_any_unq_d <= io.dec_csr_any_unq_d @[el2_dec_tlu_ctl.scala 933:44] + csr.io.ifu_pmu_bus_busy <= io.ifu_pmu_bus_busy @[el2_dec_tlu_ctl.scala 934:44] + csr.io.lsu_pmu_bus_error <= io.lsu_pmu_bus_error @[el2_dec_tlu_ctl.scala 935:44] + csr.io.ifu_pmu_bus_error <= io.ifu_pmu_bus_error @[el2_dec_tlu_ctl.scala 936:44] + csr.io.lsu_pmu_bus_misaligned <= io.lsu_pmu_bus_misaligned @[el2_dec_tlu_ctl.scala 937:44] + csr.io.lsu_pmu_bus_trxn <= io.lsu_pmu_bus_trxn @[el2_dec_tlu_ctl.scala 938:44] + csr.io.ifu_ic_debug_rd_data <= io.ifu_ic_debug_rd_data @[el2_dec_tlu_ctl.scala 939:44] + csr.io.pic_pl <= io.pic_pl @[el2_dec_tlu_ctl.scala 940:44] + csr.io.pic_claimid <= io.pic_claimid @[el2_dec_tlu_ctl.scala 941:44] + csr.io.iccm_dma_sb_error <= io.iccm_dma_sb_error @[el2_dec_tlu_ctl.scala 942:44] + csr.io.lsu_imprecise_error_addr_any <= io.lsu_imprecise_error_addr_any @[el2_dec_tlu_ctl.scala 943:44] + csr.io.lsu_imprecise_error_load_any <= io.lsu_imprecise_error_load_any @[el2_dec_tlu_ctl.scala 944:44] + csr.io.lsu_imprecise_error_store_any <= io.lsu_imprecise_error_store_any @[el2_dec_tlu_ctl.scala 945:44] + csr.io.dec_illegal_inst <= io.dec_illegal_inst @[el2_dec_tlu_ctl.scala 946:44] + csr.io.lsu_error_pkt_r.addr <= io.lsu_error_pkt_r.addr @[el2_dec_tlu_ctl.scala 947:44] + csr.io.lsu_error_pkt_r.mscause <= io.lsu_error_pkt_r.mscause @[el2_dec_tlu_ctl.scala 947:44] + csr.io.lsu_error_pkt_r.exc_type <= io.lsu_error_pkt_r.exc_type @[el2_dec_tlu_ctl.scala 947:44] + csr.io.lsu_error_pkt_r.inst_type <= io.lsu_error_pkt_r.inst_type @[el2_dec_tlu_ctl.scala 947:44] + csr.io.lsu_error_pkt_r.single_ecc_error <= io.lsu_error_pkt_r.single_ecc_error @[el2_dec_tlu_ctl.scala 947:44] + csr.io.lsu_error_pkt_r.exc_valid <= io.lsu_error_pkt_r.exc_valid @[el2_dec_tlu_ctl.scala 947:44] + csr.io.mexintpend <= io.mexintpend @[el2_dec_tlu_ctl.scala 948:44] + csr.io.exu_npc_r <= io.exu_npc_r @[el2_dec_tlu_ctl.scala 949:44] + csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[el2_dec_tlu_ctl.scala 950:44] + csr.io.rst_vec <= io.rst_vec @[el2_dec_tlu_ctl.scala 951:44] + csr.io.core_id <= io.core_id @[el2_dec_tlu_ctl.scala 952:44] + csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[el2_dec_tlu_ctl.scala 953:44] + csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[el2_dec_tlu_ctl.scala 954:44] + io.dec_tlu_meicurpl <= csr.io.dec_tlu_meicurpl @[el2_dec_tlu_ctl.scala 955:44] + io.dec_tlu_meihap <= csr.io.dec_tlu_meihap @[el2_dec_tlu_ctl.scala 956:44] + io.dec_tlu_meipt <= csr.io.dec_tlu_meipt @[el2_dec_tlu_ctl.scala 957:44] + io.dec_tlu_int_valid_wb1 <= csr.io.dec_tlu_int_valid_wb1 @[el2_dec_tlu_ctl.scala 958:44] + io.dec_tlu_i0_exc_valid_wb1 <= csr.io.dec_tlu_i0_exc_valid_wb1 @[el2_dec_tlu_ctl.scala 959:44] + io.dec_tlu_i0_valid_wb1 <= csr.io.dec_tlu_i0_valid_wb1 @[el2_dec_tlu_ctl.scala 960:44] + io.dec_tlu_ic_diag_pkt.icache_wr_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_wr_valid @[el2_dec_tlu_ctl.scala 961:44] + io.dec_tlu_ic_diag_pkt.icache_rd_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_rd_valid @[el2_dec_tlu_ctl.scala 961:44] + io.dec_tlu_ic_diag_pkt.icache_dicawics <= csr.io.dec_tlu_ic_diag_pkt.icache_dicawics @[el2_dec_tlu_ctl.scala 961:44] + io.dec_tlu_ic_diag_pkt.icache_wrdata <= csr.io.dec_tlu_ic_diag_pkt.icache_wrdata @[el2_dec_tlu_ctl.scala 961:44] + io.trigger_pkt_any[0].tdata2 <= csr.io.trigger_pkt_any[0].tdata2 @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[0].m <= csr.io.trigger_pkt_any[0].m @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[0].execute <= csr.io.trigger_pkt_any[0].execute @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[0].load <= csr.io.trigger_pkt_any[0].load @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[0].store <= csr.io.trigger_pkt_any[0].store @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[0].match_ <= csr.io.trigger_pkt_any[0].match_ @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[0].select <= csr.io.trigger_pkt_any[0].select @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].tdata2 <= csr.io.trigger_pkt_any[1].tdata2 @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].m <= csr.io.trigger_pkt_any[1].m @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].execute <= csr.io.trigger_pkt_any[1].execute @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].load <= csr.io.trigger_pkt_any[1].load @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].store <= csr.io.trigger_pkt_any[1].store @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].match_ <= csr.io.trigger_pkt_any[1].match_ @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].select <= csr.io.trigger_pkt_any[1].select @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].tdata2 <= csr.io.trigger_pkt_any[2].tdata2 @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].m <= csr.io.trigger_pkt_any[2].m @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].execute <= csr.io.trigger_pkt_any[2].execute @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].load <= csr.io.trigger_pkt_any[2].load @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].store <= csr.io.trigger_pkt_any[2].store @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].match_ <= csr.io.trigger_pkt_any[2].match_ @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].select <= csr.io.trigger_pkt_any[2].select @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].tdata2 <= csr.io.trigger_pkt_any[3].tdata2 @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].m <= csr.io.trigger_pkt_any[3].m @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].execute <= csr.io.trigger_pkt_any[3].execute @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].load <= csr.io.trigger_pkt_any[3].load @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].store <= csr.io.trigger_pkt_any[3].store @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].match_ <= csr.io.trigger_pkt_any[3].match_ @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].select <= csr.io.trigger_pkt_any[3].select @[el2_dec_tlu_ctl.scala 962:40] + io.dec_tlu_mtval_wb1 <= csr.io.dec_tlu_mtval_wb1 @[el2_dec_tlu_ctl.scala 963:40] + io.dec_tlu_exc_cause_wb1 <= csr.io.dec_tlu_exc_cause_wb1 @[el2_dec_tlu_ctl.scala 964:40] + io.dec_tlu_perfcnt0 <= csr.io.dec_tlu_perfcnt0 @[el2_dec_tlu_ctl.scala 965:40] + io.dec_tlu_perfcnt1 <= csr.io.dec_tlu_perfcnt1 @[el2_dec_tlu_ctl.scala 966:40] + io.dec_tlu_perfcnt2 <= csr.io.dec_tlu_perfcnt2 @[el2_dec_tlu_ctl.scala 967:40] + io.dec_tlu_perfcnt3 <= csr.io.dec_tlu_perfcnt3 @[el2_dec_tlu_ctl.scala 968:40] + io.dec_tlu_misc_clk_override <= csr.io.dec_tlu_misc_clk_override @[el2_dec_tlu_ctl.scala 969:40] + io.dec_tlu_dec_clk_override <= csr.io.dec_tlu_dec_clk_override @[el2_dec_tlu_ctl.scala 970:40] + io.dec_tlu_ifu_clk_override <= csr.io.dec_tlu_ifu_clk_override @[el2_dec_tlu_ctl.scala 971:40] + io.dec_tlu_lsu_clk_override <= csr.io.dec_tlu_lsu_clk_override @[el2_dec_tlu_ctl.scala 972:40] + io.dec_tlu_bus_clk_override <= csr.io.dec_tlu_bus_clk_override @[el2_dec_tlu_ctl.scala 973:40] + io.dec_tlu_pic_clk_override <= csr.io.dec_tlu_pic_clk_override @[el2_dec_tlu_ctl.scala 974:40] + io.dec_tlu_dccm_clk_override <= csr.io.dec_tlu_dccm_clk_override @[el2_dec_tlu_ctl.scala 975:40] + io.dec_tlu_icm_clk_override <= csr.io.dec_tlu_icm_clk_override @[el2_dec_tlu_ctl.scala 976:40] + io.dec_csr_rddata_d <= csr.io.dec_csr_rddata_d @[el2_dec_tlu_ctl.scala 977:40] + io.dec_tlu_pipelining_disable <= csr.io.dec_tlu_pipelining_disable @[el2_dec_tlu_ctl.scala 978:40] + io.dec_tlu_wr_pause_r <= csr.io.dec_tlu_wr_pause_r @[el2_dec_tlu_ctl.scala 979:40] + io.dec_tlu_mrac_ff <= csr.io.dec_tlu_mrac_ff @[el2_dec_tlu_ctl.scala 980:40] + io.dec_tlu_wb_coalescing_disable <= csr.io.dec_tlu_wb_coalescing_disable @[el2_dec_tlu_ctl.scala 981:40] + io.dec_tlu_bpred_disable <= csr.io.dec_tlu_bpred_disable @[el2_dec_tlu_ctl.scala 982:40] + io.dec_tlu_sideeffect_posted_disable <= csr.io.dec_tlu_sideeffect_posted_disable @[el2_dec_tlu_ctl.scala 983:40] + io.dec_tlu_core_ecc_disable <= csr.io.dec_tlu_core_ecc_disable @[el2_dec_tlu_ctl.scala 984:40] + io.dec_tlu_external_ldfwd_disable <= csr.io.dec_tlu_external_ldfwd_disable @[el2_dec_tlu_ctl.scala 985:40] + io.dec_tlu_dma_qos_prty <= csr.io.dec_tlu_dma_qos_prty @[el2_dec_tlu_ctl.scala 986:40] + csr.io.dec_illegal_inst <= io.dec_illegal_inst @[el2_dec_tlu_ctl.scala 987:44] + csr.io.lsu_error_pkt_r.addr <= io.lsu_error_pkt_r.addr @[el2_dec_tlu_ctl.scala 988:44] + csr.io.lsu_error_pkt_r.mscause <= io.lsu_error_pkt_r.mscause @[el2_dec_tlu_ctl.scala 988:44] + csr.io.lsu_error_pkt_r.exc_type <= io.lsu_error_pkt_r.exc_type @[el2_dec_tlu_ctl.scala 988:44] + csr.io.lsu_error_pkt_r.inst_type <= io.lsu_error_pkt_r.inst_type @[el2_dec_tlu_ctl.scala 988:44] + csr.io.lsu_error_pkt_r.single_ecc_error <= io.lsu_error_pkt_r.single_ecc_error @[el2_dec_tlu_ctl.scala 988:44] + csr.io.lsu_error_pkt_r.exc_valid <= io.lsu_error_pkt_r.exc_valid @[el2_dec_tlu_ctl.scala 988:44] + csr.io.mexintpend <= io.mexintpend @[el2_dec_tlu_ctl.scala 989:44] + csr.io.exu_npc_r <= io.exu_npc_r @[el2_dec_tlu_ctl.scala 990:44] + csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[el2_dec_tlu_ctl.scala 991:44] + csr.io.rst_vec <= io.rst_vec @[el2_dec_tlu_ctl.scala 992:44] + csr.io.core_id <= io.core_id @[el2_dec_tlu_ctl.scala 993:44] + csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[el2_dec_tlu_ctl.scala 994:44] + csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[el2_dec_tlu_ctl.scala 995:44] + csr.io.rfpc_i0_r <= rfpc_i0_r @[el2_dec_tlu_ctl.scala 998:39] + csr.io.i0_trigger_hit_r <= i0_trigger_hit_r @[el2_dec_tlu_ctl.scala 999:39] + csr.io.exc_or_int_valid_r <= exc_or_int_valid_r @[el2_dec_tlu_ctl.scala 1000:39] + csr.io.mret_r <= mret_r @[el2_dec_tlu_ctl.scala 1001:39] + csr.io.dcsr_single_step_running_f <= dcsr_single_step_running_f @[el2_dec_tlu_ctl.scala 1002:39] + csr.io.dec_timer_t0_pulse <= int_timers.io.dec_timer_t0_pulse @[el2_dec_tlu_ctl.scala 1003:39] + csr.io.dec_timer_t1_pulse <= int_timers.io.dec_timer_t1_pulse @[el2_dec_tlu_ctl.scala 1004:39] + csr.io.timer_int_sync <= timer_int_sync @[el2_dec_tlu_ctl.scala 1005:39] + csr.io.soft_int_sync <= soft_int_sync @[el2_dec_tlu_ctl.scala 1006:39] + csr.io.csr_wr_clk <= rvclkhdr.io.l1clk @[el2_dec_tlu_ctl.scala 1007:39] + csr.io.ebreak_to_debug_mode_r <= ebreak_to_debug_mode_r @[el2_dec_tlu_ctl.scala 1008:39] + csr.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[el2_dec_tlu_ctl.scala 1009:39] + csr.io.lsu_fir_error <= io.lsu_fir_error @[el2_dec_tlu_ctl.scala 1010:39] + csr.io.tlu_flush_lower_r_d1 <= tlu_flush_lower_r_d1 @[el2_dec_tlu_ctl.scala 1011:39] + csr.io.dec_tlu_flush_noredir_r_d1 <= dec_tlu_flush_noredir_r_d1 @[el2_dec_tlu_ctl.scala 1012:39] + csr.io.tlu_flush_path_r_d1 <= tlu_flush_path_r_d1 @[el2_dec_tlu_ctl.scala 1013:39] + csr.io.reset_delayed <= reset_delayed @[el2_dec_tlu_ctl.scala 1014:39] + csr.io.interrupt_valid_r <= interrupt_valid_r @[el2_dec_tlu_ctl.scala 1015:39] + csr.io.i0_exception_valid_r <= i0_exception_valid_r @[el2_dec_tlu_ctl.scala 1016:39] + csr.io.lsu_exc_valid_r <= lsu_exc_valid_r @[el2_dec_tlu_ctl.scala 1017:39] + csr.io.mepc_trigger_hit_sel_pc_r <= mepc_trigger_hit_sel_pc_r @[el2_dec_tlu_ctl.scala 1018:39] + csr.io.e4e5_int_clk <= rvclkhdr_3.io.l1clk @[el2_dec_tlu_ctl.scala 1019:39] + csr.io.lsu_i0_exc_r <= lsu_exc_valid_r @[el2_dec_tlu_ctl.scala 1020:39] + csr.io.inst_acc_r <= inst_acc_r @[el2_dec_tlu_ctl.scala 1021:39] + csr.io.inst_acc_second_r <= io.dec_tlu_packet_r.icaf_f1 @[el2_dec_tlu_ctl.scala 1022:39] + csr.io.take_nmi <= take_nmi @[el2_dec_tlu_ctl.scala 1023:39] + csr.io.lsu_error_pkt_addr_r <= io.lsu_error_pkt_r.addr @[el2_dec_tlu_ctl.scala 1024:39] + csr.io.exc_cause_r <= exc_cause_r @[el2_dec_tlu_ctl.scala 1025:39] + csr.io.i0_valid_wb <= i0_valid_wb @[el2_dec_tlu_ctl.scala 1026:39] + csr.io.exc_or_int_valid_r_d1 <= exc_or_int_valid_r_d1 @[el2_dec_tlu_ctl.scala 1027:39] + csr.io.interrupt_valid_r_d1 <= interrupt_valid_r_d1 @[el2_dec_tlu_ctl.scala 1028:39] + csr.io.clk_override <= io.dec_tlu_dec_clk_override @[el2_dec_tlu_ctl.scala 1029:39] + csr.io.i0_exception_valid_r_d1 <= i0_exception_valid_r_d1 @[el2_dec_tlu_ctl.scala 1030:39] + csr.io.lsu_i0_exc_r_d1 <= lsu_i0_exc_r_d1 @[el2_dec_tlu_ctl.scala 1031:39] + csr.io.exc_cause_wb <= exc_cause_wb @[el2_dec_tlu_ctl.scala 1032:39] + csr.io.nmi_lsu_store_type <= nmi_lsu_store_type @[el2_dec_tlu_ctl.scala 1033:39] + csr.io.nmi_lsu_load_type <= nmi_lsu_load_type @[el2_dec_tlu_ctl.scala 1034:39] + csr.io.tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[el2_dec_tlu_ctl.scala 1035:39] + csr.io.ebreak_r <= ebreak_r @[el2_dec_tlu_ctl.scala 1036:39] + csr.io.ecall_r <= ecall_r @[el2_dec_tlu_ctl.scala 1037:39] + csr.io.illegal_r <= illegal_r @[el2_dec_tlu_ctl.scala 1038:39] + csr.io.mdseac_locked_f <= mdseac_locked_f @[el2_dec_tlu_ctl.scala 1039:39] + csr.io.nmi_int_detected_f <= nmi_int_detected_f @[el2_dec_tlu_ctl.scala 1040:39] + csr.io.internal_dbg_halt_mode_f2 <= internal_dbg_halt_mode_f2 @[el2_dec_tlu_ctl.scala 1041:39] + csr.io.ext_int_freeze_d1 <= ext_int_freeze_d1 @[el2_dec_tlu_ctl.scala 1042:39] + csr.io.ic_perr_r_d1 <= ic_perr_r_d1 @[el2_dec_tlu_ctl.scala 1043:39] + csr.io.iccm_sbecc_r_d1 <= iccm_sbecc_r_d1 @[el2_dec_tlu_ctl.scala 1044:39] + csr.io.lsu_single_ecc_error_r_d1 <= lsu_single_ecc_error_r_d1 @[el2_dec_tlu_ctl.scala 1045:39] + csr.io.ifu_miss_state_idle_f <= ifu_miss_state_idle_f @[el2_dec_tlu_ctl.scala 1046:39] + csr.io.lsu_idle_any_f <= lsu_idle_any_f @[el2_dec_tlu_ctl.scala 1047:39] + csr.io.dbg_tlu_halted_f <= dbg_tlu_halted_f @[el2_dec_tlu_ctl.scala 1048:39] + csr.io.dbg_tlu_halted <= dbg_tlu_halted @[el2_dec_tlu_ctl.scala 1049:39] + csr.io.debug_halt_req_f <= debug_halt_req_f @[el2_dec_tlu_ctl.scala 1050:51] + csr.io.take_ext_int_start <= take_ext_int_start @[el2_dec_tlu_ctl.scala 1051:47] + csr.io.trigger_hit_dmode_r_d1 <= trigger_hit_dmode_r_d1 @[el2_dec_tlu_ctl.scala 1052:43] + csr.io.trigger_hit_r_d1 <= trigger_hit_r_d1 @[el2_dec_tlu_ctl.scala 1053:43] + csr.io.dcsr_single_step_done_f <= dcsr_single_step_done_f @[el2_dec_tlu_ctl.scala 1054:43] + csr.io.ebreak_to_debug_mode_r_d1 <= ebreak_to_debug_mode_r_d1 @[el2_dec_tlu_ctl.scala 1055:39] + csr.io.debug_halt_req <= debug_halt_req @[el2_dec_tlu_ctl.scala 1056:51] + csr.io.allow_dbg_halt_csr_write <= allow_dbg_halt_csr_write @[el2_dec_tlu_ctl.scala 1057:39] + csr.io.internal_dbg_halt_mode_f <= debug_mode_status @[el2_dec_tlu_ctl.scala 1058:39] + csr.io.enter_debug_halt_req <= enter_debug_halt_req @[el2_dec_tlu_ctl.scala 1059:39] + csr.io.internal_dbg_halt_mode <= internal_dbg_halt_mode @[el2_dec_tlu_ctl.scala 1060:39] + csr.io.request_debug_mode_done <= request_debug_mode_done @[el2_dec_tlu_ctl.scala 1061:39] + csr.io.request_debug_mode_r <= request_debug_mode_r @[el2_dec_tlu_ctl.scala 1062:39] + csr.io.update_hit_bit_r <= update_hit_bit_r @[el2_dec_tlu_ctl.scala 1063:39] + csr.io.take_timer_int <= take_timer_int @[el2_dec_tlu_ctl.scala 1064:39] + csr.io.take_int_timer0_int <= take_int_timer0_int @[el2_dec_tlu_ctl.scala 1065:39] + csr.io.take_int_timer1_int <= take_int_timer1_int @[el2_dec_tlu_ctl.scala 1066:39] + csr.io.take_ext_int <= take_ext_int @[el2_dec_tlu_ctl.scala 1067:39] + csr.io.tlu_flush_lower_r <= tlu_flush_lower_r @[el2_dec_tlu_ctl.scala 1068:39] + csr.io.dec_tlu_br0_error_r <= dec_tlu_br0_error_r @[el2_dec_tlu_ctl.scala 1069:39] + csr.io.dec_tlu_br0_start_error_r <= dec_tlu_br0_start_error_r @[el2_dec_tlu_ctl.scala 1070:39] + csr.io.lsu_pmu_load_external_r <= lsu_pmu_load_external_r @[el2_dec_tlu_ctl.scala 1071:39] + csr.io.lsu_pmu_store_external_r <= lsu_pmu_store_external_r @[el2_dec_tlu_ctl.scala 1072:39] + csr.io.csr_pkt.legal <= csr_pkt.legal @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.postsync <= csr_pkt.postsync @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.presync <= csr_pkt.presync @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dicago <= csr_pkt.csr_dicago @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dicad1 <= csr_pkt.csr_dicad1 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dicad0 <= csr_pkt.csr_dicad0 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dicad0h <= csr_pkt.csr_dicad0h @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dicawics <= csr_pkt.csr_dicawics @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mfdhs <= csr_pkt.csr_mfdhs @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mfdht <= csr_pkt.csr_mfdht @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mdccmect <= csr_pkt.csr_mdccmect @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_miccmect <= csr_pkt.csr_miccmect @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_micect <= csr_pkt.csr_micect @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mdeau <= csr_pkt.csr_mdeau @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_meicpct <= csr_pkt.csr_meicpct @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mcpc <= csr_pkt.csr_mcpc @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mpmc <= csr_pkt.csr_mpmc @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mitb1 <= csr_pkt.csr_mitb1 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mitb0 <= csr_pkt.csr_mitb0 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mcountinhibit <= csr_pkt.csr_mcountinhibit @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpme6 <= csr_pkt.csr_mhpme6 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpme5 <= csr_pkt.csr_mhpme5 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpme4 <= csr_pkt.csr_mhpme4 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpme3 <= csr_pkt.csr_mhpme3 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc6h <= csr_pkt.csr_mhpmc6h @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc5h <= csr_pkt.csr_mhpmc5h @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc4h <= csr_pkt.csr_mhpmc4h @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc3h <= csr_pkt.csr_mhpmc3h @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc6 <= csr_pkt.csr_mhpmc6 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc5 <= csr_pkt.csr_mhpmc5 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc4 <= csr_pkt.csr_mhpmc4 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc3 <= csr_pkt.csr_mhpmc3 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mtdata2 <= csr_pkt.csr_mtdata2 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mtdata1 <= csr_pkt.csr_mtdata1 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mtsel <= csr_pkt.csr_mtsel @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dpc <= csr_pkt.csr_dpc @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mfdc <= csr_pkt.csr_mfdc @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mcgc <= csr_pkt.csr_mcgc @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dcsr <= csr_pkt.csr_dcsr @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_meicidpl <= csr_pkt.csr_meicidpl @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_meicurpl <= csr_pkt.csr_meicurpl @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_meipt <= csr_pkt.csr_meipt @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_meivt <= csr_pkt.csr_meivt @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_meihap <= csr_pkt.csr_meihap @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mdseac <= csr_pkt.csr_mdseac @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dmst <= csr_pkt.csr_dmst @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mrac <= csr_pkt.csr_mrac @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mtval <= csr_pkt.csr_mtval @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mscause <= csr_pkt.csr_mscause @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mcause <= csr_pkt.csr_mcause @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mepc <= csr_pkt.csr_mepc @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mscratch <= csr_pkt.csr_mscratch @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_minstreth <= csr_pkt.csr_minstreth @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_minstretl <= csr_pkt.csr_minstretl @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mcycleh <= csr_pkt.csr_mcycleh @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mcyclel <= csr_pkt.csr_mcyclel @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mie <= csr_pkt.csr_mie @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mip <= csr_pkt.csr_mip @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mtvec <= csr_pkt.csr_mtvec @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mstatus <= csr_pkt.csr_mstatus @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhartid <= csr_pkt.csr_mhartid @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mimpid <= csr_pkt.csr_mimpid @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_marchid <= csr_pkt.csr_marchid @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mvendorid <= csr_pkt.csr_mvendorid @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_misa <= csr_pkt.csr_misa @[el2_dec_tlu_ctl.scala 1073:39] + npc_r <= csr.io.npc_r @[el2_dec_tlu_ctl.scala 1075:31] + npc_r_d1 <= csr.io.npc_r_d1 @[el2_dec_tlu_ctl.scala 1076:31] + mie_ns <= csr.io.mie_ns @[el2_dec_tlu_ctl.scala 1077:31] + mepc <= csr.io.mepc @[el2_dec_tlu_ctl.scala 1078:31] + mdseac_locked_ns <= csr.io.mdseac_locked_ns @[el2_dec_tlu_ctl.scala 1079:31] + force_halt <= csr.io.force_halt @[el2_dec_tlu_ctl.scala 1080:31] + dpc <= csr.io.dpc @[el2_dec_tlu_ctl.scala 1081:31] + mstatus_mie_ns <= csr.io.mstatus_mie_ns @[el2_dec_tlu_ctl.scala 1082:31] + dec_csr_wen_r_mod <= csr.io.dec_csr_wen_r_mod @[el2_dec_tlu_ctl.scala 1083:31] + fw_halt_req <= csr.io.fw_halt_req @[el2_dec_tlu_ctl.scala 1084:31] + mstatus <= csr.io.mstatus @[el2_dec_tlu_ctl.scala 1085:31] + dcsr <= csr.io.dcsr @[el2_dec_tlu_ctl.scala 1086:31] + mtvec <= csr.io.mtvec @[el2_dec_tlu_ctl.scala 1087:31] + mip <= csr.io.mip @[el2_dec_tlu_ctl.scala 1088:31] + mtdata1_t[0] <= csr.io.mtdata1_t[0] @[el2_dec_tlu_ctl.scala 1089:33] + mtdata1_t[1] <= csr.io.mtdata1_t[1] @[el2_dec_tlu_ctl.scala 1089:33] + mtdata1_t[2] <= csr.io.mtdata1_t[2] @[el2_dec_tlu_ctl.scala 1089:33] + mtdata1_t[3] <= csr.io.mtdata1_t[3] @[el2_dec_tlu_ctl.scala 1089:33] + inst csr_read of el2_dec_decode_csr_read @[el2_dec_tlu_ctl.scala 1090:22] + csr_read.clock <= clock + csr_read.reset <= reset + csr_read.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[el2_dec_tlu_ctl.scala 1091:31] + csr_pkt.legal <= csr_read.io.csr_pkt.legal @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.postsync <= csr_read.io.csr_pkt.postsync @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.presync <= csr_read.io.csr_pkt.presync @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dicago <= csr_read.io.csr_pkt.csr_dicago @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dicad1 <= csr_read.io.csr_pkt.csr_dicad1 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dicad0 <= csr_read.io.csr_pkt.csr_dicad0 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dicad0h <= csr_read.io.csr_pkt.csr_dicad0h @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dicawics <= csr_read.io.csr_pkt.csr_dicawics @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mfdhs <= csr_read.io.csr_pkt.csr_mfdhs @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mfdht <= csr_read.io.csr_pkt.csr_mfdht @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mdccmect <= csr_read.io.csr_pkt.csr_mdccmect @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_miccmect <= csr_read.io.csr_pkt.csr_miccmect @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_micect <= csr_read.io.csr_pkt.csr_micect @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mdeau <= csr_read.io.csr_pkt.csr_mdeau @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_meicpct <= csr_read.io.csr_pkt.csr_meicpct @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mcpc <= csr_read.io.csr_pkt.csr_mcpc @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mpmc <= csr_read.io.csr_pkt.csr_mpmc @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mitcnt1 <= csr_read.io.csr_pkt.csr_mitcnt1 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mitcnt0 <= csr_read.io.csr_pkt.csr_mitcnt0 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mitb1 <= csr_read.io.csr_pkt.csr_mitb1 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mitb0 <= csr_read.io.csr_pkt.csr_mitb0 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mitctl1 <= csr_read.io.csr_pkt.csr_mitctl1 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mitctl0 <= csr_read.io.csr_pkt.csr_mitctl0 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mcountinhibit <= csr_read.io.csr_pkt.csr_mcountinhibit @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpme6 <= csr_read.io.csr_pkt.csr_mhpme6 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpme5 <= csr_read.io.csr_pkt.csr_mhpme5 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpme4 <= csr_read.io.csr_pkt.csr_mhpme4 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpme3 <= csr_read.io.csr_pkt.csr_mhpme3 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc6h <= csr_read.io.csr_pkt.csr_mhpmc6h @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc5h <= csr_read.io.csr_pkt.csr_mhpmc5h @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc4h <= csr_read.io.csr_pkt.csr_mhpmc4h @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc3h <= csr_read.io.csr_pkt.csr_mhpmc3h @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc6 <= csr_read.io.csr_pkt.csr_mhpmc6 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc5 <= csr_read.io.csr_pkt.csr_mhpmc5 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc4 <= csr_read.io.csr_pkt.csr_mhpmc4 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc3 <= csr_read.io.csr_pkt.csr_mhpmc3 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mtdata2 <= csr_read.io.csr_pkt.csr_mtdata2 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mtdata1 <= csr_read.io.csr_pkt.csr_mtdata1 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mtsel <= csr_read.io.csr_pkt.csr_mtsel @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dpc <= csr_read.io.csr_pkt.csr_dpc @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mfdc <= csr_read.io.csr_pkt.csr_mfdc @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mcgc <= csr_read.io.csr_pkt.csr_mcgc @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dcsr <= csr_read.io.csr_pkt.csr_dcsr @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_meicidpl <= csr_read.io.csr_pkt.csr_meicidpl @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_meicurpl <= csr_read.io.csr_pkt.csr_meicurpl @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_meipt <= csr_read.io.csr_pkt.csr_meipt @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_meivt <= csr_read.io.csr_pkt.csr_meivt @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_meihap <= csr_read.io.csr_pkt.csr_meihap @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mdseac <= csr_read.io.csr_pkt.csr_mdseac @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dmst <= csr_read.io.csr_pkt.csr_dmst @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mrac <= csr_read.io.csr_pkt.csr_mrac @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mtval <= csr_read.io.csr_pkt.csr_mtval @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mscause <= csr_read.io.csr_pkt.csr_mscause @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mcause <= csr_read.io.csr_pkt.csr_mcause @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mepc <= csr_read.io.csr_pkt.csr_mepc @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mscratch <= csr_read.io.csr_pkt.csr_mscratch @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_minstreth <= csr_read.io.csr_pkt.csr_minstreth @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_minstretl <= csr_read.io.csr_pkt.csr_minstretl @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mcycleh <= csr_read.io.csr_pkt.csr_mcycleh @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mcyclel <= csr_read.io.csr_pkt.csr_mcyclel @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mie <= csr_read.io.csr_pkt.csr_mie @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mip <= csr_read.io.csr_pkt.csr_mip @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mtvec <= csr_read.io.csr_pkt.csr_mtvec @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mstatus <= csr_read.io.csr_pkt.csr_mstatus @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhartid <= csr_read.io.csr_pkt.csr_mhartid @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mimpid <= csr_read.io.csr_pkt.csr_mimpid @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_marchid <= csr_read.io.csr_pkt.csr_marchid @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mvendorid <= csr_read.io.csr_pkt.csr_mvendorid @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_misa <= csr_read.io.csr_pkt.csr_misa @[el2_dec_tlu_ctl.scala 1092:10] + node _T_864 = and(csr_pkt.presync, io.dec_csr_any_unq_d) @[el2_dec_tlu_ctl.scala 1094:44] + node _T_865 = not(io.dec_csr_wen_unq_d) @[el2_dec_tlu_ctl.scala 1094:69] + node _T_866 = and(_T_864, _T_865) @[el2_dec_tlu_ctl.scala 1094:67] + io.dec_tlu_presync_d <= _T_866 @[el2_dec_tlu_ctl.scala 1094:25] + node _T_867 = and(csr_pkt.postsync, io.dec_csr_any_unq_d) @[el2_dec_tlu_ctl.scala 1095:45] + io.dec_tlu_postsync_d <= _T_867 @[el2_dec_tlu_ctl.scala 1095:25] + node _T_868 = or(csr_pkt.csr_mitcnt0, csr_pkt.csr_mitcnt1) @[el2_dec_tlu_ctl.scala 1098:52] + node _T_869 = or(_T_868, csr_pkt.csr_mitb0) @[el2_dec_tlu_ctl.scala 1098:74] + node _T_870 = or(_T_869, csr_pkt.csr_mitb1) @[el2_dec_tlu_ctl.scala 1098:94] + node _T_871 = or(_T_870, csr_pkt.csr_mitctl0) @[el2_dec_tlu_ctl.scala 1098:114] + node _T_872 = or(_T_871, csr_pkt.csr_mitctl1) @[el2_dec_tlu_ctl.scala 1098:136] + node _T_873 = not(UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 1098:161] + node conditionally_illegal = and(_T_872, _T_873) @[el2_dec_tlu_ctl.scala 1098:159] + node _T_874 = or(csr_pkt.csr_dcsr, csr_pkt.csr_dpc) @[el2_dec_tlu_ctl.scala 1099:57] + node _T_875 = or(_T_874, csr_pkt.csr_dmst) @[el2_dec_tlu_ctl.scala 1099:75] + node _T_876 = or(_T_875, csr_pkt.csr_dicawics) @[el2_dec_tlu_ctl.scala 1099:94] + node _T_877 = or(_T_876, csr_pkt.csr_dicad0) @[el2_dec_tlu_ctl.scala 1099:117] + node _T_878 = or(_T_877, csr_pkt.csr_dicad0h) @[el2_dec_tlu_ctl.scala 1099:138] + node _T_879 = or(_T_878, csr_pkt.csr_dicad1) @[el2_dec_tlu_ctl.scala 1099:160] + node _T_880 = or(_T_879, csr_pkt.csr_dicago) @[el2_dec_tlu_ctl.scala 1099:181] + node _T_881 = not(_T_880) @[el2_dec_tlu_ctl.scala 1099:38] + node _T_882 = or(_T_881, dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 1099:203] + node _T_883 = and(csr_pkt.legal, _T_882) @[el2_dec_tlu_ctl.scala 1099:35] + node _T_884 = not(fast_int_meicpct) @[el2_dec_tlu_ctl.scala 1099:225] + node _T_885 = and(_T_883, _T_884) @[el2_dec_tlu_ctl.scala 1099:223] + node _T_886 = not(conditionally_illegal) @[el2_dec_tlu_ctl.scala 1099:245] + node valid_csr = and(_T_885, _T_886) @[el2_dec_tlu_ctl.scala 1099:243] + node _T_887 = and(io.dec_csr_any_unq_d, valid_csr) @[el2_dec_tlu_ctl.scala 1101:48] + node _T_888 = or(csr_pkt.csr_mvendorid, csr_pkt.csr_marchid) @[el2_dec_tlu_ctl.scala 1101:109] + node _T_889 = or(_T_888, csr_pkt.csr_mimpid) @[el2_dec_tlu_ctl.scala 1101:131] + node _T_890 = or(_T_889, csr_pkt.csr_mhartid) @[el2_dec_tlu_ctl.scala 1101:152] + node _T_891 = or(_T_890, csr_pkt.csr_mdseac) @[el2_dec_tlu_ctl.scala 1101:174] + node _T_892 = or(_T_891, csr_pkt.csr_meihap) @[el2_dec_tlu_ctl.scala 1101:195] + node _T_893 = and(io.dec_csr_wen_unq_d, _T_892) @[el2_dec_tlu_ctl.scala 1101:84] + node _T_894 = not(_T_893) @[el2_dec_tlu_ctl.scala 1101:61] + node _T_895 = and(_T_887, _T_894) @[el2_dec_tlu_ctl.scala 1101:59] + io.dec_csr_legal_d <= _T_895 @[el2_dec_tlu_ctl.scala 1101:22] + + module el2_dec_trigger : + input clock : Clock + input reset : Reset + output io : {flip trigger_pkt_any : {select : UInt<1>, match_ : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip dec_i0_pc_d : UInt<31>, dec_i0_trigger_match_d : UInt<4>} + + node _T = eq(io.trigger_pkt_any[0].select, UInt<1>("h00")) @[el2_dec_trigger.scala 14:63] + node _T_1 = and(_T, io.trigger_pkt_any[0].execute) @[el2_dec_trigger.scala 14:93] + wire _T_2 : UInt<1>[32] @[el2_lib.scala 161:48] + _T_2[0] <= _T_1 @[el2_lib.scala 161:48] + _T_2[1] <= _T_1 @[el2_lib.scala 161:48] + _T_2[2] <= _T_1 @[el2_lib.scala 161:48] + _T_2[3] <= _T_1 @[el2_lib.scala 161:48] + _T_2[4] <= _T_1 @[el2_lib.scala 161:48] + _T_2[5] <= _T_1 @[el2_lib.scala 161:48] + _T_2[6] <= _T_1 @[el2_lib.scala 161:48] + _T_2[7] <= _T_1 @[el2_lib.scala 161:48] + _T_2[8] <= _T_1 @[el2_lib.scala 161:48] + _T_2[9] <= _T_1 @[el2_lib.scala 161:48] + _T_2[10] <= _T_1 @[el2_lib.scala 161:48] + _T_2[11] <= _T_1 @[el2_lib.scala 161:48] + _T_2[12] <= _T_1 @[el2_lib.scala 161:48] + _T_2[13] <= _T_1 @[el2_lib.scala 161:48] + _T_2[14] <= _T_1 @[el2_lib.scala 161:48] + _T_2[15] <= _T_1 @[el2_lib.scala 161:48] + _T_2[16] <= _T_1 @[el2_lib.scala 161:48] + _T_2[17] <= _T_1 @[el2_lib.scala 161:48] + _T_2[18] <= _T_1 @[el2_lib.scala 161:48] + _T_2[19] <= _T_1 @[el2_lib.scala 161:48] + _T_2[20] <= _T_1 @[el2_lib.scala 161:48] + _T_2[21] <= _T_1 @[el2_lib.scala 161:48] + _T_2[22] <= _T_1 @[el2_lib.scala 161:48] + _T_2[23] <= _T_1 @[el2_lib.scala 161:48] + _T_2[24] <= _T_1 @[el2_lib.scala 161:48] + _T_2[25] <= _T_1 @[el2_lib.scala 161:48] + _T_2[26] <= _T_1 @[el2_lib.scala 161:48] + _T_2[27] <= _T_1 @[el2_lib.scala 161:48] + _T_2[28] <= _T_1 @[el2_lib.scala 161:48] + _T_2[29] <= _T_1 @[el2_lib.scala 161:48] + _T_2[30] <= _T_1 @[el2_lib.scala 161:48] + _T_2[31] <= _T_1 @[el2_lib.scala 161:48] + node _T_3 = cat(_T_2[0], _T_2[1]) @[Cat.scala 29:58] + node _T_4 = cat(_T_3, _T_2[2]) @[Cat.scala 29:58] + node _T_5 = cat(_T_4, _T_2[3]) @[Cat.scala 29:58] + node _T_6 = cat(_T_5, _T_2[4]) @[Cat.scala 29:58] + node _T_7 = cat(_T_6, _T_2[5]) @[Cat.scala 29:58] + node _T_8 = cat(_T_7, _T_2[6]) @[Cat.scala 29:58] + node _T_9 = cat(_T_8, _T_2[7]) @[Cat.scala 29:58] + node _T_10 = cat(_T_9, _T_2[8]) @[Cat.scala 29:58] + node _T_11 = cat(_T_10, _T_2[9]) @[Cat.scala 29:58] + node _T_12 = cat(_T_11, _T_2[10]) @[Cat.scala 29:58] + node _T_13 = cat(_T_12, _T_2[11]) @[Cat.scala 29:58] + node _T_14 = cat(_T_13, _T_2[12]) @[Cat.scala 29:58] + node _T_15 = cat(_T_14, _T_2[13]) @[Cat.scala 29:58] + node _T_16 = cat(_T_15, _T_2[14]) @[Cat.scala 29:58] + node _T_17 = cat(_T_16, _T_2[15]) @[Cat.scala 29:58] + node _T_18 = cat(_T_17, _T_2[16]) @[Cat.scala 29:58] + node _T_19 = cat(_T_18, _T_2[17]) @[Cat.scala 29:58] + node _T_20 = cat(_T_19, _T_2[18]) @[Cat.scala 29:58] + node _T_21 = cat(_T_20, _T_2[19]) @[Cat.scala 29:58] + node _T_22 = cat(_T_21, _T_2[20]) @[Cat.scala 29:58] + node _T_23 = cat(_T_22, _T_2[21]) @[Cat.scala 29:58] + node _T_24 = cat(_T_23, _T_2[22]) @[Cat.scala 29:58] + node _T_25 = cat(_T_24, _T_2[23]) @[Cat.scala 29:58] + node _T_26 = cat(_T_25, _T_2[24]) @[Cat.scala 29:58] + node _T_27 = cat(_T_26, _T_2[25]) @[Cat.scala 29:58] + node _T_28 = cat(_T_27, _T_2[26]) @[Cat.scala 29:58] + node _T_29 = cat(_T_28, _T_2[27]) @[Cat.scala 29:58] + node _T_30 = cat(_T_29, _T_2[28]) @[Cat.scala 29:58] + node _T_31 = cat(_T_30, _T_2[29]) @[Cat.scala 29:58] + node _T_32 = cat(_T_31, _T_2[30]) @[Cat.scala 29:58] + node _T_33 = cat(_T_32, _T_2[31]) @[Cat.scala 29:58] + node _T_34 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[el2_dec_trigger.scala 14:177] + node _T_35 = cat(io.dec_i0_pc_d, _T_34) @[Cat.scala 29:58] + node _T_36 = and(_T_33, _T_35) @[el2_dec_trigger.scala 14:127] + node _T_37 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[el2_dec_trigger.scala 14:63] + node _T_38 = and(_T_37, io.trigger_pkt_any[1].execute) @[el2_dec_trigger.scala 14:93] + wire _T_39 : UInt<1>[32] @[el2_lib.scala 161:48] + _T_39[0] <= _T_38 @[el2_lib.scala 161:48] + _T_39[1] <= _T_38 @[el2_lib.scala 161:48] + _T_39[2] <= _T_38 @[el2_lib.scala 161:48] + _T_39[3] <= _T_38 @[el2_lib.scala 161:48] + _T_39[4] <= _T_38 @[el2_lib.scala 161:48] + _T_39[5] <= _T_38 @[el2_lib.scala 161:48] + _T_39[6] <= _T_38 @[el2_lib.scala 161:48] + _T_39[7] <= _T_38 @[el2_lib.scala 161:48] + _T_39[8] <= _T_38 @[el2_lib.scala 161:48] + _T_39[9] <= _T_38 @[el2_lib.scala 161:48] + _T_39[10] <= _T_38 @[el2_lib.scala 161:48] + _T_39[11] <= _T_38 @[el2_lib.scala 161:48] + _T_39[12] <= _T_38 @[el2_lib.scala 161:48] + _T_39[13] <= _T_38 @[el2_lib.scala 161:48] + _T_39[14] <= _T_38 @[el2_lib.scala 161:48] + _T_39[15] <= _T_38 @[el2_lib.scala 161:48] + _T_39[16] <= _T_38 @[el2_lib.scala 161:48] + _T_39[17] <= _T_38 @[el2_lib.scala 161:48] + _T_39[18] <= _T_38 @[el2_lib.scala 161:48] + _T_39[19] <= _T_38 @[el2_lib.scala 161:48] + _T_39[20] <= _T_38 @[el2_lib.scala 161:48] + _T_39[21] <= _T_38 @[el2_lib.scala 161:48] + _T_39[22] <= _T_38 @[el2_lib.scala 161:48] + _T_39[23] <= _T_38 @[el2_lib.scala 161:48] + _T_39[24] <= _T_38 @[el2_lib.scala 161:48] + _T_39[25] <= _T_38 @[el2_lib.scala 161:48] + _T_39[26] <= _T_38 @[el2_lib.scala 161:48] + _T_39[27] <= _T_38 @[el2_lib.scala 161:48] + _T_39[28] <= _T_38 @[el2_lib.scala 161:48] + _T_39[29] <= _T_38 @[el2_lib.scala 161:48] + _T_39[30] <= _T_38 @[el2_lib.scala 161:48] + _T_39[31] <= _T_38 @[el2_lib.scala 161:48] + node _T_40 = cat(_T_39[0], _T_39[1]) @[Cat.scala 29:58] + node _T_41 = cat(_T_40, _T_39[2]) @[Cat.scala 29:58] + node _T_42 = cat(_T_41, _T_39[3]) @[Cat.scala 29:58] + node _T_43 = cat(_T_42, _T_39[4]) @[Cat.scala 29:58] + node _T_44 = cat(_T_43, _T_39[5]) @[Cat.scala 29:58] + node _T_45 = cat(_T_44, _T_39[6]) @[Cat.scala 29:58] + node _T_46 = cat(_T_45, _T_39[7]) @[Cat.scala 29:58] + node _T_47 = cat(_T_46, _T_39[8]) @[Cat.scala 29:58] + node _T_48 = cat(_T_47, _T_39[9]) @[Cat.scala 29:58] + node _T_49 = cat(_T_48, _T_39[10]) @[Cat.scala 29:58] + node _T_50 = cat(_T_49, _T_39[11]) @[Cat.scala 29:58] + node _T_51 = cat(_T_50, _T_39[12]) @[Cat.scala 29:58] + node _T_52 = cat(_T_51, _T_39[13]) @[Cat.scala 29:58] + node _T_53 = cat(_T_52, _T_39[14]) @[Cat.scala 29:58] + node _T_54 = cat(_T_53, _T_39[15]) @[Cat.scala 29:58] + node _T_55 = cat(_T_54, _T_39[16]) @[Cat.scala 29:58] + node _T_56 = cat(_T_55, _T_39[17]) @[Cat.scala 29:58] + node _T_57 = cat(_T_56, _T_39[18]) @[Cat.scala 29:58] + node _T_58 = cat(_T_57, _T_39[19]) @[Cat.scala 29:58] + node _T_59 = cat(_T_58, _T_39[20]) @[Cat.scala 29:58] + node _T_60 = cat(_T_59, _T_39[21]) @[Cat.scala 29:58] + node _T_61 = cat(_T_60, _T_39[22]) @[Cat.scala 29:58] + node _T_62 = cat(_T_61, _T_39[23]) @[Cat.scala 29:58] + node _T_63 = cat(_T_62, _T_39[24]) @[Cat.scala 29:58] + node _T_64 = cat(_T_63, _T_39[25]) @[Cat.scala 29:58] + node _T_65 = cat(_T_64, _T_39[26]) @[Cat.scala 29:58] + node _T_66 = cat(_T_65, _T_39[27]) @[Cat.scala 29:58] + node _T_67 = cat(_T_66, _T_39[28]) @[Cat.scala 29:58] + node _T_68 = cat(_T_67, _T_39[29]) @[Cat.scala 29:58] + node _T_69 = cat(_T_68, _T_39[30]) @[Cat.scala 29:58] + node _T_70 = cat(_T_69, _T_39[31]) @[Cat.scala 29:58] + node _T_71 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_dec_trigger.scala 14:177] + node _T_72 = cat(io.dec_i0_pc_d, _T_71) @[Cat.scala 29:58] + node _T_73 = and(_T_70, _T_72) @[el2_dec_trigger.scala 14:127] + node _T_74 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[el2_dec_trigger.scala 14:63] + node _T_75 = and(_T_74, io.trigger_pkt_any[2].execute) @[el2_dec_trigger.scala 14:93] + wire _T_76 : UInt<1>[32] @[el2_lib.scala 161:48] + _T_76[0] <= _T_75 @[el2_lib.scala 161:48] + _T_76[1] <= _T_75 @[el2_lib.scala 161:48] + _T_76[2] <= _T_75 @[el2_lib.scala 161:48] + _T_76[3] <= _T_75 @[el2_lib.scala 161:48] + _T_76[4] <= _T_75 @[el2_lib.scala 161:48] + _T_76[5] <= _T_75 @[el2_lib.scala 161:48] + _T_76[6] <= _T_75 @[el2_lib.scala 161:48] + _T_76[7] <= _T_75 @[el2_lib.scala 161:48] + _T_76[8] <= _T_75 @[el2_lib.scala 161:48] + _T_76[9] <= _T_75 @[el2_lib.scala 161:48] + _T_76[10] <= _T_75 @[el2_lib.scala 161:48] + _T_76[11] <= _T_75 @[el2_lib.scala 161:48] + _T_76[12] <= _T_75 @[el2_lib.scala 161:48] + _T_76[13] <= _T_75 @[el2_lib.scala 161:48] + _T_76[14] <= _T_75 @[el2_lib.scala 161:48] + _T_76[15] <= _T_75 @[el2_lib.scala 161:48] + _T_76[16] <= _T_75 @[el2_lib.scala 161:48] + _T_76[17] <= _T_75 @[el2_lib.scala 161:48] + _T_76[18] <= _T_75 @[el2_lib.scala 161:48] + _T_76[19] <= _T_75 @[el2_lib.scala 161:48] + _T_76[20] <= _T_75 @[el2_lib.scala 161:48] + _T_76[21] <= _T_75 @[el2_lib.scala 161:48] + _T_76[22] <= _T_75 @[el2_lib.scala 161:48] + _T_76[23] <= _T_75 @[el2_lib.scala 161:48] + _T_76[24] <= _T_75 @[el2_lib.scala 161:48] + _T_76[25] <= _T_75 @[el2_lib.scala 161:48] + _T_76[26] <= _T_75 @[el2_lib.scala 161:48] + _T_76[27] <= _T_75 @[el2_lib.scala 161:48] + _T_76[28] <= _T_75 @[el2_lib.scala 161:48] + _T_76[29] <= _T_75 @[el2_lib.scala 161:48] + _T_76[30] <= _T_75 @[el2_lib.scala 161:48] + _T_76[31] <= _T_75 @[el2_lib.scala 161:48] + node _T_77 = cat(_T_76[0], _T_76[1]) @[Cat.scala 29:58] + node _T_78 = cat(_T_77, _T_76[2]) @[Cat.scala 29:58] + node _T_79 = cat(_T_78, _T_76[3]) @[Cat.scala 29:58] + node _T_80 = cat(_T_79, _T_76[4]) @[Cat.scala 29:58] + node _T_81 = cat(_T_80, _T_76[5]) @[Cat.scala 29:58] + node _T_82 = cat(_T_81, _T_76[6]) @[Cat.scala 29:58] + node _T_83 = cat(_T_82, _T_76[7]) @[Cat.scala 29:58] + node _T_84 = cat(_T_83, _T_76[8]) @[Cat.scala 29:58] + node _T_85 = cat(_T_84, _T_76[9]) @[Cat.scala 29:58] + node _T_86 = cat(_T_85, _T_76[10]) @[Cat.scala 29:58] + node _T_87 = cat(_T_86, _T_76[11]) @[Cat.scala 29:58] + node _T_88 = cat(_T_87, _T_76[12]) @[Cat.scala 29:58] + node _T_89 = cat(_T_88, _T_76[13]) @[Cat.scala 29:58] + node _T_90 = cat(_T_89, _T_76[14]) @[Cat.scala 29:58] + node _T_91 = cat(_T_90, _T_76[15]) @[Cat.scala 29:58] + node _T_92 = cat(_T_91, _T_76[16]) @[Cat.scala 29:58] + node _T_93 = cat(_T_92, _T_76[17]) @[Cat.scala 29:58] + node _T_94 = cat(_T_93, _T_76[18]) @[Cat.scala 29:58] + node _T_95 = cat(_T_94, _T_76[19]) @[Cat.scala 29:58] + node _T_96 = cat(_T_95, _T_76[20]) @[Cat.scala 29:58] + node _T_97 = cat(_T_96, _T_76[21]) @[Cat.scala 29:58] + node _T_98 = cat(_T_97, _T_76[22]) @[Cat.scala 29:58] + node _T_99 = cat(_T_98, _T_76[23]) @[Cat.scala 29:58] + node _T_100 = cat(_T_99, _T_76[24]) @[Cat.scala 29:58] + node _T_101 = cat(_T_100, _T_76[25]) @[Cat.scala 29:58] + node _T_102 = cat(_T_101, _T_76[26]) @[Cat.scala 29:58] + node _T_103 = cat(_T_102, _T_76[27]) @[Cat.scala 29:58] + node _T_104 = cat(_T_103, _T_76[28]) @[Cat.scala 29:58] + node _T_105 = cat(_T_104, _T_76[29]) @[Cat.scala 29:58] + node _T_106 = cat(_T_105, _T_76[30]) @[Cat.scala 29:58] + node _T_107 = cat(_T_106, _T_76[31]) @[Cat.scala 29:58] + node _T_108 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_dec_trigger.scala 14:177] + node _T_109 = cat(io.dec_i0_pc_d, _T_108) @[Cat.scala 29:58] + node _T_110 = and(_T_107, _T_109) @[el2_dec_trigger.scala 14:127] + node _T_111 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[el2_dec_trigger.scala 14:63] + node _T_112 = and(_T_111, io.trigger_pkt_any[3].execute) @[el2_dec_trigger.scala 14:93] + wire _T_113 : UInt<1>[32] @[el2_lib.scala 161:48] + _T_113[0] <= _T_112 @[el2_lib.scala 161:48] + _T_113[1] <= _T_112 @[el2_lib.scala 161:48] + _T_113[2] <= _T_112 @[el2_lib.scala 161:48] + _T_113[3] <= _T_112 @[el2_lib.scala 161:48] + _T_113[4] <= _T_112 @[el2_lib.scala 161:48] + _T_113[5] <= _T_112 @[el2_lib.scala 161:48] + _T_113[6] <= _T_112 @[el2_lib.scala 161:48] + _T_113[7] <= _T_112 @[el2_lib.scala 161:48] + _T_113[8] <= _T_112 @[el2_lib.scala 161:48] + _T_113[9] <= _T_112 @[el2_lib.scala 161:48] + _T_113[10] <= _T_112 @[el2_lib.scala 161:48] + _T_113[11] <= _T_112 @[el2_lib.scala 161:48] + _T_113[12] <= _T_112 @[el2_lib.scala 161:48] + _T_113[13] <= _T_112 @[el2_lib.scala 161:48] + _T_113[14] <= _T_112 @[el2_lib.scala 161:48] + _T_113[15] <= _T_112 @[el2_lib.scala 161:48] + _T_113[16] <= _T_112 @[el2_lib.scala 161:48] + _T_113[17] <= _T_112 @[el2_lib.scala 161:48] + _T_113[18] <= _T_112 @[el2_lib.scala 161:48] + _T_113[19] <= _T_112 @[el2_lib.scala 161:48] + _T_113[20] <= _T_112 @[el2_lib.scala 161:48] + _T_113[21] <= _T_112 @[el2_lib.scala 161:48] + _T_113[22] <= _T_112 @[el2_lib.scala 161:48] + _T_113[23] <= _T_112 @[el2_lib.scala 161:48] + _T_113[24] <= _T_112 @[el2_lib.scala 161:48] + _T_113[25] <= _T_112 @[el2_lib.scala 161:48] + _T_113[26] <= _T_112 @[el2_lib.scala 161:48] + _T_113[27] <= _T_112 @[el2_lib.scala 161:48] + _T_113[28] <= _T_112 @[el2_lib.scala 161:48] + _T_113[29] <= _T_112 @[el2_lib.scala 161:48] + _T_113[30] <= _T_112 @[el2_lib.scala 161:48] + _T_113[31] <= _T_112 @[el2_lib.scala 161:48] + node _T_114 = cat(_T_113[0], _T_113[1]) @[Cat.scala 29:58] + node _T_115 = cat(_T_114, _T_113[2]) @[Cat.scala 29:58] + node _T_116 = cat(_T_115, _T_113[3]) @[Cat.scala 29:58] + node _T_117 = cat(_T_116, _T_113[4]) @[Cat.scala 29:58] + node _T_118 = cat(_T_117, _T_113[5]) @[Cat.scala 29:58] + node _T_119 = cat(_T_118, _T_113[6]) @[Cat.scala 29:58] + node _T_120 = cat(_T_119, _T_113[7]) @[Cat.scala 29:58] + node _T_121 = cat(_T_120, _T_113[8]) @[Cat.scala 29:58] + node _T_122 = cat(_T_121, _T_113[9]) @[Cat.scala 29:58] + node _T_123 = cat(_T_122, _T_113[10]) @[Cat.scala 29:58] + node _T_124 = cat(_T_123, _T_113[11]) @[Cat.scala 29:58] + node _T_125 = cat(_T_124, _T_113[12]) @[Cat.scala 29:58] + node _T_126 = cat(_T_125, _T_113[13]) @[Cat.scala 29:58] + node _T_127 = cat(_T_126, _T_113[14]) @[Cat.scala 29:58] + node _T_128 = cat(_T_127, _T_113[15]) @[Cat.scala 29:58] + node _T_129 = cat(_T_128, _T_113[16]) @[Cat.scala 29:58] + node _T_130 = cat(_T_129, _T_113[17]) @[Cat.scala 29:58] + node _T_131 = cat(_T_130, _T_113[18]) @[Cat.scala 29:58] + node _T_132 = cat(_T_131, _T_113[19]) @[Cat.scala 29:58] + node _T_133 = cat(_T_132, _T_113[20]) @[Cat.scala 29:58] + node _T_134 = cat(_T_133, _T_113[21]) @[Cat.scala 29:58] + node _T_135 = cat(_T_134, _T_113[22]) @[Cat.scala 29:58] + node _T_136 = cat(_T_135, _T_113[23]) @[Cat.scala 29:58] + node _T_137 = cat(_T_136, _T_113[24]) @[Cat.scala 29:58] + node _T_138 = cat(_T_137, _T_113[25]) @[Cat.scala 29:58] + node _T_139 = cat(_T_138, _T_113[26]) @[Cat.scala 29:58] + node _T_140 = cat(_T_139, _T_113[27]) @[Cat.scala 29:58] + node _T_141 = cat(_T_140, _T_113[28]) @[Cat.scala 29:58] + node _T_142 = cat(_T_141, _T_113[29]) @[Cat.scala 29:58] + node _T_143 = cat(_T_142, _T_113[30]) @[Cat.scala 29:58] + node _T_144 = cat(_T_143, _T_113[31]) @[Cat.scala 29:58] + node _T_145 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_dec_trigger.scala 14:177] + node _T_146 = cat(io.dec_i0_pc_d, _T_145) @[Cat.scala 29:58] + node _T_147 = and(_T_144, _T_146) @[el2_dec_trigger.scala 14:127] + wire dec_i0_match_data : UInt<32>[4] @[el2_dec_trigger.scala 14:46] + dec_i0_match_data[0] <= _T_36 @[el2_dec_trigger.scala 14:46] + dec_i0_match_data[1] <= _T_73 @[el2_dec_trigger.scala 14:46] + dec_i0_match_data[2] <= _T_110 @[el2_dec_trigger.scala 14:46] + dec_i0_match_data[3] <= _T_147 @[el2_dec_trigger.scala 14:46] + node _T_148 = and(io.trigger_pkt_any[0].execute, io.trigger_pkt_any[0].m) @[el2_dec_trigger.scala 15:83] + node _T_149 = bits(io.trigger_pkt_any[0].match_, 0, 0) @[el2_dec_trigger.scala 15:213] + wire _T_150 : UInt<1>[32] @[el2_lib.scala 231:24] + node _T_151 = andr(io.trigger_pkt_any[0].tdata2) @[el2_lib.scala 232:45] + node _T_152 = not(_T_151) @[el2_lib.scala 232:39] + node _T_153 = and(_T_149, _T_152) @[el2_lib.scala 232:37] + node _T_154 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[el2_lib.scala 233:48] + node _T_155 = bits(dec_i0_match_data[0], 0, 0) @[el2_lib.scala 233:60] + node _T_156 = eq(_T_154, _T_155) @[el2_lib.scala 233:52] + node _T_157 = or(_T_153, _T_156) @[el2_lib.scala 233:41] + _T_150[0] <= _T_157 @[el2_lib.scala 233:18] + node _T_158 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[el2_lib.scala 235:28] + node _T_159 = andr(_T_158) @[el2_lib.scala 235:36] + node _T_160 = and(_T_159, _T_153) @[el2_lib.scala 235:41] + node _T_161 = bits(io.trigger_pkt_any[0].tdata2, 1, 1) @[el2_lib.scala 235:74] + node _T_162 = bits(dec_i0_match_data[0], 1, 1) @[el2_lib.scala 235:86] + node _T_163 = eq(_T_161, _T_162) @[el2_lib.scala 235:78] + node _T_164 = mux(_T_160, UInt<1>("h01"), _T_163) @[el2_lib.scala 235:23] + _T_150[1] <= _T_164 @[el2_lib.scala 235:17] + node _T_165 = bits(io.trigger_pkt_any[0].tdata2, 1, 0) @[el2_lib.scala 235:28] + node _T_166 = andr(_T_165) @[el2_lib.scala 235:36] + node _T_167 = and(_T_166, _T_153) @[el2_lib.scala 235:41] + node _T_168 = bits(io.trigger_pkt_any[0].tdata2, 2, 2) @[el2_lib.scala 235:74] + node _T_169 = bits(dec_i0_match_data[0], 2, 2) @[el2_lib.scala 235:86] + node _T_170 = eq(_T_168, _T_169) @[el2_lib.scala 235:78] + node _T_171 = mux(_T_167, UInt<1>("h01"), _T_170) @[el2_lib.scala 235:23] + _T_150[2] <= _T_171 @[el2_lib.scala 235:17] + node _T_172 = bits(io.trigger_pkt_any[0].tdata2, 2, 0) @[el2_lib.scala 235:28] + node _T_173 = andr(_T_172) @[el2_lib.scala 235:36] + node _T_174 = and(_T_173, _T_153) @[el2_lib.scala 235:41] + node _T_175 = bits(io.trigger_pkt_any[0].tdata2, 3, 3) @[el2_lib.scala 235:74] + node _T_176 = bits(dec_i0_match_data[0], 3, 3) @[el2_lib.scala 235:86] + node _T_177 = eq(_T_175, _T_176) @[el2_lib.scala 235:78] + node _T_178 = mux(_T_174, UInt<1>("h01"), _T_177) @[el2_lib.scala 235:23] + _T_150[3] <= _T_178 @[el2_lib.scala 235:17] + node _T_179 = bits(io.trigger_pkt_any[0].tdata2, 3, 0) @[el2_lib.scala 235:28] + node _T_180 = andr(_T_179) @[el2_lib.scala 235:36] + node _T_181 = and(_T_180, _T_153) @[el2_lib.scala 235:41] + node _T_182 = bits(io.trigger_pkt_any[0].tdata2, 4, 4) @[el2_lib.scala 235:74] + node _T_183 = bits(dec_i0_match_data[0], 4, 4) @[el2_lib.scala 235:86] + node _T_184 = eq(_T_182, _T_183) @[el2_lib.scala 235:78] + node _T_185 = mux(_T_181, UInt<1>("h01"), _T_184) @[el2_lib.scala 235:23] + _T_150[4] <= _T_185 @[el2_lib.scala 235:17] + node _T_186 = bits(io.trigger_pkt_any[0].tdata2, 4, 0) @[el2_lib.scala 235:28] + node _T_187 = andr(_T_186) @[el2_lib.scala 235:36] + node _T_188 = and(_T_187, _T_153) @[el2_lib.scala 235:41] + node _T_189 = bits(io.trigger_pkt_any[0].tdata2, 5, 5) @[el2_lib.scala 235:74] + node _T_190 = bits(dec_i0_match_data[0], 5, 5) @[el2_lib.scala 235:86] + node _T_191 = eq(_T_189, _T_190) @[el2_lib.scala 235:78] + node _T_192 = mux(_T_188, UInt<1>("h01"), _T_191) @[el2_lib.scala 235:23] + _T_150[5] <= _T_192 @[el2_lib.scala 235:17] + node _T_193 = bits(io.trigger_pkt_any[0].tdata2, 5, 0) @[el2_lib.scala 235:28] + node _T_194 = andr(_T_193) @[el2_lib.scala 235:36] + node _T_195 = and(_T_194, _T_153) @[el2_lib.scala 235:41] + node _T_196 = bits(io.trigger_pkt_any[0].tdata2, 6, 6) @[el2_lib.scala 235:74] + node _T_197 = bits(dec_i0_match_data[0], 6, 6) @[el2_lib.scala 235:86] + node _T_198 = eq(_T_196, _T_197) @[el2_lib.scala 235:78] + node _T_199 = mux(_T_195, UInt<1>("h01"), _T_198) @[el2_lib.scala 235:23] + _T_150[6] <= _T_199 @[el2_lib.scala 235:17] + node _T_200 = bits(io.trigger_pkt_any[0].tdata2, 6, 0) @[el2_lib.scala 235:28] + node _T_201 = andr(_T_200) @[el2_lib.scala 235:36] + node _T_202 = and(_T_201, _T_153) @[el2_lib.scala 235:41] + node _T_203 = bits(io.trigger_pkt_any[0].tdata2, 7, 7) @[el2_lib.scala 235:74] + node _T_204 = bits(dec_i0_match_data[0], 7, 7) @[el2_lib.scala 235:86] + node _T_205 = eq(_T_203, _T_204) @[el2_lib.scala 235:78] + node _T_206 = mux(_T_202, UInt<1>("h01"), _T_205) @[el2_lib.scala 235:23] + _T_150[7] <= _T_206 @[el2_lib.scala 235:17] + node _T_207 = bits(io.trigger_pkt_any[0].tdata2, 7, 0) @[el2_lib.scala 235:28] + node _T_208 = andr(_T_207) @[el2_lib.scala 235:36] + node _T_209 = and(_T_208, _T_153) @[el2_lib.scala 235:41] + node _T_210 = bits(io.trigger_pkt_any[0].tdata2, 8, 8) @[el2_lib.scala 235:74] + node _T_211 = bits(dec_i0_match_data[0], 8, 8) @[el2_lib.scala 235:86] + node _T_212 = eq(_T_210, _T_211) @[el2_lib.scala 235:78] + node _T_213 = mux(_T_209, UInt<1>("h01"), _T_212) @[el2_lib.scala 235:23] + _T_150[8] <= _T_213 @[el2_lib.scala 235:17] + node _T_214 = bits(io.trigger_pkt_any[0].tdata2, 8, 0) @[el2_lib.scala 235:28] + node _T_215 = andr(_T_214) @[el2_lib.scala 235:36] + node _T_216 = and(_T_215, _T_153) @[el2_lib.scala 235:41] + node _T_217 = bits(io.trigger_pkt_any[0].tdata2, 9, 9) @[el2_lib.scala 235:74] + node _T_218 = bits(dec_i0_match_data[0], 9, 9) @[el2_lib.scala 235:86] + node _T_219 = eq(_T_217, _T_218) @[el2_lib.scala 235:78] + node _T_220 = mux(_T_216, UInt<1>("h01"), _T_219) @[el2_lib.scala 235:23] + _T_150[9] <= _T_220 @[el2_lib.scala 235:17] + node _T_221 = bits(io.trigger_pkt_any[0].tdata2, 9, 0) @[el2_lib.scala 235:28] + node _T_222 = andr(_T_221) @[el2_lib.scala 235:36] + node _T_223 = and(_T_222, _T_153) @[el2_lib.scala 235:41] + node _T_224 = bits(io.trigger_pkt_any[0].tdata2, 10, 10) @[el2_lib.scala 235:74] + node _T_225 = bits(dec_i0_match_data[0], 10, 10) @[el2_lib.scala 235:86] + node _T_226 = eq(_T_224, _T_225) @[el2_lib.scala 235:78] + node _T_227 = mux(_T_223, UInt<1>("h01"), _T_226) @[el2_lib.scala 235:23] + _T_150[10] <= _T_227 @[el2_lib.scala 235:17] + node _T_228 = bits(io.trigger_pkt_any[0].tdata2, 10, 0) @[el2_lib.scala 235:28] + node _T_229 = andr(_T_228) @[el2_lib.scala 235:36] + node _T_230 = and(_T_229, _T_153) @[el2_lib.scala 235:41] + node _T_231 = bits(io.trigger_pkt_any[0].tdata2, 11, 11) @[el2_lib.scala 235:74] + node _T_232 = bits(dec_i0_match_data[0], 11, 11) @[el2_lib.scala 235:86] + node _T_233 = eq(_T_231, _T_232) @[el2_lib.scala 235:78] + node _T_234 = mux(_T_230, UInt<1>("h01"), _T_233) @[el2_lib.scala 235:23] + _T_150[11] <= _T_234 @[el2_lib.scala 235:17] + node _T_235 = bits(io.trigger_pkt_any[0].tdata2, 11, 0) @[el2_lib.scala 235:28] + node _T_236 = andr(_T_235) @[el2_lib.scala 235:36] + node _T_237 = and(_T_236, _T_153) @[el2_lib.scala 235:41] + node _T_238 = bits(io.trigger_pkt_any[0].tdata2, 12, 12) @[el2_lib.scala 235:74] + node _T_239 = bits(dec_i0_match_data[0], 12, 12) @[el2_lib.scala 235:86] + node _T_240 = eq(_T_238, _T_239) @[el2_lib.scala 235:78] + node _T_241 = mux(_T_237, UInt<1>("h01"), _T_240) @[el2_lib.scala 235:23] + _T_150[12] <= _T_241 @[el2_lib.scala 235:17] + node _T_242 = bits(io.trigger_pkt_any[0].tdata2, 12, 0) @[el2_lib.scala 235:28] + node _T_243 = andr(_T_242) @[el2_lib.scala 235:36] + node _T_244 = and(_T_243, _T_153) @[el2_lib.scala 235:41] + node _T_245 = bits(io.trigger_pkt_any[0].tdata2, 13, 13) @[el2_lib.scala 235:74] + node _T_246 = bits(dec_i0_match_data[0], 13, 13) @[el2_lib.scala 235:86] + node _T_247 = eq(_T_245, _T_246) @[el2_lib.scala 235:78] + node _T_248 = mux(_T_244, UInt<1>("h01"), _T_247) @[el2_lib.scala 235:23] + _T_150[13] <= _T_248 @[el2_lib.scala 235:17] + node _T_249 = bits(io.trigger_pkt_any[0].tdata2, 13, 0) @[el2_lib.scala 235:28] + node _T_250 = andr(_T_249) @[el2_lib.scala 235:36] + node _T_251 = and(_T_250, _T_153) @[el2_lib.scala 235:41] + node _T_252 = bits(io.trigger_pkt_any[0].tdata2, 14, 14) @[el2_lib.scala 235:74] + node _T_253 = bits(dec_i0_match_data[0], 14, 14) @[el2_lib.scala 235:86] + node _T_254 = eq(_T_252, _T_253) @[el2_lib.scala 235:78] + node _T_255 = mux(_T_251, UInt<1>("h01"), _T_254) @[el2_lib.scala 235:23] + _T_150[14] <= _T_255 @[el2_lib.scala 235:17] + node _T_256 = bits(io.trigger_pkt_any[0].tdata2, 14, 0) @[el2_lib.scala 235:28] + node _T_257 = andr(_T_256) @[el2_lib.scala 235:36] + node _T_258 = and(_T_257, _T_153) @[el2_lib.scala 235:41] + node _T_259 = bits(io.trigger_pkt_any[0].tdata2, 15, 15) @[el2_lib.scala 235:74] + node _T_260 = bits(dec_i0_match_data[0], 15, 15) @[el2_lib.scala 235:86] + node _T_261 = eq(_T_259, _T_260) @[el2_lib.scala 235:78] + node _T_262 = mux(_T_258, UInt<1>("h01"), _T_261) @[el2_lib.scala 235:23] + _T_150[15] <= _T_262 @[el2_lib.scala 235:17] + node _T_263 = bits(io.trigger_pkt_any[0].tdata2, 15, 0) @[el2_lib.scala 235:28] + node _T_264 = andr(_T_263) @[el2_lib.scala 235:36] + node _T_265 = and(_T_264, _T_153) @[el2_lib.scala 235:41] + node _T_266 = bits(io.trigger_pkt_any[0].tdata2, 16, 16) @[el2_lib.scala 235:74] + node _T_267 = bits(dec_i0_match_data[0], 16, 16) @[el2_lib.scala 235:86] + node _T_268 = eq(_T_266, _T_267) @[el2_lib.scala 235:78] + node _T_269 = mux(_T_265, UInt<1>("h01"), _T_268) @[el2_lib.scala 235:23] + _T_150[16] <= _T_269 @[el2_lib.scala 235:17] + node _T_270 = bits(io.trigger_pkt_any[0].tdata2, 16, 0) @[el2_lib.scala 235:28] + node _T_271 = andr(_T_270) @[el2_lib.scala 235:36] + node _T_272 = and(_T_271, _T_153) @[el2_lib.scala 235:41] + node _T_273 = bits(io.trigger_pkt_any[0].tdata2, 17, 17) @[el2_lib.scala 235:74] + node _T_274 = bits(dec_i0_match_data[0], 17, 17) @[el2_lib.scala 235:86] + node _T_275 = eq(_T_273, _T_274) @[el2_lib.scala 235:78] + node _T_276 = mux(_T_272, UInt<1>("h01"), _T_275) @[el2_lib.scala 235:23] + _T_150[17] <= _T_276 @[el2_lib.scala 235:17] + node _T_277 = bits(io.trigger_pkt_any[0].tdata2, 17, 0) @[el2_lib.scala 235:28] + node _T_278 = andr(_T_277) @[el2_lib.scala 235:36] + node _T_279 = and(_T_278, _T_153) @[el2_lib.scala 235:41] + node _T_280 = bits(io.trigger_pkt_any[0].tdata2, 18, 18) @[el2_lib.scala 235:74] + node _T_281 = bits(dec_i0_match_data[0], 18, 18) @[el2_lib.scala 235:86] + node _T_282 = eq(_T_280, _T_281) @[el2_lib.scala 235:78] + node _T_283 = mux(_T_279, UInt<1>("h01"), _T_282) @[el2_lib.scala 235:23] + _T_150[18] <= _T_283 @[el2_lib.scala 235:17] + node _T_284 = bits(io.trigger_pkt_any[0].tdata2, 18, 0) @[el2_lib.scala 235:28] + node _T_285 = andr(_T_284) @[el2_lib.scala 235:36] + node _T_286 = and(_T_285, _T_153) @[el2_lib.scala 235:41] + node _T_287 = bits(io.trigger_pkt_any[0].tdata2, 19, 19) @[el2_lib.scala 235:74] + node _T_288 = bits(dec_i0_match_data[0], 19, 19) @[el2_lib.scala 235:86] + node _T_289 = eq(_T_287, _T_288) @[el2_lib.scala 235:78] + node _T_290 = mux(_T_286, UInt<1>("h01"), _T_289) @[el2_lib.scala 235:23] + _T_150[19] <= _T_290 @[el2_lib.scala 235:17] + node _T_291 = bits(io.trigger_pkt_any[0].tdata2, 19, 0) @[el2_lib.scala 235:28] + node _T_292 = andr(_T_291) @[el2_lib.scala 235:36] + node _T_293 = and(_T_292, _T_153) @[el2_lib.scala 235:41] + node _T_294 = bits(io.trigger_pkt_any[0].tdata2, 20, 20) @[el2_lib.scala 235:74] + node _T_295 = bits(dec_i0_match_data[0], 20, 20) @[el2_lib.scala 235:86] + node _T_296 = eq(_T_294, _T_295) @[el2_lib.scala 235:78] + node _T_297 = mux(_T_293, UInt<1>("h01"), _T_296) @[el2_lib.scala 235:23] + _T_150[20] <= _T_297 @[el2_lib.scala 235:17] + node _T_298 = bits(io.trigger_pkt_any[0].tdata2, 20, 0) @[el2_lib.scala 235:28] + node _T_299 = andr(_T_298) @[el2_lib.scala 235:36] + node _T_300 = and(_T_299, _T_153) @[el2_lib.scala 235:41] + node _T_301 = bits(io.trigger_pkt_any[0].tdata2, 21, 21) @[el2_lib.scala 235:74] + node _T_302 = bits(dec_i0_match_data[0], 21, 21) @[el2_lib.scala 235:86] + node _T_303 = eq(_T_301, _T_302) @[el2_lib.scala 235:78] + node _T_304 = mux(_T_300, UInt<1>("h01"), _T_303) @[el2_lib.scala 235:23] + _T_150[21] <= _T_304 @[el2_lib.scala 235:17] + node _T_305 = bits(io.trigger_pkt_any[0].tdata2, 21, 0) @[el2_lib.scala 235:28] + node _T_306 = andr(_T_305) @[el2_lib.scala 235:36] + node _T_307 = and(_T_306, _T_153) @[el2_lib.scala 235:41] + node _T_308 = bits(io.trigger_pkt_any[0].tdata2, 22, 22) @[el2_lib.scala 235:74] + node _T_309 = bits(dec_i0_match_data[0], 22, 22) @[el2_lib.scala 235:86] + node _T_310 = eq(_T_308, _T_309) @[el2_lib.scala 235:78] + node _T_311 = mux(_T_307, UInt<1>("h01"), _T_310) @[el2_lib.scala 235:23] + _T_150[22] <= _T_311 @[el2_lib.scala 235:17] + node _T_312 = bits(io.trigger_pkt_any[0].tdata2, 22, 0) @[el2_lib.scala 235:28] + node _T_313 = andr(_T_312) @[el2_lib.scala 235:36] + node _T_314 = and(_T_313, _T_153) @[el2_lib.scala 235:41] + node _T_315 = bits(io.trigger_pkt_any[0].tdata2, 23, 23) @[el2_lib.scala 235:74] + node _T_316 = bits(dec_i0_match_data[0], 23, 23) @[el2_lib.scala 235:86] + node _T_317 = eq(_T_315, _T_316) @[el2_lib.scala 235:78] + node _T_318 = mux(_T_314, UInt<1>("h01"), _T_317) @[el2_lib.scala 235:23] + _T_150[23] <= _T_318 @[el2_lib.scala 235:17] + node _T_319 = bits(io.trigger_pkt_any[0].tdata2, 23, 0) @[el2_lib.scala 235:28] + node _T_320 = andr(_T_319) @[el2_lib.scala 235:36] + node _T_321 = and(_T_320, _T_153) @[el2_lib.scala 235:41] + node _T_322 = bits(io.trigger_pkt_any[0].tdata2, 24, 24) @[el2_lib.scala 235:74] + node _T_323 = bits(dec_i0_match_data[0], 24, 24) @[el2_lib.scala 235:86] + node _T_324 = eq(_T_322, _T_323) @[el2_lib.scala 235:78] + node _T_325 = mux(_T_321, UInt<1>("h01"), _T_324) @[el2_lib.scala 235:23] + _T_150[24] <= _T_325 @[el2_lib.scala 235:17] + node _T_326 = bits(io.trigger_pkt_any[0].tdata2, 24, 0) @[el2_lib.scala 235:28] + node _T_327 = andr(_T_326) @[el2_lib.scala 235:36] + node _T_328 = and(_T_327, _T_153) @[el2_lib.scala 235:41] + node _T_329 = bits(io.trigger_pkt_any[0].tdata2, 25, 25) @[el2_lib.scala 235:74] + node _T_330 = bits(dec_i0_match_data[0], 25, 25) @[el2_lib.scala 235:86] + node _T_331 = eq(_T_329, _T_330) @[el2_lib.scala 235:78] + node _T_332 = mux(_T_328, UInt<1>("h01"), _T_331) @[el2_lib.scala 235:23] + _T_150[25] <= _T_332 @[el2_lib.scala 235:17] + node _T_333 = bits(io.trigger_pkt_any[0].tdata2, 25, 0) @[el2_lib.scala 235:28] + node _T_334 = andr(_T_333) @[el2_lib.scala 235:36] + node _T_335 = and(_T_334, _T_153) @[el2_lib.scala 235:41] + node _T_336 = bits(io.trigger_pkt_any[0].tdata2, 26, 26) @[el2_lib.scala 235:74] + node _T_337 = bits(dec_i0_match_data[0], 26, 26) @[el2_lib.scala 235:86] + node _T_338 = eq(_T_336, _T_337) @[el2_lib.scala 235:78] + node _T_339 = mux(_T_335, UInt<1>("h01"), _T_338) @[el2_lib.scala 235:23] + _T_150[26] <= _T_339 @[el2_lib.scala 235:17] + node _T_340 = bits(io.trigger_pkt_any[0].tdata2, 26, 0) @[el2_lib.scala 235:28] + node _T_341 = andr(_T_340) @[el2_lib.scala 235:36] + node _T_342 = and(_T_341, _T_153) @[el2_lib.scala 235:41] + node _T_343 = bits(io.trigger_pkt_any[0].tdata2, 27, 27) @[el2_lib.scala 235:74] + node _T_344 = bits(dec_i0_match_data[0], 27, 27) @[el2_lib.scala 235:86] + node _T_345 = eq(_T_343, _T_344) @[el2_lib.scala 235:78] + node _T_346 = mux(_T_342, UInt<1>("h01"), _T_345) @[el2_lib.scala 235:23] + _T_150[27] <= _T_346 @[el2_lib.scala 235:17] + node _T_347 = bits(io.trigger_pkt_any[0].tdata2, 27, 0) @[el2_lib.scala 235:28] + node _T_348 = andr(_T_347) @[el2_lib.scala 235:36] + node _T_349 = and(_T_348, _T_153) @[el2_lib.scala 235:41] + node _T_350 = bits(io.trigger_pkt_any[0].tdata2, 28, 28) @[el2_lib.scala 235:74] + node _T_351 = bits(dec_i0_match_data[0], 28, 28) @[el2_lib.scala 235:86] + node _T_352 = eq(_T_350, _T_351) @[el2_lib.scala 235:78] + node _T_353 = mux(_T_349, UInt<1>("h01"), _T_352) @[el2_lib.scala 235:23] + _T_150[28] <= _T_353 @[el2_lib.scala 235:17] + node _T_354 = bits(io.trigger_pkt_any[0].tdata2, 28, 0) @[el2_lib.scala 235:28] + node _T_355 = andr(_T_354) @[el2_lib.scala 235:36] + node _T_356 = and(_T_355, _T_153) @[el2_lib.scala 235:41] + node _T_357 = bits(io.trigger_pkt_any[0].tdata2, 29, 29) @[el2_lib.scala 235:74] + node _T_358 = bits(dec_i0_match_data[0], 29, 29) @[el2_lib.scala 235:86] + node _T_359 = eq(_T_357, _T_358) @[el2_lib.scala 235:78] + node _T_360 = mux(_T_356, UInt<1>("h01"), _T_359) @[el2_lib.scala 235:23] + _T_150[29] <= _T_360 @[el2_lib.scala 235:17] + node _T_361 = bits(io.trigger_pkt_any[0].tdata2, 29, 0) @[el2_lib.scala 235:28] + node _T_362 = andr(_T_361) @[el2_lib.scala 235:36] + node _T_363 = and(_T_362, _T_153) @[el2_lib.scala 235:41] + node _T_364 = bits(io.trigger_pkt_any[0].tdata2, 30, 30) @[el2_lib.scala 235:74] + node _T_365 = bits(dec_i0_match_data[0], 30, 30) @[el2_lib.scala 235:86] + node _T_366 = eq(_T_364, _T_365) @[el2_lib.scala 235:78] + node _T_367 = mux(_T_363, UInt<1>("h01"), _T_366) @[el2_lib.scala 235:23] + _T_150[30] <= _T_367 @[el2_lib.scala 235:17] + node _T_368 = bits(io.trigger_pkt_any[0].tdata2, 30, 0) @[el2_lib.scala 235:28] + node _T_369 = andr(_T_368) @[el2_lib.scala 235:36] + node _T_370 = and(_T_369, _T_153) @[el2_lib.scala 235:41] + node _T_371 = bits(io.trigger_pkt_any[0].tdata2, 31, 31) @[el2_lib.scala 235:74] + node _T_372 = bits(dec_i0_match_data[0], 31, 31) @[el2_lib.scala 235:86] + node _T_373 = eq(_T_371, _T_372) @[el2_lib.scala 235:78] + node _T_374 = mux(_T_370, UInt<1>("h01"), _T_373) @[el2_lib.scala 235:23] + _T_150[31] <= _T_374 @[el2_lib.scala 235:17] + node _T_375 = cat(_T_150[1], _T_150[0]) @[el2_lib.scala 236:14] + node _T_376 = cat(_T_150[3], _T_150[2]) @[el2_lib.scala 236:14] + node _T_377 = cat(_T_376, _T_375) @[el2_lib.scala 236:14] + node _T_378 = cat(_T_150[5], _T_150[4]) @[el2_lib.scala 236:14] + node _T_379 = cat(_T_150[7], _T_150[6]) @[el2_lib.scala 236:14] + node _T_380 = cat(_T_379, _T_378) @[el2_lib.scala 236:14] + node _T_381 = cat(_T_380, _T_377) @[el2_lib.scala 236:14] + node _T_382 = cat(_T_150[9], _T_150[8]) @[el2_lib.scala 236:14] + node _T_383 = cat(_T_150[11], _T_150[10]) @[el2_lib.scala 236:14] + node _T_384 = cat(_T_383, _T_382) @[el2_lib.scala 236:14] + node _T_385 = cat(_T_150[13], _T_150[12]) @[el2_lib.scala 236:14] + node _T_386 = cat(_T_150[15], _T_150[14]) @[el2_lib.scala 236:14] + node _T_387 = cat(_T_386, _T_385) @[el2_lib.scala 236:14] + node _T_388 = cat(_T_387, _T_384) @[el2_lib.scala 236:14] + node _T_389 = cat(_T_388, _T_381) @[el2_lib.scala 236:14] + node _T_390 = cat(_T_150[17], _T_150[16]) @[el2_lib.scala 236:14] + node _T_391 = cat(_T_150[19], _T_150[18]) @[el2_lib.scala 236:14] + node _T_392 = cat(_T_391, _T_390) @[el2_lib.scala 236:14] + node _T_393 = cat(_T_150[21], _T_150[20]) @[el2_lib.scala 236:14] + node _T_394 = cat(_T_150[23], _T_150[22]) @[el2_lib.scala 236:14] + node _T_395 = cat(_T_394, _T_393) @[el2_lib.scala 236:14] + node _T_396 = cat(_T_395, _T_392) @[el2_lib.scala 236:14] + node _T_397 = cat(_T_150[25], _T_150[24]) @[el2_lib.scala 236:14] + node _T_398 = cat(_T_150[27], _T_150[26]) @[el2_lib.scala 236:14] + node _T_399 = cat(_T_398, _T_397) @[el2_lib.scala 236:14] + node _T_400 = cat(_T_150[29], _T_150[28]) @[el2_lib.scala 236:14] + node _T_401 = cat(_T_150[31], _T_150[30]) @[el2_lib.scala 236:14] + node _T_402 = cat(_T_401, _T_400) @[el2_lib.scala 236:14] + node _T_403 = cat(_T_402, _T_399) @[el2_lib.scala 236:14] + node _T_404 = cat(_T_403, _T_396) @[el2_lib.scala 236:14] + node _T_405 = cat(_T_404, _T_389) @[el2_lib.scala 236:14] + node _T_406 = and(_T_148, _T_405) @[el2_dec_trigger.scala 15:109] + node _T_407 = and(io.trigger_pkt_any[1].execute, io.trigger_pkt_any[1].m) @[el2_dec_trigger.scala 15:83] + node _T_408 = bits(io.trigger_pkt_any[1].match_, 0, 0) @[el2_dec_trigger.scala 15:213] + wire _T_409 : UInt<1>[32] @[el2_lib.scala 231:24] + node _T_410 = andr(io.trigger_pkt_any[1].tdata2) @[el2_lib.scala 232:45] + node _T_411 = not(_T_410) @[el2_lib.scala 232:39] + node _T_412 = and(_T_408, _T_411) @[el2_lib.scala 232:37] + node _T_413 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_lib.scala 233:48] + node _T_414 = bits(dec_i0_match_data[1], 0, 0) @[el2_lib.scala 233:60] + node _T_415 = eq(_T_413, _T_414) @[el2_lib.scala 233:52] + node _T_416 = or(_T_412, _T_415) @[el2_lib.scala 233:41] + _T_409[0] <= _T_416 @[el2_lib.scala 233:18] + node _T_417 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_lib.scala 235:28] + node _T_418 = andr(_T_417) @[el2_lib.scala 235:36] + node _T_419 = and(_T_418, _T_412) @[el2_lib.scala 235:41] + node _T_420 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[el2_lib.scala 235:74] + node _T_421 = bits(dec_i0_match_data[1], 1, 1) @[el2_lib.scala 235:86] + node _T_422 = eq(_T_420, _T_421) @[el2_lib.scala 235:78] + node _T_423 = mux(_T_419, UInt<1>("h01"), _T_422) @[el2_lib.scala 235:23] + _T_409[1] <= _T_423 @[el2_lib.scala 235:17] + node _T_424 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[el2_lib.scala 235:28] + node _T_425 = andr(_T_424) @[el2_lib.scala 235:36] + node _T_426 = and(_T_425, _T_412) @[el2_lib.scala 235:41] + node _T_427 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[el2_lib.scala 235:74] + node _T_428 = bits(dec_i0_match_data[1], 2, 2) @[el2_lib.scala 235:86] + node _T_429 = eq(_T_427, _T_428) @[el2_lib.scala 235:78] + node _T_430 = mux(_T_426, UInt<1>("h01"), _T_429) @[el2_lib.scala 235:23] + _T_409[2] <= _T_430 @[el2_lib.scala 235:17] + node _T_431 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[el2_lib.scala 235:28] + node _T_432 = andr(_T_431) @[el2_lib.scala 235:36] + node _T_433 = and(_T_432, _T_412) @[el2_lib.scala 235:41] + node _T_434 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[el2_lib.scala 235:74] + node _T_435 = bits(dec_i0_match_data[1], 3, 3) @[el2_lib.scala 235:86] + node _T_436 = eq(_T_434, _T_435) @[el2_lib.scala 235:78] + node _T_437 = mux(_T_433, UInt<1>("h01"), _T_436) @[el2_lib.scala 235:23] + _T_409[3] <= _T_437 @[el2_lib.scala 235:17] + node _T_438 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[el2_lib.scala 235:28] + node _T_439 = andr(_T_438) @[el2_lib.scala 235:36] + node _T_440 = and(_T_439, _T_412) @[el2_lib.scala 235:41] + node _T_441 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[el2_lib.scala 235:74] + node _T_442 = bits(dec_i0_match_data[1], 4, 4) @[el2_lib.scala 235:86] + node _T_443 = eq(_T_441, _T_442) @[el2_lib.scala 235:78] + node _T_444 = mux(_T_440, UInt<1>("h01"), _T_443) @[el2_lib.scala 235:23] + _T_409[4] <= _T_444 @[el2_lib.scala 235:17] + node _T_445 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[el2_lib.scala 235:28] + node _T_446 = andr(_T_445) @[el2_lib.scala 235:36] + node _T_447 = and(_T_446, _T_412) @[el2_lib.scala 235:41] + node _T_448 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[el2_lib.scala 235:74] + node _T_449 = bits(dec_i0_match_data[1], 5, 5) @[el2_lib.scala 235:86] + node _T_450 = eq(_T_448, _T_449) @[el2_lib.scala 235:78] + node _T_451 = mux(_T_447, UInt<1>("h01"), _T_450) @[el2_lib.scala 235:23] + _T_409[5] <= _T_451 @[el2_lib.scala 235:17] + node _T_452 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[el2_lib.scala 235:28] + node _T_453 = andr(_T_452) @[el2_lib.scala 235:36] + node _T_454 = and(_T_453, _T_412) @[el2_lib.scala 235:41] + node _T_455 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[el2_lib.scala 235:74] + node _T_456 = bits(dec_i0_match_data[1], 6, 6) @[el2_lib.scala 235:86] + node _T_457 = eq(_T_455, _T_456) @[el2_lib.scala 235:78] + node _T_458 = mux(_T_454, UInt<1>("h01"), _T_457) @[el2_lib.scala 235:23] + _T_409[6] <= _T_458 @[el2_lib.scala 235:17] + node _T_459 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[el2_lib.scala 235:28] + node _T_460 = andr(_T_459) @[el2_lib.scala 235:36] + node _T_461 = and(_T_460, _T_412) @[el2_lib.scala 235:41] + node _T_462 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[el2_lib.scala 235:74] + node _T_463 = bits(dec_i0_match_data[1], 7, 7) @[el2_lib.scala 235:86] + node _T_464 = eq(_T_462, _T_463) @[el2_lib.scala 235:78] + node _T_465 = mux(_T_461, UInt<1>("h01"), _T_464) @[el2_lib.scala 235:23] + _T_409[7] <= _T_465 @[el2_lib.scala 235:17] + node _T_466 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[el2_lib.scala 235:28] + node _T_467 = andr(_T_466) @[el2_lib.scala 235:36] + node _T_468 = and(_T_467, _T_412) @[el2_lib.scala 235:41] + node _T_469 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[el2_lib.scala 235:74] + node _T_470 = bits(dec_i0_match_data[1], 8, 8) @[el2_lib.scala 235:86] + node _T_471 = eq(_T_469, _T_470) @[el2_lib.scala 235:78] + node _T_472 = mux(_T_468, UInt<1>("h01"), _T_471) @[el2_lib.scala 235:23] + _T_409[8] <= _T_472 @[el2_lib.scala 235:17] + node _T_473 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[el2_lib.scala 235:28] + node _T_474 = andr(_T_473) @[el2_lib.scala 235:36] + node _T_475 = and(_T_474, _T_412) @[el2_lib.scala 235:41] + node _T_476 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[el2_lib.scala 235:74] + node _T_477 = bits(dec_i0_match_data[1], 9, 9) @[el2_lib.scala 235:86] + node _T_478 = eq(_T_476, _T_477) @[el2_lib.scala 235:78] + node _T_479 = mux(_T_475, UInt<1>("h01"), _T_478) @[el2_lib.scala 235:23] + _T_409[9] <= _T_479 @[el2_lib.scala 235:17] + node _T_480 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[el2_lib.scala 235:28] + node _T_481 = andr(_T_480) @[el2_lib.scala 235:36] + node _T_482 = and(_T_481, _T_412) @[el2_lib.scala 235:41] + node _T_483 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[el2_lib.scala 235:74] + node _T_484 = bits(dec_i0_match_data[1], 10, 10) @[el2_lib.scala 235:86] + node _T_485 = eq(_T_483, _T_484) @[el2_lib.scala 235:78] + node _T_486 = mux(_T_482, UInt<1>("h01"), _T_485) @[el2_lib.scala 235:23] + _T_409[10] <= _T_486 @[el2_lib.scala 235:17] + node _T_487 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[el2_lib.scala 235:28] + node _T_488 = andr(_T_487) @[el2_lib.scala 235:36] + node _T_489 = and(_T_488, _T_412) @[el2_lib.scala 235:41] + node _T_490 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[el2_lib.scala 235:74] + node _T_491 = bits(dec_i0_match_data[1], 11, 11) @[el2_lib.scala 235:86] + node _T_492 = eq(_T_490, _T_491) @[el2_lib.scala 235:78] + node _T_493 = mux(_T_489, UInt<1>("h01"), _T_492) @[el2_lib.scala 235:23] + _T_409[11] <= _T_493 @[el2_lib.scala 235:17] + node _T_494 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[el2_lib.scala 235:28] + node _T_495 = andr(_T_494) @[el2_lib.scala 235:36] + node _T_496 = and(_T_495, _T_412) @[el2_lib.scala 235:41] + node _T_497 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[el2_lib.scala 235:74] + node _T_498 = bits(dec_i0_match_data[1], 12, 12) @[el2_lib.scala 235:86] + node _T_499 = eq(_T_497, _T_498) @[el2_lib.scala 235:78] + node _T_500 = mux(_T_496, UInt<1>("h01"), _T_499) @[el2_lib.scala 235:23] + _T_409[12] <= _T_500 @[el2_lib.scala 235:17] + node _T_501 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[el2_lib.scala 235:28] + node _T_502 = andr(_T_501) @[el2_lib.scala 235:36] + node _T_503 = and(_T_502, _T_412) @[el2_lib.scala 235:41] + node _T_504 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[el2_lib.scala 235:74] + node _T_505 = bits(dec_i0_match_data[1], 13, 13) @[el2_lib.scala 235:86] + node _T_506 = eq(_T_504, _T_505) @[el2_lib.scala 235:78] + node _T_507 = mux(_T_503, UInt<1>("h01"), _T_506) @[el2_lib.scala 235:23] + _T_409[13] <= _T_507 @[el2_lib.scala 235:17] + node _T_508 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[el2_lib.scala 235:28] + node _T_509 = andr(_T_508) @[el2_lib.scala 235:36] + node _T_510 = and(_T_509, _T_412) @[el2_lib.scala 235:41] + node _T_511 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[el2_lib.scala 235:74] + node _T_512 = bits(dec_i0_match_data[1], 14, 14) @[el2_lib.scala 235:86] + node _T_513 = eq(_T_511, _T_512) @[el2_lib.scala 235:78] + node _T_514 = mux(_T_510, UInt<1>("h01"), _T_513) @[el2_lib.scala 235:23] + _T_409[14] <= _T_514 @[el2_lib.scala 235:17] + node _T_515 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[el2_lib.scala 235:28] + node _T_516 = andr(_T_515) @[el2_lib.scala 235:36] + node _T_517 = and(_T_516, _T_412) @[el2_lib.scala 235:41] + node _T_518 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[el2_lib.scala 235:74] + node _T_519 = bits(dec_i0_match_data[1], 15, 15) @[el2_lib.scala 235:86] + node _T_520 = eq(_T_518, _T_519) @[el2_lib.scala 235:78] + node _T_521 = mux(_T_517, UInt<1>("h01"), _T_520) @[el2_lib.scala 235:23] + _T_409[15] <= _T_521 @[el2_lib.scala 235:17] + node _T_522 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[el2_lib.scala 235:28] + node _T_523 = andr(_T_522) @[el2_lib.scala 235:36] + node _T_524 = and(_T_523, _T_412) @[el2_lib.scala 235:41] + node _T_525 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[el2_lib.scala 235:74] + node _T_526 = bits(dec_i0_match_data[1], 16, 16) @[el2_lib.scala 235:86] + node _T_527 = eq(_T_525, _T_526) @[el2_lib.scala 235:78] + node _T_528 = mux(_T_524, UInt<1>("h01"), _T_527) @[el2_lib.scala 235:23] + _T_409[16] <= _T_528 @[el2_lib.scala 235:17] + node _T_529 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[el2_lib.scala 235:28] + node _T_530 = andr(_T_529) @[el2_lib.scala 235:36] + node _T_531 = and(_T_530, _T_412) @[el2_lib.scala 235:41] + node _T_532 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[el2_lib.scala 235:74] + node _T_533 = bits(dec_i0_match_data[1], 17, 17) @[el2_lib.scala 235:86] + node _T_534 = eq(_T_532, _T_533) @[el2_lib.scala 235:78] + node _T_535 = mux(_T_531, UInt<1>("h01"), _T_534) @[el2_lib.scala 235:23] + _T_409[17] <= _T_535 @[el2_lib.scala 235:17] + node _T_536 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[el2_lib.scala 235:28] + node _T_537 = andr(_T_536) @[el2_lib.scala 235:36] + node _T_538 = and(_T_537, _T_412) @[el2_lib.scala 235:41] + node _T_539 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[el2_lib.scala 235:74] + node _T_540 = bits(dec_i0_match_data[1], 18, 18) @[el2_lib.scala 235:86] + node _T_541 = eq(_T_539, _T_540) @[el2_lib.scala 235:78] + node _T_542 = mux(_T_538, UInt<1>("h01"), _T_541) @[el2_lib.scala 235:23] + _T_409[18] <= _T_542 @[el2_lib.scala 235:17] + node _T_543 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[el2_lib.scala 235:28] + node _T_544 = andr(_T_543) @[el2_lib.scala 235:36] + node _T_545 = and(_T_544, _T_412) @[el2_lib.scala 235:41] + node _T_546 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[el2_lib.scala 235:74] + node _T_547 = bits(dec_i0_match_data[1], 19, 19) @[el2_lib.scala 235:86] + node _T_548 = eq(_T_546, _T_547) @[el2_lib.scala 235:78] + node _T_549 = mux(_T_545, UInt<1>("h01"), _T_548) @[el2_lib.scala 235:23] + _T_409[19] <= _T_549 @[el2_lib.scala 235:17] + node _T_550 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[el2_lib.scala 235:28] + node _T_551 = andr(_T_550) @[el2_lib.scala 235:36] + node _T_552 = and(_T_551, _T_412) @[el2_lib.scala 235:41] + node _T_553 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[el2_lib.scala 235:74] + node _T_554 = bits(dec_i0_match_data[1], 20, 20) @[el2_lib.scala 235:86] + node _T_555 = eq(_T_553, _T_554) @[el2_lib.scala 235:78] + node _T_556 = mux(_T_552, UInt<1>("h01"), _T_555) @[el2_lib.scala 235:23] + _T_409[20] <= _T_556 @[el2_lib.scala 235:17] + node _T_557 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[el2_lib.scala 235:28] + node _T_558 = andr(_T_557) @[el2_lib.scala 235:36] + node _T_559 = and(_T_558, _T_412) @[el2_lib.scala 235:41] + node _T_560 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[el2_lib.scala 235:74] + node _T_561 = bits(dec_i0_match_data[1], 21, 21) @[el2_lib.scala 235:86] + node _T_562 = eq(_T_560, _T_561) @[el2_lib.scala 235:78] + node _T_563 = mux(_T_559, UInt<1>("h01"), _T_562) @[el2_lib.scala 235:23] + _T_409[21] <= _T_563 @[el2_lib.scala 235:17] + node _T_564 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[el2_lib.scala 235:28] + node _T_565 = andr(_T_564) @[el2_lib.scala 235:36] + node _T_566 = and(_T_565, _T_412) @[el2_lib.scala 235:41] + node _T_567 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[el2_lib.scala 235:74] + node _T_568 = bits(dec_i0_match_data[1], 22, 22) @[el2_lib.scala 235:86] + node _T_569 = eq(_T_567, _T_568) @[el2_lib.scala 235:78] + node _T_570 = mux(_T_566, UInt<1>("h01"), _T_569) @[el2_lib.scala 235:23] + _T_409[22] <= _T_570 @[el2_lib.scala 235:17] + node _T_571 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[el2_lib.scala 235:28] + node _T_572 = andr(_T_571) @[el2_lib.scala 235:36] + node _T_573 = and(_T_572, _T_412) @[el2_lib.scala 235:41] + node _T_574 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[el2_lib.scala 235:74] + node _T_575 = bits(dec_i0_match_data[1], 23, 23) @[el2_lib.scala 235:86] + node _T_576 = eq(_T_574, _T_575) @[el2_lib.scala 235:78] + node _T_577 = mux(_T_573, UInt<1>("h01"), _T_576) @[el2_lib.scala 235:23] + _T_409[23] <= _T_577 @[el2_lib.scala 235:17] + node _T_578 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[el2_lib.scala 235:28] + node _T_579 = andr(_T_578) @[el2_lib.scala 235:36] + node _T_580 = and(_T_579, _T_412) @[el2_lib.scala 235:41] + node _T_581 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[el2_lib.scala 235:74] + node _T_582 = bits(dec_i0_match_data[1], 24, 24) @[el2_lib.scala 235:86] + node _T_583 = eq(_T_581, _T_582) @[el2_lib.scala 235:78] + node _T_584 = mux(_T_580, UInt<1>("h01"), _T_583) @[el2_lib.scala 235:23] + _T_409[24] <= _T_584 @[el2_lib.scala 235:17] + node _T_585 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[el2_lib.scala 235:28] + node _T_586 = andr(_T_585) @[el2_lib.scala 235:36] + node _T_587 = and(_T_586, _T_412) @[el2_lib.scala 235:41] + node _T_588 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[el2_lib.scala 235:74] + node _T_589 = bits(dec_i0_match_data[1], 25, 25) @[el2_lib.scala 235:86] + node _T_590 = eq(_T_588, _T_589) @[el2_lib.scala 235:78] + node _T_591 = mux(_T_587, UInt<1>("h01"), _T_590) @[el2_lib.scala 235:23] + _T_409[25] <= _T_591 @[el2_lib.scala 235:17] + node _T_592 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[el2_lib.scala 235:28] + node _T_593 = andr(_T_592) @[el2_lib.scala 235:36] + node _T_594 = and(_T_593, _T_412) @[el2_lib.scala 235:41] + node _T_595 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[el2_lib.scala 235:74] + node _T_596 = bits(dec_i0_match_data[1], 26, 26) @[el2_lib.scala 235:86] + node _T_597 = eq(_T_595, _T_596) @[el2_lib.scala 235:78] + node _T_598 = mux(_T_594, UInt<1>("h01"), _T_597) @[el2_lib.scala 235:23] + _T_409[26] <= _T_598 @[el2_lib.scala 235:17] + node _T_599 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[el2_lib.scala 235:28] + node _T_600 = andr(_T_599) @[el2_lib.scala 235:36] + node _T_601 = and(_T_600, _T_412) @[el2_lib.scala 235:41] + node _T_602 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[el2_lib.scala 235:74] + node _T_603 = bits(dec_i0_match_data[1], 27, 27) @[el2_lib.scala 235:86] + node _T_604 = eq(_T_602, _T_603) @[el2_lib.scala 235:78] + node _T_605 = mux(_T_601, UInt<1>("h01"), _T_604) @[el2_lib.scala 235:23] + _T_409[27] <= _T_605 @[el2_lib.scala 235:17] + node _T_606 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[el2_lib.scala 235:28] + node _T_607 = andr(_T_606) @[el2_lib.scala 235:36] + node _T_608 = and(_T_607, _T_412) @[el2_lib.scala 235:41] + node _T_609 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[el2_lib.scala 235:74] + node _T_610 = bits(dec_i0_match_data[1], 28, 28) @[el2_lib.scala 235:86] + node _T_611 = eq(_T_609, _T_610) @[el2_lib.scala 235:78] + node _T_612 = mux(_T_608, UInt<1>("h01"), _T_611) @[el2_lib.scala 235:23] + _T_409[28] <= _T_612 @[el2_lib.scala 235:17] + node _T_613 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[el2_lib.scala 235:28] + node _T_614 = andr(_T_613) @[el2_lib.scala 235:36] + node _T_615 = and(_T_614, _T_412) @[el2_lib.scala 235:41] + node _T_616 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[el2_lib.scala 235:74] + node _T_617 = bits(dec_i0_match_data[1], 29, 29) @[el2_lib.scala 235:86] + node _T_618 = eq(_T_616, _T_617) @[el2_lib.scala 235:78] + node _T_619 = mux(_T_615, UInt<1>("h01"), _T_618) @[el2_lib.scala 235:23] + _T_409[29] <= _T_619 @[el2_lib.scala 235:17] + node _T_620 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[el2_lib.scala 235:28] + node _T_621 = andr(_T_620) @[el2_lib.scala 235:36] + node _T_622 = and(_T_621, _T_412) @[el2_lib.scala 235:41] + node _T_623 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[el2_lib.scala 235:74] + node _T_624 = bits(dec_i0_match_data[1], 30, 30) @[el2_lib.scala 235:86] + node _T_625 = eq(_T_623, _T_624) @[el2_lib.scala 235:78] + node _T_626 = mux(_T_622, UInt<1>("h01"), _T_625) @[el2_lib.scala 235:23] + _T_409[30] <= _T_626 @[el2_lib.scala 235:17] + node _T_627 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[el2_lib.scala 235:28] + node _T_628 = andr(_T_627) @[el2_lib.scala 235:36] + node _T_629 = and(_T_628, _T_412) @[el2_lib.scala 235:41] + node _T_630 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[el2_lib.scala 235:74] + node _T_631 = bits(dec_i0_match_data[1], 31, 31) @[el2_lib.scala 235:86] + node _T_632 = eq(_T_630, _T_631) @[el2_lib.scala 235:78] + node _T_633 = mux(_T_629, UInt<1>("h01"), _T_632) @[el2_lib.scala 235:23] + _T_409[31] <= _T_633 @[el2_lib.scala 235:17] + node _T_634 = cat(_T_409[1], _T_409[0]) @[el2_lib.scala 236:14] + node _T_635 = cat(_T_409[3], _T_409[2]) @[el2_lib.scala 236:14] + node _T_636 = cat(_T_635, _T_634) @[el2_lib.scala 236:14] + node _T_637 = cat(_T_409[5], _T_409[4]) @[el2_lib.scala 236:14] + node _T_638 = cat(_T_409[7], _T_409[6]) @[el2_lib.scala 236:14] + node _T_639 = cat(_T_638, _T_637) @[el2_lib.scala 236:14] + node _T_640 = cat(_T_639, _T_636) @[el2_lib.scala 236:14] + node _T_641 = cat(_T_409[9], _T_409[8]) @[el2_lib.scala 236:14] + node _T_642 = cat(_T_409[11], _T_409[10]) @[el2_lib.scala 236:14] + node _T_643 = cat(_T_642, _T_641) @[el2_lib.scala 236:14] + node _T_644 = cat(_T_409[13], _T_409[12]) @[el2_lib.scala 236:14] + node _T_645 = cat(_T_409[15], _T_409[14]) @[el2_lib.scala 236:14] + node _T_646 = cat(_T_645, _T_644) @[el2_lib.scala 236:14] + node _T_647 = cat(_T_646, _T_643) @[el2_lib.scala 236:14] + node _T_648 = cat(_T_647, _T_640) @[el2_lib.scala 236:14] + node _T_649 = cat(_T_409[17], _T_409[16]) @[el2_lib.scala 236:14] + node _T_650 = cat(_T_409[19], _T_409[18]) @[el2_lib.scala 236:14] + node _T_651 = cat(_T_650, _T_649) @[el2_lib.scala 236:14] + node _T_652 = cat(_T_409[21], _T_409[20]) @[el2_lib.scala 236:14] + node _T_653 = cat(_T_409[23], _T_409[22]) @[el2_lib.scala 236:14] + node _T_654 = cat(_T_653, _T_652) @[el2_lib.scala 236:14] + node _T_655 = cat(_T_654, _T_651) @[el2_lib.scala 236:14] + node _T_656 = cat(_T_409[25], _T_409[24]) @[el2_lib.scala 236:14] + node _T_657 = cat(_T_409[27], _T_409[26]) @[el2_lib.scala 236:14] + node _T_658 = cat(_T_657, _T_656) @[el2_lib.scala 236:14] + node _T_659 = cat(_T_409[29], _T_409[28]) @[el2_lib.scala 236:14] + node _T_660 = cat(_T_409[31], _T_409[30]) @[el2_lib.scala 236:14] + node _T_661 = cat(_T_660, _T_659) @[el2_lib.scala 236:14] + node _T_662 = cat(_T_661, _T_658) @[el2_lib.scala 236:14] + node _T_663 = cat(_T_662, _T_655) @[el2_lib.scala 236:14] + node _T_664 = cat(_T_663, _T_648) @[el2_lib.scala 236:14] + node _T_665 = and(_T_407, _T_664) @[el2_dec_trigger.scala 15:109] + node _T_666 = and(io.trigger_pkt_any[2].execute, io.trigger_pkt_any[2].m) @[el2_dec_trigger.scala 15:83] + node _T_667 = bits(io.trigger_pkt_any[2].match_, 0, 0) @[el2_dec_trigger.scala 15:213] + wire _T_668 : UInt<1>[32] @[el2_lib.scala 231:24] + node _T_669 = andr(io.trigger_pkt_any[2].tdata2) @[el2_lib.scala 232:45] + node _T_670 = not(_T_669) @[el2_lib.scala 232:39] + node _T_671 = and(_T_667, _T_670) @[el2_lib.scala 232:37] + node _T_672 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_lib.scala 233:48] + node _T_673 = bits(dec_i0_match_data[2], 0, 0) @[el2_lib.scala 233:60] + node _T_674 = eq(_T_672, _T_673) @[el2_lib.scala 233:52] + node _T_675 = or(_T_671, _T_674) @[el2_lib.scala 233:41] + _T_668[0] <= _T_675 @[el2_lib.scala 233:18] + node _T_676 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_lib.scala 235:28] + node _T_677 = andr(_T_676) @[el2_lib.scala 235:36] + node _T_678 = and(_T_677, _T_671) @[el2_lib.scala 235:41] + node _T_679 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[el2_lib.scala 235:74] + node _T_680 = bits(dec_i0_match_data[2], 1, 1) @[el2_lib.scala 235:86] + node _T_681 = eq(_T_679, _T_680) @[el2_lib.scala 235:78] + node _T_682 = mux(_T_678, UInt<1>("h01"), _T_681) @[el2_lib.scala 235:23] + _T_668[1] <= _T_682 @[el2_lib.scala 235:17] + node _T_683 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[el2_lib.scala 235:28] + node _T_684 = andr(_T_683) @[el2_lib.scala 235:36] + node _T_685 = and(_T_684, _T_671) @[el2_lib.scala 235:41] + node _T_686 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[el2_lib.scala 235:74] + node _T_687 = bits(dec_i0_match_data[2], 2, 2) @[el2_lib.scala 235:86] + node _T_688 = eq(_T_686, _T_687) @[el2_lib.scala 235:78] + node _T_689 = mux(_T_685, UInt<1>("h01"), _T_688) @[el2_lib.scala 235:23] + _T_668[2] <= _T_689 @[el2_lib.scala 235:17] + node _T_690 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[el2_lib.scala 235:28] + node _T_691 = andr(_T_690) @[el2_lib.scala 235:36] + node _T_692 = and(_T_691, _T_671) @[el2_lib.scala 235:41] + node _T_693 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[el2_lib.scala 235:74] + node _T_694 = bits(dec_i0_match_data[2], 3, 3) @[el2_lib.scala 235:86] + node _T_695 = eq(_T_693, _T_694) @[el2_lib.scala 235:78] + node _T_696 = mux(_T_692, UInt<1>("h01"), _T_695) @[el2_lib.scala 235:23] + _T_668[3] <= _T_696 @[el2_lib.scala 235:17] + node _T_697 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[el2_lib.scala 235:28] + node _T_698 = andr(_T_697) @[el2_lib.scala 235:36] + node _T_699 = and(_T_698, _T_671) @[el2_lib.scala 235:41] + node _T_700 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[el2_lib.scala 235:74] + node _T_701 = bits(dec_i0_match_data[2], 4, 4) @[el2_lib.scala 235:86] + node _T_702 = eq(_T_700, _T_701) @[el2_lib.scala 235:78] + node _T_703 = mux(_T_699, UInt<1>("h01"), _T_702) @[el2_lib.scala 235:23] + _T_668[4] <= _T_703 @[el2_lib.scala 235:17] + node _T_704 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[el2_lib.scala 235:28] + node _T_705 = andr(_T_704) @[el2_lib.scala 235:36] + node _T_706 = and(_T_705, _T_671) @[el2_lib.scala 235:41] + node _T_707 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[el2_lib.scala 235:74] + node _T_708 = bits(dec_i0_match_data[2], 5, 5) @[el2_lib.scala 235:86] + node _T_709 = eq(_T_707, _T_708) @[el2_lib.scala 235:78] + node _T_710 = mux(_T_706, UInt<1>("h01"), _T_709) @[el2_lib.scala 235:23] + _T_668[5] <= _T_710 @[el2_lib.scala 235:17] + node _T_711 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[el2_lib.scala 235:28] + node _T_712 = andr(_T_711) @[el2_lib.scala 235:36] + node _T_713 = and(_T_712, _T_671) @[el2_lib.scala 235:41] + node _T_714 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[el2_lib.scala 235:74] + node _T_715 = bits(dec_i0_match_data[2], 6, 6) @[el2_lib.scala 235:86] + node _T_716 = eq(_T_714, _T_715) @[el2_lib.scala 235:78] + node _T_717 = mux(_T_713, UInt<1>("h01"), _T_716) @[el2_lib.scala 235:23] + _T_668[6] <= _T_717 @[el2_lib.scala 235:17] + node _T_718 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[el2_lib.scala 235:28] + node _T_719 = andr(_T_718) @[el2_lib.scala 235:36] + node _T_720 = and(_T_719, _T_671) @[el2_lib.scala 235:41] + node _T_721 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[el2_lib.scala 235:74] + node _T_722 = bits(dec_i0_match_data[2], 7, 7) @[el2_lib.scala 235:86] + node _T_723 = eq(_T_721, _T_722) @[el2_lib.scala 235:78] + node _T_724 = mux(_T_720, UInt<1>("h01"), _T_723) @[el2_lib.scala 235:23] + _T_668[7] <= _T_724 @[el2_lib.scala 235:17] + node _T_725 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[el2_lib.scala 235:28] + node _T_726 = andr(_T_725) @[el2_lib.scala 235:36] + node _T_727 = and(_T_726, _T_671) @[el2_lib.scala 235:41] + node _T_728 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[el2_lib.scala 235:74] + node _T_729 = bits(dec_i0_match_data[2], 8, 8) @[el2_lib.scala 235:86] + node _T_730 = eq(_T_728, _T_729) @[el2_lib.scala 235:78] + node _T_731 = mux(_T_727, UInt<1>("h01"), _T_730) @[el2_lib.scala 235:23] + _T_668[8] <= _T_731 @[el2_lib.scala 235:17] + node _T_732 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[el2_lib.scala 235:28] + node _T_733 = andr(_T_732) @[el2_lib.scala 235:36] + node _T_734 = and(_T_733, _T_671) @[el2_lib.scala 235:41] + node _T_735 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[el2_lib.scala 235:74] + node _T_736 = bits(dec_i0_match_data[2], 9, 9) @[el2_lib.scala 235:86] + node _T_737 = eq(_T_735, _T_736) @[el2_lib.scala 235:78] + node _T_738 = mux(_T_734, UInt<1>("h01"), _T_737) @[el2_lib.scala 235:23] + _T_668[9] <= _T_738 @[el2_lib.scala 235:17] + node _T_739 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[el2_lib.scala 235:28] + node _T_740 = andr(_T_739) @[el2_lib.scala 235:36] + node _T_741 = and(_T_740, _T_671) @[el2_lib.scala 235:41] + node _T_742 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[el2_lib.scala 235:74] + node _T_743 = bits(dec_i0_match_data[2], 10, 10) @[el2_lib.scala 235:86] + node _T_744 = eq(_T_742, _T_743) @[el2_lib.scala 235:78] + node _T_745 = mux(_T_741, UInt<1>("h01"), _T_744) @[el2_lib.scala 235:23] + _T_668[10] <= _T_745 @[el2_lib.scala 235:17] + node _T_746 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[el2_lib.scala 235:28] + node _T_747 = andr(_T_746) @[el2_lib.scala 235:36] + node _T_748 = and(_T_747, _T_671) @[el2_lib.scala 235:41] + node _T_749 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[el2_lib.scala 235:74] + node _T_750 = bits(dec_i0_match_data[2], 11, 11) @[el2_lib.scala 235:86] + node _T_751 = eq(_T_749, _T_750) @[el2_lib.scala 235:78] + node _T_752 = mux(_T_748, UInt<1>("h01"), _T_751) @[el2_lib.scala 235:23] + _T_668[11] <= _T_752 @[el2_lib.scala 235:17] + node _T_753 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[el2_lib.scala 235:28] + node _T_754 = andr(_T_753) @[el2_lib.scala 235:36] + node _T_755 = and(_T_754, _T_671) @[el2_lib.scala 235:41] + node _T_756 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[el2_lib.scala 235:74] + node _T_757 = bits(dec_i0_match_data[2], 12, 12) @[el2_lib.scala 235:86] + node _T_758 = eq(_T_756, _T_757) @[el2_lib.scala 235:78] + node _T_759 = mux(_T_755, UInt<1>("h01"), _T_758) @[el2_lib.scala 235:23] + _T_668[12] <= _T_759 @[el2_lib.scala 235:17] + node _T_760 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[el2_lib.scala 235:28] + node _T_761 = andr(_T_760) @[el2_lib.scala 235:36] + node _T_762 = and(_T_761, _T_671) @[el2_lib.scala 235:41] + node _T_763 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[el2_lib.scala 235:74] + node _T_764 = bits(dec_i0_match_data[2], 13, 13) @[el2_lib.scala 235:86] + node _T_765 = eq(_T_763, _T_764) @[el2_lib.scala 235:78] + node _T_766 = mux(_T_762, UInt<1>("h01"), _T_765) @[el2_lib.scala 235:23] + _T_668[13] <= _T_766 @[el2_lib.scala 235:17] + node _T_767 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[el2_lib.scala 235:28] + node _T_768 = andr(_T_767) @[el2_lib.scala 235:36] + node _T_769 = and(_T_768, _T_671) @[el2_lib.scala 235:41] + node _T_770 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[el2_lib.scala 235:74] + node _T_771 = bits(dec_i0_match_data[2], 14, 14) @[el2_lib.scala 235:86] + node _T_772 = eq(_T_770, _T_771) @[el2_lib.scala 235:78] + node _T_773 = mux(_T_769, UInt<1>("h01"), _T_772) @[el2_lib.scala 235:23] + _T_668[14] <= _T_773 @[el2_lib.scala 235:17] + node _T_774 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[el2_lib.scala 235:28] + node _T_775 = andr(_T_774) @[el2_lib.scala 235:36] + node _T_776 = and(_T_775, _T_671) @[el2_lib.scala 235:41] + node _T_777 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[el2_lib.scala 235:74] + node _T_778 = bits(dec_i0_match_data[2], 15, 15) @[el2_lib.scala 235:86] + node _T_779 = eq(_T_777, _T_778) @[el2_lib.scala 235:78] + node _T_780 = mux(_T_776, UInt<1>("h01"), _T_779) @[el2_lib.scala 235:23] + _T_668[15] <= _T_780 @[el2_lib.scala 235:17] + node _T_781 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[el2_lib.scala 235:28] + node _T_782 = andr(_T_781) @[el2_lib.scala 235:36] + node _T_783 = and(_T_782, _T_671) @[el2_lib.scala 235:41] + node _T_784 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[el2_lib.scala 235:74] + node _T_785 = bits(dec_i0_match_data[2], 16, 16) @[el2_lib.scala 235:86] + node _T_786 = eq(_T_784, _T_785) @[el2_lib.scala 235:78] + node _T_787 = mux(_T_783, UInt<1>("h01"), _T_786) @[el2_lib.scala 235:23] + _T_668[16] <= _T_787 @[el2_lib.scala 235:17] + node _T_788 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[el2_lib.scala 235:28] + node _T_789 = andr(_T_788) @[el2_lib.scala 235:36] + node _T_790 = and(_T_789, _T_671) @[el2_lib.scala 235:41] + node _T_791 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[el2_lib.scala 235:74] + node _T_792 = bits(dec_i0_match_data[2], 17, 17) @[el2_lib.scala 235:86] + node _T_793 = eq(_T_791, _T_792) @[el2_lib.scala 235:78] + node _T_794 = mux(_T_790, UInt<1>("h01"), _T_793) @[el2_lib.scala 235:23] + _T_668[17] <= _T_794 @[el2_lib.scala 235:17] + node _T_795 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[el2_lib.scala 235:28] + node _T_796 = andr(_T_795) @[el2_lib.scala 235:36] + node _T_797 = and(_T_796, _T_671) @[el2_lib.scala 235:41] + node _T_798 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[el2_lib.scala 235:74] + node _T_799 = bits(dec_i0_match_data[2], 18, 18) @[el2_lib.scala 235:86] + node _T_800 = eq(_T_798, _T_799) @[el2_lib.scala 235:78] + node _T_801 = mux(_T_797, UInt<1>("h01"), _T_800) @[el2_lib.scala 235:23] + _T_668[18] <= _T_801 @[el2_lib.scala 235:17] + node _T_802 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[el2_lib.scala 235:28] + node _T_803 = andr(_T_802) @[el2_lib.scala 235:36] + node _T_804 = and(_T_803, _T_671) @[el2_lib.scala 235:41] + node _T_805 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[el2_lib.scala 235:74] + node _T_806 = bits(dec_i0_match_data[2], 19, 19) @[el2_lib.scala 235:86] + node _T_807 = eq(_T_805, _T_806) @[el2_lib.scala 235:78] + node _T_808 = mux(_T_804, UInt<1>("h01"), _T_807) @[el2_lib.scala 235:23] + _T_668[19] <= _T_808 @[el2_lib.scala 235:17] + node _T_809 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[el2_lib.scala 235:28] + node _T_810 = andr(_T_809) @[el2_lib.scala 235:36] + node _T_811 = and(_T_810, _T_671) @[el2_lib.scala 235:41] + node _T_812 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[el2_lib.scala 235:74] + node _T_813 = bits(dec_i0_match_data[2], 20, 20) @[el2_lib.scala 235:86] + node _T_814 = eq(_T_812, _T_813) @[el2_lib.scala 235:78] + node _T_815 = mux(_T_811, UInt<1>("h01"), _T_814) @[el2_lib.scala 235:23] + _T_668[20] <= _T_815 @[el2_lib.scala 235:17] + node _T_816 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[el2_lib.scala 235:28] + node _T_817 = andr(_T_816) @[el2_lib.scala 235:36] + node _T_818 = and(_T_817, _T_671) @[el2_lib.scala 235:41] + node _T_819 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[el2_lib.scala 235:74] + node _T_820 = bits(dec_i0_match_data[2], 21, 21) @[el2_lib.scala 235:86] + node _T_821 = eq(_T_819, _T_820) @[el2_lib.scala 235:78] + node _T_822 = mux(_T_818, UInt<1>("h01"), _T_821) @[el2_lib.scala 235:23] + _T_668[21] <= _T_822 @[el2_lib.scala 235:17] + node _T_823 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[el2_lib.scala 235:28] + node _T_824 = andr(_T_823) @[el2_lib.scala 235:36] + node _T_825 = and(_T_824, _T_671) @[el2_lib.scala 235:41] + node _T_826 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[el2_lib.scala 235:74] + node _T_827 = bits(dec_i0_match_data[2], 22, 22) @[el2_lib.scala 235:86] + node _T_828 = eq(_T_826, _T_827) @[el2_lib.scala 235:78] + node _T_829 = mux(_T_825, UInt<1>("h01"), _T_828) @[el2_lib.scala 235:23] + _T_668[22] <= _T_829 @[el2_lib.scala 235:17] + node _T_830 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[el2_lib.scala 235:28] + node _T_831 = andr(_T_830) @[el2_lib.scala 235:36] + node _T_832 = and(_T_831, _T_671) @[el2_lib.scala 235:41] + node _T_833 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[el2_lib.scala 235:74] + node _T_834 = bits(dec_i0_match_data[2], 23, 23) @[el2_lib.scala 235:86] + node _T_835 = eq(_T_833, _T_834) @[el2_lib.scala 235:78] + node _T_836 = mux(_T_832, UInt<1>("h01"), _T_835) @[el2_lib.scala 235:23] + _T_668[23] <= _T_836 @[el2_lib.scala 235:17] + node _T_837 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[el2_lib.scala 235:28] + node _T_838 = andr(_T_837) @[el2_lib.scala 235:36] + node _T_839 = and(_T_838, _T_671) @[el2_lib.scala 235:41] + node _T_840 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[el2_lib.scala 235:74] + node _T_841 = bits(dec_i0_match_data[2], 24, 24) @[el2_lib.scala 235:86] + node _T_842 = eq(_T_840, _T_841) @[el2_lib.scala 235:78] + node _T_843 = mux(_T_839, UInt<1>("h01"), _T_842) @[el2_lib.scala 235:23] + _T_668[24] <= _T_843 @[el2_lib.scala 235:17] + node _T_844 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[el2_lib.scala 235:28] + node _T_845 = andr(_T_844) @[el2_lib.scala 235:36] + node _T_846 = and(_T_845, _T_671) @[el2_lib.scala 235:41] + node _T_847 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[el2_lib.scala 235:74] + node _T_848 = bits(dec_i0_match_data[2], 25, 25) @[el2_lib.scala 235:86] + node _T_849 = eq(_T_847, _T_848) @[el2_lib.scala 235:78] + node _T_850 = mux(_T_846, UInt<1>("h01"), _T_849) @[el2_lib.scala 235:23] + _T_668[25] <= _T_850 @[el2_lib.scala 235:17] + node _T_851 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[el2_lib.scala 235:28] + node _T_852 = andr(_T_851) @[el2_lib.scala 235:36] + node _T_853 = and(_T_852, _T_671) @[el2_lib.scala 235:41] + node _T_854 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[el2_lib.scala 235:74] + node _T_855 = bits(dec_i0_match_data[2], 26, 26) @[el2_lib.scala 235:86] + node _T_856 = eq(_T_854, _T_855) @[el2_lib.scala 235:78] + node _T_857 = mux(_T_853, UInt<1>("h01"), _T_856) @[el2_lib.scala 235:23] + _T_668[26] <= _T_857 @[el2_lib.scala 235:17] + node _T_858 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[el2_lib.scala 235:28] + node _T_859 = andr(_T_858) @[el2_lib.scala 235:36] + node _T_860 = and(_T_859, _T_671) @[el2_lib.scala 235:41] + node _T_861 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[el2_lib.scala 235:74] + node _T_862 = bits(dec_i0_match_data[2], 27, 27) @[el2_lib.scala 235:86] + node _T_863 = eq(_T_861, _T_862) @[el2_lib.scala 235:78] + node _T_864 = mux(_T_860, UInt<1>("h01"), _T_863) @[el2_lib.scala 235:23] + _T_668[27] <= _T_864 @[el2_lib.scala 235:17] + node _T_865 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[el2_lib.scala 235:28] + node _T_866 = andr(_T_865) @[el2_lib.scala 235:36] + node _T_867 = and(_T_866, _T_671) @[el2_lib.scala 235:41] + node _T_868 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[el2_lib.scala 235:74] + node _T_869 = bits(dec_i0_match_data[2], 28, 28) @[el2_lib.scala 235:86] + node _T_870 = eq(_T_868, _T_869) @[el2_lib.scala 235:78] + node _T_871 = mux(_T_867, UInt<1>("h01"), _T_870) @[el2_lib.scala 235:23] + _T_668[28] <= _T_871 @[el2_lib.scala 235:17] + node _T_872 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[el2_lib.scala 235:28] + node _T_873 = andr(_T_872) @[el2_lib.scala 235:36] + node _T_874 = and(_T_873, _T_671) @[el2_lib.scala 235:41] + node _T_875 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[el2_lib.scala 235:74] + node _T_876 = bits(dec_i0_match_data[2], 29, 29) @[el2_lib.scala 235:86] + node _T_877 = eq(_T_875, _T_876) @[el2_lib.scala 235:78] + node _T_878 = mux(_T_874, UInt<1>("h01"), _T_877) @[el2_lib.scala 235:23] + _T_668[29] <= _T_878 @[el2_lib.scala 235:17] + node _T_879 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[el2_lib.scala 235:28] + node _T_880 = andr(_T_879) @[el2_lib.scala 235:36] + node _T_881 = and(_T_880, _T_671) @[el2_lib.scala 235:41] + node _T_882 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[el2_lib.scala 235:74] + node _T_883 = bits(dec_i0_match_data[2], 30, 30) @[el2_lib.scala 235:86] + node _T_884 = eq(_T_882, _T_883) @[el2_lib.scala 235:78] + node _T_885 = mux(_T_881, UInt<1>("h01"), _T_884) @[el2_lib.scala 235:23] + _T_668[30] <= _T_885 @[el2_lib.scala 235:17] + node _T_886 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[el2_lib.scala 235:28] + node _T_887 = andr(_T_886) @[el2_lib.scala 235:36] + node _T_888 = and(_T_887, _T_671) @[el2_lib.scala 235:41] + node _T_889 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[el2_lib.scala 235:74] + node _T_890 = bits(dec_i0_match_data[2], 31, 31) @[el2_lib.scala 235:86] + node _T_891 = eq(_T_889, _T_890) @[el2_lib.scala 235:78] + node _T_892 = mux(_T_888, UInt<1>("h01"), _T_891) @[el2_lib.scala 235:23] + _T_668[31] <= _T_892 @[el2_lib.scala 235:17] + node _T_893 = cat(_T_668[1], _T_668[0]) @[el2_lib.scala 236:14] + node _T_894 = cat(_T_668[3], _T_668[2]) @[el2_lib.scala 236:14] + node _T_895 = cat(_T_894, _T_893) @[el2_lib.scala 236:14] + node _T_896 = cat(_T_668[5], _T_668[4]) @[el2_lib.scala 236:14] + node _T_897 = cat(_T_668[7], _T_668[6]) @[el2_lib.scala 236:14] + node _T_898 = cat(_T_897, _T_896) @[el2_lib.scala 236:14] + node _T_899 = cat(_T_898, _T_895) @[el2_lib.scala 236:14] + node _T_900 = cat(_T_668[9], _T_668[8]) @[el2_lib.scala 236:14] + node _T_901 = cat(_T_668[11], _T_668[10]) @[el2_lib.scala 236:14] + node _T_902 = cat(_T_901, _T_900) @[el2_lib.scala 236:14] + node _T_903 = cat(_T_668[13], _T_668[12]) @[el2_lib.scala 236:14] + node _T_904 = cat(_T_668[15], _T_668[14]) @[el2_lib.scala 236:14] + node _T_905 = cat(_T_904, _T_903) @[el2_lib.scala 236:14] + node _T_906 = cat(_T_905, _T_902) @[el2_lib.scala 236:14] + node _T_907 = cat(_T_906, _T_899) @[el2_lib.scala 236:14] + node _T_908 = cat(_T_668[17], _T_668[16]) @[el2_lib.scala 236:14] + node _T_909 = cat(_T_668[19], _T_668[18]) @[el2_lib.scala 236:14] + node _T_910 = cat(_T_909, _T_908) @[el2_lib.scala 236:14] + node _T_911 = cat(_T_668[21], _T_668[20]) @[el2_lib.scala 236:14] + node _T_912 = cat(_T_668[23], _T_668[22]) @[el2_lib.scala 236:14] + node _T_913 = cat(_T_912, _T_911) @[el2_lib.scala 236:14] + node _T_914 = cat(_T_913, _T_910) @[el2_lib.scala 236:14] + node _T_915 = cat(_T_668[25], _T_668[24]) @[el2_lib.scala 236:14] + node _T_916 = cat(_T_668[27], _T_668[26]) @[el2_lib.scala 236:14] + node _T_917 = cat(_T_916, _T_915) @[el2_lib.scala 236:14] + node _T_918 = cat(_T_668[29], _T_668[28]) @[el2_lib.scala 236:14] + node _T_919 = cat(_T_668[31], _T_668[30]) @[el2_lib.scala 236:14] + node _T_920 = cat(_T_919, _T_918) @[el2_lib.scala 236:14] + node _T_921 = cat(_T_920, _T_917) @[el2_lib.scala 236:14] + node _T_922 = cat(_T_921, _T_914) @[el2_lib.scala 236:14] + node _T_923 = cat(_T_922, _T_907) @[el2_lib.scala 236:14] + node _T_924 = and(_T_666, _T_923) @[el2_dec_trigger.scala 15:109] + node _T_925 = and(io.trigger_pkt_any[3].execute, io.trigger_pkt_any[3].m) @[el2_dec_trigger.scala 15:83] + node _T_926 = bits(io.trigger_pkt_any[3].match_, 0, 0) @[el2_dec_trigger.scala 15:213] + wire _T_927 : UInt<1>[32] @[el2_lib.scala 231:24] + node _T_928 = andr(io.trigger_pkt_any[3].tdata2) @[el2_lib.scala 232:45] + node _T_929 = not(_T_928) @[el2_lib.scala 232:39] + node _T_930 = and(_T_926, _T_929) @[el2_lib.scala 232:37] + node _T_931 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_lib.scala 233:48] + node _T_932 = bits(dec_i0_match_data[3], 0, 0) @[el2_lib.scala 233:60] + node _T_933 = eq(_T_931, _T_932) @[el2_lib.scala 233:52] + node _T_934 = or(_T_930, _T_933) @[el2_lib.scala 233:41] + _T_927[0] <= _T_934 @[el2_lib.scala 233:18] + node _T_935 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_lib.scala 235:28] + node _T_936 = andr(_T_935) @[el2_lib.scala 235:36] + node _T_937 = and(_T_936, _T_930) @[el2_lib.scala 235:41] + node _T_938 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[el2_lib.scala 235:74] + node _T_939 = bits(dec_i0_match_data[3], 1, 1) @[el2_lib.scala 235:86] + node _T_940 = eq(_T_938, _T_939) @[el2_lib.scala 235:78] + node _T_941 = mux(_T_937, UInt<1>("h01"), _T_940) @[el2_lib.scala 235:23] + _T_927[1] <= _T_941 @[el2_lib.scala 235:17] + node _T_942 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[el2_lib.scala 235:28] + node _T_943 = andr(_T_942) @[el2_lib.scala 235:36] + node _T_944 = and(_T_943, _T_930) @[el2_lib.scala 235:41] + node _T_945 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[el2_lib.scala 235:74] + node _T_946 = bits(dec_i0_match_data[3], 2, 2) @[el2_lib.scala 235:86] + node _T_947 = eq(_T_945, _T_946) @[el2_lib.scala 235:78] + node _T_948 = mux(_T_944, UInt<1>("h01"), _T_947) @[el2_lib.scala 235:23] + _T_927[2] <= _T_948 @[el2_lib.scala 235:17] + node _T_949 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[el2_lib.scala 235:28] + node _T_950 = andr(_T_949) @[el2_lib.scala 235:36] + node _T_951 = and(_T_950, _T_930) @[el2_lib.scala 235:41] + node _T_952 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[el2_lib.scala 235:74] + node _T_953 = bits(dec_i0_match_data[3], 3, 3) @[el2_lib.scala 235:86] + node _T_954 = eq(_T_952, _T_953) @[el2_lib.scala 235:78] + node _T_955 = mux(_T_951, UInt<1>("h01"), _T_954) @[el2_lib.scala 235:23] + _T_927[3] <= _T_955 @[el2_lib.scala 235:17] + node _T_956 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[el2_lib.scala 235:28] + node _T_957 = andr(_T_956) @[el2_lib.scala 235:36] + node _T_958 = and(_T_957, _T_930) @[el2_lib.scala 235:41] + node _T_959 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[el2_lib.scala 235:74] + node _T_960 = bits(dec_i0_match_data[3], 4, 4) @[el2_lib.scala 235:86] + node _T_961 = eq(_T_959, _T_960) @[el2_lib.scala 235:78] + node _T_962 = mux(_T_958, UInt<1>("h01"), _T_961) @[el2_lib.scala 235:23] + _T_927[4] <= _T_962 @[el2_lib.scala 235:17] + node _T_963 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[el2_lib.scala 235:28] + node _T_964 = andr(_T_963) @[el2_lib.scala 235:36] + node _T_965 = and(_T_964, _T_930) @[el2_lib.scala 235:41] + node _T_966 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[el2_lib.scala 235:74] + node _T_967 = bits(dec_i0_match_data[3], 5, 5) @[el2_lib.scala 235:86] + node _T_968 = eq(_T_966, _T_967) @[el2_lib.scala 235:78] + node _T_969 = mux(_T_965, UInt<1>("h01"), _T_968) @[el2_lib.scala 235:23] + _T_927[5] <= _T_969 @[el2_lib.scala 235:17] + node _T_970 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[el2_lib.scala 235:28] + node _T_971 = andr(_T_970) @[el2_lib.scala 235:36] + node _T_972 = and(_T_971, _T_930) @[el2_lib.scala 235:41] + node _T_973 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[el2_lib.scala 235:74] + node _T_974 = bits(dec_i0_match_data[3], 6, 6) @[el2_lib.scala 235:86] + node _T_975 = eq(_T_973, _T_974) @[el2_lib.scala 235:78] + node _T_976 = mux(_T_972, UInt<1>("h01"), _T_975) @[el2_lib.scala 235:23] + _T_927[6] <= _T_976 @[el2_lib.scala 235:17] + node _T_977 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[el2_lib.scala 235:28] + node _T_978 = andr(_T_977) @[el2_lib.scala 235:36] + node _T_979 = and(_T_978, _T_930) @[el2_lib.scala 235:41] + node _T_980 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[el2_lib.scala 235:74] + node _T_981 = bits(dec_i0_match_data[3], 7, 7) @[el2_lib.scala 235:86] + node _T_982 = eq(_T_980, _T_981) @[el2_lib.scala 235:78] + node _T_983 = mux(_T_979, UInt<1>("h01"), _T_982) @[el2_lib.scala 235:23] + _T_927[7] <= _T_983 @[el2_lib.scala 235:17] + node _T_984 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[el2_lib.scala 235:28] + node _T_985 = andr(_T_984) @[el2_lib.scala 235:36] + node _T_986 = and(_T_985, _T_930) @[el2_lib.scala 235:41] + node _T_987 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[el2_lib.scala 235:74] + node _T_988 = bits(dec_i0_match_data[3], 8, 8) @[el2_lib.scala 235:86] + node _T_989 = eq(_T_987, _T_988) @[el2_lib.scala 235:78] + node _T_990 = mux(_T_986, UInt<1>("h01"), _T_989) @[el2_lib.scala 235:23] + _T_927[8] <= _T_990 @[el2_lib.scala 235:17] + node _T_991 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[el2_lib.scala 235:28] + node _T_992 = andr(_T_991) @[el2_lib.scala 235:36] + node _T_993 = and(_T_992, _T_930) @[el2_lib.scala 235:41] + node _T_994 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[el2_lib.scala 235:74] + node _T_995 = bits(dec_i0_match_data[3], 9, 9) @[el2_lib.scala 235:86] + node _T_996 = eq(_T_994, _T_995) @[el2_lib.scala 235:78] + node _T_997 = mux(_T_993, UInt<1>("h01"), _T_996) @[el2_lib.scala 235:23] + _T_927[9] <= _T_997 @[el2_lib.scala 235:17] + node _T_998 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[el2_lib.scala 235:28] + node _T_999 = andr(_T_998) @[el2_lib.scala 235:36] + node _T_1000 = and(_T_999, _T_930) @[el2_lib.scala 235:41] + node _T_1001 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[el2_lib.scala 235:74] + node _T_1002 = bits(dec_i0_match_data[3], 10, 10) @[el2_lib.scala 235:86] + node _T_1003 = eq(_T_1001, _T_1002) @[el2_lib.scala 235:78] + node _T_1004 = mux(_T_1000, UInt<1>("h01"), _T_1003) @[el2_lib.scala 235:23] + _T_927[10] <= _T_1004 @[el2_lib.scala 235:17] + node _T_1005 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[el2_lib.scala 235:28] + node _T_1006 = andr(_T_1005) @[el2_lib.scala 235:36] + node _T_1007 = and(_T_1006, _T_930) @[el2_lib.scala 235:41] + node _T_1008 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[el2_lib.scala 235:74] + node _T_1009 = bits(dec_i0_match_data[3], 11, 11) @[el2_lib.scala 235:86] + node _T_1010 = eq(_T_1008, _T_1009) @[el2_lib.scala 235:78] + node _T_1011 = mux(_T_1007, UInt<1>("h01"), _T_1010) @[el2_lib.scala 235:23] + _T_927[11] <= _T_1011 @[el2_lib.scala 235:17] + node _T_1012 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[el2_lib.scala 235:28] + node _T_1013 = andr(_T_1012) @[el2_lib.scala 235:36] + node _T_1014 = and(_T_1013, _T_930) @[el2_lib.scala 235:41] + node _T_1015 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[el2_lib.scala 235:74] + node _T_1016 = bits(dec_i0_match_data[3], 12, 12) @[el2_lib.scala 235:86] + node _T_1017 = eq(_T_1015, _T_1016) @[el2_lib.scala 235:78] + node _T_1018 = mux(_T_1014, UInt<1>("h01"), _T_1017) @[el2_lib.scala 235:23] + _T_927[12] <= _T_1018 @[el2_lib.scala 235:17] + node _T_1019 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[el2_lib.scala 235:28] + node _T_1020 = andr(_T_1019) @[el2_lib.scala 235:36] + node _T_1021 = and(_T_1020, _T_930) @[el2_lib.scala 235:41] + node _T_1022 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[el2_lib.scala 235:74] + node _T_1023 = bits(dec_i0_match_data[3], 13, 13) @[el2_lib.scala 235:86] + node _T_1024 = eq(_T_1022, _T_1023) @[el2_lib.scala 235:78] + node _T_1025 = mux(_T_1021, UInt<1>("h01"), _T_1024) @[el2_lib.scala 235:23] + _T_927[13] <= _T_1025 @[el2_lib.scala 235:17] + node _T_1026 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[el2_lib.scala 235:28] + node _T_1027 = andr(_T_1026) @[el2_lib.scala 235:36] + node _T_1028 = and(_T_1027, _T_930) @[el2_lib.scala 235:41] + node _T_1029 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[el2_lib.scala 235:74] + node _T_1030 = bits(dec_i0_match_data[3], 14, 14) @[el2_lib.scala 235:86] + node _T_1031 = eq(_T_1029, _T_1030) @[el2_lib.scala 235:78] + node _T_1032 = mux(_T_1028, UInt<1>("h01"), _T_1031) @[el2_lib.scala 235:23] + _T_927[14] <= _T_1032 @[el2_lib.scala 235:17] + node _T_1033 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[el2_lib.scala 235:28] + node _T_1034 = andr(_T_1033) @[el2_lib.scala 235:36] + node _T_1035 = and(_T_1034, _T_930) @[el2_lib.scala 235:41] + node _T_1036 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[el2_lib.scala 235:74] + node _T_1037 = bits(dec_i0_match_data[3], 15, 15) @[el2_lib.scala 235:86] + node _T_1038 = eq(_T_1036, _T_1037) @[el2_lib.scala 235:78] + node _T_1039 = mux(_T_1035, UInt<1>("h01"), _T_1038) @[el2_lib.scala 235:23] + _T_927[15] <= _T_1039 @[el2_lib.scala 235:17] + node _T_1040 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[el2_lib.scala 235:28] + node _T_1041 = andr(_T_1040) @[el2_lib.scala 235:36] + node _T_1042 = and(_T_1041, _T_930) @[el2_lib.scala 235:41] + node _T_1043 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[el2_lib.scala 235:74] + node _T_1044 = bits(dec_i0_match_data[3], 16, 16) @[el2_lib.scala 235:86] + node _T_1045 = eq(_T_1043, _T_1044) @[el2_lib.scala 235:78] + node _T_1046 = mux(_T_1042, UInt<1>("h01"), _T_1045) @[el2_lib.scala 235:23] + _T_927[16] <= _T_1046 @[el2_lib.scala 235:17] + node _T_1047 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[el2_lib.scala 235:28] + node _T_1048 = andr(_T_1047) @[el2_lib.scala 235:36] + node _T_1049 = and(_T_1048, _T_930) @[el2_lib.scala 235:41] + node _T_1050 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[el2_lib.scala 235:74] + node _T_1051 = bits(dec_i0_match_data[3], 17, 17) @[el2_lib.scala 235:86] + node _T_1052 = eq(_T_1050, _T_1051) @[el2_lib.scala 235:78] + node _T_1053 = mux(_T_1049, UInt<1>("h01"), _T_1052) @[el2_lib.scala 235:23] + _T_927[17] <= _T_1053 @[el2_lib.scala 235:17] + node _T_1054 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[el2_lib.scala 235:28] + node _T_1055 = andr(_T_1054) @[el2_lib.scala 235:36] + node _T_1056 = and(_T_1055, _T_930) @[el2_lib.scala 235:41] + node _T_1057 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[el2_lib.scala 235:74] + node _T_1058 = bits(dec_i0_match_data[3], 18, 18) @[el2_lib.scala 235:86] + node _T_1059 = eq(_T_1057, _T_1058) @[el2_lib.scala 235:78] + node _T_1060 = mux(_T_1056, UInt<1>("h01"), _T_1059) @[el2_lib.scala 235:23] + _T_927[18] <= _T_1060 @[el2_lib.scala 235:17] + node _T_1061 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[el2_lib.scala 235:28] + node _T_1062 = andr(_T_1061) @[el2_lib.scala 235:36] + node _T_1063 = and(_T_1062, _T_930) @[el2_lib.scala 235:41] + node _T_1064 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[el2_lib.scala 235:74] + node _T_1065 = bits(dec_i0_match_data[3], 19, 19) @[el2_lib.scala 235:86] + node _T_1066 = eq(_T_1064, _T_1065) @[el2_lib.scala 235:78] + node _T_1067 = mux(_T_1063, UInt<1>("h01"), _T_1066) @[el2_lib.scala 235:23] + _T_927[19] <= _T_1067 @[el2_lib.scala 235:17] + node _T_1068 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[el2_lib.scala 235:28] + node _T_1069 = andr(_T_1068) @[el2_lib.scala 235:36] + node _T_1070 = and(_T_1069, _T_930) @[el2_lib.scala 235:41] + node _T_1071 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[el2_lib.scala 235:74] + node _T_1072 = bits(dec_i0_match_data[3], 20, 20) @[el2_lib.scala 235:86] + node _T_1073 = eq(_T_1071, _T_1072) @[el2_lib.scala 235:78] + node _T_1074 = mux(_T_1070, UInt<1>("h01"), _T_1073) @[el2_lib.scala 235:23] + _T_927[20] <= _T_1074 @[el2_lib.scala 235:17] + node _T_1075 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[el2_lib.scala 235:28] + node _T_1076 = andr(_T_1075) @[el2_lib.scala 235:36] + node _T_1077 = and(_T_1076, _T_930) @[el2_lib.scala 235:41] + node _T_1078 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[el2_lib.scala 235:74] + node _T_1079 = bits(dec_i0_match_data[3], 21, 21) @[el2_lib.scala 235:86] + node _T_1080 = eq(_T_1078, _T_1079) @[el2_lib.scala 235:78] + node _T_1081 = mux(_T_1077, UInt<1>("h01"), _T_1080) @[el2_lib.scala 235:23] + _T_927[21] <= _T_1081 @[el2_lib.scala 235:17] + node _T_1082 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[el2_lib.scala 235:28] + node _T_1083 = andr(_T_1082) @[el2_lib.scala 235:36] + node _T_1084 = and(_T_1083, _T_930) @[el2_lib.scala 235:41] + node _T_1085 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[el2_lib.scala 235:74] + node _T_1086 = bits(dec_i0_match_data[3], 22, 22) @[el2_lib.scala 235:86] + node _T_1087 = eq(_T_1085, _T_1086) @[el2_lib.scala 235:78] + node _T_1088 = mux(_T_1084, UInt<1>("h01"), _T_1087) @[el2_lib.scala 235:23] + _T_927[22] <= _T_1088 @[el2_lib.scala 235:17] + node _T_1089 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[el2_lib.scala 235:28] + node _T_1090 = andr(_T_1089) @[el2_lib.scala 235:36] + node _T_1091 = and(_T_1090, _T_930) @[el2_lib.scala 235:41] + node _T_1092 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[el2_lib.scala 235:74] + node _T_1093 = bits(dec_i0_match_data[3], 23, 23) @[el2_lib.scala 235:86] + node _T_1094 = eq(_T_1092, _T_1093) @[el2_lib.scala 235:78] + node _T_1095 = mux(_T_1091, UInt<1>("h01"), _T_1094) @[el2_lib.scala 235:23] + _T_927[23] <= _T_1095 @[el2_lib.scala 235:17] + node _T_1096 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[el2_lib.scala 235:28] + node _T_1097 = andr(_T_1096) @[el2_lib.scala 235:36] + node _T_1098 = and(_T_1097, _T_930) @[el2_lib.scala 235:41] + node _T_1099 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[el2_lib.scala 235:74] + node _T_1100 = bits(dec_i0_match_data[3], 24, 24) @[el2_lib.scala 235:86] + node _T_1101 = eq(_T_1099, _T_1100) @[el2_lib.scala 235:78] + node _T_1102 = mux(_T_1098, UInt<1>("h01"), _T_1101) @[el2_lib.scala 235:23] + _T_927[24] <= _T_1102 @[el2_lib.scala 235:17] + node _T_1103 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[el2_lib.scala 235:28] + node _T_1104 = andr(_T_1103) @[el2_lib.scala 235:36] + node _T_1105 = and(_T_1104, _T_930) @[el2_lib.scala 235:41] + node _T_1106 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[el2_lib.scala 235:74] + node _T_1107 = bits(dec_i0_match_data[3], 25, 25) @[el2_lib.scala 235:86] + node _T_1108 = eq(_T_1106, _T_1107) @[el2_lib.scala 235:78] + node _T_1109 = mux(_T_1105, UInt<1>("h01"), _T_1108) @[el2_lib.scala 235:23] + _T_927[25] <= _T_1109 @[el2_lib.scala 235:17] + node _T_1110 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[el2_lib.scala 235:28] + node _T_1111 = andr(_T_1110) @[el2_lib.scala 235:36] + node _T_1112 = and(_T_1111, _T_930) @[el2_lib.scala 235:41] + node _T_1113 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[el2_lib.scala 235:74] + node _T_1114 = bits(dec_i0_match_data[3], 26, 26) @[el2_lib.scala 235:86] + node _T_1115 = eq(_T_1113, _T_1114) @[el2_lib.scala 235:78] + node _T_1116 = mux(_T_1112, UInt<1>("h01"), _T_1115) @[el2_lib.scala 235:23] + _T_927[26] <= _T_1116 @[el2_lib.scala 235:17] + node _T_1117 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[el2_lib.scala 235:28] + node _T_1118 = andr(_T_1117) @[el2_lib.scala 235:36] + node _T_1119 = and(_T_1118, _T_930) @[el2_lib.scala 235:41] + node _T_1120 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[el2_lib.scala 235:74] + node _T_1121 = bits(dec_i0_match_data[3], 27, 27) @[el2_lib.scala 235:86] + node _T_1122 = eq(_T_1120, _T_1121) @[el2_lib.scala 235:78] + node _T_1123 = mux(_T_1119, UInt<1>("h01"), _T_1122) @[el2_lib.scala 235:23] + _T_927[27] <= _T_1123 @[el2_lib.scala 235:17] + node _T_1124 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[el2_lib.scala 235:28] + node _T_1125 = andr(_T_1124) @[el2_lib.scala 235:36] + node _T_1126 = and(_T_1125, _T_930) @[el2_lib.scala 235:41] + node _T_1127 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[el2_lib.scala 235:74] + node _T_1128 = bits(dec_i0_match_data[3], 28, 28) @[el2_lib.scala 235:86] + node _T_1129 = eq(_T_1127, _T_1128) @[el2_lib.scala 235:78] + node _T_1130 = mux(_T_1126, UInt<1>("h01"), _T_1129) @[el2_lib.scala 235:23] + _T_927[28] <= _T_1130 @[el2_lib.scala 235:17] + node _T_1131 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[el2_lib.scala 235:28] + node _T_1132 = andr(_T_1131) @[el2_lib.scala 235:36] + node _T_1133 = and(_T_1132, _T_930) @[el2_lib.scala 235:41] + node _T_1134 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[el2_lib.scala 235:74] + node _T_1135 = bits(dec_i0_match_data[3], 29, 29) @[el2_lib.scala 235:86] + node _T_1136 = eq(_T_1134, _T_1135) @[el2_lib.scala 235:78] + node _T_1137 = mux(_T_1133, UInt<1>("h01"), _T_1136) @[el2_lib.scala 235:23] + _T_927[29] <= _T_1137 @[el2_lib.scala 235:17] + node _T_1138 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[el2_lib.scala 235:28] + node _T_1139 = andr(_T_1138) @[el2_lib.scala 235:36] + node _T_1140 = and(_T_1139, _T_930) @[el2_lib.scala 235:41] + node _T_1141 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[el2_lib.scala 235:74] + node _T_1142 = bits(dec_i0_match_data[3], 30, 30) @[el2_lib.scala 235:86] + node _T_1143 = eq(_T_1141, _T_1142) @[el2_lib.scala 235:78] + node _T_1144 = mux(_T_1140, UInt<1>("h01"), _T_1143) @[el2_lib.scala 235:23] + _T_927[30] <= _T_1144 @[el2_lib.scala 235:17] + node _T_1145 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[el2_lib.scala 235:28] + node _T_1146 = andr(_T_1145) @[el2_lib.scala 235:36] + node _T_1147 = and(_T_1146, _T_930) @[el2_lib.scala 235:41] + node _T_1148 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[el2_lib.scala 235:74] + node _T_1149 = bits(dec_i0_match_data[3], 31, 31) @[el2_lib.scala 235:86] + node _T_1150 = eq(_T_1148, _T_1149) @[el2_lib.scala 235:78] + node _T_1151 = mux(_T_1147, UInt<1>("h01"), _T_1150) @[el2_lib.scala 235:23] + _T_927[31] <= _T_1151 @[el2_lib.scala 235:17] + node _T_1152 = cat(_T_927[1], _T_927[0]) @[el2_lib.scala 236:14] + node _T_1153 = cat(_T_927[3], _T_927[2]) @[el2_lib.scala 236:14] + node _T_1154 = cat(_T_1153, _T_1152) @[el2_lib.scala 236:14] + node _T_1155 = cat(_T_927[5], _T_927[4]) @[el2_lib.scala 236:14] + node _T_1156 = cat(_T_927[7], _T_927[6]) @[el2_lib.scala 236:14] + node _T_1157 = cat(_T_1156, _T_1155) @[el2_lib.scala 236:14] + node _T_1158 = cat(_T_1157, _T_1154) @[el2_lib.scala 236:14] + node _T_1159 = cat(_T_927[9], _T_927[8]) @[el2_lib.scala 236:14] + node _T_1160 = cat(_T_927[11], _T_927[10]) @[el2_lib.scala 236:14] + node _T_1161 = cat(_T_1160, _T_1159) @[el2_lib.scala 236:14] + node _T_1162 = cat(_T_927[13], _T_927[12]) @[el2_lib.scala 236:14] + node _T_1163 = cat(_T_927[15], _T_927[14]) @[el2_lib.scala 236:14] + node _T_1164 = cat(_T_1163, _T_1162) @[el2_lib.scala 236:14] + node _T_1165 = cat(_T_1164, _T_1161) @[el2_lib.scala 236:14] + node _T_1166 = cat(_T_1165, _T_1158) @[el2_lib.scala 236:14] + node _T_1167 = cat(_T_927[17], _T_927[16]) @[el2_lib.scala 236:14] + node _T_1168 = cat(_T_927[19], _T_927[18]) @[el2_lib.scala 236:14] + node _T_1169 = cat(_T_1168, _T_1167) @[el2_lib.scala 236:14] + node _T_1170 = cat(_T_927[21], _T_927[20]) @[el2_lib.scala 236:14] + node _T_1171 = cat(_T_927[23], _T_927[22]) @[el2_lib.scala 236:14] + node _T_1172 = cat(_T_1171, _T_1170) @[el2_lib.scala 236:14] + node _T_1173 = cat(_T_1172, _T_1169) @[el2_lib.scala 236:14] + node _T_1174 = cat(_T_927[25], _T_927[24]) @[el2_lib.scala 236:14] + node _T_1175 = cat(_T_927[27], _T_927[26]) @[el2_lib.scala 236:14] + node _T_1176 = cat(_T_1175, _T_1174) @[el2_lib.scala 236:14] + node _T_1177 = cat(_T_927[29], _T_927[28]) @[el2_lib.scala 236:14] + node _T_1178 = cat(_T_927[31], _T_927[30]) @[el2_lib.scala 236:14] + node _T_1179 = cat(_T_1178, _T_1177) @[el2_lib.scala 236:14] + node _T_1180 = cat(_T_1179, _T_1176) @[el2_lib.scala 236:14] + node _T_1181 = cat(_T_1180, _T_1173) @[el2_lib.scala 236:14] + node _T_1182 = cat(_T_1181, _T_1166) @[el2_lib.scala 236:14] + node _T_1183 = and(_T_925, _T_1182) @[el2_dec_trigger.scala 15:109] + node _T_1184 = cat(_T_1183, _T_924) @[Cat.scala 29:58] + node _T_1185 = cat(_T_1184, _T_665) @[Cat.scala 29:58] + node _T_1186 = cat(_T_1185, _T_406) @[Cat.scala 29:58] + io.dec_i0_trigger_match_d <= _T_1186 @[el2_dec_trigger.scala 15:29] + + module el2_dec : + input clock : Clock + input reset : AsyncReset + output io : {flip free_clk : Clock, flip active_clk : Clock, flip lsu_fastint_stall_any : UInt<1>, dec_extint_stall : UInt<1>, dec_i0_decode_d : UInt<1>, dec_pause_state_cg : UInt<1>, flip rst_vec : UInt<32>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<32>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<32>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, flip exu_pmu_i0_br_misp : UInt<1>, flip exu_pmu_i0_br_ataken : UInt<1>, flip exu_pmu_i0_pc4 : UInt<1>, flip lsu_nonblock_load_valid_m : UInt<1>, flip lsu_nonblock_load_tag_m : UInt<2>, flip lsu_nonblock_load_inv_r : UInt<1>, flip lsu_nonblock_load_inv_tag_r : UInt<2>, flip lsu_nonblock_load_data_valid : UInt<1>, flip lsu_nonblock_load_data_error : UInt<1>, flip lsu_nonblock_load_data_tag : UInt<2>, flip lsu_nonblock_load_data : UInt<32>, flip lsu_pmu_bus_trxn : UInt<1>, flip lsu_pmu_bus_misaligned : UInt<1>, flip lsu_pmu_bus_error : UInt<1>, flip lsu_pmu_bus_busy : UInt<1>, flip lsu_pmu_misaligned_m : UInt<1>, flip lsu_pmu_load_external_m : UInt<1>, flip lsu_pmu_store_external_m : UInt<1>, flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, flip lsu_fir_addr : UInt<32>, flip lsu_fir_error : UInt<2>, flip ifu_pmu_instr_aligned : UInt<1>, flip ifu_pmu_fetch_stall : UInt<1>, flip ifu_pmu_ic_miss : UInt<1>, flip ifu_pmu_ic_hit : UInt<1>, flip ifu_pmu_bus_error : UInt<1>, flip ifu_pmu_bus_busy : UInt<1>, flip ifu_pmu_bus_trxn : UInt<1>, flip ifu_ic_error_start : UInt<1>, flip ifu_iccm_rd_ecc_single_err : UInt<1>, flip lsu_trigger_match_m : UInt<4>, flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>, flip dbg_cmd_wrdata : UInt<2>, flip ifu_i0_icaf : UInt<1>, flip ifu_i0_icaf_type : UInt<2>, flip ifu_i0_icaf_f1 : UInt<1>, flip ifu_i0_dbecc : UInt<1>, flip lsu_idle_any : UInt<1>, flip i0_brp : {valid : UInt<1>, toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}, flip ifu_i0_bp_index : UInt<9>, flip ifu_i0_bp_fghr : UInt<8>, flip ifu_i0_bp_btag : UInt<5>, flip lsu_error_pkt_r : {exc_valid : UInt<1>, single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<1>, addr : UInt<1>}, flip lsu_single_ecc_error_incr : UInt<1>, flip lsu_imprecise_error_load_any : UInt<1>, flip lsu_imprecise_error_store_any : UInt<1>, flip lsu_imprecise_error_addr_any : UInt<32>, flip exu_div_result : UInt<32>, flip exu_div_wren : UInt<1>, flip exu_csr_rs1_x : UInt<32>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>, flip iccm_dma_sb_error : UInt<1>, flip exu_flush_final : UInt<1>, flip exu_npc_r : UInt<32>, flip exu_i0_result_x : UInt<32>, flip ifu_i0_valid : UInt<1>, flip ifu_i0_instr : UInt<32>, flip ifu_i0_pc : UInt<32>, flip ifu_i0_pc4 : UInt<1>, flip exu_i0_pc_x : UInt<32>, flip mexintpend : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip ifu_ic_debug_rd_data : UInt<70>, flip ifu_ic_debug_rd_data_valid : UInt<1>, dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, flip ifu_miss_state_idle : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_flush_noredir_r : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_tlu_flush_leak_one_r : UInt<1>, dec_tlu_flush_err_r : UInt<1>, dec_tlu_meihap : UInt<32>, dec_debug_wdata_rs1_d : UInt<1>, dec_dbg_rddata : UInt<32>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_ : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], dec_tlu_force_halt : UInt<1>, flip exu_i0_br_hist_r : UInt<2>, flip exu_i0_br_error_r : UInt<1>, flip exu_i0_br_start_error_r : UInt<1>, flip exu_i0_br_valid_r : UInt<1>, flip exu_i0_br_mp_r : UInt<1>, flip exu_i0_br_middle_r : UInt<1>, flip exu_i0_br_way_r : UInt<1>, dec_i0_rs1_en_d : UInt<1>, dec_i0_rs2_en_d : UInt<1>, gpr_i0_rs1_d : UInt<32>, gpr_i0_rs2_d : UInt<32>, dec_i0_immed_d : UInt<32>, dec_i0_br_immed_d : UInt<13>, i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, dec_i0_alu_decode_d : UInt<1>, dec_i0_select_pc_d : UInt<1>, dec_i0_pc_d : UInt<32>, dec_i0_rs1_bypass_en_d : UInt<2>, dec_i0_rs2_bypass_en_d : UInt<2>, dec_i0_rs1_bypass_data_d : UInt<32>, dec_i0_rs2_bypass_data_d : UInt<32>, lsu_p : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, mul_p : {valid : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}, div_p : {valid : UInt<1>, unsign : UInt<1>, rem : UInt<1>}, dec_div_cancel : UInt<1>, dec_lsu_offset_d : UInt<12>, dec_csr_ren_d : UInt<1>, dec_tlu_flush_lower_r : UInt<1>, dec_tlu_flush_path_r : UInt<32>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_fence_i_r : UInt<1>, pred_correct_npc_x : UInt<32>, dec_tlu_br0_r_pkt : {valid : UInt<1>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_i0_predict_p_d : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, valid : UInt<1>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}, i0_predict_fghr_d : UInt<8>, i0_predict_index_d : UInt<9>, i0_predict_btag_d : UInt<5>, dec_lsu_valid_raw_d : UInt<1>, dec_tlu_mrac_ff : UInt<32>, dec_data_en : UInt<2>, dec_ctl_en : UInt<2>, flip ifu_i0_cinst : UInt<16>, dec_tlu_external_ldfwd_disable : UInt<1>, dec_tlu_sideeffect_posted_disable : UInt<1>, dec_tlu_core_ecc_disable : UInt<1>, dec_tlu_bpred_disable : UInt<1>, dec_tlu_wb_coalescing_disable : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, dec_tlu_i0_commit_cmt : UInt<1>, flip scan_mode : UInt<1>} + + io.dec_i0_pc_d <= UInt<1>("h00") @[el2_dec.scala 272:18] + wire dec_i0_inst_wb1 : UInt<32> + dec_i0_inst_wb1 <= UInt<1>("h00") + wire dec_i0_pc_wb1 : UInt<32> + dec_i0_pc_wb1 <= UInt<1>("h00") + wire dec_tlu_i0_valid_wb1 : UInt<1> + dec_tlu_i0_valid_wb1 <= UInt<1>("h00") + wire dec_tlu_int_valid_wb1 : UInt<1> + dec_tlu_int_valid_wb1 <= UInt<1>("h00") + wire dec_tlu_exc_cause_wb1 : UInt<5> + dec_tlu_exc_cause_wb1 <= UInt<1>("h00") + wire dec_tlu_mtval_wb1 : UInt<32> + dec_tlu_mtval_wb1 <= UInt<1>("h00") + wire dec_tlu_i0_exc_valid_wb1 : UInt<1> + dec_tlu_i0_exc_valid_wb1 <= UInt<1>("h00") + inst instbuff of el2_dec_ib_ctl @[el2_dec.scala 352:24] + instbuff.clock <= clock + instbuff.reset <= reset + inst decode of el2_dec_decode_ctl @[el2_dec.scala 353:22] + decode.clock <= clock + decode.reset <= reset + inst gpr of el2_dec_gpr_ctl @[el2_dec.scala 354:19] + gpr.clock <= clock + gpr.reset <= reset + inst tlu of el2_dec_tlu_ctl @[el2_dec.scala 355:19] + tlu.clock <= clock + tlu.reset <= reset + inst dec_trigger of el2_dec_trigger @[el2_dec.scala 356:27] + dec_trigger.clock <= clock + dec_trigger.reset <= reset + instbuff.io.dbg_cmd_valid <= io.dbg_cmd_valid @[el2_dec.scala 363:45] + instbuff.io.dbg_cmd_write <= io.dbg_cmd_write @[el2_dec.scala 364:45] + instbuff.io.dbg_cmd_type <= io.dbg_cmd_type @[el2_dec.scala 365:45] + instbuff.io.dbg_cmd_addr <= io.dbg_cmd_addr @[el2_dec.scala 366:45] + instbuff.io.i0_brp.ret <= io.i0_brp.ret @[el2_dec.scala 367:55] + instbuff.io.i0_brp.way <= io.i0_brp.way @[el2_dec.scala 367:55] + instbuff.io.i0_brp.prett <= io.i0_brp.prett @[el2_dec.scala 367:55] + instbuff.io.i0_brp.bank <= io.i0_brp.bank @[el2_dec.scala 367:55] + instbuff.io.i0_brp.br_start_error <= io.i0_brp.br_start_error @[el2_dec.scala 367:55] + instbuff.io.i0_brp.br_error <= io.i0_brp.br_error @[el2_dec.scala 367:55] + instbuff.io.i0_brp.hist <= io.i0_brp.hist @[el2_dec.scala 367:55] + instbuff.io.i0_brp.toffset <= io.i0_brp.toffset @[el2_dec.scala 367:55] + instbuff.io.i0_brp.valid <= io.i0_brp.valid @[el2_dec.scala 367:55] + instbuff.io.ifu_i0_bp_index <= io.ifu_i0_bp_index @[el2_dec.scala 368:35] + instbuff.io.ifu_i0_bp_fghr <= io.ifu_i0_bp_fghr @[el2_dec.scala 369:35] + instbuff.io.ifu_i0_bp_btag <= io.ifu_i0_bp_btag @[el2_dec.scala 370:35] + instbuff.io.ifu_i0_pc4 <= io.ifu_i0_pc4 @[el2_dec.scala 371:35] + instbuff.io.ifu_i0_valid <= io.ifu_i0_valid @[el2_dec.scala 372:35] + instbuff.io.ifu_i0_icaf <= io.ifu_i0_icaf @[el2_dec.scala 373:35] + instbuff.io.ifu_i0_icaf_type <= io.ifu_i0_icaf_type @[el2_dec.scala 374:35] + instbuff.io.ifu_i0_icaf_f1 <= io.ifu_i0_icaf_f1 @[el2_dec.scala 375:35] + instbuff.io.ifu_i0_dbecc <= io.ifu_i0_dbecc @[el2_dec.scala 376:35] + instbuff.io.ifu_i0_instr <= io.ifu_i0_instr @[el2_dec.scala 377:35] + instbuff.io.ifu_i0_pc <= io.ifu_i0_pc @[el2_dec.scala 378:35] + decode.io.dec_ib0_valid_d <= instbuff.io.dec_ib0_valid_d @[el2_dec.scala 380:38] + decode.io.dec_i0_icaf_type_d <= instbuff.io.dec_i0_icaf_type_d @[el2_dec.scala 381:38] + decode.io.dec_i0_instr_d <= instbuff.io.dec_i0_instr_d @[el2_dec.scala 382:38] + decode.io.dec_i0_pc_d <= instbuff.io.dec_i0_pc_d @[el2_dec.scala 383:38] + decode.io.dec_i0_pc4_d <= instbuff.io.dec_i0_pc4_d @[el2_dec.scala 384:38] + decode.io.dec_i0_brp.ret <= instbuff.io.dec_i0_brp.ret @[el2_dec.scala 385:38] + decode.io.dec_i0_brp.way <= instbuff.io.dec_i0_brp.way @[el2_dec.scala 385:38] + decode.io.dec_i0_brp.prett <= instbuff.io.dec_i0_brp.prett @[el2_dec.scala 385:38] + decode.io.dec_i0_brp.bank <= instbuff.io.dec_i0_brp.bank @[el2_dec.scala 385:38] + decode.io.dec_i0_brp.br_start_error <= instbuff.io.dec_i0_brp.br_start_error @[el2_dec.scala 385:38] + decode.io.dec_i0_brp.br_error <= instbuff.io.dec_i0_brp.br_error @[el2_dec.scala 385:38] + decode.io.dec_i0_brp.hist <= instbuff.io.dec_i0_brp.hist @[el2_dec.scala 385:38] + decode.io.dec_i0_brp.toffset <= instbuff.io.dec_i0_brp.toffset @[el2_dec.scala 385:38] + decode.io.dec_i0_brp.valid <= instbuff.io.dec_i0_brp.valid @[el2_dec.scala 385:38] + decode.io.dec_i0_bp_index <= instbuff.io.dec_i0_bp_index @[el2_dec.scala 386:38] + decode.io.dec_i0_bp_fghr <= instbuff.io.dec_i0_bp_fghr @[el2_dec.scala 387:38] + decode.io.dec_i0_bp_btag <= instbuff.io.dec_i0_bp_btag @[el2_dec.scala 388:38] + decode.io.dec_i0_icaf_d <= instbuff.io.dec_i0_icaf_d @[el2_dec.scala 389:38] + decode.io.dec_i0_icaf_f1_d <= instbuff.io.dec_i0_icaf_f1_d @[el2_dec.scala 390:38] + decode.io.dec_i0_dbecc_d <= instbuff.io.dec_i0_dbecc_d @[el2_dec.scala 391:38] + io.dec_debug_wdata_rs1_d <= instbuff.io.dec_debug_wdata_rs1_d @[el2_dec.scala 392:38] + decode.io.dec_debug_fence_d <= instbuff.io.dec_debug_fence_d @[el2_dec.scala 393:38] + dec_trigger.io.dec_i0_pc_d <= instbuff.io.dec_i0_pc_d @[el2_dec.scala 399:30] + dec_trigger.io.trigger_pkt_any[0].tdata2 <= tlu.io.trigger_pkt_any[0].tdata2 @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[0].m <= tlu.io.trigger_pkt_any[0].m @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[0].execute <= tlu.io.trigger_pkt_any[0].execute @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[0].load <= tlu.io.trigger_pkt_any[0].load @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[0].store <= tlu.io.trigger_pkt_any[0].store @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[0].match_ <= tlu.io.trigger_pkt_any[0].match_ @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[0].select <= tlu.io.trigger_pkt_any[0].select @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[1].tdata2 <= tlu.io.trigger_pkt_any[1].tdata2 @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[1].m <= tlu.io.trigger_pkt_any[1].m @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[1].execute <= tlu.io.trigger_pkt_any[1].execute @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[1].load <= tlu.io.trigger_pkt_any[1].load @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[1].store <= tlu.io.trigger_pkt_any[1].store @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[1].match_ <= tlu.io.trigger_pkt_any[1].match_ @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[1].select <= tlu.io.trigger_pkt_any[1].select @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[2].tdata2 <= tlu.io.trigger_pkt_any[2].tdata2 @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[2].m <= tlu.io.trigger_pkt_any[2].m @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[2].execute <= tlu.io.trigger_pkt_any[2].execute @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[2].load <= tlu.io.trigger_pkt_any[2].load @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[2].store <= tlu.io.trigger_pkt_any[2].store @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[2].match_ <= tlu.io.trigger_pkt_any[2].match_ @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[2].select <= tlu.io.trigger_pkt_any[2].select @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[3].tdata2 <= tlu.io.trigger_pkt_any[3].tdata2 @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[3].m <= tlu.io.trigger_pkt_any[3].m @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[3].execute <= tlu.io.trigger_pkt_any[3].execute @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[3].load <= tlu.io.trigger_pkt_any[3].load @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[3].store <= tlu.io.trigger_pkt_any[3].store @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[3].match_ <= tlu.io.trigger_pkt_any[3].match_ @[el2_dec.scala 400:34] + dec_trigger.io.trigger_pkt_any[3].select <= tlu.io.trigger_pkt_any[3].select @[el2_dec.scala 400:34] + decode.io.dec_tlu_flush_extint <= tlu.io.dec_tlu_flush_extint @[el2_dec.scala 409:48] + decode.io.dec_tlu_force_halt <= tlu.io.dec_tlu_force_halt @[el2_dec.scala 410:48] + decode.io.ifu_i0_cinst <= io.ifu_i0_cinst @[el2_dec.scala 411:48] + decode.io.lsu_nonblock_load_valid_m <= io.lsu_nonblock_load_valid_m @[el2_dec.scala 412:48] + decode.io.lsu_nonblock_load_tag_m <= io.lsu_nonblock_load_tag_m @[el2_dec.scala 413:48] + decode.io.lsu_nonblock_load_inv_r <= io.lsu_nonblock_load_inv_r @[el2_dec.scala 414:48] + decode.io.lsu_nonblock_load_inv_tag_r <= io.lsu_nonblock_load_inv_tag_r @[el2_dec.scala 415:48] + decode.io.lsu_nonblock_load_data_valid <= io.lsu_nonblock_load_data_valid @[el2_dec.scala 416:48] + decode.io.lsu_nonblock_load_data_error <= io.lsu_nonblock_load_data_error @[el2_dec.scala 417:48] + decode.io.lsu_nonblock_load_data_tag <= io.lsu_nonblock_load_data_tag @[el2_dec.scala 418:48] + decode.io.lsu_nonblock_load_data <= io.lsu_nonblock_load_data @[el2_dec.scala 419:48] + decode.io.dec_i0_trigger_match_d <= dec_trigger.io.dec_i0_trigger_match_d @[el2_dec.scala 420:48] + decode.io.dec_tlu_wr_pause_r <= tlu.io.dec_tlu_wr_pause_r @[el2_dec.scala 421:48] + decode.io.dec_tlu_pipelining_disable <= tlu.io.dec_tlu_pipelining_disable @[el2_dec.scala 422:48] + decode.io.lsu_trigger_match_m <= io.lsu_trigger_match_m @[el2_dec.scala 423:48] + decode.io.lsu_pmu_misaligned_m <= io.lsu_pmu_bus_misaligned @[el2_dec.scala 424:48] + decode.io.dec_tlu_debug_stall <= tlu.io.dec_tlu_debug_stall @[el2_dec.scala 425:48] + decode.io.dec_tlu_flush_leak_one_r <= tlu.io.dec_tlu_flush_leak_one_r @[el2_dec.scala 426:48] + decode.io.dec_debug_fence_d <= instbuff.io.dec_debug_fence_d @[el2_dec.scala 427:48] + decode.io.dbg_cmd_wrdata <= io.dbg_cmd_wrdata @[el2_dec.scala 428:48] + decode.io.dec_i0_icaf_d <= instbuff.io.dec_i0_icaf_d @[el2_dec.scala 429:48] + decode.io.dec_i0_icaf_f1_d <= instbuff.io.dec_i0_icaf_f1_d @[el2_dec.scala 430:48] + decode.io.dec_i0_icaf_type_d <= instbuff.io.dec_i0_icaf_type_d @[el2_dec.scala 431:48] + decode.io.dec_i0_dbecc_d <= instbuff.io.dec_i0_dbecc_d @[el2_dec.scala 432:48] + decode.io.dec_i0_brp.ret <= instbuff.io.dec_i0_brp.ret @[el2_dec.scala 433:48] + decode.io.dec_i0_brp.way <= instbuff.io.dec_i0_brp.way @[el2_dec.scala 433:48] + decode.io.dec_i0_brp.prett <= instbuff.io.dec_i0_brp.prett @[el2_dec.scala 433:48] + decode.io.dec_i0_brp.bank <= instbuff.io.dec_i0_brp.bank @[el2_dec.scala 433:48] + decode.io.dec_i0_brp.br_start_error <= instbuff.io.dec_i0_brp.br_start_error @[el2_dec.scala 433:48] + decode.io.dec_i0_brp.br_error <= instbuff.io.dec_i0_brp.br_error @[el2_dec.scala 433:48] + decode.io.dec_i0_brp.hist <= instbuff.io.dec_i0_brp.hist @[el2_dec.scala 433:48] + decode.io.dec_i0_brp.toffset <= instbuff.io.dec_i0_brp.toffset @[el2_dec.scala 433:48] + decode.io.dec_i0_brp.valid <= instbuff.io.dec_i0_brp.valid @[el2_dec.scala 433:48] + decode.io.dec_i0_bp_index <= instbuff.io.dec_i0_bp_index @[el2_dec.scala 434:48] + decode.io.dec_i0_bp_fghr <= instbuff.io.dec_i0_bp_fghr @[el2_dec.scala 435:48] + decode.io.dec_i0_bp_btag <= instbuff.io.dec_i0_bp_btag @[el2_dec.scala 436:48] + decode.io.dec_i0_pc_d <= instbuff.io.dec_i0_pc_d @[el2_dec.scala 437:48] + decode.io.lsu_idle_any <= io.lsu_idle_any @[el2_dec.scala 438:48] + decode.io.lsu_load_stall_any <= io.lsu_load_stall_any @[el2_dec.scala 439:48] + decode.io.lsu_store_stall_any <= io.lsu_store_stall_any @[el2_dec.scala 440:48] + decode.io.dma_dccm_stall_any <= io.dma_dccm_stall_any @[el2_dec.scala 441:48] + decode.io.exu_div_wren <= io.exu_div_wren @[el2_dec.scala 442:48] + decode.io.dec_tlu_i0_kill_writeb_wb <= tlu.io.dec_tlu_i0_kill_writeb_wb @[el2_dec.scala 443:48] + decode.io.dec_tlu_flush_lower_wb <= tlu.io.dec_tlu_flush_lower_wb @[el2_dec.scala 444:48] + decode.io.dec_tlu_i0_kill_writeb_r <= tlu.io.dec_tlu_i0_kill_writeb_r @[el2_dec.scala 445:48] + decode.io.dec_tlu_flush_lower_r <= tlu.io.dec_tlu_flush_lower_r @[el2_dec.scala 446:48] + decode.io.dec_tlu_flush_pause_r <= tlu.io.dec_tlu_flush_pause_r @[el2_dec.scala 447:48] + decode.io.dec_tlu_presync_d <= tlu.io.dec_tlu_presync_d @[el2_dec.scala 448:48] + decode.io.dec_tlu_postsync_d <= tlu.io.dec_tlu_postsync_d @[el2_dec.scala 449:48] + decode.io.dec_i0_pc4_d <= instbuff.io.dec_i0_pc_d @[el2_dec.scala 450:48] + decode.io.dec_csr_rddata_d <= tlu.io.dec_csr_rddata_d @[el2_dec.scala 451:48] + decode.io.dec_csr_legal_d <= tlu.io.dec_csr_legal_d @[el2_dec.scala 452:48] + decode.io.exu_csr_rs1_x <= io.exu_csr_rs1_x @[el2_dec.scala 453:48] + decode.io.lsu_result_m <= io.lsu_result_m @[el2_dec.scala 454:48] + decode.io.lsu_result_corr_r <= io.lsu_result_corr_r @[el2_dec.scala 455:48] + decode.io.exu_flush_final <= io.exu_flush_final @[el2_dec.scala 456:48] + decode.io.exu_i0_pc_x <= io.exu_i0_pc_x @[el2_dec.scala 457:48] + decode.io.dec_i0_instr_d <= instbuff.io.dec_i0_instr_d @[el2_dec.scala 458:48] + decode.io.dec_ib0_valid_d <= instbuff.io.dec_ib0_valid_d @[el2_dec.scala 459:48] + decode.io.exu_i0_result_x <= io.exu_i0_result_x @[el2_dec.scala 460:48] + decode.io.free_clk <= io.free_clk @[el2_dec.scala 462:48] + decode.io.active_clk <= io.active_clk @[el2_dec.scala 463:48] + decode.io.clk_override <= tlu.io.dec_tlu_dec_clk_override @[el2_dec.scala 464:48] + decode.io.scan_mode <= io.scan_mode @[el2_dec.scala 466:48] + io.dec_extint_stall <= decode.io.dec_extint_stall @[el2_dec.scala 468:40] + dec_i0_inst_wb1 <= decode.io.dec_i0_inst_wb1 @[el2_dec.scala 469:40] + dec_i0_pc_wb1 <= decode.io.dec_i0_pc_wb1 @[el2_dec.scala 470:40] + io.dec_i0_rs1_en_d <= decode.io.dec_i0_rs1_en_d @[el2_dec.scala 471:40] + io.dec_i0_rs2_en_d <= decode.io.dec_i0_rs2_en_d @[el2_dec.scala 472:40] + gpr.io.raddr0 <= decode.io.dec_i0_rs1_d @[el2_dec.scala 473:40] + gpr.io.raddr1 <= decode.io.dec_i0_rs2_d @[el2_dec.scala 474:40] + io.dec_i0_immed_d <= decode.io.dec_i0_immed_d @[el2_dec.scala 475:40] + io.dec_i0_br_immed_d <= decode.io.dec_i0_br_immed_d @[el2_dec.scala 476:40] + io.i0_ap.csr_imm <= decode.io.i0_ap.csr_imm @[el2_dec.scala 477:40] + io.i0_ap.csr_write <= decode.io.i0_ap.csr_write @[el2_dec.scala 477:40] + io.i0_ap.predict_nt <= decode.io.i0_ap.predict_nt @[el2_dec.scala 477:40] + io.i0_ap.predict_t <= decode.io.i0_ap.predict_t @[el2_dec.scala 477:40] + io.i0_ap.jal <= decode.io.i0_ap.jal @[el2_dec.scala 477:40] + io.i0_ap.unsign <= decode.io.i0_ap.unsign @[el2_dec.scala 477:40] + io.i0_ap.slt <= decode.io.i0_ap.slt @[el2_dec.scala 477:40] + io.i0_ap.sub <= decode.io.i0_ap.sub @[el2_dec.scala 477:40] + io.i0_ap.add <= decode.io.i0_ap.add @[el2_dec.scala 477:40] + io.i0_ap.bge <= decode.io.i0_ap.bge @[el2_dec.scala 477:40] + io.i0_ap.blt <= decode.io.i0_ap.blt @[el2_dec.scala 477:40] + io.i0_ap.bne <= decode.io.i0_ap.bne @[el2_dec.scala 477:40] + io.i0_ap.beq <= decode.io.i0_ap.beq @[el2_dec.scala 477:40] + io.i0_ap.sra <= decode.io.i0_ap.sra @[el2_dec.scala 477:40] + io.i0_ap.srl <= decode.io.i0_ap.srl @[el2_dec.scala 477:40] + io.i0_ap.sll <= decode.io.i0_ap.sll @[el2_dec.scala 477:40] + io.i0_ap.lxor <= decode.io.i0_ap.lxor @[el2_dec.scala 477:40] + io.i0_ap.lor <= decode.io.i0_ap.lor @[el2_dec.scala 477:40] + io.i0_ap.land <= decode.io.i0_ap.land @[el2_dec.scala 477:40] + io.dec_i0_decode_d <= decode.io.dec_i0_decode_d @[el2_dec.scala 478:40] + io.dec_i0_alu_decode_d <= decode.io.dec_i0_alu_decode_d @[el2_dec.scala 479:40] + io.dec_i0_rs1_bypass_data_d <= decode.io.dec_i0_rs1_bypass_data_d @[el2_dec.scala 480:40] + io.dec_i0_rs2_bypass_data_d <= decode.io.dec_i0_rs2_bypass_data_d @[el2_dec.scala 481:40] + gpr.io.waddr0 <= decode.io.dec_i0_waddr_r @[el2_dec.scala 482:40] + gpr.io.wen0 <= decode.io.dec_i0_wen_r @[el2_dec.scala 483:40] + gpr.io.wd0 <= decode.io.dec_i0_wdata_r @[el2_dec.scala 484:40] + io.dec_i0_select_pc_d <= decode.io.dec_i0_select_pc_d @[el2_dec.scala 485:40] + io.dec_i0_rs1_bypass_en_d <= decode.io.dec_i0_rs1_bypass_en_d @[el2_dec.scala 486:40] + io.dec_i0_rs2_bypass_en_d <= decode.io.dec_i0_rs2_bypass_en_d @[el2_dec.scala 487:40] + io.lsu_p.valid <= decode.io.lsu_p.valid @[el2_dec.scala 488:40] + io.lsu_p.store_data_bypass_m <= decode.io.lsu_p.store_data_bypass_m @[el2_dec.scala 488:40] + io.lsu_p.load_ldst_bypass_d <= decode.io.lsu_p.load_ldst_bypass_d @[el2_dec.scala 488:40] + io.lsu_p.store_data_bypass_d <= decode.io.lsu_p.store_data_bypass_d @[el2_dec.scala 488:40] + io.lsu_p.dma <= decode.io.lsu_p.dma @[el2_dec.scala 488:40] + io.lsu_p.unsign <= decode.io.lsu_p.unsign @[el2_dec.scala 488:40] + io.lsu_p.store <= decode.io.lsu_p.store @[el2_dec.scala 488:40] + io.lsu_p.load <= decode.io.lsu_p.load @[el2_dec.scala 488:40] + io.lsu_p.dword <= decode.io.lsu_p.dword @[el2_dec.scala 488:40] + io.lsu_p.word <= decode.io.lsu_p.word @[el2_dec.scala 488:40] + io.lsu_p.half <= decode.io.lsu_p.half @[el2_dec.scala 488:40] + io.lsu_p.by <= decode.io.lsu_p.by @[el2_dec.scala 488:40] + io.lsu_p.fast_int <= decode.io.lsu_p.fast_int @[el2_dec.scala 488:40] + io.mul_p.bfp <= decode.io.mul_p.bfp @[el2_dec.scala 489:40] + io.mul_p.crc32c_w <= decode.io.mul_p.crc32c_w @[el2_dec.scala 489:40] + io.mul_p.crc32c_h <= decode.io.mul_p.crc32c_h @[el2_dec.scala 489:40] + io.mul_p.crc32c_b <= decode.io.mul_p.crc32c_b @[el2_dec.scala 489:40] + io.mul_p.crc32_w <= decode.io.mul_p.crc32_w @[el2_dec.scala 489:40] + io.mul_p.crc32_h <= decode.io.mul_p.crc32_h @[el2_dec.scala 489:40] + io.mul_p.crc32_b <= decode.io.mul_p.crc32_b @[el2_dec.scala 489:40] + io.mul_p.unshfl <= decode.io.mul_p.unshfl @[el2_dec.scala 489:40] + io.mul_p.shfl <= decode.io.mul_p.shfl @[el2_dec.scala 489:40] + io.mul_p.grev <= decode.io.mul_p.grev @[el2_dec.scala 489:40] + io.mul_p.clmulr <= decode.io.mul_p.clmulr @[el2_dec.scala 489:40] + io.mul_p.clmulh <= decode.io.mul_p.clmulh @[el2_dec.scala 489:40] + io.mul_p.clmul <= decode.io.mul_p.clmul @[el2_dec.scala 489:40] + io.mul_p.bdep <= decode.io.mul_p.bdep @[el2_dec.scala 489:40] + io.mul_p.bext <= decode.io.mul_p.bext @[el2_dec.scala 489:40] + io.mul_p.low <= decode.io.mul_p.low @[el2_dec.scala 489:40] + io.mul_p.rs2_sign <= decode.io.mul_p.rs2_sign @[el2_dec.scala 489:40] + io.mul_p.rs1_sign <= decode.io.mul_p.rs1_sign @[el2_dec.scala 489:40] + io.mul_p.valid <= decode.io.mul_p.valid @[el2_dec.scala 489:40] + io.div_p.rem <= decode.io.div_p.rem @[el2_dec.scala 490:40] + io.div_p.unsign <= decode.io.div_p.unsign @[el2_dec.scala 490:40] + io.div_p.valid <= decode.io.div_p.valid @[el2_dec.scala 490:40] + gpr.io.waddr2 <= decode.io.div_waddr_wb @[el2_dec.scala 491:40] + io.dec_div_cancel <= decode.io.dec_div_cancel @[el2_dec.scala 492:40] + io.dec_lsu_valid_raw_d <= decode.io.dec_lsu_valid_raw_d @[el2_dec.scala 493:40] + io.dec_lsu_offset_d <= decode.io.dec_lsu_offset_d @[el2_dec.scala 494:40] + io.dec_csr_ren_d <= decode.io.dec_csr_ren_d @[el2_dec.scala 495:40] + tlu.io.dec_csr_wen_unq_d <= decode.io.dec_csr_wen_unq_d @[el2_dec.scala 496:40] + tlu.io.dec_csr_any_unq_d <= decode.io.dec_csr_any_unq_d @[el2_dec.scala 497:40] + tlu.io.dec_csr_rdaddr_d <= decode.io.dec_csr_rdaddr_d @[el2_dec.scala 498:40] + tlu.io.dec_csr_wen_r <= decode.io.dec_csr_wen_r @[el2_dec.scala 499:40] + tlu.io.dec_csr_wraddr_r <= decode.io.dec_csr_wraddr_r @[el2_dec.scala 500:40] + tlu.io.dec_csr_wrdata_r <= decode.io.dec_csr_wrdata_r @[el2_dec.scala 501:40] + tlu.io.dec_csr_stall_int_ff <= decode.io.dec_csr_stall_int_ff @[el2_dec.scala 502:40] + tlu.io.dec_tlu_i0_valid_r <= decode.io.dec_tlu_i0_valid_r @[el2_dec.scala 503:40] + tlu.io.dec_tlu_packet_r.pmu_lsu_misaligned <= decode.io.dec_tlu_packet_r.pmu_lsu_misaligned @[el2_dec.scala 504:40] + tlu.io.dec_tlu_packet_r.pmu_divide <= decode.io.dec_tlu_packet_r.pmu_divide @[el2_dec.scala 504:40] + tlu.io.dec_tlu_packet_r.pmu_i0_br_unpred <= decode.io.dec_tlu_packet_r.pmu_i0_br_unpred @[el2_dec.scala 504:40] + tlu.io.dec_tlu_packet_r.pmu_i0_itype <= decode.io.dec_tlu_packet_r.pmu_i0_itype @[el2_dec.scala 504:40] + tlu.io.dec_tlu_packet_r.i0trigger <= decode.io.dec_tlu_packet_r.i0trigger @[el2_dec.scala 504:40] + tlu.io.dec_tlu_packet_r.fence_i <= decode.io.dec_tlu_packet_r.fence_i @[el2_dec.scala 504:40] + tlu.io.dec_tlu_packet_r.icaf_type <= decode.io.dec_tlu_packet_r.icaf_type @[el2_dec.scala 504:40] + tlu.io.dec_tlu_packet_r.icaf_f1 <= decode.io.dec_tlu_packet_r.icaf_f1 @[el2_dec.scala 504:40] + tlu.io.dec_tlu_packet_r.icaf <= decode.io.dec_tlu_packet_r.icaf @[el2_dec.scala 504:40] + tlu.io.dec_tlu_packet_r.legal <= decode.io.dec_tlu_packet_r.legal @[el2_dec.scala 504:40] + tlu.io.dec_tlu_i0_pc_r <= decode.io.dec_tlu_i0_pc_r @[el2_dec.scala 505:40] + tlu.io.dec_illegal_inst <= decode.io.dec_illegal_inst @[el2_dec.scala 506:40] + io.pred_correct_npc_x <= decode.io.pred_correct_npc_x @[el2_dec.scala 507:40] + io.dec_i0_predict_p_d.way <= decode.io.dec_i0_predict_p_d.way @[el2_dec.scala 508:40] + io.dec_i0_predict_p_d.pja <= decode.io.dec_i0_predict_p_d.pja @[el2_dec.scala 508:40] + io.dec_i0_predict_p_d.pret <= decode.io.dec_i0_predict_p_d.pret @[el2_dec.scala 508:40] + io.dec_i0_predict_p_d.pcall <= decode.io.dec_i0_predict_p_d.pcall @[el2_dec.scala 508:40] + io.dec_i0_predict_p_d.prett <= decode.io.dec_i0_predict_p_d.prett @[el2_dec.scala 508:40] + io.dec_i0_predict_p_d.br_start_error <= decode.io.dec_i0_predict_p_d.br_start_error @[el2_dec.scala 508:40] + io.dec_i0_predict_p_d.br_error <= decode.io.dec_i0_predict_p_d.br_error @[el2_dec.scala 508:40] + io.dec_i0_predict_p_d.valid <= decode.io.dec_i0_predict_p_d.valid @[el2_dec.scala 508:40] + io.dec_i0_predict_p_d.toffset <= decode.io.dec_i0_predict_p_d.toffset @[el2_dec.scala 508:40] + io.dec_i0_predict_p_d.hist <= decode.io.dec_i0_predict_p_d.hist @[el2_dec.scala 508:40] + io.dec_i0_predict_p_d.pc4 <= decode.io.dec_i0_predict_p_d.pc4 @[el2_dec.scala 508:40] + io.dec_i0_predict_p_d.boffset <= decode.io.dec_i0_predict_p_d.boffset @[el2_dec.scala 508:40] + io.dec_i0_predict_p_d.ataken <= decode.io.dec_i0_predict_p_d.ataken @[el2_dec.scala 508:40] + io.dec_i0_predict_p_d.misp <= decode.io.dec_i0_predict_p_d.misp @[el2_dec.scala 508:40] + io.i0_predict_fghr_d <= decode.io.i0_predict_fghr_d @[el2_dec.scala 509:40] + io.i0_predict_index_d <= decode.io.i0_predict_index_d @[el2_dec.scala 510:40] + io.i0_predict_btag_d <= decode.io.i0_predict_btag_d @[el2_dec.scala 511:40] + io.dec_data_en <= decode.io.dec_data_en @[el2_dec.scala 512:40] + io.dec_ctl_en <= decode.io.dec_ctl_en @[el2_dec.scala 513:40] + tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pmu_instr_decoded @[el2_dec.scala 514:40] + tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pmu_decode_stall @[el2_dec.scala 515:40] + tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pmu_presync_stall @[el2_dec.scala 516:40] + tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pmu_postsync_stall @[el2_dec.scala 517:40] + tlu.io.dec_pmu_postsync_stall <= decode.io.dec_nonblock_load_wen @[el2_dec.scala 518:40] + tlu.io.dec_pmu_postsync_stall <= decode.io.dec_nonblock_load_waddr @[el2_dec.scala 519:40] + tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pause_state @[el2_dec.scala 520:40] + io.dec_pause_state_cg <= decode.io.dec_pause_state_cg @[el2_dec.scala 521:40] + tlu.io.dec_div_active <= decode.io.dec_div_active @[el2_dec.scala 522:40] + gpr.io.raddr0 <= decode.io.dec_i0_rs1_d @[el2_dec.scala 529:23] + gpr.io.raddr1 <= decode.io.dec_i0_rs2_d @[el2_dec.scala 530:23] + gpr.io.wen0 <= decode.io.dec_i0_wen_r @[el2_dec.scala 531:23] + gpr.io.waddr0 <= decode.io.dec_i0_waddr_r @[el2_dec.scala 532:23] + gpr.io.wd0 <= decode.io.dec_i0_wdata_r @[el2_dec.scala 533:23] + gpr.io.wen1 <= decode.io.dec_nonblock_load_wen @[el2_dec.scala 534:23] + gpr.io.waddr1 <= decode.io.dec_nonblock_load_waddr @[el2_dec.scala 535:23] + gpr.io.wd1 <= io.lsu_nonblock_load_data @[el2_dec.scala 536:23] + gpr.io.wen2 <= io.exu_div_wren @[el2_dec.scala 537:23] + gpr.io.waddr2 <= decode.io.div_waddr_wb @[el2_dec.scala 538:23] + gpr.io.wd2 <= io.exu_div_result @[el2_dec.scala 539:23] + gpr.io.scan_mode <= io.scan_mode @[el2_dec.scala 542:23] + io.gpr_i0_rs1_d <= gpr.io.rd0 @[el2_dec.scala 544:19] + io.gpr_i0_rs2_d <= gpr.io.rd1 @[el2_dec.scala 545:19] + tlu.io.active_clk <= io.active_clk @[el2_dec.scala 554:45] + tlu.io.free_clk <= io.free_clk @[el2_dec.scala 555:45] + tlu.io.scan_mode <= io.scan_mode @[el2_dec.scala 557:45] + tlu.io.rst_vec <= io.rst_vec @[el2_dec.scala 558:45] + tlu.io.nmi_int <= io.nmi_int @[el2_dec.scala 559:45] + tlu.io.nmi_vec <= io.nmi_vec @[el2_dec.scala 560:45] + tlu.io.i_cpu_halt_req <= io.i_cpu_halt_req @[el2_dec.scala 561:45] + tlu.io.i_cpu_run_req <= io.i_cpu_run_req @[el2_dec.scala 562:45] + tlu.io.lsu_fastint_stall_any <= io.lsu_fastint_stall_any @[el2_dec.scala 563:45] + tlu.io.ifu_pmu_instr_aligned <= io.ifu_pmu_instr_aligned @[el2_dec.scala 564:45] + tlu.io.ifu_pmu_fetch_stall <= io.ifu_pmu_fetch_stall @[el2_dec.scala 565:45] + tlu.io.ifu_pmu_ic_miss <= io.ifu_pmu_ic_miss @[el2_dec.scala 566:45] + tlu.io.ifu_pmu_ic_hit <= io.ifu_pmu_ic_hit @[el2_dec.scala 567:45] + tlu.io.ifu_pmu_bus_error <= io.ifu_pmu_bus_error @[el2_dec.scala 568:45] + tlu.io.ifu_pmu_bus_busy <= io.ifu_pmu_bus_busy @[el2_dec.scala 569:45] + tlu.io.ifu_pmu_bus_trxn <= io.ifu_pmu_bus_trxn @[el2_dec.scala 570:45] + tlu.io.dec_pmu_instr_decoded <= decode.io.dec_pmu_instr_decoded @[el2_dec.scala 571:45] + tlu.io.dec_pmu_decode_stall <= decode.io.dec_pmu_decode_stall @[el2_dec.scala 572:45] + tlu.io.dec_pmu_presync_stall <= decode.io.dec_pmu_presync_stall @[el2_dec.scala 573:45] + tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pmu_postsync_stall @[el2_dec.scala 574:45] + tlu.io.lsu_store_stall_any <= io.lsu_store_stall_any @[el2_dec.scala 575:45] + tlu.io.dma_dccm_stall_any <= io.dma_dccm_stall_any @[el2_dec.scala 576:45] + tlu.io.dma_iccm_stall_any <= io.dma_iccm_stall_any @[el2_dec.scala 577:45] + tlu.io.exu_pmu_i0_br_misp <= io.exu_pmu_i0_br_misp @[el2_dec.scala 578:45] + tlu.io.exu_pmu_i0_br_ataken <= io.exu_pmu_i0_br_ataken @[el2_dec.scala 579:45] + tlu.io.exu_pmu_i0_pc4 <= io.exu_pmu_i0_pc4 @[el2_dec.scala 580:45] + tlu.io.lsu_pmu_bus_trxn <= io.lsu_pmu_bus_trxn @[el2_dec.scala 581:45] + tlu.io.lsu_pmu_bus_misaligned <= io.lsu_pmu_bus_misaligned @[el2_dec.scala 582:45] + tlu.io.lsu_pmu_bus_error <= io.lsu_pmu_bus_error @[el2_dec.scala 583:45] + tlu.io.lsu_pmu_bus_busy <= io.lsu_pmu_bus_busy @[el2_dec.scala 584:45] + tlu.io.lsu_pmu_load_external_m <= io.lsu_pmu_load_external_m @[el2_dec.scala 585:45] + tlu.io.lsu_pmu_store_external_m <= io.lsu_pmu_store_external_m @[el2_dec.scala 586:45] + tlu.io.dma_pmu_dccm_read <= io.dma_pmu_dccm_read @[el2_dec.scala 587:45] + tlu.io.dma_pmu_dccm_write <= io.dma_pmu_dccm_write @[el2_dec.scala 588:45] + tlu.io.dma_pmu_any_read <= io.dma_pmu_any_read @[el2_dec.scala 589:45] + tlu.io.dma_pmu_any_write <= io.dma_pmu_any_write @[el2_dec.scala 590:45] + tlu.io.lsu_fir_addr <= io.lsu_fir_addr @[el2_dec.scala 591:45] + tlu.io.lsu_fir_error <= io.lsu_fir_error @[el2_dec.scala 592:45] + tlu.io.iccm_dma_sb_error <= io.iccm_dma_sb_error @[el2_dec.scala 593:45] + tlu.io.lsu_error_pkt_r.addr <= io.lsu_error_pkt_r.addr @[el2_dec.scala 594:45] + tlu.io.lsu_error_pkt_r.mscause <= io.lsu_error_pkt_r.mscause @[el2_dec.scala 594:45] + tlu.io.lsu_error_pkt_r.exc_type <= io.lsu_error_pkt_r.exc_type @[el2_dec.scala 594:45] + tlu.io.lsu_error_pkt_r.inst_type <= io.lsu_error_pkt_r.inst_type @[el2_dec.scala 594:45] + tlu.io.lsu_error_pkt_r.single_ecc_error <= io.lsu_error_pkt_r.single_ecc_error @[el2_dec.scala 594:45] + tlu.io.lsu_error_pkt_r.exc_valid <= io.lsu_error_pkt_r.exc_valid @[el2_dec.scala 594:45] + tlu.io.lsu_single_ecc_error_incr <= io.lsu_single_ecc_error_incr @[el2_dec.scala 595:45] + tlu.io.dec_pause_state <= decode.io.dec_pause_state @[el2_dec.scala 596:45] + tlu.io.lsu_imprecise_error_store_any <= io.lsu_imprecise_error_store_any @[el2_dec.scala 597:45] + tlu.io.lsu_imprecise_error_load_any <= io.lsu_imprecise_error_load_any @[el2_dec.scala 598:45] + tlu.io.lsu_imprecise_error_addr_any <= io.lsu_imprecise_error_addr_any @[el2_dec.scala 599:45] + tlu.io.dec_csr_wen_unq_d <= decode.io.dec_csr_wen_unq_d @[el2_dec.scala 600:45] + tlu.io.dec_csr_any_unq_d <= decode.io.dec_csr_any_unq_d @[el2_dec.scala 601:45] + tlu.io.dec_csr_rdaddr_d <= decode.io.dec_csr_rdaddr_d @[el2_dec.scala 602:45] + tlu.io.dec_csr_wen_r <= decode.io.dec_csr_wen_r @[el2_dec.scala 603:45] + tlu.io.dec_csr_wraddr_r <= decode.io.dec_csr_wraddr_r @[el2_dec.scala 604:45] + tlu.io.dec_csr_wrdata_r <= decode.io.dec_csr_wrdata_r @[el2_dec.scala 605:45] + tlu.io.dec_csr_stall_int_ff <= decode.io.dec_csr_stall_int_ff @[el2_dec.scala 606:45] + tlu.io.dec_tlu_i0_valid_r <= decode.io.dec_tlu_i0_valid_r @[el2_dec.scala 607:45] + tlu.io.exu_npc_r <= io.exu_npc_r @[el2_dec.scala 608:45] + tlu.io.dec_tlu_i0_pc_r <= decode.io.dec_tlu_i0_pc_r @[el2_dec.scala 609:45] + tlu.io.dec_tlu_packet_r.pmu_lsu_misaligned <= decode.io.dec_tlu_packet_r.pmu_lsu_misaligned @[el2_dec.scala 610:45] + tlu.io.dec_tlu_packet_r.pmu_divide <= decode.io.dec_tlu_packet_r.pmu_divide @[el2_dec.scala 610:45] + tlu.io.dec_tlu_packet_r.pmu_i0_br_unpred <= decode.io.dec_tlu_packet_r.pmu_i0_br_unpred @[el2_dec.scala 610:45] + tlu.io.dec_tlu_packet_r.pmu_i0_itype <= decode.io.dec_tlu_packet_r.pmu_i0_itype @[el2_dec.scala 610:45] + tlu.io.dec_tlu_packet_r.i0trigger <= decode.io.dec_tlu_packet_r.i0trigger @[el2_dec.scala 610:45] + tlu.io.dec_tlu_packet_r.fence_i <= decode.io.dec_tlu_packet_r.fence_i @[el2_dec.scala 610:45] + tlu.io.dec_tlu_packet_r.icaf_type <= decode.io.dec_tlu_packet_r.icaf_type @[el2_dec.scala 610:45] + tlu.io.dec_tlu_packet_r.icaf_f1 <= decode.io.dec_tlu_packet_r.icaf_f1 @[el2_dec.scala 610:45] + tlu.io.dec_tlu_packet_r.icaf <= decode.io.dec_tlu_packet_r.icaf @[el2_dec.scala 610:45] + tlu.io.dec_tlu_packet_r.legal <= decode.io.dec_tlu_packet_r.legal @[el2_dec.scala 610:45] + tlu.io.dec_illegal_inst <= decode.io.dec_illegal_inst @[el2_dec.scala 611:45] + tlu.io.dec_i0_decode_d <= decode.io.dec_i0_decode_d @[el2_dec.scala 612:45] + tlu.io.exu_i0_br_hist_r <= io.exu_i0_br_hist_r @[el2_dec.scala 613:45] + tlu.io.exu_i0_br_error_r <= io.exu_i0_br_error_r @[el2_dec.scala 614:45] + tlu.io.exu_i0_br_start_error_r <= io.exu_i0_br_start_error_r @[el2_dec.scala 615:45] + tlu.io.exu_i0_br_valid_r <= io.exu_i0_br_valid_r @[el2_dec.scala 616:45] + tlu.io.exu_i0_br_mp_r <= io.exu_i0_br_mp_r @[el2_dec.scala 617:45] + tlu.io.exu_i0_br_middle_r <= io.exu_i0_br_middle_r @[el2_dec.scala 618:45] + tlu.io.exu_i0_br_way_r <= io.exu_i0_br_way_r @[el2_dec.scala 619:45] + tlu.io.dbg_halt_req <= io.dbg_halt_req @[el2_dec.scala 620:45] + tlu.io.dbg_resume_req <= io.dbg_resume_req @[el2_dec.scala 621:45] + tlu.io.ifu_miss_state_idle <= io.ifu_miss_state_idle @[el2_dec.scala 622:45] + tlu.io.lsu_idle_any <= io.lsu_idle_any @[el2_dec.scala 623:45] + tlu.io.dec_div_active <= decode.io.dec_div_active @[el2_dec.scala 624:45] + tlu.io.ifu_ic_error_start <= io.ifu_ic_error_start @[el2_dec.scala 625:45] + tlu.io.ifu_iccm_rd_ecc_single_err <= io.ifu_iccm_rd_ecc_single_err @[el2_dec.scala 626:45] + tlu.io.ifu_ic_debug_rd_data <= io.ifu_ic_debug_rd_data @[el2_dec.scala 627:45] + tlu.io.ifu_ic_debug_rd_data_valid <= io.ifu_ic_debug_rd_data_valid @[el2_dec.scala 628:45] + tlu.io.pic_claimid <= io.pic_claimid @[el2_dec.scala 629:45] + tlu.io.pic_pl <= io.pic_pl @[el2_dec.scala 630:45] + tlu.io.mhwakeup <= io.mhwakeup @[el2_dec.scala 631:45] + tlu.io.mexintpend <= io.mexintpend @[el2_dec.scala 632:45] + tlu.io.timer_int <= io.timer_int @[el2_dec.scala 633:45] + tlu.io.soft_int <= io.soft_int @[el2_dec.scala 634:45] + tlu.io.core_id <= io.core_id @[el2_dec.scala 635:45] + tlu.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[el2_dec.scala 636:45] + tlu.io.mpc_debug_run_req <= io.mpc_debug_run_req @[el2_dec.scala 637:45] + tlu.io.mpc_reset_run_req <= io.mpc_reset_run_req @[el2_dec.scala 638:45] + io.dec_dbg_cmd_done <= tlu.io.dec_dbg_cmd_done @[el2_dec.scala 640:28] + io.dec_dbg_cmd_fail <= tlu.io.dec_dbg_cmd_fail @[el2_dec.scala 641:28] + io.dec_tlu_dbg_halted <= tlu.io.dec_tlu_dbg_halted @[el2_dec.scala 642:28] + io.dec_tlu_debug_mode <= tlu.io.dec_tlu_debug_mode @[el2_dec.scala 643:28] + io.dec_tlu_resume_ack <= tlu.io.dec_tlu_resume_ack @[el2_dec.scala 644:28] + decode.io.dec_tlu_debug_stall <= tlu.io.dec_tlu_debug_stall @[el2_dec.scala 645:36] + io.dec_tlu_flush_noredir_r <= tlu.io.dec_tlu_flush_noredir_r @[el2_dec.scala 646:34] + io.dec_tlu_mpc_halted_only <= tlu.io.dec_tlu_mpc_halted_only @[el2_dec.scala 647:34] + io.dec_tlu_flush_leak_one_r <= tlu.io.dec_tlu_flush_leak_one_r @[el2_dec.scala 648:34] + io.dec_tlu_flush_err_r <= tlu.io.dec_tlu_flush_err_r @[el2_dec.scala 649:34] + decode.io.dec_tlu_flush_extint <= tlu.io.dec_tlu_flush_extint @[el2_dec.scala 650:37] + io.dec_tlu_meihap <= tlu.io.dec_tlu_meihap @[el2_dec.scala 651:29] + io.trigger_pkt_any[0].tdata2 <= tlu.io.trigger_pkt_any[0].tdata2 @[el2_dec.scala 652:29] + io.trigger_pkt_any[0].m <= tlu.io.trigger_pkt_any[0].m @[el2_dec.scala 652:29] + io.trigger_pkt_any[0].execute <= tlu.io.trigger_pkt_any[0].execute @[el2_dec.scala 652:29] + io.trigger_pkt_any[0].load <= tlu.io.trigger_pkt_any[0].load @[el2_dec.scala 652:29] + io.trigger_pkt_any[0].store <= tlu.io.trigger_pkt_any[0].store @[el2_dec.scala 652:29] + io.trigger_pkt_any[0].match_ <= tlu.io.trigger_pkt_any[0].match_ @[el2_dec.scala 652:29] + io.trigger_pkt_any[0].select <= tlu.io.trigger_pkt_any[0].select @[el2_dec.scala 652:29] + io.trigger_pkt_any[1].tdata2 <= tlu.io.trigger_pkt_any[1].tdata2 @[el2_dec.scala 652:29] + io.trigger_pkt_any[1].m <= tlu.io.trigger_pkt_any[1].m @[el2_dec.scala 652:29] + io.trigger_pkt_any[1].execute <= tlu.io.trigger_pkt_any[1].execute @[el2_dec.scala 652:29] + io.trigger_pkt_any[1].load <= tlu.io.trigger_pkt_any[1].load @[el2_dec.scala 652:29] + io.trigger_pkt_any[1].store <= tlu.io.trigger_pkt_any[1].store @[el2_dec.scala 652:29] + io.trigger_pkt_any[1].match_ <= tlu.io.trigger_pkt_any[1].match_ @[el2_dec.scala 652:29] + io.trigger_pkt_any[1].select <= tlu.io.trigger_pkt_any[1].select @[el2_dec.scala 652:29] + io.trigger_pkt_any[2].tdata2 <= tlu.io.trigger_pkt_any[2].tdata2 @[el2_dec.scala 652:29] + io.trigger_pkt_any[2].m <= tlu.io.trigger_pkt_any[2].m @[el2_dec.scala 652:29] + io.trigger_pkt_any[2].execute <= tlu.io.trigger_pkt_any[2].execute @[el2_dec.scala 652:29] + io.trigger_pkt_any[2].load <= tlu.io.trigger_pkt_any[2].load @[el2_dec.scala 652:29] + io.trigger_pkt_any[2].store <= tlu.io.trigger_pkt_any[2].store @[el2_dec.scala 652:29] + io.trigger_pkt_any[2].match_ <= tlu.io.trigger_pkt_any[2].match_ @[el2_dec.scala 652:29] + io.trigger_pkt_any[2].select <= tlu.io.trigger_pkt_any[2].select @[el2_dec.scala 652:29] + io.trigger_pkt_any[3].tdata2 <= tlu.io.trigger_pkt_any[3].tdata2 @[el2_dec.scala 652:29] + io.trigger_pkt_any[3].m <= tlu.io.trigger_pkt_any[3].m @[el2_dec.scala 652:29] + io.trigger_pkt_any[3].execute <= tlu.io.trigger_pkt_any[3].execute @[el2_dec.scala 652:29] + io.trigger_pkt_any[3].load <= tlu.io.trigger_pkt_any[3].load @[el2_dec.scala 652:29] + io.trigger_pkt_any[3].store <= tlu.io.trigger_pkt_any[3].store @[el2_dec.scala 652:29] + io.trigger_pkt_any[3].match_ <= tlu.io.trigger_pkt_any[3].match_ @[el2_dec.scala 652:29] + io.trigger_pkt_any[3].select <= tlu.io.trigger_pkt_any[3].select @[el2_dec.scala 652:29] + io.dec_tlu_ic_diag_pkt.icache_wr_valid <= tlu.io.dec_tlu_ic_diag_pkt.icache_wr_valid @[el2_dec.scala 653:29] + io.dec_tlu_ic_diag_pkt.icache_rd_valid <= tlu.io.dec_tlu_ic_diag_pkt.icache_rd_valid @[el2_dec.scala 653:29] + io.dec_tlu_ic_diag_pkt.icache_dicawics <= tlu.io.dec_tlu_ic_diag_pkt.icache_dicawics @[el2_dec.scala 653:29] + io.dec_tlu_ic_diag_pkt.icache_wrdata <= tlu.io.dec_tlu_ic_diag_pkt.icache_wrdata @[el2_dec.scala 653:29] + io.o_cpu_halt_status <= tlu.io.o_cpu_halt_status @[el2_dec.scala 654:29] + io.o_cpu_halt_ack <= tlu.io.o_cpu_halt_ack @[el2_dec.scala 655:29] + io.o_cpu_run_ack <= tlu.io.o_cpu_run_ack @[el2_dec.scala 656:29] + io.o_debug_mode_status <= tlu.io.o_debug_mode_status @[el2_dec.scala 657:29] + io.mpc_debug_halt_ack <= tlu.io.mpc_debug_halt_ack @[el2_dec.scala 658:29] + io.mpc_debug_run_ack <= tlu.io.mpc_debug_run_ack @[el2_dec.scala 659:29] + io.debug_brkpt_status <= tlu.io.debug_brkpt_status @[el2_dec.scala 660:29] + io.dec_tlu_meicurpl <= tlu.io.dec_tlu_meicurpl @[el2_dec.scala 661:29] + io.dec_tlu_meipt <= tlu.io.dec_tlu_meipt @[el2_dec.scala 662:29] + decode.io.dec_csr_rddata_d <= tlu.io.dec_csr_rddata_d @[el2_dec.scala 663:33] + decode.io.dec_csr_legal_d <= tlu.io.dec_csr_legal_d @[el2_dec.scala 664:33] + io.dec_tlu_br0_r_pkt.middle <= tlu.io.dec_tlu_br0_r_pkt.middle @[el2_dec.scala 665:42] + io.dec_tlu_br0_r_pkt.way <= tlu.io.dec_tlu_br0_r_pkt.way @[el2_dec.scala 665:42] + io.dec_tlu_br0_r_pkt.br_start_error <= tlu.io.dec_tlu_br0_r_pkt.br_start_error @[el2_dec.scala 665:42] + io.dec_tlu_br0_r_pkt.br_error <= tlu.io.dec_tlu_br0_r_pkt.br_error @[el2_dec.scala 665:42] + io.dec_tlu_br0_r_pkt.hist <= tlu.io.dec_tlu_br0_r_pkt.hist @[el2_dec.scala 665:42] + io.dec_tlu_br0_r_pkt.valid <= tlu.io.dec_tlu_br0_r_pkt.valid @[el2_dec.scala 665:42] + decode.io.dec_tlu_i0_kill_writeb_wb <= tlu.io.dec_tlu_i0_kill_writeb_wb @[el2_dec.scala 666:42] + decode.io.dec_tlu_flush_lower_wb <= tlu.io.dec_tlu_flush_lower_wb @[el2_dec.scala 667:42] + io.dec_tlu_i0_commit_cmt <= tlu.io.dec_tlu_i0_commit_cmt @[el2_dec.scala 668:34] + io.dec_tlu_i0_kill_writeb_r <= tlu.io.dec_tlu_i0_kill_writeb_r @[el2_dec.scala 669:34] + io.dec_tlu_flush_lower_r <= tlu.io.dec_tlu_flush_lower_r @[el2_dec.scala 670:34] + io.dec_tlu_flush_path_r <= tlu.io.dec_tlu_flush_path_r @[el2_dec.scala 671:34] + io.dec_tlu_fence_i_r <= tlu.io.dec_tlu_fence_i_r @[el2_dec.scala 672:34] + decode.io.dec_tlu_wr_pause_r <= tlu.io.dec_tlu_wr_pause_r @[el2_dec.scala 673:35] + decode.io.dec_tlu_flush_pause_r <= tlu.io.dec_tlu_flush_pause_r @[el2_dec.scala 674:35] + decode.io.dec_tlu_presync_d <= tlu.io.dec_tlu_presync_d @[el2_dec.scala 675:35] + decode.io.dec_tlu_postsync_d <= tlu.io.dec_tlu_postsync_d @[el2_dec.scala 676:35] + io.dec_tlu_mrac_ff <= tlu.io.dec_tlu_mrac_ff @[el2_dec.scala 677:29] + io.dec_tlu_force_halt <= tlu.io.dec_tlu_force_halt @[el2_dec.scala 678:29] + io.dec_tlu_perfcnt0 <= tlu.io.dec_tlu_perfcnt0 @[el2_dec.scala 679:29] + io.dec_tlu_perfcnt1 <= tlu.io.dec_tlu_perfcnt1 @[el2_dec.scala 680:29] + io.dec_tlu_perfcnt2 <= tlu.io.dec_tlu_perfcnt2 @[el2_dec.scala 681:29] + io.dec_tlu_perfcnt3 <= tlu.io.dec_tlu_perfcnt3 @[el2_dec.scala 682:29] + dec_tlu_i0_exc_valid_wb1 <= tlu.io.dec_tlu_i0_exc_valid_wb1 @[el2_dec.scala 683:32] + dec_tlu_i0_valid_wb1 <= tlu.io.dec_tlu_i0_valid_wb1 @[el2_dec.scala 684:32] + dec_tlu_int_valid_wb1 <= tlu.io.dec_tlu_int_valid_wb1 @[el2_dec.scala 685:32] + dec_tlu_exc_cause_wb1 <= tlu.io.dec_tlu_exc_cause_wb1 @[el2_dec.scala 686:32] + dec_tlu_mtval_wb1 <= tlu.io.dec_tlu_mtval_wb1 @[el2_dec.scala 687:32] + io.dec_tlu_external_ldfwd_disable <= tlu.io.dec_tlu_external_ldfwd_disable @[el2_dec.scala 688:43] + io.dec_tlu_sideeffect_posted_disable <= tlu.io.dec_tlu_sideeffect_posted_disable @[el2_dec.scala 689:43] + io.dec_tlu_core_ecc_disable <= tlu.io.dec_tlu_core_ecc_disable @[el2_dec.scala 690:43] + io.dec_tlu_bpred_disable <= tlu.io.dec_tlu_bpred_disable @[el2_dec.scala 691:43] + io.dec_tlu_wb_coalescing_disable <= tlu.io.dec_tlu_wb_coalescing_disable @[el2_dec.scala 692:43] + io.dec_tlu_dma_qos_prty <= tlu.io.dec_tlu_dma_qos_prty @[el2_dec.scala 694:35] + io.dec_tlu_misc_clk_override <= tlu.io.dec_tlu_misc_clk_override @[el2_dec.scala 695:35] + io.dec_tlu_ifu_clk_override <= tlu.io.dec_tlu_ifu_clk_override @[el2_dec.scala 697:36] + io.dec_tlu_lsu_clk_override <= tlu.io.dec_tlu_lsu_clk_override @[el2_dec.scala 698:36] + io.dec_tlu_bus_clk_override <= tlu.io.dec_tlu_bus_clk_override @[el2_dec.scala 699:36] + io.dec_tlu_pic_clk_override <= tlu.io.dec_tlu_pic_clk_override @[el2_dec.scala 700:36] + io.dec_tlu_dccm_clk_override <= tlu.io.dec_tlu_dccm_clk_override @[el2_dec.scala 701:36] + io.dec_tlu_icm_clk_override <= tlu.io.dec_tlu_icm_clk_override @[el2_dec.scala 702:36] + io.dec_dbg_rddata <= decode.io.dec_i0_wdata_r @[el2_dec.scala 709:21] + diff --git a/el2_dec.v b/el2_dec.v new file mode 100644 index 00000000..1b9aecc4 --- /dev/null +++ b/el2_dec.v @@ -0,0 +1,14144 @@ +module el2_dec_ib_ctl( + input io_dbg_cmd_valid, + input io_dbg_cmd_write, + input [1:0] io_dbg_cmd_type, + input [31:0] io_dbg_cmd_addr, + input io_i0_brp_valid, + input [11:0] io_i0_brp_toffset, + input [1:0] io_i0_brp_hist, + input io_i0_brp_br_error, + input io_i0_brp_br_start_error, + input [30:0] io_i0_brp_prett, + input io_i0_brp_way, + input io_i0_brp_ret, + input [7:0] io_ifu_i0_bp_index, + input [7:0] io_ifu_i0_bp_fghr, + input [4:0] io_ifu_i0_bp_btag, + input io_ifu_i0_valid, + input io_ifu_i0_icaf, + input [1:0] io_ifu_i0_icaf_type, + input io_ifu_i0_icaf_f1, + input io_ifu_i0_dbecc, + input [31:0] io_ifu_i0_instr, + input [30:0] io_ifu_i0_pc, + output io_dec_ib0_valid_d, + output [1:0] io_dec_i0_icaf_type_d, + output [31:0] io_dec_i0_instr_d, + output [30:0] io_dec_i0_pc_d, + output io_dec_i0_brp_valid, + output [11:0] io_dec_i0_brp_toffset, + output [1:0] io_dec_i0_brp_hist, + output io_dec_i0_brp_br_error, + output io_dec_i0_brp_br_start_error, + output [30:0] io_dec_i0_brp_prett, + output io_dec_i0_brp_way, + output io_dec_i0_brp_ret, + output [7:0] io_dec_i0_bp_index, + output [7:0] io_dec_i0_bp_fghr, + output [4:0] io_dec_i0_bp_btag, + output io_dec_i0_icaf_d, + output io_dec_i0_icaf_f1_d, + output io_dec_i0_dbecc_d, + output io_dec_debug_wdata_rs1_d, + output io_dec_debug_fence_d +); + wire _T = io_dbg_cmd_type != 2'h2; // @[el2_dec_ib_ctl.scala 66:60] + wire debug_valid = io_dbg_cmd_valid & _T; // @[el2_dec_ib_ctl.scala 66:41] + wire _T_1 = ~io_dbg_cmd_write; // @[el2_dec_ib_ctl.scala 67:38] + wire debug_read = debug_valid & _T_1; // @[el2_dec_ib_ctl.scala 67:36] + wire debug_write = debug_valid & io_dbg_cmd_write; // @[el2_dec_ib_ctl.scala 68:36] + wire _T_2 = io_dbg_cmd_type == 2'h0; // @[el2_dec_ib_ctl.scala 70:55] + wire debug_read_gpr = debug_read & _T_2; // @[el2_dec_ib_ctl.scala 70:37] + wire debug_write_gpr = debug_write & _T_2; // @[el2_dec_ib_ctl.scala 71:37] + wire _T_4 = io_dbg_cmd_type == 2'h1; // @[el2_dec_ib_ctl.scala 72:55] + wire debug_read_csr = debug_read & _T_4; // @[el2_dec_ib_ctl.scala 72:37] + wire debug_write_csr = debug_write & _T_4; // @[el2_dec_ib_ctl.scala 73:37] + wire [4:0] dreg = io_dbg_cmd_addr[4:0]; // @[el2_dec_ib_ctl.scala 75:40] + wire [11:0] dcsr = io_dbg_cmd_addr[11:0]; // @[el2_dec_ib_ctl.scala 76:40] + wire [31:0] _T_9 = {12'h0,dreg,15'h6033}; // @[Cat.scala 29:58] + wire [31:0] _T_12 = {20'h6,dreg,7'h33}; // @[Cat.scala 29:58] + wire [31:0] _T_14 = {dcsr,20'h2073}; // @[Cat.scala 29:58] + wire [31:0] _T_16 = {dcsr,20'h1073}; // @[Cat.scala 29:58] + wire [31:0] _T_17 = debug_read_gpr ? _T_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_18 = debug_write_gpr ? _T_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_19 = debug_read_csr ? _T_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_20 = debug_write_csr ? _T_16 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_21 = _T_17 | _T_18; // @[Mux.scala 27:72] + wire [31:0] _T_22 = _T_21 | _T_19; // @[Mux.scala 27:72] + wire [31:0] ib0_debug_in = _T_22 | _T_20; // @[Mux.scala 27:72] + wire _T_25 = dcsr == 12'h7c4; // @[el2_dec_ib_ctl.scala 89:51] + assign io_dec_ib0_valid_d = io_ifu_i0_valid | debug_valid; // @[el2_dec_ib_ctl.scala 91:22] + assign io_dec_i0_icaf_type_d = io_ifu_i0_icaf_type; // @[el2_dec_ib_ctl.scala 48:31] + assign io_dec_i0_instr_d = debug_valid ? ib0_debug_in : io_ifu_i0_instr; // @[el2_dec_ib_ctl.scala 92:22] + assign io_dec_i0_pc_d = io_ifu_i0_pc; // @[el2_dec_ib_ctl.scala 46:31] + assign io_dec_i0_brp_valid = io_i0_brp_valid; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_toffset = io_i0_brp_toffset; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_hist = io_i0_brp_hist; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_br_error = io_i0_brp_br_error; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_br_start_error = io_i0_brp_br_start_error; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_prett = io_i0_brp_prett; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_way = io_i0_brp_way; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_ret = io_i0_brp_ret; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_bp_index = io_ifu_i0_bp_index; // @[el2_dec_ib_ctl.scala 50:31] + assign io_dec_i0_bp_fghr = io_ifu_i0_bp_fghr; // @[el2_dec_ib_ctl.scala 51:31] + assign io_dec_i0_bp_btag = io_ifu_i0_bp_btag; // @[el2_dec_ib_ctl.scala 52:31] + assign io_dec_i0_icaf_d = io_ifu_i0_icaf; // @[el2_dec_ib_ctl.scala 45:31] + assign io_dec_i0_icaf_f1_d = io_ifu_i0_icaf_f1; // @[el2_dec_ib_ctl.scala 43:31] + assign io_dec_i0_dbecc_d = io_ifu_i0_dbecc; // @[el2_dec_ib_ctl.scala 44:31] + assign io_dec_debug_wdata_rs1_d = debug_write_gpr | debug_write_csr; // @[el2_dec_ib_ctl.scala 86:28] + assign io_dec_debug_fence_d = debug_write_csr & _T_25; // @[el2_dec_ib_ctl.scala 89:24] +endmodule +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[el2_lib.scala 465:26] + wire clkhdr_CK; // @[el2_lib.scala 465:26] + wire clkhdr_EN; // @[el2_lib.scala 465:26] + wire clkhdr_SE; // @[el2_lib.scala 465:26] + TEC_RV_ICG clkhdr ( // @[el2_lib.scala 465:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[el2_lib.scala 466:14] + assign clkhdr_CK = io_clk; // @[el2_lib.scala 467:18] + assign clkhdr_EN = io_en; // @[el2_lib.scala 468:18] + assign clkhdr_SE = io_scan_mode; // @[el2_lib.scala 469:18] +endmodule +module el2_dec_dec_ctl( + input [31:0] io_ins, + output io_out_alu, + output io_out_rs1, + output io_out_rs2, + output io_out_imm12, + output io_out_rd, + output io_out_shimm5, + output io_out_imm20, + output io_out_pc, + output io_out_load, + output io_out_store, + output io_out_lsu, + output io_out_add, + output io_out_sub, + output io_out_land, + output io_out_lor, + output io_out_lxor, + output io_out_sll, + output io_out_sra, + output io_out_srl, + output io_out_slt, + output io_out_unsign, + output io_out_condbr, + output io_out_beq, + output io_out_bne, + output io_out_bge, + output io_out_blt, + output io_out_jal, + output io_out_by, + output io_out_half, + output io_out_word, + output io_out_csr_read, + output io_out_csr_clr, + output io_out_csr_set, + output io_out_csr_write, + output io_out_csr_imm, + output io_out_presync, + output io_out_postsync, + output io_out_ebreak, + output io_out_ecall, + output io_out_mret, + output io_out_mul, + output io_out_rs1_sign, + output io_out_rs2_sign, + output io_out_low, + output io_out_div, + output io_out_rem, + output io_out_fence, + output io_out_fence_i, + output io_out_pm_alu, + output io_out_legal +); + wire _T_2 = io_ins[2] | io_ins[6]; // @[el2_dec_dec_ctl.scala 72:27] + wire _T_4 = ~io_ins[25]; // @[el2_dec_dec_ctl.scala 72:42] + wire _T_6 = _T_4 & io_ins[4]; // @[el2_dec_dec_ctl.scala 72:53] + wire _T_7 = _T_2 | _T_6; // @[el2_dec_dec_ctl.scala 72:39] + wire _T_9 = ~io_ins[5]; // @[el2_dec_dec_ctl.scala 72:68] + wire _T_11 = _T_9 & io_ins[4]; // @[el2_dec_dec_ctl.scala 72:78] + wire _T_14 = ~io_ins[14]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_16 = ~io_ins[13]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_18 = ~io_ins[2]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_19 = _T_14 & _T_16; // @[el2_dec_dec_ctl.scala 73:51] + wire _T_20 = _T_19 & _T_18; // @[el2_dec_dec_ctl.scala 73:51] + wire _T_26 = _T_16 & io_ins[11]; // @[el2_dec_dec_ctl.scala 73:90] + wire _T_27 = _T_26 & _T_18; // @[el2_dec_dec_ctl.scala 73:90] + wire _T_28 = _T_20 | _T_27; // @[el2_dec_dec_ctl.scala 73:55] + wire _T_33 = io_ins[19] & io_ins[13]; // @[el2_dec_dec_ctl.scala 74:37] + wire _T_34 = _T_33 & _T_18; // @[el2_dec_dec_ctl.scala 74:37] + wire _T_35 = _T_28 | _T_34; // @[el2_dec_dec_ctl.scala 73:94] + wire _T_41 = _T_16 & io_ins[10]; // @[el2_dec_dec_ctl.scala 74:76] + wire _T_42 = _T_41 & _T_18; // @[el2_dec_dec_ctl.scala 74:76] + wire _T_43 = _T_35 | _T_42; // @[el2_dec_dec_ctl.scala 74:41] + wire _T_45 = ~io_ins[18]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_49 = _T_45 & io_ins[13]; // @[el2_dec_dec_ctl.scala 75:38] + wire _T_50 = _T_49 & _T_18; // @[el2_dec_dec_ctl.scala 75:38] + wire _T_51 = _T_43 | _T_50; // @[el2_dec_dec_ctl.scala 74:80] + wire _T_57 = _T_16 & io_ins[9]; // @[el2_dec_dec_ctl.scala 75:76] + wire _T_58 = _T_57 & _T_18; // @[el2_dec_dec_ctl.scala 75:76] + wire _T_59 = _T_51 | _T_58; // @[el2_dec_dec_ctl.scala 75:42] + wire _T_64 = io_ins[17] & io_ins[13]; // @[el2_dec_dec_ctl.scala 76:37] + wire _T_65 = _T_64 & _T_18; // @[el2_dec_dec_ctl.scala 76:37] + wire _T_66 = _T_59 | _T_65; // @[el2_dec_dec_ctl.scala 75:80] + wire _T_72 = _T_16 & io_ins[8]; // @[el2_dec_dec_ctl.scala 76:75] + wire _T_73 = _T_72 & _T_18; // @[el2_dec_dec_ctl.scala 76:75] + wire _T_74 = _T_66 | _T_73; // @[el2_dec_dec_ctl.scala 76:41] + wire _T_79 = io_ins[16] & io_ins[13]; // @[el2_dec_dec_ctl.scala 77:37] + wire _T_80 = _T_79 & _T_18; // @[el2_dec_dec_ctl.scala 77:37] + wire _T_81 = _T_74 | _T_80; // @[el2_dec_dec_ctl.scala 76:79] + wire _T_87 = _T_16 & io_ins[7]; // @[el2_dec_dec_ctl.scala 77:75] + wire _T_88 = _T_87 & _T_18; // @[el2_dec_dec_ctl.scala 77:75] + wire _T_89 = _T_81 | _T_88; // @[el2_dec_dec_ctl.scala 77:41] + wire _T_94 = io_ins[15] & io_ins[13]; // @[el2_dec_dec_ctl.scala 78:37] + wire _T_95 = _T_94 & _T_18; // @[el2_dec_dec_ctl.scala 78:37] + wire _T_96 = _T_89 | _T_95; // @[el2_dec_dec_ctl.scala 77:79] + wire _T_98 = ~io_ins[4]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_100 = ~io_ins[3]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_101 = _T_98 & _T_100; // @[el2_dec_dec_ctl.scala 78:71] + wire _T_102 = _T_96 | _T_101; // @[el2_dec_dec_ctl.scala 78:41] + wire _T_104 = ~io_ins[6]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_107 = _T_104 & _T_18; // @[el2_dec_dec_ctl.scala 78:106] + wire _T_114 = io_ins[5] & _T_98; // @[el2_dec_dec_ctl.scala 79:48] + wire _T_115 = _T_114 & _T_18; // @[el2_dec_dec_ctl.scala 79:48] + wire _T_121 = _T_104 & io_ins[5]; // @[el2_dec_dec_ctl.scala 79:85] + wire _T_122 = _T_121 & _T_18; // @[el2_dec_dec_ctl.scala 79:85] + wire _T_130 = _T_101 & io_ins[2]; // @[el2_dec_dec_ctl.scala 80:50] + wire _T_137 = io_ins[13] & _T_9; // @[el2_dec_dec_ctl.scala 80:90] + wire _T_138 = _T_137 & io_ins[4]; // @[el2_dec_dec_ctl.scala 80:90] + wire _T_139 = _T_138 & _T_18; // @[el2_dec_dec_ctl.scala 80:90] + wire _T_140 = _T_130 | _T_139; // @[el2_dec_dec_ctl.scala 80:54] + wire _T_144 = ~io_ins[12]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_147 = _T_16 & _T_144; // @[el2_dec_dec_ctl.scala 81:40] + wire _T_148 = _T_147 & io_ins[6]; // @[el2_dec_dec_ctl.scala 81:40] + wire _T_149 = _T_148 & io_ins[4]; // @[el2_dec_dec_ctl.scala 81:40] + wire _T_150 = _T_140 | _T_149; // @[el2_dec_dec_ctl.scala 80:94] + wire _T_158 = _T_144 & _T_9; // @[el2_dec_dec_ctl.scala 81:81] + wire _T_159 = _T_158 & io_ins[4]; // @[el2_dec_dec_ctl.scala 81:81] + wire _T_160 = _T_159 & _T_18; // @[el2_dec_dec_ctl.scala 81:81] + wire _T_166 = _T_9 & _T_18; // @[el2_dec_dec_ctl.scala 82:28] + wire _T_169 = io_ins[5] & io_ins[2]; // @[el2_dec_dec_ctl.scala 82:55] + wire _T_170 = _T_166 | _T_169; // @[el2_dec_dec_ctl.scala 82:42] + wire _T_181 = _T_16 & io_ins[12]; // @[el2_dec_dec_ctl.scala 83:58] + wire _T_182 = _T_181 & _T_9; // @[el2_dec_dec_ctl.scala 83:58] + wire _T_183 = _T_182 & io_ins[4]; // @[el2_dec_dec_ctl.scala 83:58] + wire _T_187 = io_ins[5] & io_ins[3]; // @[el2_dec_dec_ctl.scala 84:29] + wire _T_190 = io_ins[4] & io_ins[2]; // @[el2_dec_dec_ctl.scala 84:53] + wire _T_196 = _T_9 & _T_100; // @[el2_dec_dec_ctl.scala 85:28] + wire _T_198 = _T_196 & io_ins[2]; // @[el2_dec_dec_ctl.scala 85:41] + wire _T_209 = _T_9 & _T_98; // @[el2_dec_dec_ctl.scala 86:50] + wire _T_224 = _T_104 & _T_98; // @[el2_dec_dec_ctl.scala 88:49] + wire _T_236 = _T_19 & _T_144; // @[el2_dec_dec_ctl.scala 89:57] + wire _T_237 = _T_236 & _T_9; // @[el2_dec_dec_ctl.scala 89:57] + wire _T_238 = _T_237 & io_ins[4]; // @[el2_dec_dec_ctl.scala 89:57] + wire _T_246 = _T_238 | _T_198; // @[el2_dec_dec_ctl.scala 89:61] + wire _T_248 = ~io_ins[30]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_262 = _T_248 & _T_4; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_263 = _T_262 & _T_14; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_264 = _T_263 & _T_16; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_265 = _T_264 & _T_144; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_266 = _T_265 & _T_104; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_267 = _T_266 & io_ins[4]; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_268 = _T_267 & _T_18; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_279 = io_ins[30] & _T_144; // @[el2_dec_dec_ctl.scala 91:57] + wire _T_280 = _T_279 & _T_104; // @[el2_dec_dec_ctl.scala 91:57] + wire _T_281 = _T_280 & io_ins[5]; // @[el2_dec_dec_ctl.scala 91:57] + wire _T_282 = _T_281 & io_ins[4]; // @[el2_dec_dec_ctl.scala 91:57] + wire _T_283 = _T_282 & _T_18; // @[el2_dec_dec_ctl.scala 91:57] + wire _T_294 = _T_4 & _T_14; // @[el2_dec_dec_ctl.scala 91:105] + wire _T_295 = _T_294 & io_ins[13]; // @[el2_dec_dec_ctl.scala 91:105] + wire _T_296 = _T_295 & _T_104; // @[el2_dec_dec_ctl.scala 91:105] + wire _T_297 = _T_296 & io_ins[4]; // @[el2_dec_dec_ctl.scala 91:105] + wire _T_298 = _T_297 & _T_18; // @[el2_dec_dec_ctl.scala 91:105] + wire _T_299 = _T_283 | _T_298; // @[el2_dec_dec_ctl.scala 91:61] + wire _T_308 = _T_14 & io_ins[13]; // @[el2_dec_dec_ctl.scala 92:43] + wire _T_309 = _T_308 & _T_9; // @[el2_dec_dec_ctl.scala 92:43] + wire _T_310 = _T_309 & io_ins[4]; // @[el2_dec_dec_ctl.scala 92:43] + wire _T_311 = _T_310 & _T_18; // @[el2_dec_dec_ctl.scala 92:43] + wire _T_312 = _T_299 | _T_311; // @[el2_dec_dec_ctl.scala 91:109] + wire _T_318 = io_ins[6] & _T_98; // @[el2_dec_dec_ctl.scala 92:80] + wire _T_319 = _T_318 & _T_18; // @[el2_dec_dec_ctl.scala 92:80] + wire _T_328 = io_ins[14] & io_ins[13]; // @[el2_dec_dec_ctl.scala 93:56] + wire _T_329 = _T_328 & io_ins[12]; // @[el2_dec_dec_ctl.scala 93:56] + wire _T_330 = _T_329 & _T_9; // @[el2_dec_dec_ctl.scala 93:56] + wire _T_331 = _T_330 & _T_18; // @[el2_dec_dec_ctl.scala 93:56] + wire _T_341 = _T_4 & io_ins[14]; // @[el2_dec_dec_ctl.scala 93:104] + wire _T_342 = _T_341 & io_ins[13]; // @[el2_dec_dec_ctl.scala 93:104] + wire _T_343 = _T_342 & io_ins[12]; // @[el2_dec_dec_ctl.scala 93:104] + wire _T_344 = _T_343 & _T_104; // @[el2_dec_dec_ctl.scala 93:104] + wire _T_345 = _T_344 & _T_18; // @[el2_dec_dec_ctl.scala 93:104] + wire _T_350 = _T_104 & io_ins[3]; // @[el2_dec_dec_ctl.scala 94:45] + wire _T_363 = _T_342 & _T_144; // @[el2_dec_dec_ctl.scala 94:94] + wire _T_364 = _T_363 & _T_104; // @[el2_dec_dec_ctl.scala 94:94] + wire _T_365 = _T_364 & _T_18; // @[el2_dec_dec_ctl.scala 94:94] + wire _T_366 = _T_350 | _T_365; // @[el2_dec_dec_ctl.scala 94:49] + wire _T_370 = io_ins[5] & io_ins[4]; // @[el2_dec_dec_ctl.scala 95:34] + wire _T_371 = _T_370 & io_ins[2]; // @[el2_dec_dec_ctl.scala 95:34] + wire _T_372 = _T_366 | _T_371; // @[el2_dec_dec_ctl.scala 94:98] + wire _T_382 = _T_372 | _T_149; // @[el2_dec_dec_ctl.scala 95:38] + wire _T_392 = _T_328 & _T_144; // @[el2_dec_dec_ctl.scala 96:44] + wire _T_393 = _T_392 & _T_9; // @[el2_dec_dec_ctl.scala 96:44] + wire _T_394 = _T_393 & _T_18; // @[el2_dec_dec_ctl.scala 96:44] + wire _T_407 = _T_341 & _T_16; // @[el2_dec_dec_ctl.scala 97:61] + wire _T_408 = _T_407 & _T_144; // @[el2_dec_dec_ctl.scala 97:61] + wire _T_409 = _T_408 & io_ins[4]; // @[el2_dec_dec_ctl.scala 97:61] + wire _T_410 = _T_409 & _T_18; // @[el2_dec_dec_ctl.scala 97:61] + wire _T_421 = io_ins[14] & _T_16; // @[el2_dec_dec_ctl.scala 97:109] + wire _T_422 = _T_421 & _T_144; // @[el2_dec_dec_ctl.scala 97:109] + wire _T_423 = _T_422 & _T_9; // @[el2_dec_dec_ctl.scala 97:109] + wire _T_424 = _T_423 & io_ins[4]; // @[el2_dec_dec_ctl.scala 97:109] + wire _T_425 = _T_424 & _T_18; // @[el2_dec_dec_ctl.scala 97:109] + wire _T_440 = _T_294 & _T_16; // @[el2_dec_dec_ctl.scala 98:63] + wire _T_441 = _T_440 & io_ins[12]; // @[el2_dec_dec_ctl.scala 98:63] + wire _T_442 = _T_441 & _T_104; // @[el2_dec_dec_ctl.scala 98:63] + wire _T_443 = _T_442 & io_ins[4]; // @[el2_dec_dec_ctl.scala 98:63] + wire _T_454 = io_ins[30] & _T_16; // @[el2_dec_dec_ctl.scala 99:58] + wire _T_455 = _T_454 & io_ins[12]; // @[el2_dec_dec_ctl.scala 99:58] + wire _T_456 = _T_455 & _T_104; // @[el2_dec_dec_ctl.scala 99:58] + wire _T_457 = _T_456 & io_ins[4]; // @[el2_dec_dec_ctl.scala 99:58] + wire _T_473 = _T_262 & io_ins[14]; // @[el2_dec_dec_ctl.scala 100:66] + wire _T_474 = _T_473 & _T_16; // @[el2_dec_dec_ctl.scala 100:66] + wire _T_475 = _T_474 & io_ins[12]; // @[el2_dec_dec_ctl.scala 100:66] + wire _T_476 = _T_475 & _T_104; // @[el2_dec_dec_ctl.scala 100:66] + wire _T_477 = _T_476 & io_ins[4]; // @[el2_dec_dec_ctl.scala 100:66] + wire _T_492 = _T_295 & io_ins[12]; // @[el2_dec_dec_ctl.scala 101:62] + wire _T_493 = _T_492 & _T_104; // @[el2_dec_dec_ctl.scala 101:62] + wire _T_494 = _T_493 & io_ins[4]; // @[el2_dec_dec_ctl.scala 101:62] + wire _T_495 = _T_494 & _T_18; // @[el2_dec_dec_ctl.scala 101:62] + wire _T_518 = _T_308 & io_ins[12]; // @[el2_dec_dec_ctl.scala 102:59] + wire _T_519 = _T_518 & _T_9; // @[el2_dec_dec_ctl.scala 102:59] + wire _T_520 = _T_519 & _T_18; // @[el2_dec_dec_ctl.scala 102:59] + wire _T_527 = io_ins[13] & io_ins[6]; // @[el2_dec_dec_ctl.scala 102:99] + wire _T_528 = _T_527 & _T_98; // @[el2_dec_dec_ctl.scala 102:99] + wire _T_529 = _T_528 & _T_18; // @[el2_dec_dec_ctl.scala 102:99] + wire _T_530 = _T_520 | _T_529; // @[el2_dec_dec_ctl.scala 102:63] + wire _T_536 = io_ins[14] & _T_9; // @[el2_dec_dec_ctl.scala 103:37] + wire _T_537 = _T_536 & _T_98; // @[el2_dec_dec_ctl.scala 103:37] + wire _T_538 = _T_530 | _T_537; // @[el2_dec_dec_ctl.scala 102:103] + wire _T_553 = _T_493 & _T_18; // @[el2_dec_dec_ctl.scala 103:86] + wire _T_554 = _T_538 | _T_553; // @[el2_dec_dec_ctl.scala 103:41] + wire _T_563 = io_ins[25] & io_ins[14]; // @[el2_dec_dec_ctl.scala 104:45] + wire _T_564 = _T_563 & io_ins[12]; // @[el2_dec_dec_ctl.scala 104:45] + wire _T_565 = _T_564 & _T_104; // @[el2_dec_dec_ctl.scala 104:45] + wire _T_566 = _T_565 & io_ins[5]; // @[el2_dec_dec_ctl.scala 104:45] + wire _T_567 = _T_566 & _T_18; // @[el2_dec_dec_ctl.scala 104:45] + wire _T_585 = _T_14 & _T_144; // @[el2_dec_dec_ctl.scala 106:56] + wire _T_586 = _T_585 & io_ins[6]; // @[el2_dec_dec_ctl.scala 106:56] + wire _T_587 = _T_586 & _T_98; // @[el2_dec_dec_ctl.scala 106:56] + wire _T_597 = _T_14 & io_ins[12]; // @[el2_dec_dec_ctl.scala 107:55] + wire _T_598 = _T_597 & io_ins[6]; // @[el2_dec_dec_ctl.scala 107:55] + wire _T_599 = _T_598 & _T_98; // @[el2_dec_dec_ctl.scala 107:55] + wire _T_608 = io_ins[14] & io_ins[12]; // @[el2_dec_dec_ctl.scala 108:54] + wire _T_609 = _T_608 & io_ins[5]; // @[el2_dec_dec_ctl.scala 108:54] + wire _T_610 = _T_609 & _T_98; // @[el2_dec_dec_ctl.scala 108:54] + wire _T_620 = io_ins[14] & _T_144; // @[el2_dec_dec_ctl.scala 109:55] + wire _T_621 = _T_620 & io_ins[5]; // @[el2_dec_dec_ctl.scala 109:55] + wire _T_622 = _T_621 & _T_98; // @[el2_dec_dec_ctl.scala 109:55] + wire _T_638 = _T_147 & _T_104; // @[el2_dec_dec_ctl.scala 111:56] + wire _T_639 = _T_638 & _T_98; // @[el2_dec_dec_ctl.scala 111:56] + wire _T_648 = io_ins[12] & _T_104; // @[el2_dec_dec_ctl.scala 112:53] + wire _T_649 = _T_648 & _T_98; // @[el2_dec_dec_ctl.scala 112:53] + wire _T_656 = io_ins[13] & _T_104; // @[el2_dec_dec_ctl.scala 113:50] + wire _T_662 = _T_527 & io_ins[4]; // @[el2_dec_dec_ctl.scala 114:52] + wire _T_666 = io_ins[7] & io_ins[6]; // @[el2_dec_dec_ctl.scala 114:87] + wire _T_667 = _T_666 & io_ins[4]; // @[el2_dec_dec_ctl.scala 114:87] + wire _T_668 = _T_662 | _T_667; // @[el2_dec_dec_ctl.scala 114:56] + wire _T_672 = io_ins[8] & io_ins[6]; // @[el2_dec_dec_ctl.scala 115:34] + wire _T_673 = _T_672 & io_ins[4]; // @[el2_dec_dec_ctl.scala 115:34] + wire _T_674 = _T_668 | _T_673; // @[el2_dec_dec_ctl.scala 114:91] + wire _T_678 = io_ins[9] & io_ins[6]; // @[el2_dec_dec_ctl.scala 115:69] + wire _T_679 = _T_678 & io_ins[4]; // @[el2_dec_dec_ctl.scala 115:69] + wire _T_680 = _T_674 | _T_679; // @[el2_dec_dec_ctl.scala 115:38] + wire _T_684 = io_ins[10] & io_ins[6]; // @[el2_dec_dec_ctl.scala 115:105] + wire _T_685 = _T_684 & io_ins[4]; // @[el2_dec_dec_ctl.scala 115:105] + wire _T_686 = _T_680 | _T_685; // @[el2_dec_dec_ctl.scala 115:73] + wire _T_690 = io_ins[11] & io_ins[6]; // @[el2_dec_dec_ctl.scala 116:35] + wire _T_691 = _T_690 & io_ins[4]; // @[el2_dec_dec_ctl.scala 116:35] + wire _T_699 = _T_94 & io_ins[12]; // @[el2_dec_dec_ctl.scala 117:57] + wire _T_700 = _T_699 & io_ins[6]; // @[el2_dec_dec_ctl.scala 117:57] + wire _T_701 = _T_700 & io_ins[4]; // @[el2_dec_dec_ctl.scala 117:57] + wire _T_708 = _T_79 & io_ins[12]; // @[el2_dec_dec_ctl.scala 117:99] + wire _T_709 = _T_708 & io_ins[6]; // @[el2_dec_dec_ctl.scala 117:99] + wire _T_710 = _T_709 & io_ins[4]; // @[el2_dec_dec_ctl.scala 117:99] + wire _T_711 = _T_701 | _T_710; // @[el2_dec_dec_ctl.scala 117:61] + wire _T_718 = _T_64 & io_ins[12]; // @[el2_dec_dec_ctl.scala 118:41] + wire _T_719 = _T_718 & io_ins[6]; // @[el2_dec_dec_ctl.scala 118:41] + wire _T_720 = _T_719 & io_ins[4]; // @[el2_dec_dec_ctl.scala 118:41] + wire _T_721 = _T_711 | _T_720; // @[el2_dec_dec_ctl.scala 117:103] + wire _T_727 = io_ins[18] & _T_144; // @[el2_dec_dec_ctl.scala 118:81] + wire _T_728 = _T_727 & io_ins[6]; // @[el2_dec_dec_ctl.scala 118:81] + wire _T_729 = _T_728 & io_ins[4]; // @[el2_dec_dec_ctl.scala 118:81] + wire _T_730 = _T_721 | _T_729; // @[el2_dec_dec_ctl.scala 118:45] + wire _T_736 = io_ins[19] & _T_144; // @[el2_dec_dec_ctl.scala 119:39] + wire _T_737 = _T_736 & io_ins[6]; // @[el2_dec_dec_ctl.scala 119:39] + wire _T_738 = _T_737 & io_ins[4]; // @[el2_dec_dec_ctl.scala 119:39] + wire _T_746 = _T_181 & io_ins[6]; // @[el2_dec_dec_ctl.scala 120:57] + wire _T_754 = _T_421 & io_ins[6]; // @[el2_dec_dec_ctl.scala 121:55] + wire _T_755 = _T_754 & io_ins[4]; // @[el2_dec_dec_ctl.scala 121:55] + wire _T_760 = io_ins[15] & io_ins[14]; // @[el2_dec_dec_ctl.scala 121:94] + wire _T_761 = _T_760 & io_ins[6]; // @[el2_dec_dec_ctl.scala 121:94] + wire _T_762 = _T_761 & io_ins[4]; // @[el2_dec_dec_ctl.scala 121:94] + wire _T_763 = _T_755 | _T_762; // @[el2_dec_dec_ctl.scala 121:59] + wire _T_768 = io_ins[16] & io_ins[14]; // @[el2_dec_dec_ctl.scala 122:38] + wire _T_769 = _T_768 & io_ins[6]; // @[el2_dec_dec_ctl.scala 122:38] + wire _T_770 = _T_769 & io_ins[4]; // @[el2_dec_dec_ctl.scala 122:38] + wire _T_771 = _T_763 | _T_770; // @[el2_dec_dec_ctl.scala 121:98] + wire _T_776 = io_ins[17] & io_ins[14]; // @[el2_dec_dec_ctl.scala 122:77] + wire _T_777 = _T_776 & io_ins[6]; // @[el2_dec_dec_ctl.scala 122:77] + wire _T_778 = _T_777 & io_ins[4]; // @[el2_dec_dec_ctl.scala 122:77] + wire _T_779 = _T_771 | _T_778; // @[el2_dec_dec_ctl.scala 122:42] + wire _T_784 = io_ins[18] & io_ins[14]; // @[el2_dec_dec_ctl.scala 123:38] + wire _T_785 = _T_784 & io_ins[6]; // @[el2_dec_dec_ctl.scala 123:38] + wire _T_786 = _T_785 & io_ins[4]; // @[el2_dec_dec_ctl.scala 123:38] + wire _T_787 = _T_779 | _T_786; // @[el2_dec_dec_ctl.scala 122:81] + wire _T_792 = io_ins[19] & io_ins[14]; // @[el2_dec_dec_ctl.scala 123:77] + wire _T_793 = _T_792 & io_ins[6]; // @[el2_dec_dec_ctl.scala 123:77] + wire _T_794 = _T_793 & io_ins[4]; // @[el2_dec_dec_ctl.scala 123:77] + wire _T_801 = io_ins[15] & _T_144; // @[el2_dec_dec_ctl.scala 124:55] + wire _T_802 = _T_801 & io_ins[6]; // @[el2_dec_dec_ctl.scala 124:55] + wire _T_803 = _T_802 & io_ins[4]; // @[el2_dec_dec_ctl.scala 124:55] + wire _T_809 = io_ins[16] & _T_144; // @[el2_dec_dec_ctl.scala 124:95] + wire _T_810 = _T_809 & io_ins[6]; // @[el2_dec_dec_ctl.scala 124:95] + wire _T_811 = _T_810 & io_ins[4]; // @[el2_dec_dec_ctl.scala 124:95] + wire _T_812 = _T_803 | _T_811; // @[el2_dec_dec_ctl.scala 124:59] + wire _T_818 = io_ins[17] & _T_144; // @[el2_dec_dec_ctl.scala 125:39] + wire _T_819 = _T_818 & io_ins[6]; // @[el2_dec_dec_ctl.scala 125:39] + wire _T_820 = _T_819 & io_ins[4]; // @[el2_dec_dec_ctl.scala 125:39] + wire _T_821 = _T_812 | _T_820; // @[el2_dec_dec_ctl.scala 124:99] + wire _T_830 = _T_821 | _T_729; // @[el2_dec_dec_ctl.scala 125:43] + wire _T_841 = ~io_ins[22]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_849 = _T_841 & io_ins[20]; // @[el2_dec_dec_ctl.scala 127:62] + wire _T_850 = _T_849 & _T_16; // @[el2_dec_dec_ctl.scala 127:62] + wire _T_851 = _T_850 & _T_144; // @[el2_dec_dec_ctl.scala 127:62] + wire _T_852 = _T_851 & io_ins[6]; // @[el2_dec_dec_ctl.scala 127:62] + wire _T_855 = ~io_ins[21]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_857 = ~io_ins[20]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_864 = _T_855 & _T_857; // @[el2_dec_dec_ctl.scala 128:62] + wire _T_865 = _T_864 & _T_16; // @[el2_dec_dec_ctl.scala 128:62] + wire _T_866 = _T_865 & _T_144; // @[el2_dec_dec_ctl.scala 128:62] + wire _T_867 = _T_866 & io_ins[6]; // @[el2_dec_dec_ctl.scala 128:62] + wire _T_876 = io_ins[29] & _T_16; // @[el2_dec_dec_ctl.scala 129:56] + wire _T_877 = _T_876 & _T_144; // @[el2_dec_dec_ctl.scala 129:56] + wire _T_878 = _T_877 & io_ins[6]; // @[el2_dec_dec_ctl.scala 129:56] + wire _T_889 = io_ins[25] & _T_14; // @[el2_dec_dec_ctl.scala 130:57] + wire _T_890 = _T_889 & _T_104; // @[el2_dec_dec_ctl.scala 130:57] + wire _T_891 = _T_890 & io_ins[5]; // @[el2_dec_dec_ctl.scala 130:57] + wire _T_892 = _T_891 & io_ins[4]; // @[el2_dec_dec_ctl.scala 130:57] + wire _T_907 = _T_889 & io_ins[13]; // @[el2_dec_dec_ctl.scala 131:69] + wire _T_908 = _T_907 & _T_144; // @[el2_dec_dec_ctl.scala 131:69] + wire _T_909 = _T_908 & _T_104; // @[el2_dec_dec_ctl.scala 131:69] + wire _T_910 = _T_909 & io_ins[5]; // @[el2_dec_dec_ctl.scala 131:69] + wire _T_911 = _T_910 & io_ins[4]; // @[el2_dec_dec_ctl.scala 131:69] + wire _T_912 = _T_911 & _T_18; // @[el2_dec_dec_ctl.scala 131:69] + wire _T_925 = _T_889 & _T_16; // @[el2_dec_dec_ctl.scala 132:50] + wire _T_926 = _T_925 & io_ins[12]; // @[el2_dec_dec_ctl.scala 132:50] + wire _T_927 = _T_926 & _T_104; // @[el2_dec_dec_ctl.scala 132:50] + wire _T_928 = _T_927 & io_ins[4]; // @[el2_dec_dec_ctl.scala 132:50] + wire _T_929 = _T_928 & _T_18; // @[el2_dec_dec_ctl.scala 132:50] + wire _T_961 = _T_925 & _T_144; // @[el2_dec_dec_ctl.scala 134:62] + wire _T_962 = _T_961 & io_ins[5]; // @[el2_dec_dec_ctl.scala 134:62] + wire _T_963 = _T_962 & io_ins[4]; // @[el2_dec_dec_ctl.scala 134:62] + wire _T_973 = _T_563 & _T_104; // @[el2_dec_dec_ctl.scala 135:54] + wire _T_974 = _T_973 & io_ins[5]; // @[el2_dec_dec_ctl.scala 135:54] + wire _T_985 = _T_563 & io_ins[13]; // @[el2_dec_dec_ctl.scala 136:57] + wire _T_986 = _T_985 & _T_104; // @[el2_dec_dec_ctl.scala 136:57] + wire _T_987 = _T_986 & io_ins[5]; // @[el2_dec_dec_ctl.scala 136:57] + wire _T_992 = _T_9 & io_ins[3]; // @[el2_dec_dec_ctl.scala 137:47] + wire _T_997 = io_ins[12] & _T_9; // @[el2_dec_dec_ctl.scala 138:52] + wire _T_998 = _T_997 & io_ins[3]; // @[el2_dec_dec_ctl.scala 138:52] + wire _T_1006 = io_ins[28] & io_ins[22]; // @[el2_dec_dec_ctl.scala 139:59] + wire _T_1007 = _T_1006 & _T_16; // @[el2_dec_dec_ctl.scala 139:59] + wire _T_1008 = _T_1007 & _T_144; // @[el2_dec_dec_ctl.scala 139:59] + wire _T_1009 = _T_1008 & io_ins[4]; // @[el2_dec_dec_ctl.scala 139:59] + wire _T_1013 = _T_1009 | _T_190; // @[el2_dec_dec_ctl.scala 139:63] + wire _T_1019 = _T_4 & _T_104; // @[el2_dec_dec_ctl.scala 140:37] + wire _T_1020 = _T_1019 & io_ins[4]; // @[el2_dec_dec_ctl.scala 140:37] + wire _T_1021 = _T_1013 | _T_1020; // @[el2_dec_dec_ctl.scala 139:96] + wire _T_1037 = _T_87 & io_ins[6]; // @[el2_dec_dec_ctl.scala 141:88] + wire _T_1038 = _T_1037 & io_ins[4]; // @[el2_dec_dec_ctl.scala 141:88] + wire _T_1039 = _T_992 | _T_1038; // @[el2_dec_dec_ctl.scala 141:53] + wire _T_1046 = _T_72 & io_ins[6]; // @[el2_dec_dec_ctl.scala 142:38] + wire _T_1047 = _T_1046 & io_ins[4]; // @[el2_dec_dec_ctl.scala 142:38] + wire _T_1048 = _T_1039 | _T_1047; // @[el2_dec_dec_ctl.scala 141:92] + wire _T_1055 = _T_57 & io_ins[6]; // @[el2_dec_dec_ctl.scala 142:77] + wire _T_1056 = _T_1055 & io_ins[4]; // @[el2_dec_dec_ctl.scala 142:77] + wire _T_1057 = _T_1048 | _T_1056; // @[el2_dec_dec_ctl.scala 142:42] + wire _T_1066 = _T_1057 | _T_1056; // @[el2_dec_dec_ctl.scala 142:81] + wire _T_1073 = _T_41 & io_ins[6]; // @[el2_dec_dec_ctl.scala 143:78] + wire _T_1074 = _T_1073 & io_ins[4]; // @[el2_dec_dec_ctl.scala 143:78] + wire _T_1075 = _T_1066 | _T_1074; // @[el2_dec_dec_ctl.scala 143:42] + wire _T_1082 = _T_26 & io_ins[6]; // @[el2_dec_dec_ctl.scala 144:39] + wire _T_1083 = _T_1082 & io_ins[4]; // @[el2_dec_dec_ctl.scala 144:39] + wire _T_1084 = _T_1075 | _T_1083; // @[el2_dec_dec_ctl.scala 143:82] + wire _T_1090 = _T_94 & io_ins[6]; // @[el2_dec_dec_ctl.scala 144:78] + wire _T_1091 = _T_1090 & io_ins[4]; // @[el2_dec_dec_ctl.scala 144:78] + wire _T_1092 = _T_1084 | _T_1091; // @[el2_dec_dec_ctl.scala 144:43] + wire _T_1098 = _T_79 & io_ins[6]; // @[el2_dec_dec_ctl.scala 145:38] + wire _T_1099 = _T_1098 & io_ins[4]; // @[el2_dec_dec_ctl.scala 145:38] + wire _T_1100 = _T_1092 | _T_1099; // @[el2_dec_dec_ctl.scala 144:82] + wire _T_1106 = _T_64 & io_ins[6]; // @[el2_dec_dec_ctl.scala 145:77] + wire _T_1107 = _T_1106 & io_ins[4]; // @[el2_dec_dec_ctl.scala 145:77] + wire _T_1108 = _T_1100 | _T_1107; // @[el2_dec_dec_ctl.scala 145:42] + wire _T_1113 = io_ins[18] & io_ins[13]; // @[el2_dec_dec_ctl.scala 146:38] + wire _T_1114 = _T_1113 & io_ins[6]; // @[el2_dec_dec_ctl.scala 146:38] + wire _T_1115 = _T_1114 & io_ins[4]; // @[el2_dec_dec_ctl.scala 146:38] + wire _T_1116 = _T_1108 | _T_1115; // @[el2_dec_dec_ctl.scala 145:81] + wire _T_1122 = _T_33 & io_ins[6]; // @[el2_dec_dec_ctl.scala 146:77] + wire _T_1123 = _T_1122 & io_ins[4]; // @[el2_dec_dec_ctl.scala 146:77] + wire _T_1139 = _T_841 & _T_16; // @[el2_dec_dec_ctl.scala 147:98] + wire _T_1140 = _T_1139 & _T_144; // @[el2_dec_dec_ctl.scala 147:98] + wire _T_1141 = _T_1140 & io_ins[6]; // @[el2_dec_dec_ctl.scala 147:98] + wire _T_1142 = _T_1141 & io_ins[4]; // @[el2_dec_dec_ctl.scala 147:98] + wire _T_1143 = _T_998 | _T_1142; // @[el2_dec_dec_ctl.scala 147:57] + wire _T_1152 = _T_1143 | _T_1038; // @[el2_dec_dec_ctl.scala 147:102] + wire _T_1161 = _T_1152 | _T_1047; // @[el2_dec_dec_ctl.scala 148:42] + wire _T_1170 = _T_1161 | _T_1056; // @[el2_dec_dec_ctl.scala 148:81] + wire _T_1179 = _T_1170 | _T_1074; // @[el2_dec_dec_ctl.scala 149:42] + wire _T_1188 = _T_1179 | _T_1083; // @[el2_dec_dec_ctl.scala 149:82] + wire _T_1196 = _T_1188 | _T_1091; // @[el2_dec_dec_ctl.scala 150:43] + wire _T_1204 = _T_1196 | _T_1099; // @[el2_dec_dec_ctl.scala 150:82] + wire _T_1212 = _T_1204 | _T_1107; // @[el2_dec_dec_ctl.scala 151:42] + wire _T_1220 = _T_1212 | _T_1115; // @[el2_dec_dec_ctl.scala 151:81] + wire _T_1230 = ~io_ins[31]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1236 = ~io_ins[27]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1238 = ~io_ins[26]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1242 = ~io_ins[24]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1244 = ~io_ins[23]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1251 = ~io_ins[19]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1255 = ~io_ins[17]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1257 = ~io_ins[16]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1259 = ~io_ins[15]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1263 = ~io_ins[11]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1265 = ~io_ins[10]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1267 = ~io_ins[9]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1269 = ~io_ins[8]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1271 = ~io_ins[7]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1281 = ~io_ins[0]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1282 = _T_1230 & _T_248; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1283 = _T_1282 & io_ins[29]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1284 = _T_1283 & io_ins[28]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1285 = _T_1284 & _T_1236; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1286 = _T_1285 & _T_1238; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1287 = _T_1286 & _T_4; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1288 = _T_1287 & _T_1242; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1289 = _T_1288 & _T_1244; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1290 = _T_1289 & _T_841; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1291 = _T_1290 & io_ins[21]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1292 = _T_1291 & _T_857; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1293 = _T_1292 & _T_1251; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1294 = _T_1293 & _T_45; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1295 = _T_1294 & _T_1255; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1296 = _T_1295 & _T_1257; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1297 = _T_1296 & _T_1259; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1298 = _T_1297 & _T_14; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1299 = _T_1298 & _T_1263; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1300 = _T_1299 & _T_1265; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1301 = _T_1300 & _T_1267; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1302 = _T_1301 & _T_1269; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1303 = _T_1302 & _T_1271; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1304 = _T_1303 & io_ins[6]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1305 = _T_1304 & io_ins[5]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1306 = _T_1305 & io_ins[4]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1307 = _T_1306 & _T_100; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1308 = _T_1307 & _T_18; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1309 = _T_1308 & io_ins[1]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1310 = _T_1309 & _T_1281; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1316 = ~io_ins[29]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1365 = _T_1282 & _T_1316; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1366 = _T_1365 & io_ins[28]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1367 = _T_1366 & _T_1236; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1368 = _T_1367 & _T_1238; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1369 = _T_1368 & _T_4; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1370 = _T_1369 & _T_1242; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1371 = _T_1370 & _T_1244; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1372 = _T_1371 & io_ins[22]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1373 = _T_1372 & _T_855; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1374 = _T_1373 & io_ins[20]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1375 = _T_1374 & _T_1251; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1376 = _T_1375 & _T_45; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1377 = _T_1376 & _T_1255; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1378 = _T_1377 & _T_1257; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1379 = _T_1378 & _T_1259; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1380 = _T_1379 & _T_14; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1381 = _T_1380 & _T_1263; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1382 = _T_1381 & _T_1265; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1383 = _T_1382 & _T_1267; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1384 = _T_1383 & _T_1269; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1385 = _T_1384 & _T_1271; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1386 = _T_1385 & io_ins[6]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1387 = _T_1386 & io_ins[5]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1388 = _T_1387 & io_ins[4]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1389 = _T_1388 & _T_100; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1390 = _T_1389 & _T_18; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1391 = _T_1390 & io_ins[1]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1392 = _T_1391 & _T_1281; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1393 = _T_1310 | _T_1392; // @[el2_dec_dec_ctl.scala 153:148] + wire _T_1401 = ~io_ins[28]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1449 = _T_1365 & _T_1401; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1450 = _T_1449 & _T_1236; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1451 = _T_1450 & _T_1238; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1452 = _T_1451 & _T_4; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1453 = _T_1452 & _T_1242; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1454 = _T_1453 & _T_1244; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1455 = _T_1454 & _T_841; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1456 = _T_1455 & _T_855; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1457 = _T_1456 & _T_1251; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1458 = _T_1457 & _T_45; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1459 = _T_1458 & _T_1255; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1460 = _T_1459 & _T_1257; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1461 = _T_1460 & _T_1259; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1462 = _T_1461 & _T_14; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1463 = _T_1462 & _T_1263; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1464 = _T_1463 & _T_1265; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1465 = _T_1464 & _T_1267; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1466 = _T_1465 & _T_1269; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1467 = _T_1466 & _T_1271; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1468 = _T_1467 & io_ins[5]; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1469 = _T_1468 & io_ins[4]; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1470 = _T_1469 & _T_100; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1471 = _T_1470 & _T_18; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1472 = _T_1471 & io_ins[1]; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1473 = _T_1472 & _T_1281; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1474 = _T_1393 | _T_1473; // @[el2_dec_dec_ctl.scala 154:134] + wire _T_1503 = _T_1452 & _T_104; // @[el2_dec_dec_ctl.scala 156:68] + wire _T_1504 = _T_1503 & io_ins[4]; // @[el2_dec_dec_ctl.scala 156:68] + wire _T_1505 = _T_1504 & _T_100; // @[el2_dec_dec_ctl.scala 156:68] + wire _T_1506 = _T_1505 & io_ins[1]; // @[el2_dec_dec_ctl.scala 156:68] + wire _T_1507 = _T_1506 & _T_1281; // @[el2_dec_dec_ctl.scala 156:68] + wire _T_1508 = _T_1474 | _T_1507; // @[el2_dec_dec_ctl.scala 155:131] + wire _T_1536 = _T_1230 & _T_1316; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1537 = _T_1536 & _T_1401; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1538 = _T_1537 & _T_1236; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1539 = _T_1538 & _T_1238; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1540 = _T_1539 & _T_4; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1541 = _T_1540 & _T_14; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1542 = _T_1541 & _T_16; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1543 = _T_1542 & _T_144; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1544 = _T_1543 & _T_104; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1545 = _T_1544 & _T_100; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1546 = _T_1545 & _T_18; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1547 = _T_1546 & io_ins[1]; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1548 = _T_1547 & _T_1281; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1549 = _T_1508 | _T_1548; // @[el2_dec_dec_ctl.scala 156:72] + wire _T_1579 = _T_1540 & io_ins[14]; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1580 = _T_1579 & _T_16; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1581 = _T_1580 & io_ins[12]; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1582 = _T_1581 & _T_104; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1583 = _T_1582 & io_ins[4]; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1584 = _T_1583 & _T_100; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1585 = _T_1584 & io_ins[1]; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1586 = _T_1585 & _T_1281; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1587 = _T_1549 | _T_1586; // @[el2_dec_dec_ctl.scala 157:81] + wire _T_1614 = _T_1451 & _T_104; // @[el2_dec_dec_ctl.scala 159:66] + wire _T_1615 = _T_1614 & io_ins[5]; // @[el2_dec_dec_ctl.scala 159:66] + wire _T_1616 = _T_1615 & io_ins[4]; // @[el2_dec_dec_ctl.scala 159:66] + wire _T_1617 = _T_1616 & _T_100; // @[el2_dec_dec_ctl.scala 159:66] + wire _T_1618 = _T_1617 & io_ins[1]; // @[el2_dec_dec_ctl.scala 159:66] + wire _T_1619 = _T_1618 & _T_1281; // @[el2_dec_dec_ctl.scala 159:66] + wire _T_1620 = _T_1587 | _T_1619; // @[el2_dec_dec_ctl.scala 158:78] + wire _T_1638 = _T_236 & io_ins[6]; // @[el2_dec_dec_ctl.scala 160:54] + wire _T_1639 = _T_1638 & io_ins[5]; // @[el2_dec_dec_ctl.scala 160:54] + wire _T_1640 = _T_1639 & _T_98; // @[el2_dec_dec_ctl.scala 160:54] + wire _T_1641 = _T_1640 & _T_100; // @[el2_dec_dec_ctl.scala 160:54] + wire _T_1642 = _T_1641 & io_ins[1]; // @[el2_dec_dec_ctl.scala 160:54] + wire _T_1643 = _T_1642 & _T_1281; // @[el2_dec_dec_ctl.scala 160:54] + wire _T_1644 = _T_1620 | _T_1643; // @[el2_dec_dec_ctl.scala 159:70] + wire _T_1657 = io_ins[14] & io_ins[6]; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1658 = _T_1657 & io_ins[5]; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1659 = _T_1658 & _T_98; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1660 = _T_1659 & _T_100; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1661 = _T_1660 & _T_18; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1662 = _T_1661 & io_ins[1]; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1663 = _T_1662 & _T_1281; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1664 = _T_1644 | _T_1663; // @[el2_dec_dec_ctl.scala 160:58] + wire _T_1677 = _T_144 & _T_104; // @[el2_dec_dec_ctl.scala 162:47] + wire _T_1678 = _T_1677 & _T_9; // @[el2_dec_dec_ctl.scala 162:47] + wire _T_1679 = _T_1678 & io_ins[4]; // @[el2_dec_dec_ctl.scala 162:47] + wire _T_1680 = _T_1679 & _T_100; // @[el2_dec_dec_ctl.scala 162:47] + wire _T_1681 = _T_1680 & io_ins[1]; // @[el2_dec_dec_ctl.scala 162:47] + wire _T_1682 = _T_1681 & _T_1281; // @[el2_dec_dec_ctl.scala 162:47] + wire _T_1683 = _T_1664 | _T_1682; // @[el2_dec_dec_ctl.scala 161:52] + wire _T_1699 = _T_19 & io_ins[5]; // @[el2_dec_dec_ctl.scala 162:99] + wire _T_1700 = _T_1699 & _T_98; // @[el2_dec_dec_ctl.scala 162:99] + wire _T_1701 = _T_1700 & _T_100; // @[el2_dec_dec_ctl.scala 162:99] + wire _T_1702 = _T_1701 & _T_18; // @[el2_dec_dec_ctl.scala 162:99] + wire _T_1703 = _T_1702 & io_ins[1]; // @[el2_dec_dec_ctl.scala 162:99] + wire _T_1704 = _T_1703 & _T_1281; // @[el2_dec_dec_ctl.scala 162:99] + wire _T_1705 = _T_1683 | _T_1704; // @[el2_dec_dec_ctl.scala 162:51] + wire _T_1717 = io_ins[12] & io_ins[6]; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1718 = _T_1717 & io_ins[5]; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1719 = _T_1718 & io_ins[4]; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1720 = _T_1719 & _T_100; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1721 = _T_1720 & _T_18; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1722 = _T_1721 & io_ins[1]; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1723 = _T_1722 & _T_1281; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1724 = _T_1705 | _T_1723; // @[el2_dec_dec_ctl.scala 162:103] + wire _T_1796 = _T_1456 & _T_857; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1797 = _T_1796 & _T_1251; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1798 = _T_1797 & _T_45; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1799 = _T_1798 & _T_1255; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1800 = _T_1799 & _T_1257; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1801 = _T_1800 & _T_1259; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1802 = _T_1801 & _T_14; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1803 = _T_1802 & _T_16; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1804 = _T_1803 & _T_144; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1805 = _T_1804 & _T_1263; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1806 = _T_1805 & _T_1265; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1807 = _T_1806 & _T_1267; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1808 = _T_1807 & _T_1269; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1809 = _T_1808 & _T_1271; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1810 = _T_1809 & _T_104; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1811 = _T_1810 & _T_9; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1812 = _T_1811 & _T_98; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1813 = _T_1812 & io_ins[3]; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1814 = _T_1813 & io_ins[2]; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1815 = _T_1814 & io_ins[1]; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1816 = _T_1815 & _T_1281; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1817 = _T_1724 | _T_1816; // @[el2_dec_dec_ctl.scala 163:51] + wire _T_1866 = _T_1449 & _T_1251; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1867 = _T_1866 & _T_45; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1868 = _T_1867 & _T_1255; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1869 = _T_1868 & _T_1257; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1870 = _T_1869 & _T_1259; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1871 = _T_1870 & _T_14; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1872 = _T_1871 & _T_16; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1873 = _T_1872 & _T_144; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1874 = _T_1873 & _T_1263; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1875 = _T_1874 & _T_1265; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1876 = _T_1875 & _T_1267; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1877 = _T_1876 & _T_1269; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1878 = _T_1877 & _T_1271; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1879 = _T_1878 & _T_104; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1880 = _T_1879 & _T_9; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1881 = _T_1880 & _T_98; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1882 = _T_1881 & io_ins[3]; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1883 = _T_1882 & io_ins[2]; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1884 = _T_1883 & io_ins[1]; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1885 = _T_1884 & _T_1281; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1886 = _T_1817 | _T_1885; // @[el2_dec_dec_ctl.scala 164:146] + wire _T_1902 = _T_16 & _T_104; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1903 = _T_1902 & _T_9; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1904 = _T_1903 & _T_98; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1905 = _T_1904 & _T_100; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1906 = _T_1905 & _T_18; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1907 = _T_1906 & io_ins[1]; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1908 = _T_1907 & _T_1281; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1909 = _T_1886 | _T_1908; // @[el2_dec_dec_ctl.scala 165:114] + wire _T_1919 = io_ins[6] & io_ins[5]; // @[el2_dec_dec_ctl.scala 166:95] + wire _T_1920 = _T_1919 & _T_98; // @[el2_dec_dec_ctl.scala 166:95] + wire _T_1921 = _T_1920 & io_ins[3]; // @[el2_dec_dec_ctl.scala 166:95] + wire _T_1922 = _T_1921 & io_ins[2]; // @[el2_dec_dec_ctl.scala 166:95] + wire _T_1923 = _T_1922 & io_ins[1]; // @[el2_dec_dec_ctl.scala 166:95] + wire _T_1924 = _T_1923 & _T_1281; // @[el2_dec_dec_ctl.scala 166:95] + wire _T_1925 = _T_1909 | _T_1924; // @[el2_dec_dec_ctl.scala 166:55] + wire _T_1938 = _T_656 & _T_9; // @[el2_dec_dec_ctl.scala 167:46] + wire _T_1939 = _T_1938 & io_ins[4]; // @[el2_dec_dec_ctl.scala 167:46] + wire _T_1940 = _T_1939 & _T_100; // @[el2_dec_dec_ctl.scala 167:46] + wire _T_1941 = _T_1940 & io_ins[1]; // @[el2_dec_dec_ctl.scala 167:46] + wire _T_1942 = _T_1941 & _T_1281; // @[el2_dec_dec_ctl.scala 167:46] + wire _T_1943 = _T_1925 | _T_1942; // @[el2_dec_dec_ctl.scala 166:99] + wire _T_1960 = _T_585 & _T_104; // @[el2_dec_dec_ctl.scala 167:99] + wire _T_1961 = _T_1960 & _T_98; // @[el2_dec_dec_ctl.scala 167:99] + wire _T_1962 = _T_1961 & _T_100; // @[el2_dec_dec_ctl.scala 167:99] + wire _T_1963 = _T_1962 & _T_18; // @[el2_dec_dec_ctl.scala 167:99] + wire _T_1964 = _T_1963 & io_ins[1]; // @[el2_dec_dec_ctl.scala 167:99] + wire _T_1965 = _T_1964 & _T_1281; // @[el2_dec_dec_ctl.scala 167:99] + wire _T_1966 = _T_1943 | _T_1965; // @[el2_dec_dec_ctl.scala 167:50] + wire _T_1977 = _T_104 & io_ins[4]; // @[el2_dec_dec_ctl.scala 168:43] + wire _T_1978 = _T_1977 & _T_100; // @[el2_dec_dec_ctl.scala 168:43] + wire _T_1979 = _T_1978 & _T_18; // @[el2_dec_dec_ctl.scala 168:43] + wire _T_1980 = _T_1979 & io_ins[1]; // @[el2_dec_dec_ctl.scala 168:43] + wire _T_1981 = _T_1980 & _T_1281; // @[el2_dec_dec_ctl.scala 168:43] + assign io_out_alu = _T_7 | _T_11; // @[el2_dec_dec_ctl.scala 72:14] + assign io_out_rs1 = _T_102 | _T_107; // @[el2_dec_dec_ctl.scala 73:14] + assign io_out_rs2 = _T_115 | _T_122; // @[el2_dec_dec_ctl.scala 79:14] + assign io_out_imm12 = _T_150 | _T_160; // @[el2_dec_dec_ctl.scala 80:16] + assign io_out_rd = _T_170 | io_ins[4]; // @[el2_dec_dec_ctl.scala 82:13] + assign io_out_shimm5 = _T_183 & _T_18; // @[el2_dec_dec_ctl.scala 83:17] + assign io_out_imm20 = _T_187 | _T_190; // @[el2_dec_dec_ctl.scala 84:16] + assign io_out_pc = _T_198 | _T_187; // @[el2_dec_dec_ctl.scala 85:13] + assign io_out_load = _T_209 & _T_18; // @[el2_dec_dec_ctl.scala 86:15] + assign io_out_store = _T_121 & _T_98; // @[el2_dec_dec_ctl.scala 87:16] + assign io_out_lsu = _T_224 & _T_18; // @[el2_dec_dec_ctl.scala 88:14] + assign io_out_add = _T_246 | _T_268; // @[el2_dec_dec_ctl.scala 89:14] + assign io_out_sub = _T_312 | _T_319; // @[el2_dec_dec_ctl.scala 91:14] + assign io_out_land = _T_331 | _T_345; // @[el2_dec_dec_ctl.scala 93:15] + assign io_out_lor = _T_382 | _T_394; // @[el2_dec_dec_ctl.scala 94:14] + assign io_out_lxor = _T_410 | _T_425; // @[el2_dec_dec_ctl.scala 97:15] + assign io_out_sll = _T_443 & _T_18; // @[el2_dec_dec_ctl.scala 98:14] + assign io_out_sra = _T_457 & _T_18; // @[el2_dec_dec_ctl.scala 99:14] + assign io_out_srl = _T_477 & _T_18; // @[el2_dec_dec_ctl.scala 100:14] + assign io_out_slt = _T_495 | _T_311; // @[el2_dec_dec_ctl.scala 101:14] + assign io_out_unsign = _T_554 | _T_567; // @[el2_dec_dec_ctl.scala 102:17] + assign io_out_condbr = _T_318 & _T_18; // @[el2_dec_dec_ctl.scala 105:17] + assign io_out_beq = _T_587 & _T_18; // @[el2_dec_dec_ctl.scala 106:14] + assign io_out_bne = _T_599 & _T_18; // @[el2_dec_dec_ctl.scala 107:14] + assign io_out_bge = _T_610 & _T_18; // @[el2_dec_dec_ctl.scala 108:14] + assign io_out_blt = _T_622 & _T_18; // @[el2_dec_dec_ctl.scala 109:14] + assign io_out_jal = io_ins[6] & io_ins[2]; // @[el2_dec_dec_ctl.scala 110:14] + assign io_out_by = _T_639 & _T_18; // @[el2_dec_dec_ctl.scala 111:13] + assign io_out_half = _T_649 & _T_18; // @[el2_dec_dec_ctl.scala 112:15] + assign io_out_word = _T_656 & _T_98; // @[el2_dec_dec_ctl.scala 113:15] + assign io_out_csr_read = _T_686 | _T_691; // @[el2_dec_dec_ctl.scala 114:19] + assign io_out_csr_clr = _T_730 | _T_738; // @[el2_dec_dec_ctl.scala 117:18] + assign io_out_csr_set = _T_830 | _T_738; // @[el2_dec_dec_ctl.scala 124:18] + assign io_out_csr_write = _T_746 & io_ins[4]; // @[el2_dec_dec_ctl.scala 120:20] + assign io_out_csr_imm = _T_787 | _T_794; // @[el2_dec_dec_ctl.scala 121:18] + assign io_out_presync = _T_1116 | _T_1123; // @[el2_dec_dec_ctl.scala 141:18] + assign io_out_postsync = _T_1220 | _T_1123; // @[el2_dec_dec_ctl.scala 147:19] + assign io_out_ebreak = _T_852 & io_ins[4]; // @[el2_dec_dec_ctl.scala 127:17] + assign io_out_ecall = _T_867 & io_ins[4]; // @[el2_dec_dec_ctl.scala 128:16] + assign io_out_mret = _T_878 & io_ins[4]; // @[el2_dec_dec_ctl.scala 129:15] + assign io_out_mul = _T_892 & _T_18; // @[el2_dec_dec_ctl.scala 130:14] + assign io_out_rs1_sign = _T_912 | _T_929; // @[el2_dec_dec_ctl.scala 131:19] + assign io_out_rs2_sign = _T_928 & _T_18; // @[el2_dec_dec_ctl.scala 133:19] + assign io_out_low = _T_963 & _T_18; // @[el2_dec_dec_ctl.scala 134:14] + assign io_out_div = _T_974 & _T_18; // @[el2_dec_dec_ctl.scala 135:14] + assign io_out_rem = _T_987 & _T_18; // @[el2_dec_dec_ctl.scala 136:14] + assign io_out_fence = _T_9 & io_ins[3]; // @[el2_dec_dec_ctl.scala 137:16] + assign io_out_fence_i = _T_997 & io_ins[3]; // @[el2_dec_dec_ctl.scala 138:18] + assign io_out_pm_alu = _T_1021 | _T_11; // @[el2_dec_dec_ctl.scala 139:17] + assign io_out_legal = _T_1966 | _T_1981; // @[el2_dec_dec_ctl.scala 153:16] +endmodule +module el2_dec_decode_ctl( + input clock, + input reset, + input io_dec_tlu_flush_extint, + input io_dec_tlu_force_halt, + output io_dec_extint_stall, + input [15:0] io_ifu_i0_cinst, + input io_lsu_nonblock_load_valid_m, + input [1:0] io_lsu_nonblock_load_tag_m, + input io_lsu_nonblock_load_inv_r, + input [1:0] io_lsu_nonblock_load_inv_tag_r, + input io_lsu_nonblock_load_data_valid, + input io_lsu_nonblock_load_data_error, + input [1:0] io_lsu_nonblock_load_data_tag, + input [31:0] io_lsu_nonblock_load_data, + input [3:0] io_dec_i0_trigger_match_d, + input io_dec_tlu_wr_pause_r, + input io_dec_tlu_pipelining_disable, + input [3:0] io_lsu_trigger_match_m, + input io_lsu_pmu_misaligned_m, + input io_dec_tlu_debug_stall, + input io_dec_tlu_flush_leak_one_r, + input io_dec_debug_fence_d, + input [1:0] io_dbg_cmd_wrdata, + input io_dec_i0_icaf_d, + input io_dec_i0_icaf_f1_d, + input [1:0] io_dec_i0_icaf_type_d, + input io_dec_i0_dbecc_d, + input io_dec_i0_brp_valid, + input [11:0] io_dec_i0_brp_toffset, + input [1:0] io_dec_i0_brp_hist, + input io_dec_i0_brp_br_error, + input io_dec_i0_brp_br_start_error, + input [30:0] io_dec_i0_brp_prett, + input io_dec_i0_brp_way, + input io_dec_i0_brp_ret, + input [7:0] io_dec_i0_bp_index, + input [7:0] io_dec_i0_bp_fghr, + input [4:0] io_dec_i0_bp_btag, + input io_lsu_idle_any, + input io_lsu_load_stall_any, + input io_lsu_store_stall_any, + input io_dma_dccm_stall_any, + input io_exu_div_wren, + input io_dec_tlu_i0_kill_writeb_wb, + input io_dec_tlu_flush_lower_wb, + input io_dec_tlu_i0_kill_writeb_r, + input io_dec_tlu_flush_lower_r, + input io_dec_tlu_flush_pause_r, + input io_dec_tlu_presync_d, + input io_dec_tlu_postsync_d, + input io_dec_i0_pc4_d, + input [31:0] io_dec_csr_rddata_d, + input io_dec_csr_legal_d, + input [31:0] io_exu_csr_rs1_x, + input [31:0] io_lsu_result_m, + input [31:0] io_lsu_result_corr_r, + input io_exu_flush_final, + input [30:0] io_exu_i0_pc_x, + input [31:0] io_dec_i0_instr_d, + input io_dec_ib0_valid_d, + input [31:0] io_exu_i0_result_x, + input io_free_clk, + input io_active_clk, + input io_clk_override, + output io_dec_i0_rs1_en_d, + output io_dec_i0_rs2_en_d, + output [4:0] io_dec_i0_rs1_d, + output [4:0] io_dec_i0_rs2_d, + output [31:0] io_dec_i0_immed_d, + output [11:0] io_dec_i0_br_immed_d, + output io_i0_ap_land, + output io_i0_ap_lor, + output io_i0_ap_lxor, + output io_i0_ap_sll, + output io_i0_ap_srl, + output io_i0_ap_sra, + output io_i0_ap_beq, + output io_i0_ap_bne, + output io_i0_ap_blt, + output io_i0_ap_bge, + output io_i0_ap_add, + output io_i0_ap_sub, + output io_i0_ap_slt, + output io_i0_ap_unsign, + output io_i0_ap_jal, + output io_i0_ap_predict_t, + output io_i0_ap_predict_nt, + output io_i0_ap_csr_write, + output io_i0_ap_csr_imm, + output io_dec_i0_decode_d, + output io_dec_i0_alu_decode_d, + output [31:0] io_dec_i0_rs1_bypass_data_d, + output [31:0] io_dec_i0_rs2_bypass_data_d, + output [4:0] io_dec_i0_waddr_r, + output io_dec_i0_wen_r, + output [31:0] io_dec_i0_wdata_r, + output io_dec_i0_select_pc_d, + output [1:0] io_dec_i0_rs1_bypass_en_d, + output [1:0] io_dec_i0_rs2_bypass_en_d, + output io_lsu_p_fast_int, + output io_lsu_p_by, + output io_lsu_p_half, + output io_lsu_p_word, + output io_lsu_p_load, + output io_lsu_p_store, + output io_lsu_p_unsign, + output io_lsu_p_store_data_bypass_d, + output io_lsu_p_load_ldst_bypass_d, + output io_lsu_p_valid, + output io_mul_p_valid, + output io_mul_p_rs1_sign, + output io_mul_p_rs2_sign, + output io_mul_p_low, + output io_div_p_valid, + output io_div_p_unsign, + output io_div_p_rem, + output [4:0] io_div_waddr_wb, + output io_dec_div_cancel, + output io_dec_lsu_valid_raw_d, + output [11:0] io_dec_lsu_offset_d, + output io_dec_csr_ren_d, + output io_dec_csr_wen_unq_d, + output io_dec_csr_any_unq_d, + output [11:0] io_dec_csr_rdaddr_d, + output io_dec_csr_wen_r, + output [11:0] io_dec_csr_wraddr_r, + output [31:0] io_dec_csr_wrdata_r, + output io_dec_csr_stall_int_ff, + output io_dec_tlu_i0_valid_r, + output io_dec_tlu_packet_r_legal, + output io_dec_tlu_packet_r_icaf, + output io_dec_tlu_packet_r_icaf_f1, + output [1:0] io_dec_tlu_packet_r_icaf_type, + output io_dec_tlu_packet_r_fence_i, + output [3:0] io_dec_tlu_packet_r_i0trigger, + output [3:0] io_dec_tlu_packet_r_pmu_i0_itype, + output io_dec_tlu_packet_r_pmu_i0_br_unpred, + output io_dec_tlu_packet_r_pmu_divide, + output io_dec_tlu_packet_r_pmu_lsu_misaligned, + output [30:0] io_dec_tlu_i0_pc_r, + output [31:0] io_dec_illegal_inst, + output [30:0] io_pred_correct_npc_x, + output io_dec_i0_predict_p_d_pc4, + output [1:0] io_dec_i0_predict_p_d_hist, + output [11:0] io_dec_i0_predict_p_d_toffset, + output io_dec_i0_predict_p_d_valid, + output io_dec_i0_predict_p_d_br_error, + output io_dec_i0_predict_p_d_br_start_error, + output [30:0] io_dec_i0_predict_p_d_prett, + output io_dec_i0_predict_p_d_pcall, + output io_dec_i0_predict_p_d_pret, + output io_dec_i0_predict_p_d_pja, + output io_dec_i0_predict_p_d_way, + output [7:0] io_i0_predict_fghr_d, + output [7:0] io_i0_predict_index_d, + output [4:0] io_i0_predict_btag_d, + output [1:0] io_dec_data_en, + output [1:0] io_dec_ctl_en, + output io_dec_pmu_instr_decoded, + output io_dec_pmu_decode_stall, + output io_dec_pmu_presync_stall, + output io_dec_pmu_postsync_stall, + output io_dec_nonblock_load_wen, + output [4:0] io_dec_nonblock_load_waddr, + output io_dec_pause_state, + output io_dec_pause_state_cg, + output io_dec_div_active, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; + reg [31:0] _RAND_79; + reg [31:0] _RAND_80; + reg [31:0] _RAND_81; + reg [31:0] _RAND_82; + reg [31:0] _RAND_83; + reg [31:0] _RAND_84; +`endif // RANDOMIZE_REG_INIT + wire data_gated_cgc_io_l1clk; // @[el2_dec_decode_ctl.scala 221:29] + wire data_gated_cgc_io_clk; // @[el2_dec_decode_ctl.scala 221:29] + wire data_gated_cgc_io_en; // @[el2_dec_decode_ctl.scala 221:29] + wire data_gated_cgc_io_scan_mode; // @[el2_dec_decode_ctl.scala 221:29] + wire [31:0] i0_dec_io_ins; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 395:22] + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_l1clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_4_io_clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_4_io_en; // @[el2_lib.scala 495:23] + wire rvclkhdr_4_io_scan_mode; // @[el2_lib.scala 495:23] + wire rvclkhdr_5_io_l1clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_5_io_clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_5_io_en; // @[el2_lib.scala 495:23] + wire rvclkhdr_5_io_scan_mode; // @[el2_lib.scala 495:23] + wire rvclkhdr_6_io_l1clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_6_io_clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_6_io_en; // @[el2_lib.scala 495:23] + wire rvclkhdr_6_io_scan_mode; // @[el2_lib.scala 495:23] + wire rvclkhdr_7_io_l1clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_7_io_clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_7_io_en; // @[el2_lib.scala 495:23] + wire rvclkhdr_7_io_scan_mode; // @[el2_lib.scala 495:23] + wire rvclkhdr_8_io_l1clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_8_io_clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_8_io_en; // @[el2_lib.scala 495:23] + wire rvclkhdr_8_io_scan_mode; // @[el2_lib.scala 495:23] + wire rvclkhdr_9_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_scan_mode; // @[el2_lib.scala 485:23] + reg tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 502:29] + wire _T_1 = io_dec_tlu_wr_pause_r ^ tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 211:51] + reg tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 503:29] + wire _T_2 = tlu_wr_pause_r1 ^ tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 212:32] + wire _T_3 = _T_1 | _T_2; // @[el2_dec_decode_ctl.scala 211:73] + wire _T_4 = io_dec_tlu_flush_extint ^ io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 213:32] + wire _T_5 = _T_3 | _T_4; // @[el2_dec_decode_ctl.scala 212:56] + reg leak1_i1_stall; // @[el2_dec_decode_ctl.scala 403:56] + wire _T_279 = ~io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 402:73] + wire _T_280 = leak1_i1_stall & _T_279; // @[el2_dec_decode_ctl.scala 402:71] + wire leak1_i1_stall_in = io_dec_tlu_flush_leak_one_r | _T_280; // @[el2_dec_decode_ctl.scala 402:53] + wire _T_6 = leak1_i1_stall_in ^ leak1_i1_stall; // @[el2_dec_decode_ctl.scala 214:32] + wire _T_7 = _T_5 | _T_6; // @[el2_dec_decode_ctl.scala 213:56] + wire _T_283 = io_dec_i0_decode_d & leak1_i1_stall; // @[el2_dec_decode_ctl.scala 405:45] + reg leak1_i0_stall; // @[el2_dec_decode_ctl.scala 406:56] + wire _T_285 = leak1_i0_stall & _T_279; // @[el2_dec_decode_ctl.scala 405:81] + wire leak1_i0_stall_in = _T_283 | _T_285; // @[el2_dec_decode_ctl.scala 405:63] + wire _T_8 = leak1_i0_stall_in ^ leak1_i0_stall; // @[el2_dec_decode_ctl.scala 215:32] + wire _T_9 = _T_7 | _T_8; // @[el2_dec_decode_ctl.scala 214:56] + reg pause_stall; // @[el2_dec_decode_ctl.scala 500:50] + wire _T_412 = io_dec_tlu_wr_pause_r | pause_stall; // @[el2_dec_decode_ctl.scala 499:44] + wire _T_408 = ~io_dec_tlu_flush_pause_r; // @[el2_dec_decode_ctl.scala 498:49] + wire _T_409 = io_dec_tlu_flush_lower_r & _T_408; // @[el2_dec_decode_ctl.scala 498:47] + reg [31:0] write_csr_data; // @[el2_lib.scala 491:16] + wire _T_410 = write_csr_data == 32'h0; // @[el2_dec_decode_ctl.scala 498:109] + wire _T_411 = pause_stall & _T_410; // @[el2_dec_decode_ctl.scala 498:91] + wire clear_pause = _T_409 | _T_411; // @[el2_dec_decode_ctl.scala 498:76] + wire _T_413 = ~clear_pause; // @[el2_dec_decode_ctl.scala 499:61] + wire pause_state_in = _T_412 & _T_413; // @[el2_dec_decode_ctl.scala 499:59] + wire _T_10 = pause_state_in ^ pause_stall; // @[el2_dec_decode_ctl.scala 216:32] + wire _T_11 = _T_9 | _T_10; // @[el2_dec_decode_ctl.scala 215:56] + wire _T_17 = ~leak1_i1_stall; // @[el2_dec_decode_ctl.scala 229:62] + wire i0_brp_valid = io_dec_i0_brp_valid & _T_17; // @[el2_dec_decode_ctl.scala 229:60] + wire i0_dp_raw_condbr = i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_jal = i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire [20:0] i0_pcall_imm = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[19:12],io_dec_i0_instr_d[20],io_dec_i0_instr_d[30:21],1'h0}; // @[Cat.scala 29:58] + wire _T_298 = i0_pcall_imm[20:13] == 8'hff; // @[el2_dec_decode_ctl.scala 411:79] + wire _T_300 = i0_pcall_imm[20:13] == 8'h0; // @[el2_dec_decode_ctl.scala 411:112] + wire i0_pcall_12b_offset = i0_pcall_imm[12] ? _T_298 : _T_300; // @[el2_dec_decode_ctl.scala 411:33] + wire i0_dp_raw_imm20 = i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire _T_301 = i0_pcall_12b_offset & i0_dp_raw_imm20; // @[el2_dec_decode_ctl.scala 412:47] + wire [4:0] i0r_rd = io_dec_i0_instr_d[11:7]; // @[el2_dec_decode_ctl.scala 625:16] + wire _T_302 = i0r_rd == 5'h1; // @[el2_dec_decode_ctl.scala 412:76] + wire _T_303 = i0r_rd == 5'h5; // @[el2_dec_decode_ctl.scala 412:98] + wire _T_304 = _T_302 | _T_303; // @[el2_dec_decode_ctl.scala 412:89] + wire i0_pcall_case = _T_301 & _T_304; // @[el2_dec_decode_ctl.scala 412:65] + wire i0_pcall_raw = i0_dp_raw_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 414:38] + wire _T_19 = i0_dp_raw_condbr | i0_pcall_raw; // @[el2_dec_decode_ctl.scala 240:75] + wire _T_309 = ~_T_304; // @[el2_dec_decode_ctl.scala 413:67] + wire i0_pja_case = _T_301 & _T_309; // @[el2_dec_decode_ctl.scala 413:65] + wire i0_pja_raw = i0_dp_raw_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 416:38] + wire _T_20 = _T_19 | i0_pja_raw; // @[el2_dec_decode_ctl.scala 240:90] + wire i0_dp_raw_imm12 = i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire _T_325 = i0_dp_raw_jal & i0_dp_raw_imm12; // @[el2_dec_decode_ctl.scala 420:37] + wire _T_326 = i0r_rd == 5'h0; // @[el2_dec_decode_ctl.scala 420:65] + wire _T_327 = _T_325 & _T_326; // @[el2_dec_decode_ctl.scala 420:55] + wire [4:0] i0r_rs1 = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 623:16] + wire _T_328 = i0r_rs1 == 5'h1; // @[el2_dec_decode_ctl.scala 420:89] + wire _T_329 = i0r_rs1 == 5'h5; // @[el2_dec_decode_ctl.scala 420:111] + wire _T_330 = _T_328 | _T_329; // @[el2_dec_decode_ctl.scala 420:101] + wire i0_pret_case = _T_327 & _T_330; // @[el2_dec_decode_ctl.scala 420:79] + wire i0_pret_raw = i0_dp_raw_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 421:32] + wire _T_21 = _T_20 | i0_pret_raw; // @[el2_dec_decode_ctl.scala 240:103] + wire _T_22 = ~_T_21; // @[el2_dec_decode_ctl.scala 240:56] + wire i0_notbr_error = i0_brp_valid & _T_22; // @[el2_dec_decode_ctl.scala 240:54] + wire _T_30 = io_dec_i0_brp_br_error | i0_notbr_error; // @[el2_dec_decode_ctl.scala 245:57] + wire _T_24 = i0_brp_valid & io_dec_i0_brp_hist[1]; // @[el2_dec_decode_ctl.scala 243:47] + wire _T_314 = i0_pcall_raw | i0_pja_raw; // @[el2_dec_decode_ctl.scala 418:41] + wire [11:0] _T_323 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[7],io_dec_i0_instr_d[30:25],io_dec_i0_instr_d[11:8]}; // @[Cat.scala 29:58] + wire [11:0] i0_br_offset = _T_314 ? i0_pcall_imm[12:1] : _T_323; // @[el2_dec_decode_ctl.scala 418:26] + wire _T_25 = io_dec_i0_brp_toffset != i0_br_offset; // @[el2_dec_decode_ctl.scala 243:96] + wire _T_26 = _T_24 & _T_25; // @[el2_dec_decode_ctl.scala 243:71] + wire _T_27 = ~i0_pret_raw; // @[el2_dec_decode_ctl.scala 243:116] + wire i0_br_toffset_error = _T_26 & _T_27; // @[el2_dec_decode_ctl.scala 243:114] + wire _T_31 = _T_30 | i0_br_toffset_error; // @[el2_dec_decode_ctl.scala 245:74] + wire _T_28 = i0_brp_valid & io_dec_i0_brp_ret; // @[el2_dec_decode_ctl.scala 244:47] + wire i0_ret_error = _T_28 & _T_27; // @[el2_dec_decode_ctl.scala 244:67] + wire i0_br_error = _T_31 | i0_ret_error; // @[el2_dec_decode_ctl.scala 245:96] + wire _T_38 = i0_br_error | io_dec_i0_brp_br_start_error; // @[el2_dec_decode_ctl.scala 250:47] + wire i0_br_error_all = _T_38 & _T_17; // @[el2_dec_decode_ctl.scala 250:79] + wire i0_icaf_d = io_dec_i0_icaf_d | io_dec_i0_dbecc_d; // @[el2_dec_decode_ctl.scala 259:36] + wire _T_40 = i0_br_error_all | i0_icaf_d; // @[el2_dec_decode_ctl.scala 263:25] + wire i0_dp_raw_postsync = i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_postsync = _T_40 | i0_dp_raw_postsync; // @[el2_dec_decode_ctl.scala 263:50] + wire _T_439 = i0_dp_postsync | io_dec_tlu_postsync_d; // @[el2_dec_decode_ctl.scala 529:36] + wire debug_fence_i = io_dec_debug_fence_d & io_dbg_cmd_wrdata[0]; // @[el2_dec_decode_ctl.scala 521:48] + wire _T_440 = _T_439 | debug_fence_i; // @[el2_dec_decode_ctl.scala 529:60] + wire i0_dp_raw_csr_write = i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_csr_write = _T_40 ? 1'h0 : i0_dp_raw_csr_write; // @[el2_dec_decode_ctl.scala 263:50] + wire _T_343 = ~io_dec_debug_fence_d; // @[el2_dec_decode_ctl.scala 460:42] + wire i0_csr_write = i0_dp_csr_write & _T_343; // @[el2_dec_decode_ctl.scala 460:40] + wire i0_dp_raw_csr_read = i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_csr_read = _T_40 ? 1'h0 : i0_dp_raw_csr_read; // @[el2_dec_decode_ctl.scala 263:50] + wire _T_347 = ~i0_dp_csr_read; // @[el2_dec_decode_ctl.scala 465:41] + wire i0_csr_write_only_d = i0_csr_write & _T_347; // @[el2_dec_decode_ctl.scala 465:39] + wire _T_442 = io_dec_i0_instr_d[31:20] == 12'h7c2; // @[el2_dec_decode_ctl.scala 529:112] + wire _T_443 = i0_csr_write_only_d & _T_442; // @[el2_dec_decode_ctl.scala 529:99] + wire i0_postsync = _T_440 | _T_443; // @[el2_dec_decode_ctl.scala 529:76] + wire i0_dp_raw_legal = i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_legal = _T_40 | i0_dp_raw_legal; // @[el2_dec_decode_ctl.scala 263:50] + wire any_csr_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 531:34] + wire _T_444 = ~any_csr_d; // @[el2_dec_decode_ctl.scala 533:40] + wire _T_445 = _T_444 | io_dec_csr_legal_d; // @[el2_dec_decode_ctl.scala 533:51] + wire i0_legal = i0_dp_legal & _T_445; // @[el2_dec_decode_ctl.scala 533:37] + wire _T_504 = ~i0_legal; // @[el2_dec_decode_ctl.scala 573:56] + wire _T_505 = i0_postsync | _T_504; // @[el2_dec_decode_ctl.scala 573:54] + wire _T_506 = io_dec_i0_decode_d & _T_505; // @[el2_dec_decode_ctl.scala 573:39] + reg postsync_stall; // @[el2_dec_decode_ctl.scala 571:53] + reg x_d_i0valid; // @[el2_lib.scala 501:16] + wire _T_507 = postsync_stall & x_d_i0valid; // @[el2_dec_decode_ctl.scala 573:88] + wire ps_stall_in = _T_506 | _T_507; // @[el2_dec_decode_ctl.scala 573:69] + wire _T_12 = ps_stall_in ^ postsync_stall; // @[el2_dec_decode_ctl.scala 217:32] + wire _T_13 = _T_11 | _T_12; // @[el2_dec_decode_ctl.scala 216:56] + reg flush_final_r; // @[el2_dec_decode_ctl.scala 619:52] + wire _T_14 = io_exu_flush_final ^ flush_final_r; // @[el2_dec_decode_ctl.scala 218:32] + wire _T_15 = _T_13 | _T_14; // @[el2_dec_decode_ctl.scala 217:56] + wire shift_illegal = io_dec_i0_decode_d & _T_504; // @[el2_dec_decode_ctl.scala 537:47] + reg illegal_lockout; // @[el2_dec_decode_ctl.scala 541:54] + wire _T_466 = shift_illegal | illegal_lockout; // @[el2_dec_decode_ctl.scala 540:40] + wire _T_467 = ~flush_final_r; // @[el2_dec_decode_ctl.scala 540:61] + wire illegal_lockout_in = _T_466 & _T_467; // @[el2_dec_decode_ctl.scala 540:59] + wire _T_16 = illegal_lockout_in ^ illegal_lockout; // @[el2_dec_decode_ctl.scala 219:32] + wire i0_legal_decode_d = io_dec_i0_decode_d & i0_legal; // @[el2_dec_decode_ctl.scala 647:46] + wire _T_32 = i0_br_error & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 246:67] + wire _T_35 = io_dec_i0_brp_br_start_error & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 247:84] + wire i0_dp_raw_pm_alu = i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_pm_alu = _T_40 ? 1'h0 : i0_dp_raw_pm_alu; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_fence_i = i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_fence_i = _T_40 ? 1'h0 : i0_dp_raw_fence_i; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_fence = i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_fence = _T_40 ? 1'h0 : i0_dp_raw_fence; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_rem = i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_div = i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_div = _T_40 ? 1'h0 : i0_dp_raw_div; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_low = i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_rs2_sign = i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_rs1_sign = i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_mul = i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_mul = _T_40 ? 1'h0 : i0_dp_raw_mul; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_mret = i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_mret = _T_40 ? 1'h0 : i0_dp_raw_mret; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_ecall = i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_ecall = _T_40 ? 1'h0 : i0_dp_raw_ecall; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_ebreak = i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_ebreak = _T_40 ? 1'h0 : i0_dp_raw_ebreak; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_presync = i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_presync = _T_40 ? 1'h0 : i0_dp_raw_presync; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_csr_imm = i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_csr_imm = _T_40 ? 1'h0 : i0_dp_raw_csr_imm; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_csr_set = i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_csr_set = _T_40 ? 1'h0 : i0_dp_raw_csr_set; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_csr_clr = i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_csr_clr = _T_40 ? 1'h0 : i0_dp_raw_csr_clr; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_word = i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_word = _T_40 ? 1'h0 : i0_dp_raw_word; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_half = i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_half = _T_40 ? 1'h0 : i0_dp_raw_half; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_by = i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_by = _T_40 ? 1'h0 : i0_dp_raw_by; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_jal = _T_40 ? 1'h0 : i0_dp_raw_jal; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_blt = i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_bge = i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_bne = i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_beq = i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_condbr = _T_40 ? 1'h0 : i0_dp_raw_condbr; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_unsign = i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_unsign = _T_40 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_slt = i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_srl = i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_sra = i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_sll = i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_lxor = i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_lor = i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_land = i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_sub = i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_add = i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_lsu = i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_lsu = _T_40 ? 1'h0 : i0_dp_raw_lsu; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_store = i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_store = _T_40 ? 1'h0 : i0_dp_raw_store; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_load = i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_load = _T_40 ? 1'h0 : i0_dp_raw_load; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_pc = i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_imm20 = _T_40 ? 1'h0 : i0_dp_raw_imm20; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_shimm5 = i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_shimm5 = _T_40 ? 1'h0 : i0_dp_raw_shimm5; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_rd = i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_rd = _T_40 ? 1'h0 : i0_dp_raw_rd; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_imm12 = _T_40 ? 1'h0 : i0_dp_raw_imm12; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_rs2 = i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_rs2 = _T_40 | i0_dp_raw_rs2; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_rs1 = i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_rs1 = _T_40 | i0_dp_raw_rs1; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_alu = i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_alu = _T_40 | i0_dp_raw_alu; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_pcall = i0_dp_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 415:38] + wire _T_43 = i0_dp_condbr | i0_pcall; // @[el2_dec_decode_ctl.scala 277:38] + wire i0_pja = i0_dp_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 417:38] + wire _T_44 = _T_43 | i0_pja; // @[el2_dec_decode_ctl.scala 277:49] + wire i0_pret = i0_dp_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 422:32] + wire i0_predict_br = _T_44 | i0_pret; // @[el2_dec_decode_ctl.scala 277:58] + wire _T_46 = io_dec_i0_brp_hist[1] & i0_brp_valid; // @[el2_dec_decode_ctl.scala 279:50] + wire _T_47 = ~_T_46; // @[el2_dec_decode_ctl.scala 279:26] + wire i0_ap_pc2 = ~io_dec_i0_pc4_d; // @[el2_dec_decode_ctl.scala 281:20] + wire cam_data_reset = io_lsu_nonblock_load_data_valid | io_lsu_nonblock_load_data_error; // @[el2_dec_decode_ctl.scala 314:63] + reg [2:0] cam_raw_0_tag; // @[el2_dec_decode_ctl.scala 350:47] + wire [2:0] _GEN_123 = {{1'd0}, io_lsu_nonblock_load_data_tag}; // @[el2_dec_decode_ctl.scala 325:67] + wire _T_93 = _GEN_123 == cam_raw_0_tag; // @[el2_dec_decode_ctl.scala 325:67] + wire _T_94 = cam_data_reset & _T_93; // @[el2_dec_decode_ctl.scala 325:45] + reg cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 350:47] + wire cam_data_reset_val_0 = _T_94 & cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 325:83] + wire cam_0_valid = cam_data_reset_val_0 ? 1'h0 : cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 329:39] + wire _T_50 = ~cam_0_valid; // @[el2_dec_decode_ctl.scala 306:78] + reg [2:0] cam_raw_1_tag; // @[el2_dec_decode_ctl.scala 350:47] + wire _T_119 = _GEN_123 == cam_raw_1_tag; // @[el2_dec_decode_ctl.scala 325:67] + wire _T_120 = cam_data_reset & _T_119; // @[el2_dec_decode_ctl.scala 325:45] + reg cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 350:47] + wire cam_data_reset_val_1 = _T_120 & cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 325:83] + wire cam_1_valid = cam_data_reset_val_1 ? 1'h0 : cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 329:39] + wire _T_53 = ~cam_1_valid; // @[el2_dec_decode_ctl.scala 306:78] + wire _T_56 = cam_0_valid & _T_53; // @[el2_dec_decode_ctl.scala 306:126] + wire [1:0] _T_58 = {io_lsu_nonblock_load_valid_m, 1'h0}; // @[el2_dec_decode_ctl.scala 306:158] + reg [2:0] cam_raw_2_tag; // @[el2_dec_decode_ctl.scala 350:47] + wire _T_145 = _GEN_123 == cam_raw_2_tag; // @[el2_dec_decode_ctl.scala 325:67] + wire _T_146 = cam_data_reset & _T_145; // @[el2_dec_decode_ctl.scala 325:45] + reg cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 350:47] + wire cam_data_reset_val_2 = _T_146 & cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 325:83] + wire cam_2_valid = cam_data_reset_val_2 ? 1'h0 : cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 329:39] + wire _T_59 = ~cam_2_valid; // @[el2_dec_decode_ctl.scala 306:78] + wire _T_62 = cam_0_valid & cam_1_valid; // @[el2_dec_decode_ctl.scala 306:126] + wire _T_65 = _T_62 & _T_59; // @[el2_dec_decode_ctl.scala 306:126] + wire [2:0] _T_67 = {io_lsu_nonblock_load_valid_m, 2'h0}; // @[el2_dec_decode_ctl.scala 306:158] + reg [2:0] cam_raw_3_tag; // @[el2_dec_decode_ctl.scala 350:47] + wire _T_171 = _GEN_123 == cam_raw_3_tag; // @[el2_dec_decode_ctl.scala 325:67] + wire _T_172 = cam_data_reset & _T_171; // @[el2_dec_decode_ctl.scala 325:45] + reg cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 350:47] + wire cam_data_reset_val_3 = _T_172 & cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 325:83] + wire cam_3_valid = cam_data_reset_val_3 ? 1'h0 : cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 329:39] + wire _T_68 = ~cam_3_valid; // @[el2_dec_decode_ctl.scala 306:78] + wire _T_74 = _T_62 & cam_2_valid; // @[el2_dec_decode_ctl.scala 306:126] + wire _T_77 = _T_74 & _T_68; // @[el2_dec_decode_ctl.scala 306:126] + wire [3:0] _T_79 = {io_lsu_nonblock_load_valid_m, 3'h0}; // @[el2_dec_decode_ctl.scala 306:158] + wire _T_80 = _T_50 & io_lsu_nonblock_load_valid_m; // @[Mux.scala 27:72] + wire [1:0] _T_81 = _T_56 ? _T_58 : 2'h0; // @[Mux.scala 27:72] + wire [2:0] _T_82 = _T_65 ? _T_67 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_83 = _T_77 ? _T_79 : 4'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_127 = {{1'd0}, _T_80}; // @[Mux.scala 27:72] + wire [1:0] _T_84 = _GEN_127 | _T_81; // @[Mux.scala 27:72] + wire [2:0] _GEN_128 = {{1'd0}, _T_84}; // @[Mux.scala 27:72] + wire [2:0] _T_85 = _GEN_128 | _T_82; // @[Mux.scala 27:72] + wire [3:0] _GEN_129 = {{1'd0}, _T_85}; // @[Mux.scala 27:72] + wire [3:0] cam_wen = _GEN_129 | _T_83; // @[Mux.scala 27:72] + reg x_d_i0load; // @[el2_lib.scala 501:16] + reg [4:0] x_d_i0rd; // @[el2_lib.scala 501:16] + wire [4:0] nonblock_load_rd = x_d_i0load ? x_d_i0rd : 5'h0; // @[el2_dec_decode_ctl.scala 317:31] + reg [2:0] _T_701; // @[el2_dec_decode_ctl.scala 655:72] + wire [3:0] i0_pipe_en = {io_dec_i0_decode_d,_T_701}; // @[Cat.scala 29:58] + wire _T_707 = |i0_pipe_en[2:1]; // @[el2_dec_decode_ctl.scala 658:49] + wire i0_r_ctl_en = _T_707 | io_clk_override; // @[el2_dec_decode_ctl.scala 658:53] + reg nonblock_load_valid_m_delay; // @[Reg.scala 27:20] + reg r_d_i0load; // @[el2_lib.scala 501:16] + wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_i0load; // @[el2_dec_decode_ctl.scala 322:56] + wire [2:0] _GEN_130 = {{1'd0}, io_lsu_nonblock_load_inv_tag_r}; // @[el2_dec_decode_ctl.scala 324:66] + wire _T_90 = _GEN_130 == cam_raw_0_tag; // @[el2_dec_decode_ctl.scala 324:66] + wire _T_91 = io_lsu_nonblock_load_inv_r & _T_90; // @[el2_dec_decode_ctl.scala 324:45] + wire cam_inv_reset_val_0 = _T_91 & cam_0_valid; // @[el2_dec_decode_ctl.scala 324:82] + reg r_d_i0v; // @[el2_lib.scala 501:16] + wire _T_743 = ~io_dec_tlu_flush_lower_wb; // @[el2_dec_decode_ctl.scala 690:41] + wire r_d_in_i0v = r_d_i0v & _T_743; // @[el2_dec_decode_ctl.scala 690:39] + wire _T_754 = ~io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 698:42] + wire i0_wen_r = r_d_in_i0v & _T_754; // @[el2_dec_decode_ctl.scala 698:40] + reg [4:0] r_d_i0rd; // @[el2_lib.scala 501:16] + reg [4:0] cam_raw_0_rd; // @[el2_dec_decode_ctl.scala 350:47] + wire _T_102 = r_d_i0rd == cam_raw_0_rd; // @[el2_dec_decode_ctl.scala 337:80] + wire _T_103 = i0_wen_r & _T_102; // @[el2_dec_decode_ctl.scala 337:64] + reg cam_raw_0_wb; // @[el2_dec_decode_ctl.scala 350:47] + wire _T_105 = _T_103 & cam_raw_0_wb; // @[el2_dec_decode_ctl.scala 337:95] + wire _T_106 = cam_inv_reset_val_0 | _T_105; // @[el2_dec_decode_ctl.scala 337:44] + wire _GEN_52 = _T_106 ? 1'h0 : cam_0_valid; // @[el2_dec_decode_ctl.scala 337:116] + wire _GEN_55 = _T_106 ? 1'h0 : cam_raw_0_wb; // @[el2_dec_decode_ctl.scala 337:116] + wire _GEN_56 = cam_wen[0] | _GEN_52; // @[el2_dec_decode_ctl.scala 332:28] + wire _GEN_57 = cam_wen[0] ? 1'h0 : _GEN_55; // @[el2_dec_decode_ctl.scala 332:28] + wire _T_109 = nonblock_load_valid_m_delay & _T_90; // @[el2_dec_decode_ctl.scala 342:44] + wire _T_111 = _T_109 & cam_0_valid; // @[el2_dec_decode_ctl.scala 342:95] + wire nonblock_load_write_0 = _T_93 & cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 351:66] + wire _T_116 = _GEN_130 == cam_raw_1_tag; // @[el2_dec_decode_ctl.scala 324:66] + wire _T_117 = io_lsu_nonblock_load_inv_r & _T_116; // @[el2_dec_decode_ctl.scala 324:45] + wire cam_inv_reset_val_1 = _T_117 & cam_1_valid; // @[el2_dec_decode_ctl.scala 324:82] + reg [4:0] cam_raw_1_rd; // @[el2_dec_decode_ctl.scala 350:47] + wire _T_128 = r_d_i0rd == cam_raw_1_rd; // @[el2_dec_decode_ctl.scala 337:80] + wire _T_129 = i0_wen_r & _T_128; // @[el2_dec_decode_ctl.scala 337:64] + reg cam_raw_1_wb; // @[el2_dec_decode_ctl.scala 350:47] + wire _T_131 = _T_129 & cam_raw_1_wb; // @[el2_dec_decode_ctl.scala 337:95] + wire _T_132 = cam_inv_reset_val_1 | _T_131; // @[el2_dec_decode_ctl.scala 337:44] + wire _GEN_63 = _T_132 ? 1'h0 : cam_1_valid; // @[el2_dec_decode_ctl.scala 337:116] + wire _GEN_66 = _T_132 ? 1'h0 : cam_raw_1_wb; // @[el2_dec_decode_ctl.scala 337:116] + wire _GEN_67 = cam_wen[1] | _GEN_63; // @[el2_dec_decode_ctl.scala 332:28] + wire _GEN_68 = cam_wen[1] ? 1'h0 : _GEN_66; // @[el2_dec_decode_ctl.scala 332:28] + wire _T_135 = nonblock_load_valid_m_delay & _T_116; // @[el2_dec_decode_ctl.scala 342:44] + wire _T_137 = _T_135 & cam_1_valid; // @[el2_dec_decode_ctl.scala 342:95] + wire nonblock_load_write_1 = _T_119 & cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 351:66] + wire _T_142 = _GEN_130 == cam_raw_2_tag; // @[el2_dec_decode_ctl.scala 324:66] + wire _T_143 = io_lsu_nonblock_load_inv_r & _T_142; // @[el2_dec_decode_ctl.scala 324:45] + wire cam_inv_reset_val_2 = _T_143 & cam_2_valid; // @[el2_dec_decode_ctl.scala 324:82] + reg [4:0] cam_raw_2_rd; // @[el2_dec_decode_ctl.scala 350:47] + wire _T_154 = r_d_i0rd == cam_raw_2_rd; // @[el2_dec_decode_ctl.scala 337:80] + wire _T_155 = i0_wen_r & _T_154; // @[el2_dec_decode_ctl.scala 337:64] + reg cam_raw_2_wb; // @[el2_dec_decode_ctl.scala 350:47] + wire _T_157 = _T_155 & cam_raw_2_wb; // @[el2_dec_decode_ctl.scala 337:95] + wire _T_158 = cam_inv_reset_val_2 | _T_157; // @[el2_dec_decode_ctl.scala 337:44] + wire _GEN_74 = _T_158 ? 1'h0 : cam_2_valid; // @[el2_dec_decode_ctl.scala 337:116] + wire _GEN_77 = _T_158 ? 1'h0 : cam_raw_2_wb; // @[el2_dec_decode_ctl.scala 337:116] + wire _GEN_78 = cam_wen[2] | _GEN_74; // @[el2_dec_decode_ctl.scala 332:28] + wire _GEN_79 = cam_wen[2] ? 1'h0 : _GEN_77; // @[el2_dec_decode_ctl.scala 332:28] + wire _T_161 = nonblock_load_valid_m_delay & _T_142; // @[el2_dec_decode_ctl.scala 342:44] + wire _T_163 = _T_161 & cam_2_valid; // @[el2_dec_decode_ctl.scala 342:95] + wire nonblock_load_write_2 = _T_145 & cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 351:66] + wire _T_168 = _GEN_130 == cam_raw_3_tag; // @[el2_dec_decode_ctl.scala 324:66] + wire _T_169 = io_lsu_nonblock_load_inv_r & _T_168; // @[el2_dec_decode_ctl.scala 324:45] + wire cam_inv_reset_val_3 = _T_169 & cam_3_valid; // @[el2_dec_decode_ctl.scala 324:82] + reg [4:0] cam_raw_3_rd; // @[el2_dec_decode_ctl.scala 350:47] + wire _T_180 = r_d_i0rd == cam_raw_3_rd; // @[el2_dec_decode_ctl.scala 337:80] + wire _T_181 = i0_wen_r & _T_180; // @[el2_dec_decode_ctl.scala 337:64] + reg cam_raw_3_wb; // @[el2_dec_decode_ctl.scala 350:47] + wire _T_183 = _T_181 & cam_raw_3_wb; // @[el2_dec_decode_ctl.scala 337:95] + wire _T_184 = cam_inv_reset_val_3 | _T_183; // @[el2_dec_decode_ctl.scala 337:44] + wire _GEN_85 = _T_184 ? 1'h0 : cam_3_valid; // @[el2_dec_decode_ctl.scala 337:116] + wire _GEN_88 = _T_184 ? 1'h0 : cam_raw_3_wb; // @[el2_dec_decode_ctl.scala 337:116] + wire _GEN_89 = cam_wen[3] | _GEN_85; // @[el2_dec_decode_ctl.scala 332:28] + wire _GEN_90 = cam_wen[3] ? 1'h0 : _GEN_88; // @[el2_dec_decode_ctl.scala 332:28] + wire _T_187 = nonblock_load_valid_m_delay & _T_168; // @[el2_dec_decode_ctl.scala 342:44] + wire _T_189 = _T_187 & cam_3_valid; // @[el2_dec_decode_ctl.scala 342:95] + wire nonblock_load_write_3 = _T_171 & cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 351:66] + wire _T_194 = r_d_i0rd == io_dec_nonblock_load_waddr; // @[el2_dec_decode_ctl.scala 356:44] + wire nonblock_load_cancel = _T_194 & i0_wen_r; // @[el2_dec_decode_ctl.scala 356:76] + wire _T_195 = nonblock_load_write_0 | nonblock_load_write_1; // @[el2_dec_decode_ctl.scala 357:95] + wire _T_196 = _T_195 | nonblock_load_write_2; // @[el2_dec_decode_ctl.scala 357:95] + wire _T_197 = _T_196 | nonblock_load_write_3; // @[el2_dec_decode_ctl.scala 357:95] + wire _T_199 = io_lsu_nonblock_load_data_valid & _T_197; // @[el2_dec_decode_ctl.scala 357:64] + wire _T_200 = ~nonblock_load_cancel; // @[el2_dec_decode_ctl.scala 357:109] + wire _T_202 = nonblock_load_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 358:54] + wire _T_203 = _T_202 & io_lsu_nonblock_load_valid_m; // @[el2_dec_decode_ctl.scala 358:66] + wire _T_204 = _T_203 & io_dec_i0_rs1_en_d; // @[el2_dec_decode_ctl.scala 358:97] + wire [4:0] i0r_rs2 = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 624:16] + wire _T_205 = nonblock_load_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 358:137] + wire _T_206 = _T_205 & io_lsu_nonblock_load_valid_m; // @[el2_dec_decode_ctl.scala 358:149] + wire _T_207 = _T_206 & io_dec_i0_rs2_en_d; // @[el2_dec_decode_ctl.scala 358:180] + wire i0_nonblock_boundary_stall = _T_204 | _T_207; // @[el2_dec_decode_ctl.scala 358:118] + wire [4:0] _T_209 = nonblock_load_write_0 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_210 = _T_209 & cam_raw_0_rd; // @[el2_dec_decode_ctl.scala 362:88] + wire _T_211 = io_dec_i0_rs1_en_d & cam_0_valid; // @[el2_dec_decode_ctl.scala 362:121] + wire _T_212 = cam_raw_0_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 362:149] + wire _T_213 = _T_211 & _T_212; // @[el2_dec_decode_ctl.scala 362:136] + wire _T_214 = io_dec_i0_rs2_en_d & cam_0_valid; // @[el2_dec_decode_ctl.scala 362:182] + wire _T_215 = cam_raw_0_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 362:210] + wire _T_216 = _T_214 & _T_215; // @[el2_dec_decode_ctl.scala 362:197] + wire [4:0] _T_218 = nonblock_load_write_1 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_219 = _T_218 & cam_raw_1_rd; // @[el2_dec_decode_ctl.scala 362:88] + wire _T_220 = io_dec_i0_rs1_en_d & cam_1_valid; // @[el2_dec_decode_ctl.scala 362:121] + wire _T_221 = cam_raw_1_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 362:149] + wire _T_222 = _T_220 & _T_221; // @[el2_dec_decode_ctl.scala 362:136] + wire _T_223 = io_dec_i0_rs2_en_d & cam_1_valid; // @[el2_dec_decode_ctl.scala 362:182] + wire _T_224 = cam_raw_1_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 362:210] + wire _T_225 = _T_223 & _T_224; // @[el2_dec_decode_ctl.scala 362:197] + wire [4:0] _T_227 = nonblock_load_write_2 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_228 = _T_227 & cam_raw_2_rd; // @[el2_dec_decode_ctl.scala 362:88] + wire _T_229 = io_dec_i0_rs1_en_d & cam_2_valid; // @[el2_dec_decode_ctl.scala 362:121] + wire _T_230 = cam_raw_2_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 362:149] + wire _T_231 = _T_229 & _T_230; // @[el2_dec_decode_ctl.scala 362:136] + wire _T_232 = io_dec_i0_rs2_en_d & cam_2_valid; // @[el2_dec_decode_ctl.scala 362:182] + wire _T_233 = cam_raw_2_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 362:210] + wire _T_234 = _T_232 & _T_233; // @[el2_dec_decode_ctl.scala 362:197] + wire [4:0] _T_236 = nonblock_load_write_3 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_237 = _T_236 & cam_raw_3_rd; // @[el2_dec_decode_ctl.scala 362:88] + wire _T_238 = io_dec_i0_rs1_en_d & cam_3_valid; // @[el2_dec_decode_ctl.scala 362:121] + wire _T_239 = cam_raw_3_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 362:149] + wire _T_240 = _T_238 & _T_239; // @[el2_dec_decode_ctl.scala 362:136] + wire _T_241 = io_dec_i0_rs2_en_d & cam_3_valid; // @[el2_dec_decode_ctl.scala 362:182] + wire _T_242 = cam_raw_3_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 362:210] + wire _T_243 = _T_241 & _T_242; // @[el2_dec_decode_ctl.scala 362:197] + wire [4:0] _T_244 = _T_210 | _T_219; // @[el2_dec_decode_ctl.scala 363:69] + wire [4:0] _T_245 = _T_244 | _T_228; // @[el2_dec_decode_ctl.scala 363:69] + wire _T_246 = _T_213 | _T_222; // @[el2_dec_decode_ctl.scala 363:102] + wire _T_247 = _T_246 | _T_231; // @[el2_dec_decode_ctl.scala 363:102] + wire ld_stall_1 = _T_247 | _T_240; // @[el2_dec_decode_ctl.scala 363:102] + wire _T_248 = _T_216 | _T_225; // @[el2_dec_decode_ctl.scala 363:134] + wire _T_249 = _T_248 | _T_234; // @[el2_dec_decode_ctl.scala 363:134] + wire ld_stall_2 = _T_249 | _T_243; // @[el2_dec_decode_ctl.scala 363:134] + wire _T_250 = ld_stall_1 | ld_stall_2; // @[el2_dec_decode_ctl.scala 365:38] + wire i0_nonblock_load_stall = _T_250 | i0_nonblock_boundary_stall; // @[el2_dec_decode_ctl.scala 365:51] + wire _T_252 = ~i0_predict_br; // @[el2_dec_decode_ctl.scala 374:34] + wire [3:0] _T_254 = i0_legal_decode_d ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire csr_read = i0_dp_csr_read & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 458:36] + wire _T_255 = csr_read & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 386:16] + wire _T_257 = ~csr_read; // @[el2_dec_decode_ctl.scala 387:6] + wire _T_258 = _T_257 & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 387:16] + wire _T_260 = ~io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 388:18] + wire _T_261 = csr_read & _T_260; // @[el2_dec_decode_ctl.scala 388:16] + wire [3:0] _T_263 = i0_dp_mul ? 4'h1 : 4'h0; // @[Mux.scala 98:16] + wire [3:0] _T_264 = i0_dp_load ? 4'h2 : _T_263; // @[Mux.scala 98:16] + wire [3:0] _T_265 = i0_dp_store ? 4'h3 : _T_264; // @[Mux.scala 98:16] + wire [3:0] _T_266 = i0_dp_pm_alu ? 4'h4 : _T_265; // @[Mux.scala 98:16] + wire [3:0] _T_267 = _T_261 ? 4'h5 : _T_266; // @[Mux.scala 98:16] + wire [3:0] _T_268 = _T_258 ? 4'h6 : _T_267; // @[Mux.scala 98:16] + wire [3:0] _T_269 = _T_255 ? 4'h7 : _T_268; // @[Mux.scala 98:16] + wire [3:0] _T_270 = i0_dp_ebreak ? 4'h8 : _T_269; // @[Mux.scala 98:16] + wire [3:0] _T_271 = i0_dp_ecall ? 4'h9 : _T_270; // @[Mux.scala 98:16] + wire [3:0] _T_272 = i0_dp_fence ? 4'ha : _T_271; // @[Mux.scala 98:16] + wire [3:0] _T_273 = i0_dp_fence_i ? 4'hb : _T_272; // @[Mux.scala 98:16] + wire [3:0] _T_274 = i0_dp_mret ? 4'hc : _T_273; // @[Mux.scala 98:16] + wire [3:0] _T_275 = i0_dp_condbr ? 4'hd : _T_274; // @[Mux.scala 98:16] + wire [3:0] _T_276 = i0_dp_jal ? 4'he : _T_275; // @[Mux.scala 98:16] + reg lsu_idle; // @[el2_dec_decode_ctl.scala 399:45] + wire _T_333 = ~i0_pcall_case; // @[el2_dec_decode_ctl.scala 423:35] + wire _T_334 = i0_dp_jal & _T_333; // @[el2_dec_decode_ctl.scala 423:32] + wire _T_335 = ~i0_pja_case; // @[el2_dec_decode_ctl.scala 423:52] + wire _T_336 = _T_334 & _T_335; // @[el2_dec_decode_ctl.scala 423:50] + wire _T_337 = ~i0_pret_case; // @[el2_dec_decode_ctl.scala 423:67] + reg _T_339; // @[el2_dec_decode_ctl.scala 435:58] + wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 577:40] + wire _T_902 = i0_dp_load | i0_dp_store; // @[el2_dec_decode_ctl.scala 791:43] + reg x_d_i0v; // @[el2_lib.scala 501:16] + wire _T_876 = io_dec_i0_rs1_en_d & x_d_i0v; // @[el2_dec_decode_ctl.scala 771:48] + wire _T_877 = x_d_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 771:70] + wire i0_rs1_depend_i0_x = _T_876 & _T_877; // @[el2_dec_decode_ctl.scala 771:58] + wire _T_878 = io_dec_i0_rs1_en_d & r_d_i0v; // @[el2_dec_decode_ctl.scala 772:48] + wire _T_879 = r_d_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 772:70] + wire i0_rs1_depend_i0_r = _T_878 & _T_879; // @[el2_dec_decode_ctl.scala 772:58] + wire [1:0] _T_891 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 778:63] + wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_891; // @[el2_dec_decode_ctl.scala 778:24] + wire _T_904 = _T_902 & i0_rs1_depth_d[0]; // @[el2_dec_decode_ctl.scala 791:58] + reg i0_x_c_load; // @[Reg.scala 15:16] + reg i0_r_c_load; // @[Reg.scala 15:16] + wire _T_887_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 777:61] + wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_887_load; // @[el2_dec_decode_ctl.scala 777:24] + wire load_ldst_bypass_d = _T_904 & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 791:78] + wire _T_880 = io_dec_i0_rs2_en_d & x_d_i0v; // @[el2_dec_decode_ctl.scala 774:48] + wire _T_881 = x_d_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 774:70] + wire i0_rs2_depend_i0_x = _T_880 & _T_881; // @[el2_dec_decode_ctl.scala 774:58] + wire _T_882 = io_dec_i0_rs2_en_d & r_d_i0v; // @[el2_dec_decode_ctl.scala 775:48] + wire _T_883 = r_d_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 775:70] + wire i0_rs2_depend_i0_r = _T_882 & _T_883; // @[el2_dec_decode_ctl.scala 775:58] + wire [1:0] _T_900 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 780:63] + wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_900; // @[el2_dec_decode_ctl.scala 780:24] + wire _T_907 = i0_dp_store & i0_rs2_depth_d[0]; // @[el2_dec_decode_ctl.scala 792:43] + wire _T_896_load = i0_rs2_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 779:61] + wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_896_load; // @[el2_dec_decode_ctl.scala 779:24] + wire store_data_bypass_d = _T_907 & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 792:63] + wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[el2_dec_decode_ctl.scala 466:42] + reg r_d_csrwen; // @[el2_lib.scala 501:16] + reg r_d_i0valid; // @[el2_lib.scala 501:16] + wire _T_352 = r_d_csrwen & r_d_i0valid; // @[el2_dec_decode_ctl.scala 474:34] + reg [11:0] r_d_csrwaddr; // @[el2_lib.scala 501:16] + wire _T_355 = r_d_csrwaddr == 12'h300; // @[el2_dec_decode_ctl.scala 477:45] + wire _T_356 = r_d_csrwaddr == 12'h304; // @[el2_dec_decode_ctl.scala 477:75] + wire _T_357 = _T_355 | _T_356; // @[el2_dec_decode_ctl.scala 477:59] + wire _T_358 = _T_357 & r_d_csrwen; // @[el2_dec_decode_ctl.scala 477:90] + wire _T_359 = _T_358 & r_d_i0valid; // @[el2_dec_decode_ctl.scala 477:103] + wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec_decode_ctl.scala 477:119] + reg csr_read_x; // @[el2_dec_decode_ctl.scala 479:52] + reg csr_clr_x; // @[el2_dec_decode_ctl.scala 480:51] + reg csr_set_x; // @[el2_dec_decode_ctl.scala 481:51] + reg csr_write_x; // @[el2_dec_decode_ctl.scala 482:53] + reg csr_imm_x; // @[el2_dec_decode_ctl.scala 483:51] + wire i0_x_data_en = i0_pipe_en[3] | io_clk_override; // @[el2_dec_decode_ctl.scala 660:50] + reg [4:0] csrimm_x; // @[el2_lib.scala 491:16] + reg [31:0] csr_rddata_x; // @[el2_lib.scala 491:16] + wire [31:0] _T_394 = {27'h0,csrimm_x}; // @[Cat.scala 29:58] + wire _T_396 = ~csr_imm_x; // @[el2_dec_decode_ctl.scala 491:5] + wire [31:0] _T_397 = csr_imm_x ? _T_394 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_398 = _T_396 ? io_exu_csr_rs1_x : 32'h0; // @[Mux.scala 27:72] + wire [31:0] csr_mask_x = _T_397 | _T_398; // @[Mux.scala 27:72] + wire [31:0] _T_400 = ~csr_mask_x; // @[el2_dec_decode_ctl.scala 494:38] + wire [31:0] _T_401 = csr_rddata_x & _T_400; // @[el2_dec_decode_ctl.scala 494:35] + wire [31:0] _T_402 = csr_rddata_x | csr_mask_x; // @[el2_dec_decode_ctl.scala 495:35] + wire [31:0] _T_403 = csr_clr_x ? _T_401 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_404 = csr_set_x ? _T_402 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_405 = csr_write_x ? csr_mask_x : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_406 = _T_403 | _T_404; // @[Mux.scala 27:72] + wire [31:0] write_csr_data_x = _T_406 | _T_405; // @[Mux.scala 27:72] + wire _T_418 = ~tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 505:44] + wire _T_419 = ~tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 505:64] + wire _T_420 = _T_418 & _T_419; // @[el2_dec_decode_ctl.scala 505:61] + wire [31:0] _T_423 = write_csr_data - 32'h1; // @[el2_dec_decode_ctl.scala 508:59] + wire _T_425 = csr_clr_x | csr_set_x; // @[el2_dec_decode_ctl.scala 510:34] + wire _T_426 = _T_425 | csr_write_x; // @[el2_dec_decode_ctl.scala 510:46] + wire _T_427 = _T_426 & csr_read_x; // @[el2_dec_decode_ctl.scala 510:61] + wire _T_428 = _T_427 | io_dec_tlu_wr_pause_r; // @[el2_dec_decode_ctl.scala 510:75] + reg r_d_csrwonly; // @[el2_lib.scala 501:16] + wire _T_764 = r_d_i0v & r_d_i0load; // @[el2_dec_decode_ctl.scala 713:37] + reg [31:0] i0_result_r_raw; // @[el2_lib.scala 491:16] + wire [31:0] i0_result_corr_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 713:27] + reg x_d_csrwonly; // @[el2_lib.scala 501:16] + wire _T_432 = x_d_csrwonly | r_d_csrwonly; // @[el2_dec_decode_ctl.scala 519:38] + reg wbd_csrwonly; // @[el2_lib.scala 501:16] + wire prior_csr_write = _T_432 | wbd_csrwonly; // @[el2_dec_decode_ctl.scala 519:53] + wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_cmd_wrdata[1]; // @[el2_dec_decode_ctl.scala 522:48] + wire debug_fence = debug_fence_raw | debug_fence_i; // @[el2_dec_decode_ctl.scala 523:40] + wire _T_436 = i0_dp_presync | io_dec_tlu_presync_d; // @[el2_dec_decode_ctl.scala 526:34] + wire _T_437 = _T_436 | debug_fence_i; // @[el2_dec_decode_ctl.scala 526:57] + wire _T_438 = _T_437 | debug_fence_raw; // @[el2_dec_decode_ctl.scala 526:73] + wire i0_presync = _T_438 | io_dec_tlu_pipelining_disable; // @[el2_dec_decode_ctl.scala 526:91] + wire [31:0] _T_462 = {16'h0,io_ifu_i0_cinst}; // @[Cat.scala 29:58] + wire _T_464 = ~illegal_lockout; // @[el2_dec_decode_ctl.scala 538:44] + reg [31:0] _T_465; // @[el2_lib.scala 491:16] + wire i0_div_prior_div_stall = i0_dp_div & io_dec_div_active; // @[el2_dec_decode_ctl.scala 542:42] + wire _T_470 = i0_dp_csr_read & prior_csr_write; // @[el2_dec_decode_ctl.scala 544:40] + wire _T_471 = _T_470 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 544:59] + wire _T_472 = _T_471 | pause_stall; // @[el2_dec_decode_ctl.scala 544:81] + wire _T_473 = _T_472 | leak1_i0_stall; // @[el2_dec_decode_ctl.scala 544:95] + wire _T_474 = _T_473 | io_dec_tlu_debug_stall; // @[el2_dec_decode_ctl.scala 545:20] + wire _T_475 = _T_474 | postsync_stall; // @[el2_dec_decode_ctl.scala 545:45] + wire prior_inflight = x_d_i0valid | r_d_i0valid; // @[el2_dec_decode_ctl.scala 567:41] + wire prior_inflight_eff = i0_dp_div ? x_d_i0valid : prior_inflight; // @[el2_dec_decode_ctl.scala 568:31] + wire presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 570:37] + wire _T_476 = _T_475 | presync_stall; // @[el2_dec_decode_ctl.scala 545:62] + wire _T_477 = i0_dp_fence | debug_fence; // @[el2_dec_decode_ctl.scala 546:19] + wire _T_478 = ~lsu_idle; // @[el2_dec_decode_ctl.scala 546:36] + wire _T_479 = _T_477 & _T_478; // @[el2_dec_decode_ctl.scala 546:34] + wire _T_480 = _T_476 | _T_479; // @[el2_dec_decode_ctl.scala 545:79] + wire _T_481 = _T_480 | i0_nonblock_load_stall; // @[el2_dec_decode_ctl.scala 546:47] + wire _T_822 = io_dec_i0_rs1_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 741:49] + wire _T_823 = io_div_waddr_wb == i0r_rs1; // @[el2_dec_decode_ctl.scala 741:88] + wire _T_824 = _T_822 & _T_823; // @[el2_dec_decode_ctl.scala 741:69] + wire _T_825 = io_dec_i0_rs2_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 742:25] + wire _T_826 = io_div_waddr_wb == i0r_rs2; // @[el2_dec_decode_ctl.scala 742:64] + wire _T_827 = _T_825 & _T_826; // @[el2_dec_decode_ctl.scala 742:45] + wire i0_nonblock_div_stall = _T_824 | _T_827; // @[el2_dec_decode_ctl.scala 741:102] + wire _T_483 = _T_481 | i0_nonblock_div_stall; // @[el2_dec_decode_ctl.scala 547:21] + wire i0_block_raw_d = _T_483 | i0_div_prior_div_stall; // @[el2_dec_decode_ctl.scala 547:45] + wire _T_484 = io_lsu_store_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 549:65] + wire i0_store_stall_d = i0_dp_store & _T_484; // @[el2_dec_decode_ctl.scala 549:39] + wire _T_485 = io_lsu_load_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 550:63] + wire i0_load_stall_d = i0_dp_load & _T_485; // @[el2_dec_decode_ctl.scala 550:38] + wire _T_486 = i0_block_raw_d | i0_store_stall_d; // @[el2_dec_decode_ctl.scala 551:38] + wire i0_block_d = _T_486 | i0_load_stall_d; // @[el2_dec_decode_ctl.scala 551:57] + wire _T_487 = ~i0_block_d; // @[el2_dec_decode_ctl.scala 555:46] + wire _T_488 = io_dec_ib0_valid_d & _T_487; // @[el2_dec_decode_ctl.scala 555:44] + wire _T_490 = _T_488 & _T_279; // @[el2_dec_decode_ctl.scala 555:61] + wire _T_493 = ~i0_block_raw_d; // @[el2_dec_decode_ctl.scala 556:46] + wire _T_494 = io_dec_ib0_valid_d & _T_493; // @[el2_dec_decode_ctl.scala 556:44] + wire _T_496 = _T_494 & _T_279; // @[el2_dec_decode_ctl.scala 556:61] + wire i0_exudecode_d = _T_496 & _T_467; // @[el2_dec_decode_ctl.scala 556:89] + wire i0_exulegal_decode_d = i0_exudecode_d & i0_legal; // @[el2_dec_decode_ctl.scala 557:46] + wire _T_498 = ~io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 561:51] + wire _T_517 = i0_dp_fence_i | debug_fence_i; // @[el2_dec_decode_ctl.scala 589:44] + wire [3:0] _T_522 = {io_dec_i0_decode_d,io_dec_i0_decode_d,io_dec_i0_decode_d,io_dec_i0_decode_d}; // @[Cat.scala 29:58] + wire _T_704 = |i0_pipe_en[3:2]; // @[el2_dec_decode_ctl.scala 657:49] + wire i0_x_ctl_en = _T_704 | io_clk_override; // @[el2_dec_decode_ctl.scala 657:53] + reg x_t_legal; // @[el2_lib.scala 501:16] + reg x_t_icaf; // @[el2_lib.scala 501:16] + reg x_t_icaf_f1; // @[el2_lib.scala 501:16] + reg [1:0] x_t_icaf_type; // @[el2_lib.scala 501:16] + reg x_t_fence_i; // @[el2_lib.scala 501:16] + reg [3:0] x_t_i0trigger; // @[el2_lib.scala 501:16] + reg [3:0] x_t_pmu_i0_itype; // @[el2_lib.scala 501:16] + reg x_t_pmu_i0_br_unpred; // @[el2_lib.scala 501:16] + wire [3:0] _T_530 = {io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb}; // @[Cat.scala 29:58] + wire [3:0] _T_531 = ~_T_530; // @[el2_dec_decode_ctl.scala 602:39] + reg r_t_legal; // @[el2_lib.scala 501:16] + reg r_t_icaf; // @[el2_lib.scala 501:16] + reg r_t_icaf_f1; // @[el2_lib.scala 501:16] + reg [1:0] r_t_icaf_type; // @[el2_lib.scala 501:16] + reg r_t_fence_i; // @[el2_lib.scala 501:16] + reg [3:0] r_t_i0trigger; // @[el2_lib.scala 501:16] + reg [3:0] r_t_pmu_i0_itype; // @[el2_lib.scala 501:16] + reg r_t_pmu_i0_br_unpred; // @[el2_lib.scala 501:16] + reg [3:0] lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 605:36] + reg lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 606:37] + reg r_d_i0store; // @[el2_lib.scala 501:16] + wire _T_536 = r_d_i0load | r_d_i0store; // @[el2_dec_decode_ctl.scala 610:56] + wire [3:0] _T_540 = {_T_536,_T_536,_T_536,_T_536}; // @[Cat.scala 29:58] + wire [3:0] _T_541 = _T_540 & lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 610:72] + wire [3:0] _T_542 = _T_541 | r_t_i0trigger; // @[el2_dec_decode_ctl.scala 610:95] + reg r_d_i0div; // @[el2_lib.scala 501:16] + wire _T_545 = r_d_i0div & r_d_i0valid; // @[el2_dec_decode_ctl.scala 616:53] + wire _T_556 = i0r_rs1 != 5'h0; // @[el2_dec_decode_ctl.scala 627:49] + wire _T_558 = i0r_rs2 != 5'h0; // @[el2_dec_decode_ctl.scala 628:49] + wire _T_560 = i0r_rd != 5'h0; // @[el2_dec_decode_ctl.scala 629:48] + wire i0_rd_en_d = i0_dp_rd & _T_560; // @[el2_dec_decode_ctl.scala 629:37] + wire i0_jalimm20 = i0_dp_jal & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 633:38] + wire _T_561 = ~i0_dp_jal; // @[el2_dec_decode_ctl.scala 634:27] + wire i0_uiimm20 = _T_561 & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 634:38] + wire [31:0] _T_563 = i0_dp_csr_read ? io_dec_csr_rddata_d : 32'h0; // @[Mux.scala 27:72] + wire [9:0] _T_577 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] + wire [18:0] _T_586 = {_T_577,io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] + wire [31:0] _T_589 = {_T_586,io_dec_i0_instr_d[31],io_dec_i0_instr_d[31:20]}; // @[Cat.scala 29:58] + wire [31:0] _T_684 = i0_dp_imm12 ? _T_589 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_618 = {27'h0,i0r_rs2}; // @[Cat.scala 29:58] + wire [31:0] _T_685 = i0_dp_shimm5 ? _T_618 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_689 = _T_684 | _T_685; // @[Mux.scala 27:72] + wire [31:0] _T_638 = {_T_577,io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[19:12],io_dec_i0_instr_d[20],io_dec_i0_instr_d[30:21],1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_686 = i0_jalimm20 ? _T_638 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_690 = _T_689 | _T_686; // @[Mux.scala 27:72] + wire [31:0] _T_652 = {io_dec_i0_instr_d[31:12],12'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_687 = i0_uiimm20 ? _T_652 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_691 = _T_690 | _T_687; // @[Mux.scala 27:72] + wire _T_653 = i0_csr_write_only_d & i0_dp_csr_imm; // @[el2_dec_decode_ctl.scala 645:26] + wire [31:0] _T_683 = {27'h0,i0r_rs1}; // @[Cat.scala 29:58] + wire [31:0] _T_688 = _T_653 ? _T_683 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] i0_immed_d = _T_691 | _T_688; // @[Mux.scala 27:72] + wire [31:0] _T_564 = _T_347 ? i0_immed_d : 32'h0; // @[Mux.scala 27:72] + wire i0_d_c_mul = i0_dp_mul & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 649:44] + wire i0_d_c_load = i0_dp_load & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 650:44] + wire i0_d_c_alu = i0_dp_alu & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 651:44] + reg i0_x_c_mul; // @[Reg.scala 15:16] + reg i0_x_c_alu; // @[Reg.scala 15:16] + reg i0_r_c_mul; // @[Reg.scala 15:16] + reg i0_r_c_alu; // @[Reg.scala 15:16] + wire _T_710 = |i0_pipe_en[1:0]; // @[el2_dec_decode_ctl.scala 659:49] + wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[el2_dec_decode_ctl.scala 661:50] + reg x_d_i0store; // @[el2_lib.scala 501:16] + reg x_d_i0div; // @[el2_lib.scala 501:16] + reg x_d_csrwen; // @[el2_lib.scala 501:16] + reg [11:0] x_d_csrwaddr; // @[el2_lib.scala 501:16] + wire _T_733 = x_d_i0v & _T_743; // @[el2_dec_decode_ctl.scala 683:37] + wire _T_737 = x_d_i0valid & _T_743; // @[el2_dec_decode_ctl.scala 684:37] + wire _T_756 = ~r_d_i0div; // @[el2_dec_decode_ctl.scala 699:49] + wire _T_757 = i0_wen_r & _T_756; // @[el2_dec_decode_ctl.scala 699:47] + wire _T_758 = ~i0_load_kill_wen_r; // @[el2_dec_decode_ctl.scala 699:65] + wire _T_761 = x_d_i0v & x_d_i0load; // @[el2_dec_decode_ctl.scala 708:42] + wire _T_768 = io_i0_ap_predict_nt & _T_561; // @[el2_dec_decode_ctl.scala 714:52] + wire [11:0] _T_781 = {10'h0,io_dec_i0_pc4_d,i0_ap_pc2}; // @[Cat.scala 29:58] + reg [11:0] last_br_immed_x; // @[el2_lib.scala 491:16] + wire _T_799 = x_d_i0div & x_d_i0valid; // @[el2_dec_decode_ctl.scala 722:40] + wire div_e1_to_r = _T_799 | _T_545; // @[el2_dec_decode_ctl.scala 722:55] + wire _T_802 = x_d_i0rd == 5'h0; // @[el2_dec_decode_ctl.scala 724:69] + wire _T_803 = _T_799 & _T_802; // @[el2_dec_decode_ctl.scala 724:57] + wire _T_805 = _T_799 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 725:30] + wire _T_806 = _T_803 | _T_805; // @[el2_dec_decode_ctl.scala 724:86] + wire _T_808 = _T_545 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 726:30] + wire _T_809 = _T_808 & io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 726:57] + wire div_flush = _T_806 | _T_809; // @[el2_dec_decode_ctl.scala 725:59] + wire _T_810 = io_dec_div_active & div_flush; // @[el2_dec_decode_ctl.scala 730:51] + wire _T_811 = ~div_e1_to_r; // @[el2_dec_decode_ctl.scala 731:26] + wire _T_812 = io_dec_div_active & _T_811; // @[el2_dec_decode_ctl.scala 731:24] + wire _T_813 = r_d_i0rd == io_div_waddr_wb; // @[el2_dec_decode_ctl.scala 731:51] + wire _T_814 = _T_812 & _T_813; // @[el2_dec_decode_ctl.scala 731:39] + wire _T_815 = _T_814 & i0_wen_r; // @[el2_dec_decode_ctl.scala 731:72] + wire nonblock_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 730:65] + wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 734:55] + wire _T_817 = ~io_exu_div_wren; // @[el2_dec_decode_ctl.scala 736:62] + wire _T_818 = io_dec_div_active & _T_817; // @[el2_dec_decode_ctl.scala 736:60] + wire _T_819 = ~nonblock_div_cancel; // @[el2_dec_decode_ctl.scala 736:81] + wire _T_820 = _T_818 & _T_819; // @[el2_dec_decode_ctl.scala 736:79] + reg _T_821; // @[el2_dec_decode_ctl.scala 738:54] + reg [4:0] _T_830; // @[Reg.scala 27:20] + reg [30:0] dec_i0_pc_r; // @[el2_lib.scala 491:16] + wire [31:0] _T_842 = {io_exu_i0_pc_x,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_843 = {last_br_immed_x,1'h0}; // @[Cat.scala 29:58] + wire [12:0] _T_846 = _T_842[12:1] + _T_843[12:1]; // @[el2_lib.scala 206:31] + wire [18:0] _T_849 = _T_842[31:13] + 19'h1; // @[el2_lib.scala 207:27] + wire [18:0] _T_852 = _T_842[31:13] - 19'h1; // @[el2_lib.scala 208:27] + wire _T_855 = ~_T_846[12]; // @[el2_lib.scala 210:28] + wire _T_856 = _T_843[12] ^ _T_855; // @[el2_lib.scala 210:26] + wire _T_859 = ~_T_843[12]; // @[el2_lib.scala 211:20] + wire _T_861 = _T_859 & _T_846[12]; // @[el2_lib.scala 211:26] + wire _T_865 = _T_843[12] & _T_855; // @[el2_lib.scala 212:26] + wire [18:0] _T_867 = _T_856 ? _T_842[31:13] : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_868 = _T_861 ? _T_849 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_869 = _T_865 ? _T_852 : 19'h0; // @[Mux.scala 27:72] + wire [18:0] _T_870 = _T_867 | _T_868; // @[Mux.scala 27:72] + wire [18:0] _T_871 = _T_870 | _T_869; // @[Mux.scala 27:72] + wire [31:0] temp_pred_correct_npc_x = {_T_871,_T_846[11:0],1'h0}; // @[Cat.scala 29:58] + wire _T_887_mul = i0_rs1_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 777:61] + wire _T_887_alu = i0_rs1_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 777:61] + wire i0_rs1_class_d_mul = i0_rs1_depend_i0_x ? i0_x_c_mul : _T_887_mul; // @[el2_dec_decode_ctl.scala 777:24] + wire i0_rs1_class_d_alu = i0_rs1_depend_i0_x ? i0_x_c_alu : _T_887_alu; // @[el2_dec_decode_ctl.scala 777:24] + wire _T_896_mul = i0_rs2_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 779:61] + wire _T_896_alu = i0_rs2_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 779:61] + wire i0_rs2_class_d_mul = i0_rs2_depend_i0_x ? i0_x_c_mul : _T_896_mul; // @[el2_dec_decode_ctl.scala 779:24] + wire i0_rs2_class_d_alu = i0_rs2_depend_i0_x ? i0_x_c_alu : _T_896_alu; // @[el2_dec_decode_ctl.scala 779:24] + wire _T_909 = io_dec_i0_rs1_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 797:62] + wire _T_910 = io_dec_nonblock_load_waddr == i0r_rs1; // @[el2_dec_decode_ctl.scala 797:119] + wire i0_rs1_nonblock_load_bypass_en_d = _T_909 & _T_910; // @[el2_dec_decode_ctl.scala 797:89] + wire _T_911 = io_dec_i0_rs2_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 799:62] + wire _T_912 = io_dec_nonblock_load_waddr == i0r_rs2; // @[el2_dec_decode_ctl.scala 799:119] + wire i0_rs2_nonblock_load_bypass_en_d = _T_911 & _T_912; // @[el2_dec_decode_ctl.scala 799:89] + wire _T_914 = i0_rs1_class_d_alu | i0_rs1_class_d_mul; // @[el2_dec_decode_ctl.scala 802:66] + wire _T_915 = i0_rs1_depth_d[0] & _T_914; // @[el2_dec_decode_ctl.scala 802:45] + wire _T_917 = i0_rs1_depth_d[0] & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 802:108] + wire _T_920 = _T_914 | i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 802:196] + wire _T_921 = i0_rs1_depth_d[1] & _T_920; // @[el2_dec_decode_ctl.scala 802:153] + wire [2:0] i0_rs1bypass = {_T_915,_T_917,_T_921}; // @[Cat.scala 29:58] + wire _T_925 = i0_rs2_class_d_alu | i0_rs2_class_d_mul; // @[el2_dec_decode_ctl.scala 804:67] + wire _T_926 = i0_rs2_depth_d[0] & _T_925; // @[el2_dec_decode_ctl.scala 804:45] + wire _T_928 = i0_rs2_depth_d[0] & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 804:109] + wire _T_931 = _T_925 | i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 804:196] + wire _T_932 = i0_rs2_depth_d[1] & _T_931; // @[el2_dec_decode_ctl.scala 804:153] + wire [2:0] i0_rs2bypass = {_T_926,_T_928,_T_932}; // @[Cat.scala 29:58] + wire _T_938 = i0_rs1bypass[1] | i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 806:75] + wire _T_940 = ~i0_rs1bypass[2]; // @[el2_dec_decode_ctl.scala 806:96] + wire _T_941 = _T_940 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 806:113] + wire _T_942 = _T_938 | _T_941; // @[el2_dec_decode_ctl.scala 806:93] + wire _T_947 = i0_rs2bypass[1] | i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 807:75] + wire _T_949 = ~i0_rs2bypass[2]; // @[el2_dec_decode_ctl.scala 807:96] + wire _T_950 = _T_949 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 807:113] + wire _T_951 = _T_947 | _T_950; // @[el2_dec_decode_ctl.scala 807:93] + wire _T_958 = ~i0_rs1bypass[1]; // @[el2_dec_decode_ctl.scala 812:6] + wire _T_960 = ~i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 812:25] + wire _T_961 = _T_958 & _T_960; // @[el2_dec_decode_ctl.scala 812:23] + wire _T_962 = _T_961 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 812:42] + wire [31:0] _T_964 = i0_rs1bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_965 = i0_rs1bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_966 = _T_962 ? io_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_967 = _T_964 | _T_965; // @[Mux.scala 27:72] + wire _T_975 = ~i0_rs2bypass[1]; // @[el2_dec_decode_ctl.scala 817:6] + wire _T_977 = ~i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 817:25] + wire _T_978 = _T_975 & _T_977; // @[el2_dec_decode_ctl.scala 817:23] + wire _T_979 = _T_978 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 817:42] + wire [31:0] _T_981 = i0_rs2bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_982 = i0_rs2bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_983 = _T_979 ? io_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_984 = _T_981 | _T_982; // @[Mux.scala 27:72] + wire _T_987 = i0_dp_raw_load | i0_dp_raw_store; // @[el2_dec_decode_ctl.scala 819:68] + wire _T_988 = io_dec_ib0_valid_d & _T_987; // @[el2_dec_decode_ctl.scala 819:50] + wire _T_989 = ~io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 819:89] + wire _T_990 = _T_988 & _T_989; // @[el2_dec_decode_ctl.scala 819:87] + wire _T_992 = _T_990 & _T_493; // @[el2_dec_decode_ctl.scala 819:112] + wire _T_994 = ~io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 821:6] + wire _T_995 = _T_994 & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 821:27] + wire _T_996 = _T_995 & i0_dp_load; // @[el2_dec_decode_ctl.scala 821:39] + wire _T_1001 = _T_995 & i0_dp_store; // @[el2_dec_decode_ctl.scala 822:39] + wire [11:0] _T_1005 = {io_dec_i0_instr_d[31:25],i0r_rd}; // @[Cat.scala 29:58] + wire [11:0] _T_1006 = _T_996 ? io_dec_i0_instr_d[31:20] : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1007 = _T_1001 ? _T_1005 : 12'h0; // @[Mux.scala 27:72] + rvclkhdr data_gated_cgc ( // @[el2_dec_decode_ctl.scala 221:29] + .io_l1clk(data_gated_cgc_io_l1clk), + .io_clk(data_gated_cgc_io_clk), + .io_en(data_gated_cgc_io_en), + .io_scan_mode(data_gated_cgc_io_scan_mode) + ); + el2_dec_dec_ctl i0_dec ( // @[el2_dec_decode_ctl.scala 395:22] + .io_ins(i0_dec_io_ins), + .io_out_alu(i0_dec_io_out_alu), + .io_out_rs1(i0_dec_io_out_rs1), + .io_out_rs2(i0_dec_io_out_rs2), + .io_out_imm12(i0_dec_io_out_imm12), + .io_out_rd(i0_dec_io_out_rd), + .io_out_shimm5(i0_dec_io_out_shimm5), + .io_out_imm20(i0_dec_io_out_imm20), + .io_out_pc(i0_dec_io_out_pc), + .io_out_load(i0_dec_io_out_load), + .io_out_store(i0_dec_io_out_store), + .io_out_lsu(i0_dec_io_out_lsu), + .io_out_add(i0_dec_io_out_add), + .io_out_sub(i0_dec_io_out_sub), + .io_out_land(i0_dec_io_out_land), + .io_out_lor(i0_dec_io_out_lor), + .io_out_lxor(i0_dec_io_out_lxor), + .io_out_sll(i0_dec_io_out_sll), + .io_out_sra(i0_dec_io_out_sra), + .io_out_srl(i0_dec_io_out_srl), + .io_out_slt(i0_dec_io_out_slt), + .io_out_unsign(i0_dec_io_out_unsign), + .io_out_condbr(i0_dec_io_out_condbr), + .io_out_beq(i0_dec_io_out_beq), + .io_out_bne(i0_dec_io_out_bne), + .io_out_bge(i0_dec_io_out_bge), + .io_out_blt(i0_dec_io_out_blt), + .io_out_jal(i0_dec_io_out_jal), + .io_out_by(i0_dec_io_out_by), + .io_out_half(i0_dec_io_out_half), + .io_out_word(i0_dec_io_out_word), + .io_out_csr_read(i0_dec_io_out_csr_read), + .io_out_csr_clr(i0_dec_io_out_csr_clr), + .io_out_csr_set(i0_dec_io_out_csr_set), + .io_out_csr_write(i0_dec_io_out_csr_write), + .io_out_csr_imm(i0_dec_io_out_csr_imm), + .io_out_presync(i0_dec_io_out_presync), + .io_out_postsync(i0_dec_io_out_postsync), + .io_out_ebreak(i0_dec_io_out_ebreak), + .io_out_ecall(i0_dec_io_out_ecall), + .io_out_mret(i0_dec_io_out_mret), + .io_out_mul(i0_dec_io_out_mul), + .io_out_rs1_sign(i0_dec_io_out_rs1_sign), + .io_out_rs2_sign(i0_dec_io_out_rs2_sign), + .io_out_low(i0_dec_io_out_low), + .io_out_div(i0_dec_io_out_div), + .io_out_rem(i0_dec_io_out_rem), + .io_out_fence(i0_dec_io_out_fence), + .io_out_fence_i(i0_dec_io_out_fence_i), + .io_out_pm_alu(i0_dec_io_out_pm_alu), + .io_out_legal(i0_dec_io_out_legal) + ); + rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[el2_lib.scala 495:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[el2_lib.scala 495:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[el2_lib.scala 495:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[el2_lib.scala 495:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[el2_lib.scala 495:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + rvclkhdr rvclkhdr_12 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_12_io_l1clk), + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en), + .io_scan_mode(rvclkhdr_12_io_scan_mode) + ); + rvclkhdr rvclkhdr_13 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_13_io_l1clk), + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en), + .io_scan_mode(rvclkhdr_13_io_scan_mode) + ); + rvclkhdr rvclkhdr_14 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_14_io_l1clk), + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en), + .io_scan_mode(rvclkhdr_14_io_scan_mode) + ); + rvclkhdr rvclkhdr_15 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_15_io_l1clk), + .io_clk(rvclkhdr_15_io_clk), + .io_en(rvclkhdr_15_io_en), + .io_scan_mode(rvclkhdr_15_io_scan_mode) + ); + rvclkhdr rvclkhdr_16 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_16_io_l1clk), + .io_clk(rvclkhdr_16_io_clk), + .io_en(rvclkhdr_16_io_en), + .io_scan_mode(rvclkhdr_16_io_scan_mode) + ); + rvclkhdr rvclkhdr_17 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_17_io_l1clk), + .io_clk(rvclkhdr_17_io_clk), + .io_en(rvclkhdr_17_io_en), + .io_scan_mode(rvclkhdr_17_io_scan_mode) + ); + rvclkhdr rvclkhdr_18 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_18_io_l1clk), + .io_clk(rvclkhdr_18_io_clk), + .io_en(rvclkhdr_18_io_en), + .io_scan_mode(rvclkhdr_18_io_scan_mode) + ); + assign io_dec_extint_stall = _T_339; // @[el2_dec_decode_ctl.scala 435:23] + assign io_dec_i0_rs1_en_d = i0_dp_rs1 & _T_556; // @[el2_dec_decode_ctl.scala 627:24] + assign io_dec_i0_rs2_en_d = i0_dp_rs2 & _T_558; // @[el2_dec_decode_ctl.scala 628:24] + assign io_dec_i0_rs1_d = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 630:19] + assign io_dec_i0_rs2_d = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 631:19] + assign io_dec_i0_immed_d = _T_563 | _T_564; // @[el2_dec_decode_ctl.scala 636:21] + assign io_dec_i0_br_immed_d = _T_768 ? i0_br_offset : _T_781; // @[el2_dec_decode_ctl.scala 714:24] + assign io_i0_ap_land = _T_40 ? 1'h0 : i0_dp_raw_land; // @[el2_dec_decode_ctl.scala 288:20] + assign io_i0_ap_lor = _T_40 | i0_dp_raw_lor; // @[el2_dec_decode_ctl.scala 289:20] + assign io_i0_ap_lxor = _T_40 ? 1'h0 : i0_dp_raw_lxor; // @[el2_dec_decode_ctl.scala 290:20] + assign io_i0_ap_sll = _T_40 ? 1'h0 : i0_dp_raw_sll; // @[el2_dec_decode_ctl.scala 291:20] + assign io_i0_ap_srl = _T_40 ? 1'h0 : i0_dp_raw_srl; // @[el2_dec_decode_ctl.scala 292:20] + assign io_i0_ap_sra = _T_40 ? 1'h0 : i0_dp_raw_sra; // @[el2_dec_decode_ctl.scala 293:20] + assign io_i0_ap_beq = _T_40 ? 1'h0 : i0_dp_raw_beq; // @[el2_dec_decode_ctl.scala 296:20] + assign io_i0_ap_bne = _T_40 ? 1'h0 : i0_dp_raw_bne; // @[el2_dec_decode_ctl.scala 297:20] + assign io_i0_ap_blt = _T_40 ? 1'h0 : i0_dp_raw_blt; // @[el2_dec_decode_ctl.scala 298:20] + assign io_i0_ap_bge = _T_40 ? 1'h0 : i0_dp_raw_bge; // @[el2_dec_decode_ctl.scala 299:20] + assign io_i0_ap_add = _T_40 ? 1'h0 : i0_dp_raw_add; // @[el2_dec_decode_ctl.scala 286:20] + assign io_i0_ap_sub = _T_40 ? 1'h0 : i0_dp_raw_sub; // @[el2_dec_decode_ctl.scala 287:20] + assign io_i0_ap_slt = _T_40 ? 1'h0 : i0_dp_raw_slt; // @[el2_dec_decode_ctl.scala 294:20] + assign io_i0_ap_unsign = _T_40 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 295:20] + assign io_i0_ap_jal = _T_336 & _T_337; // @[el2_dec_decode_ctl.scala 302:22] + assign io_i0_ap_predict_t = _T_46 & i0_predict_br; // @[el2_dec_decode_ctl.scala 284:26] + assign io_i0_ap_predict_nt = _T_47 & i0_predict_br; // @[el2_dec_decode_ctl.scala 283:26] + assign io_i0_ap_csr_write = i0_csr_write & _T_347; // @[el2_dec_decode_ctl.scala 300:22] + assign io_i0_ap_csr_imm = _T_40 ? 1'h0 : i0_dp_raw_csr_imm; // @[el2_dec_decode_ctl.scala 301:22] + assign io_dec_i0_decode_d = _T_490 & _T_467; // @[el2_dec_decode_ctl.scala 555:22 el2_dec_decode_ctl.scala 621:22] + assign io_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[el2_dec_decode_ctl.scala 575:26] + assign io_dec_i0_rs1_bypass_data_d = _T_967 | _T_966; // @[el2_dec_decode_ctl.scala 809:31] + assign io_dec_i0_rs2_bypass_data_d = _T_984 | _T_983; // @[el2_dec_decode_ctl.scala 814:31] + assign io_dec_i0_waddr_r = r_d_i0rd; // @[el2_dec_decode_ctl.scala 697:27] + assign io_dec_i0_wen_r = _T_757 & _T_758; // @[el2_dec_decode_ctl.scala 699:32] + assign io_dec_i0_wdata_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 700:26] + assign io_dec_i0_select_pc_d = _T_40 ? 1'h0 : i0_dp_raw_pc; // @[el2_dec_decode_ctl.scala 274:25] + assign io_dec_i0_rs1_bypass_en_d = {i0_rs1bypass[2],_T_942}; // @[el2_dec_decode_ctl.scala 806:34] + assign io_dec_i0_rs2_bypass_en_d = {i0_rs2bypass[2],_T_951}; // @[el2_dec_decode_ctl.scala 807:34] + assign io_lsu_p_fast_int = io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 441:24] + assign io_lsu_p_by = io_dec_extint_stall ? 1'h0 : i0_dp_by; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 447:35] + assign io_lsu_p_half = io_dec_extint_stall ? 1'h0 : i0_dp_half; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 448:35] + assign io_lsu_p_word = io_dec_extint_stall | i0_dp_word; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 440:24 el2_dec_decode_ctl.scala 449:35] + assign io_lsu_p_load = io_dec_extint_stall | i0_dp_load; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 439:24 el2_dec_decode_ctl.scala 445:35] + assign io_lsu_p_store = io_dec_extint_stall ? 1'h0 : i0_dp_store; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 446:35] + assign io_lsu_p_unsign = io_dec_extint_stall ? 1'h0 : i0_dp_unsign; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 453:35] + assign io_lsu_p_store_data_bypass_d = io_dec_extint_stall ? 1'h0 : store_data_bypass_d; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 451:35] + assign io_lsu_p_load_ldst_bypass_d = io_dec_extint_stall ? 1'h0 : load_ldst_bypass_d; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 450:35] + assign io_lsu_p_valid = io_dec_extint_stall | lsu_decode_d; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 442:24 el2_dec_decode_ctl.scala 444:35] + assign io_mul_p_valid = i0_exulegal_decode_d & i0_dp_mul; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 430:21] + assign io_mul_p_rs1_sign = _T_40 ? 1'h0 : i0_dp_raw_rs1_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 431:21] + assign io_mul_p_rs2_sign = _T_40 ? 1'h0 : i0_dp_raw_rs2_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 432:21] + assign io_mul_p_low = _T_40 ? 1'h0 : i0_dp_raw_low; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 433:21] + assign io_div_p_valid = i0_exulegal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 426:21] + assign io_div_p_unsign = _T_40 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 427:21] + assign io_div_p_rem = _T_40 ? 1'h0 : i0_dp_raw_rem; // @[el2_dec_decode_ctl.scala 428:21] + assign io_div_waddr_wb = _T_830; // @[el2_dec_decode_ctl.scala 744:19] + assign io_dec_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 733:29] + assign io_dec_lsu_valid_raw_d = _T_992 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 819:26] + assign io_dec_lsu_offset_d = _T_1006 | _T_1007; // @[el2_dec_decode_ctl.scala 820:23] + assign io_dec_csr_ren_d = _T_40 ? 1'h0 : i0_dp_raw_csr_read; // @[el2_dec_decode_ctl.scala 457:21] + assign io_dec_csr_wen_unq_d = _T_349 | i0_csr_write; // @[el2_dec_decode_ctl.scala 466:24] + assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 532:24] + assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[el2_dec_decode_ctl.scala 469:24] + assign io_dec_csr_wen_r = _T_352 & _T_754; // @[el2_dec_decode_ctl.scala 474:20] + assign io_dec_csr_wraddr_r = r_d_csrwaddr; // @[el2_dec_decode_ctl.scala 470:23] + assign io_dec_csr_wrdata_r = r_d_csrwonly ? i0_result_corr_r : write_csr_data; // @[el2_dec_decode_ctl.scala 517:24] + assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[el2_dec_decode_ctl.scala 477:27] + assign io_dec_tlu_i0_valid_r = r_d_i0valid & _T_743; // @[el2_dec_decode_ctl.scala 581:29] + assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_icaf_type = io_dec_tlu_flush_lower_wb ? 2'h0 : r_t_icaf_type; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_fence_i = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_fence_i; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_542; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_pmu_divide = r_d_i0div & r_d_i0valid; // @[el2_dec_decode_ctl.scala 615:39 el2_dec_decode_ctl.scala 616:39] + assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[el2_dec_decode_ctl.scala 762:27] + assign io_dec_illegal_inst = _T_465; // @[el2_dec_decode_ctl.scala 539:23] + assign io_pred_correct_npc_x = temp_pred_correct_npc_x[31:1]; // @[el2_dec_decode_ctl.scala 767:25] + assign io_dec_i0_predict_p_d_pc4 = io_dec_i0_pc4_d; // @[el2_dec_decode_ctl.scala 237:38] + assign io_dec_i0_predict_p_d_hist = io_dec_i0_brp_hist; // @[el2_dec_decode_ctl.scala 238:38] + assign io_dec_i0_predict_p_d_toffset = _T_314 ? i0_pcall_imm[12:1] : _T_323; // @[el2_dec_decode_ctl.scala 251:44] + assign io_dec_i0_predict_p_d_valid = i0_brp_valid & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 239:38] + assign io_dec_i0_predict_p_d_br_error = _T_32 & _T_17; // @[el2_dec_decode_ctl.scala 246:51] + assign io_dec_i0_predict_p_d_br_start_error = _T_35 & _T_17; // @[el2_dec_decode_ctl.scala 247:51] + assign io_dec_i0_predict_p_d_prett = io_dec_i0_brp_prett; // @[el2_dec_decode_ctl.scala 236:38] + assign io_dec_i0_predict_p_d_pcall = i0_dp_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 233:38] + assign io_dec_i0_predict_p_d_pret = i0_dp_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 235:38] + assign io_dec_i0_predict_p_d_pja = i0_dp_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 234:38] + assign io_dec_i0_predict_p_d_way = io_dec_i0_brp_way; // @[el2_dec_decode_ctl.scala 253:51] + assign io_i0_predict_fghr_d = io_dec_i0_bp_fghr; // @[el2_dec_decode_ctl.scala 252:32] + assign io_i0_predict_index_d = io_dec_i0_bp_index; // @[el2_dec_decode_ctl.scala 248:32] + assign io_i0_predict_btag_d = io_dec_i0_bp_btag; // @[el2_dec_decode_ctl.scala 249:32] + assign io_dec_data_en = {i0_x_data_en,i0_r_data_en}; // @[el2_dec_decode_ctl.scala 665:27] + assign io_dec_ctl_en = {i0_x_ctl_en,i0_r_ctl_en}; // @[el2_dec_decode_ctl.scala 666:27] + assign io_dec_pmu_instr_decoded = io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 560:28] + assign io_dec_pmu_decode_stall = io_dec_ib0_valid_d & _T_498; // @[el2_dec_decode_ctl.scala 561:27] + assign io_dec_pmu_presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 563:29] + assign io_dec_pmu_postsync_stall = postsync_stall; // @[el2_dec_decode_ctl.scala 562:29] + assign io_dec_nonblock_load_wen = _T_199 & _T_200; // @[el2_dec_decode_ctl.scala 357:28] + assign io_dec_nonblock_load_waddr = _T_245 | _T_237; // @[el2_dec_decode_ctl.scala 354:29 el2_dec_decode_ctl.scala 364:29] + assign io_dec_pause_state = pause_stall; // @[el2_dec_decode_ctl.scala 501:22] + assign io_dec_pause_state_cg = pause_stall & _T_420; // @[el2_dec_decode_ctl.scala 505:25] + assign io_dec_div_active = _T_821; // @[el2_dec_decode_ctl.scala 738:21] + assign data_gated_cgc_io_clk = clock; // @[el2_dec_decode_ctl.scala 224:31] + assign data_gated_cgc_io_en = _T_15 | _T_16; // @[el2_dec_decode_ctl.scala 222:31] + assign data_gated_cgc_io_scan_mode = io_scan_mode; // @[el2_dec_decode_ctl.scala 223:31] + assign i0_dec_io_ins = io_dec_i0_instr_d; // @[el2_dec_decode_ctl.scala 396:16] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_1_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_2_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_2_io_en = _T_428 | pause_stall; // @[el2_lib.scala 488:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_3_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_3_io_en = shift_illegal & _T_464; // @[el2_lib.scala 488:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 497:18] + assign rvclkhdr_4_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 498:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 499:24] + assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 497:18] + assign rvclkhdr_5_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 498:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 499:24] + assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 497:18] + assign rvclkhdr_6_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 498:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 499:24] + assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 497:18] + assign rvclkhdr_7_io_en = _T_707 | io_clk_override; // @[el2_lib.scala 498:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 499:24] + assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 497:18] + assign rvclkhdr_8_io_en = _T_710 | io_clk_override; // @[el2_lib.scala 498:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 499:24] + assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_9_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_10_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_11_io_en = i0_legal_decode_d & i0_dp_div; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_12_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_12_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_13_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_13_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_14_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_14_io_en = i0_pipe_en[1] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_15_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_15_io_en = i0_pipe_en[0] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_16_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_16_io_en = i0_pipe_en[1] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_17_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_17_io_en = i0_pipe_en[0] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_18_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_18_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + tlu_wr_pause_r1 = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + tlu_wr_pause_r2 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + leak1_i1_stall = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + leak1_i0_stall = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + pause_stall = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + write_csr_data = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + postsync_stall = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + x_d_i0valid = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + flush_final_r = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + illegal_lockout = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + cam_raw_0_tag = _RAND_10[2:0]; + _RAND_11 = {1{`RANDOM}}; + cam_raw_0_valid = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + cam_raw_1_tag = _RAND_12[2:0]; + _RAND_13 = {1{`RANDOM}}; + cam_raw_1_valid = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + cam_raw_2_tag = _RAND_14[2:0]; + _RAND_15 = {1{`RANDOM}}; + cam_raw_2_valid = _RAND_15[0:0]; + _RAND_16 = {1{`RANDOM}}; + cam_raw_3_tag = _RAND_16[2:0]; + _RAND_17 = {1{`RANDOM}}; + cam_raw_3_valid = _RAND_17[0:0]; + _RAND_18 = {1{`RANDOM}}; + x_d_i0load = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + x_d_i0rd = _RAND_19[4:0]; + _RAND_20 = {1{`RANDOM}}; + _T_701 = _RAND_20[2:0]; + _RAND_21 = {1{`RANDOM}}; + nonblock_load_valid_m_delay = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + r_d_i0load = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + r_d_i0v = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + r_d_i0rd = _RAND_24[4:0]; + _RAND_25 = {1{`RANDOM}}; + cam_raw_0_rd = _RAND_25[4:0]; + _RAND_26 = {1{`RANDOM}}; + cam_raw_0_wb = _RAND_26[0:0]; + _RAND_27 = {1{`RANDOM}}; + cam_raw_1_rd = _RAND_27[4:0]; + _RAND_28 = {1{`RANDOM}}; + cam_raw_1_wb = _RAND_28[0:0]; + _RAND_29 = {1{`RANDOM}}; + cam_raw_2_rd = _RAND_29[4:0]; + _RAND_30 = {1{`RANDOM}}; + cam_raw_2_wb = _RAND_30[0:0]; + _RAND_31 = {1{`RANDOM}}; + cam_raw_3_rd = _RAND_31[4:0]; + _RAND_32 = {1{`RANDOM}}; + cam_raw_3_wb = _RAND_32[0:0]; + _RAND_33 = {1{`RANDOM}}; + lsu_idle = _RAND_33[0:0]; + _RAND_34 = {1{`RANDOM}}; + _T_339 = _RAND_34[0:0]; + _RAND_35 = {1{`RANDOM}}; + x_d_i0v = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + i0_x_c_load = _RAND_36[0:0]; + _RAND_37 = {1{`RANDOM}}; + i0_r_c_load = _RAND_37[0:0]; + _RAND_38 = {1{`RANDOM}}; + r_d_csrwen = _RAND_38[0:0]; + _RAND_39 = {1{`RANDOM}}; + r_d_i0valid = _RAND_39[0:0]; + _RAND_40 = {1{`RANDOM}}; + r_d_csrwaddr = _RAND_40[11:0]; + _RAND_41 = {1{`RANDOM}}; + csr_read_x = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + csr_clr_x = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + csr_set_x = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + csr_write_x = _RAND_44[0:0]; + _RAND_45 = {1{`RANDOM}}; + csr_imm_x = _RAND_45[0:0]; + _RAND_46 = {1{`RANDOM}}; + csrimm_x = _RAND_46[4:0]; + _RAND_47 = {1{`RANDOM}}; + csr_rddata_x = _RAND_47[31:0]; + _RAND_48 = {1{`RANDOM}}; + r_d_csrwonly = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + i0_result_r_raw = _RAND_49[31:0]; + _RAND_50 = {1{`RANDOM}}; + x_d_csrwonly = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + wbd_csrwonly = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + _T_465 = _RAND_52[31:0]; + _RAND_53 = {1{`RANDOM}}; + x_t_legal = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + x_t_icaf = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + x_t_icaf_f1 = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + x_t_icaf_type = _RAND_56[1:0]; + _RAND_57 = {1{`RANDOM}}; + x_t_fence_i = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + x_t_i0trigger = _RAND_58[3:0]; + _RAND_59 = {1{`RANDOM}}; + x_t_pmu_i0_itype = _RAND_59[3:0]; + _RAND_60 = {1{`RANDOM}}; + x_t_pmu_i0_br_unpred = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + r_t_legal = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + r_t_icaf = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + r_t_icaf_f1 = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + r_t_icaf_type = _RAND_64[1:0]; + _RAND_65 = {1{`RANDOM}}; + r_t_fence_i = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + r_t_i0trigger = _RAND_66[3:0]; + _RAND_67 = {1{`RANDOM}}; + r_t_pmu_i0_itype = _RAND_67[3:0]; + _RAND_68 = {1{`RANDOM}}; + r_t_pmu_i0_br_unpred = _RAND_68[0:0]; + _RAND_69 = {1{`RANDOM}}; + lsu_trigger_match_r = _RAND_69[3:0]; + _RAND_70 = {1{`RANDOM}}; + lsu_pmu_misaligned_r = _RAND_70[0:0]; + _RAND_71 = {1{`RANDOM}}; + r_d_i0store = _RAND_71[0:0]; + _RAND_72 = {1{`RANDOM}}; + r_d_i0div = _RAND_72[0:0]; + _RAND_73 = {1{`RANDOM}}; + i0_x_c_mul = _RAND_73[0:0]; + _RAND_74 = {1{`RANDOM}}; + i0_x_c_alu = _RAND_74[0:0]; + _RAND_75 = {1{`RANDOM}}; + i0_r_c_mul = _RAND_75[0:0]; + _RAND_76 = {1{`RANDOM}}; + i0_r_c_alu = _RAND_76[0:0]; + _RAND_77 = {1{`RANDOM}}; + x_d_i0store = _RAND_77[0:0]; + _RAND_78 = {1{`RANDOM}}; + x_d_i0div = _RAND_78[0:0]; + _RAND_79 = {1{`RANDOM}}; + x_d_csrwen = _RAND_79[0:0]; + _RAND_80 = {1{`RANDOM}}; + x_d_csrwaddr = _RAND_80[11:0]; + _RAND_81 = {1{`RANDOM}}; + last_br_immed_x = _RAND_81[11:0]; + _RAND_82 = {1{`RANDOM}}; + _T_821 = _RAND_82[0:0]; + _RAND_83 = {1{`RANDOM}}; + _T_830 = _RAND_83[4:0]; + _RAND_84 = {1{`RANDOM}}; + dec_i0_pc_r = _RAND_84[30:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + tlu_wr_pause_r1 = 1'h0; + end + if (reset) begin + tlu_wr_pause_r2 = 1'h0; + end + if (reset) begin + leak1_i1_stall = 1'h0; + end + if (reset) begin + leak1_i0_stall = 1'h0; + end + if (reset) begin + pause_stall = 1'h0; + end + if (reset) begin + write_csr_data = 32'h0; + end + if (reset) begin + postsync_stall = 1'h0; + end + if (reset) begin + x_d_i0valid = 1'h0; + end + if (reset) begin + flush_final_r = 1'h0; + end + if (reset) begin + illegal_lockout = 1'h0; + end + if (reset) begin + cam_raw_0_tag = 3'h0; + end + if (reset) begin + cam_raw_0_valid = 1'h0; + end + if (reset) begin + cam_raw_1_tag = 3'h0; + end + if (reset) begin + cam_raw_1_valid = 1'h0; + end + if (reset) begin + cam_raw_2_tag = 3'h0; + end + if (reset) begin + cam_raw_2_valid = 1'h0; + end + if (reset) begin + cam_raw_3_tag = 3'h0; + end + if (reset) begin + cam_raw_3_valid = 1'h0; + end + if (reset) begin + x_d_i0load = 1'h0; + end + if (reset) begin + x_d_i0rd = 5'h0; + end + if (reset) begin + _T_701 = 3'h0; + end + if (reset) begin + nonblock_load_valid_m_delay = 1'h0; + end + if (reset) begin + r_d_i0load = 1'h0; + end + if (reset) begin + r_d_i0v = 1'h0; + end + if (reset) begin + r_d_i0rd = 5'h0; + end + if (reset) begin + cam_raw_0_rd = 5'h0; + end + if (reset) begin + cam_raw_0_wb = 1'h0; + end + if (reset) begin + cam_raw_1_rd = 5'h0; + end + if (reset) begin + cam_raw_1_wb = 1'h0; + end + if (reset) begin + cam_raw_2_rd = 5'h0; + end + if (reset) begin + cam_raw_2_wb = 1'h0; + end + if (reset) begin + cam_raw_3_rd = 5'h0; + end + if (reset) begin + cam_raw_3_wb = 1'h0; + end + if (reset) begin + lsu_idle = 1'h0; + end + if (reset) begin + _T_339 = 1'h0; + end + if (reset) begin + x_d_i0v = 1'h0; + end + if (reset) begin + r_d_csrwen = 1'h0; + end + if (reset) begin + r_d_i0valid = 1'h0; + end + if (reset) begin + r_d_csrwaddr = 12'h0; + end + if (reset) begin + csr_read_x = 1'h0; + end + if (reset) begin + csr_clr_x = 1'h0; + end + if (reset) begin + csr_set_x = 1'h0; + end + if (reset) begin + csr_write_x = 1'h0; + end + if (reset) begin + csr_imm_x = 1'h0; + end + if (reset) begin + csrimm_x = 5'h0; + end + if (reset) begin + csr_rddata_x = 32'h0; + end + if (reset) begin + r_d_csrwonly = 1'h0; + end + if (reset) begin + i0_result_r_raw = 32'h0; + end + if (reset) begin + x_d_csrwonly = 1'h0; + end + if (reset) begin + wbd_csrwonly = 1'h0; + end + if (reset) begin + _T_465 = 32'h0; + end + if (reset) begin + x_t_legal = 1'h0; + end + if (reset) begin + x_t_icaf = 1'h0; + end + if (reset) begin + x_t_icaf_f1 = 1'h0; + end + if (reset) begin + x_t_icaf_type = 2'h0; + end + if (reset) begin + x_t_fence_i = 1'h0; + end + if (reset) begin + x_t_i0trigger = 4'h0; + end + if (reset) begin + x_t_pmu_i0_itype = 4'h0; + end + if (reset) begin + x_t_pmu_i0_br_unpred = 1'h0; + end + if (reset) begin + r_t_legal = 1'h0; + end + if (reset) begin + r_t_icaf = 1'h0; + end + if (reset) begin + r_t_icaf_f1 = 1'h0; + end + if (reset) begin + r_t_icaf_type = 2'h0; + end + if (reset) begin + r_t_fence_i = 1'h0; + end + if (reset) begin + r_t_i0trigger = 4'h0; + end + if (reset) begin + r_t_pmu_i0_itype = 4'h0; + end + if (reset) begin + r_t_pmu_i0_br_unpred = 1'h0; + end + if (reset) begin + lsu_trigger_match_r = 4'h0; + end + if (reset) begin + lsu_pmu_misaligned_r = 1'h0; + end + if (reset) begin + r_d_i0store = 1'h0; + end + if (reset) begin + r_d_i0div = 1'h0; + end + if (reset) begin + x_d_i0store = 1'h0; + end + if (reset) begin + x_d_i0div = 1'h0; + end + if (reset) begin + x_d_csrwen = 1'h0; + end + if (reset) begin + x_d_csrwaddr = 12'h0; + end + if (reset) begin + last_br_immed_x = 12'h0; + end + if (reset) begin + _T_821 = 1'h0; + end + if (reset) begin + _T_830 = 5'h0; + end + if (reset) begin + dec_i0_pc_r = 31'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_active_clk) begin + if (i0_x_ctl_en) begin + i0_x_c_load <= i0_d_c_load; + end + if (i0_r_ctl_en) begin + i0_r_c_load <= i0_x_c_load; + end + if (i0_x_ctl_en) begin + i0_x_c_mul <= i0_d_c_mul; + end + if (i0_x_ctl_en) begin + i0_x_c_alu <= i0_d_c_alu; + end + if (i0_r_ctl_en) begin + i0_r_c_mul <= i0_x_c_mul; + end + if (i0_r_ctl_en) begin + i0_r_c_alu <= i0_x_c_alu; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + tlu_wr_pause_r1 <= 1'h0; + end else begin + tlu_wr_pause_r1 <= io_dec_tlu_wr_pause_r; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + tlu_wr_pause_r2 <= 1'h0; + end else begin + tlu_wr_pause_r2 <= tlu_wr_pause_r1; + end + end + always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + if (reset) begin + leak1_i1_stall <= 1'h0; + end else begin + leak1_i1_stall <= io_dec_tlu_flush_leak_one_r | _T_280; + end + end + always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + if (reset) begin + leak1_i0_stall <= 1'h0; + end else begin + leak1_i0_stall <= _T_283 | _T_285; + end + end + always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + if (reset) begin + pause_stall <= 1'h0; + end else begin + pause_stall <= _T_412 & _T_413; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + write_csr_data <= 32'h0; + end else if (pause_stall) begin + write_csr_data <= _T_423; + end else if (io_dec_tlu_wr_pause_r) begin + write_csr_data <= io_dec_csr_wrdata_r; + end else begin + write_csr_data <= write_csr_data_x; + end + end + always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + if (reset) begin + postsync_stall <= 1'h0; + end else begin + postsync_stall <= _T_506 | _T_507; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + x_d_i0valid <= 1'h0; + end else begin + x_d_i0valid <= io_dec_i0_decode_d; + end + end + always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + if (reset) begin + flush_final_r <= 1'h0; + end else begin + flush_final_r <= io_exu_flush_final; + end + end + always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + if (reset) begin + illegal_lockout <= 1'h0; + end else begin + illegal_lockout <= _T_466 & _T_467; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_0_tag <= 3'h0; + end else if (cam_wen[0]) begin + cam_raw_0_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; + end else if (_T_106) begin + cam_raw_0_tag <= 3'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_0_valid <= 1'h0; + end else if (io_dec_tlu_force_halt) begin + cam_raw_0_valid <= 1'h0; + end else begin + cam_raw_0_valid <= _GEN_56; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_1_tag <= 3'h0; + end else if (cam_wen[1]) begin + cam_raw_1_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; + end else if (_T_132) begin + cam_raw_1_tag <= 3'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_1_valid <= 1'h0; + end else if (io_dec_tlu_force_halt) begin + cam_raw_1_valid <= 1'h0; + end else begin + cam_raw_1_valid <= _GEN_67; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_2_tag <= 3'h0; + end else if (cam_wen[2]) begin + cam_raw_2_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; + end else if (_T_158) begin + cam_raw_2_tag <= 3'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_2_valid <= 1'h0; + end else if (io_dec_tlu_force_halt) begin + cam_raw_2_valid <= 1'h0; + end else begin + cam_raw_2_valid <= _GEN_78; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_3_tag <= 3'h0; + end else if (cam_wen[3]) begin + cam_raw_3_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; + end else if (_T_184) begin + cam_raw_3_tag <= 3'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_3_valid <= 1'h0; + end else if (io_dec_tlu_force_halt) begin + cam_raw_3_valid <= 1'h0; + end else begin + cam_raw_3_valid <= _GEN_89; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + x_d_i0load <= 1'h0; + end else begin + x_d_i0load <= i0_dp_load & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + x_d_i0rd <= 5'h0; + end else begin + x_d_i0rd <= io_dec_i0_instr_d[11:7]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_701 <= 3'h0; + end else begin + _T_701 <= i0_pipe_en[3:1]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + nonblock_load_valid_m_delay <= 1'h0; + end else if (i0_r_ctl_en) begin + nonblock_load_valid_m_delay <= io_lsu_nonblock_load_valid_m; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + r_d_i0load <= 1'h0; + end else begin + r_d_i0load <= x_d_i0load; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + r_d_i0v <= 1'h0; + end else begin + r_d_i0v <= _T_733 & _T_279; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + r_d_i0rd <= 5'h0; + end else begin + r_d_i0rd <= x_d_i0rd; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_0_rd <= 5'h0; + end else if (cam_wen[0]) begin + if (x_d_i0load) begin + cam_raw_0_rd <= x_d_i0rd; + end else begin + cam_raw_0_rd <= 5'h0; + end + end else if (_T_106) begin + cam_raw_0_rd <= 5'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_0_wb <= 1'h0; + end else begin + cam_raw_0_wb <= _T_111 | _GEN_57; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_1_rd <= 5'h0; + end else if (cam_wen[1]) begin + if (x_d_i0load) begin + cam_raw_1_rd <= x_d_i0rd; + end else begin + cam_raw_1_rd <= 5'h0; + end + end else if (_T_132) begin + cam_raw_1_rd <= 5'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_1_wb <= 1'h0; + end else begin + cam_raw_1_wb <= _T_137 | _GEN_68; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_2_rd <= 5'h0; + end else if (cam_wen[2]) begin + if (x_d_i0load) begin + cam_raw_2_rd <= x_d_i0rd; + end else begin + cam_raw_2_rd <= 5'h0; + end + end else if (_T_158) begin + cam_raw_2_rd <= 5'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_2_wb <= 1'h0; + end else begin + cam_raw_2_wb <= _T_163 | _GEN_79; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_3_rd <= 5'h0; + end else if (cam_wen[3]) begin + if (x_d_i0load) begin + cam_raw_3_rd <= x_d_i0rd; + end else begin + cam_raw_3_rd <= 5'h0; + end + end else if (_T_184) begin + cam_raw_3_rd <= 5'h0; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + cam_raw_3_wb <= 1'h0; + end else begin + cam_raw_3_wb <= _T_189 | _GEN_90; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + lsu_idle <= 1'h0; + end else begin + lsu_idle <= io_lsu_idle_any; + end + end + always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + if (reset) begin + _T_339 <= 1'h0; + end else begin + _T_339 <= io_dec_tlu_flush_extint; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + x_d_i0v <= 1'h0; + end else begin + x_d_i0v <= i0_rd_en_d & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + r_d_csrwen <= 1'h0; + end else begin + r_d_csrwen <= x_d_csrwen; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + r_d_i0valid <= 1'h0; + end else begin + r_d_i0valid <= _T_737 & _T_279; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + r_d_csrwaddr <= 12'h0; + end else begin + r_d_csrwaddr <= x_d_csrwaddr; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + csr_read_x <= 1'h0; + end else begin + csr_read_x <= i0_dp_csr_read & i0_legal_decode_d; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + csr_clr_x <= 1'h0; + end else begin + csr_clr_x <= i0_dp_csr_clr & i0_legal_decode_d; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + csr_set_x <= 1'h0; + end else begin + csr_set_x <= i0_dp_csr_set & i0_legal_decode_d; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + csr_write_x <= 1'h0; + end else begin + csr_write_x <= i0_csr_write & i0_legal_decode_d; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + csr_imm_x <= 1'h0; + end else if (_T_40) begin + csr_imm_x <= 1'h0; + end else begin + csr_imm_x <= i0_dp_raw_csr_imm; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + csrimm_x <= 5'h0; + end else begin + csrimm_x <= io_dec_i0_instr_d[19:15]; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + csr_rddata_x <= 32'h0; + end else begin + csr_rddata_x <= io_dec_csr_rddata_d; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + r_d_csrwonly <= 1'h0; + end else begin + r_d_csrwonly <= x_d_csrwonly; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + i0_result_r_raw <= 32'h0; + end else if (_T_761) begin + i0_result_r_raw <= io_lsu_result_m; + end else begin + i0_result_r_raw <= io_exu_i0_result_x; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + x_d_csrwonly <= 1'h0; + end else begin + x_d_csrwonly <= i0_csr_write_only_d & io_dec_i0_decode_d; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + wbd_csrwonly <= 1'h0; + end else begin + wbd_csrwonly <= r_d_csrwonly; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + _T_465 <= 32'h0; + end else if (io_dec_i0_pc4_d) begin + _T_465 <= io_dec_i0_instr_d; + end else begin + _T_465 <= _T_462; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + x_t_legal <= 1'h0; + end else begin + x_t_legal <= io_dec_i0_decode_d & i0_legal; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + x_t_icaf <= 1'h0; + end else begin + x_t_icaf <= i0_icaf_d & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + x_t_icaf_f1 <= 1'h0; + end else begin + x_t_icaf_f1 <= io_dec_i0_icaf_f1_d & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + x_t_icaf_type <= 2'h0; + end else begin + x_t_icaf_type <= io_dec_i0_icaf_type_d; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + x_t_fence_i <= 1'h0; + end else begin + x_t_fence_i <= _T_517 & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + x_t_i0trigger <= 4'h0; + end else begin + x_t_i0trigger <= io_dec_i0_trigger_match_d & _T_522; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + x_t_pmu_i0_itype <= 4'h0; + end else begin + x_t_pmu_i0_itype <= _T_254 & _T_276; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + x_t_pmu_i0_br_unpred <= 1'h0; + end else begin + x_t_pmu_i0_br_unpred <= i0_dp_jal & _T_252; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + r_t_legal <= 1'h0; + end else begin + r_t_legal <= x_t_legal; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + r_t_icaf <= 1'h0; + end else begin + r_t_icaf <= x_t_icaf; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + r_t_icaf_f1 <= 1'h0; + end else begin + r_t_icaf_f1 <= x_t_icaf_f1; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + r_t_icaf_type <= 2'h0; + end else begin + r_t_icaf_type <= x_t_icaf_type; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + r_t_fence_i <= 1'h0; + end else begin + r_t_fence_i <= x_t_fence_i; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + r_t_i0trigger <= 4'h0; + end else begin + r_t_i0trigger <= x_t_i0trigger & _T_531; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + r_t_pmu_i0_itype <= 4'h0; + end else begin + r_t_pmu_i0_itype <= x_t_pmu_i0_itype; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + r_t_pmu_i0_br_unpred <= 1'h0; + end else begin + r_t_pmu_i0_br_unpred <= x_t_pmu_i0_br_unpred; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + lsu_trigger_match_r <= 4'h0; + end else begin + lsu_trigger_match_r <= io_lsu_trigger_match_m; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + lsu_pmu_misaligned_r <= 1'h0; + end else begin + lsu_pmu_misaligned_r <= io_lsu_pmu_misaligned_m; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + r_d_i0store <= 1'h0; + end else begin + r_d_i0store <= x_d_i0store; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + r_d_i0div <= 1'h0; + end else begin + r_d_i0div <= x_d_i0div; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + x_d_i0store <= 1'h0; + end else begin + x_d_i0store <= i0_dp_store & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + x_d_i0div <= 1'h0; + end else begin + x_d_i0div <= i0_dp_div & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + x_d_csrwen <= 1'h0; + end else begin + x_d_csrwen <= io_dec_csr_wen_unq_d & i0_legal_decode_d; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + x_d_csrwaddr <= 12'h0; + end else begin + x_d_csrwaddr <= io_dec_i0_instr_d[31:20]; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + last_br_immed_x <= 12'h0; + end else if (io_i0_ap_predict_nt) begin + last_br_immed_x <= _T_781; + end else if (_T_314) begin + last_br_immed_x <= i0_pcall_imm[12:1]; + end else begin + last_br_immed_x <= _T_323; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_821 <= 1'h0; + end else begin + _T_821 <= i0_div_decode_d | _T_820; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + _T_830 <= 5'h0; + end else if (i0_div_decode_d) begin + _T_830 <= i0r_rd; + end + end + always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin + if (reset) begin + dec_i0_pc_r <= 31'h0; + end else begin + dec_i0_pc_r <= io_exu_i0_pc_x; + end + end +endmodule +module el2_dec_gpr_ctl( + input clock, + input reset, + input [4:0] io_raddr0, + input [4:0] io_raddr1, + input io_wen0, + input [4:0] io_waddr0, + input [31:0] io_wd0, + input io_wen1, + input [4:0] io_waddr1, + input [31:0] io_wd1, + input io_wen2, + input [4:0] io_waddr2, + input [31:0] io_wd2, + output [31:0] io_rd0, + output [31:0] io_rd1, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_scan_mode; // @[el2_lib.scala 485:23] + wire _T_95 = io_waddr0 == 5'h1; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_1 = io_wen0 & _T_95; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_112 = io_waddr0 == 5'h2; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_2 = io_wen0 & _T_112; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_129 = io_waddr0 == 5'h3; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_3 = io_wen0 & _T_129; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_146 = io_waddr0 == 5'h4; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_4 = io_wen0 & _T_146; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_163 = io_waddr0 == 5'h5; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_5 = io_wen0 & _T_163; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_180 = io_waddr0 == 5'h6; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_6 = io_wen0 & _T_180; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_197 = io_waddr0 == 5'h7; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_7 = io_wen0 & _T_197; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_214 = io_waddr0 == 5'h8; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_8 = io_wen0 & _T_214; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_231 = io_waddr0 == 5'h9; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_9 = io_wen0 & _T_231; // @[el2_dec_gpr_ctl.scala 26:28] + wire [9:0] _T_8 = {w0v_9,w0v_8,w0v_7,w0v_6,w0v_5,w0v_4,w0v_3,w0v_2,w0v_1,1'h0}; // @[Cat.scala 29:58] + wire _T_248 = io_waddr0 == 5'ha; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_10 = io_wen0 & _T_248; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_265 = io_waddr0 == 5'hb; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_11 = io_wen0 & _T_265; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_282 = io_waddr0 == 5'hc; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_12 = io_wen0 & _T_282; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_299 = io_waddr0 == 5'hd; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_13 = io_wen0 & _T_299; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_316 = io_waddr0 == 5'he; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_14 = io_wen0 & _T_316; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_333 = io_waddr0 == 5'hf; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_15 = io_wen0 & _T_333; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_350 = io_waddr0 == 5'h10; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_16 = io_wen0 & _T_350; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_367 = io_waddr0 == 5'h11; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_17 = io_wen0 & _T_367; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_384 = io_waddr0 == 5'h12; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_18 = io_wen0 & _T_384; // @[el2_dec_gpr_ctl.scala 26:28] + wire [18:0] _T_17 = {w0v_18,w0v_17,w0v_16,w0v_15,w0v_14,w0v_13,w0v_12,w0v_11,w0v_10,_T_8}; // @[Cat.scala 29:58] + wire _T_401 = io_waddr0 == 5'h13; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_19 = io_wen0 & _T_401; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_418 = io_waddr0 == 5'h14; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_20 = io_wen0 & _T_418; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_435 = io_waddr0 == 5'h15; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_21 = io_wen0 & _T_435; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_452 = io_waddr0 == 5'h16; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_22 = io_wen0 & _T_452; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_469 = io_waddr0 == 5'h17; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_23 = io_wen0 & _T_469; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_486 = io_waddr0 == 5'h18; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_24 = io_wen0 & _T_486; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_503 = io_waddr0 == 5'h19; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_25 = io_wen0 & _T_503; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_520 = io_waddr0 == 5'h1a; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_26 = io_wen0 & _T_520; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_537 = io_waddr0 == 5'h1b; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_27 = io_wen0 & _T_537; // @[el2_dec_gpr_ctl.scala 26:28] + wire [27:0] _T_26 = {w0v_27,w0v_26,w0v_25,w0v_24,w0v_23,w0v_22,w0v_21,w0v_20,w0v_19,_T_17}; // @[Cat.scala 29:58] + wire _T_554 = io_waddr0 == 5'h1c; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_28 = io_wen0 & _T_554; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_571 = io_waddr0 == 5'h1d; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_29 = io_wen0 & _T_571; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_588 = io_waddr0 == 5'h1e; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_30 = io_wen0 & _T_588; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_605 = io_waddr0 == 5'h1f; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_31 = io_wen0 & _T_605; // @[el2_dec_gpr_ctl.scala 26:28] + wire [31:0] _T_30 = {w0v_31,w0v_30,w0v_29,w0v_28,_T_26}; // @[Cat.scala 29:58] + wire _T_97 = io_waddr1 == 5'h1; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_1 = io_wen1 & _T_97; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_114 = io_waddr1 == 5'h2; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_2 = io_wen1 & _T_114; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_131 = io_waddr1 == 5'h3; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_3 = io_wen1 & _T_131; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_148 = io_waddr1 == 5'h4; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_4 = io_wen1 & _T_148; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_165 = io_waddr1 == 5'h5; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_5 = io_wen1 & _T_165; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_182 = io_waddr1 == 5'h6; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_6 = io_wen1 & _T_182; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_199 = io_waddr1 == 5'h7; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_7 = io_wen1 & _T_199; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_216 = io_waddr1 == 5'h8; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_8 = io_wen1 & _T_216; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_233 = io_waddr1 == 5'h9; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_9 = io_wen1 & _T_233; // @[el2_dec_gpr_ctl.scala 27:28] + wire [9:0] _T_39 = {w1v_9,w1v_8,w1v_7,w1v_6,w1v_5,w1v_4,w1v_3,w1v_2,w1v_1,1'h0}; // @[Cat.scala 29:58] + wire _T_250 = io_waddr1 == 5'ha; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_10 = io_wen1 & _T_250; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_267 = io_waddr1 == 5'hb; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_11 = io_wen1 & _T_267; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_284 = io_waddr1 == 5'hc; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_12 = io_wen1 & _T_284; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_301 = io_waddr1 == 5'hd; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_13 = io_wen1 & _T_301; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_318 = io_waddr1 == 5'he; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_14 = io_wen1 & _T_318; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_335 = io_waddr1 == 5'hf; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_15 = io_wen1 & _T_335; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_352 = io_waddr1 == 5'h10; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_16 = io_wen1 & _T_352; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_369 = io_waddr1 == 5'h11; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_17 = io_wen1 & _T_369; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_386 = io_waddr1 == 5'h12; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_18 = io_wen1 & _T_386; // @[el2_dec_gpr_ctl.scala 27:28] + wire [18:0] _T_48 = {w1v_18,w1v_17,w1v_16,w1v_15,w1v_14,w1v_13,w1v_12,w1v_11,w1v_10,_T_39}; // @[Cat.scala 29:58] + wire _T_403 = io_waddr1 == 5'h13; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_19 = io_wen1 & _T_403; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_420 = io_waddr1 == 5'h14; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_20 = io_wen1 & _T_420; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_437 = io_waddr1 == 5'h15; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_21 = io_wen1 & _T_437; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_454 = io_waddr1 == 5'h16; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_22 = io_wen1 & _T_454; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_471 = io_waddr1 == 5'h17; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_23 = io_wen1 & _T_471; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_488 = io_waddr1 == 5'h18; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_24 = io_wen1 & _T_488; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_505 = io_waddr1 == 5'h19; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_25 = io_wen1 & _T_505; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_522 = io_waddr1 == 5'h1a; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_26 = io_wen1 & _T_522; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_539 = io_waddr1 == 5'h1b; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_27 = io_wen1 & _T_539; // @[el2_dec_gpr_ctl.scala 27:28] + wire [27:0] _T_57 = {w1v_27,w1v_26,w1v_25,w1v_24,w1v_23,w1v_22,w1v_21,w1v_20,w1v_19,_T_48}; // @[Cat.scala 29:58] + wire _T_556 = io_waddr1 == 5'h1c; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_28 = io_wen1 & _T_556; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_573 = io_waddr1 == 5'h1d; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_29 = io_wen1 & _T_573; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_590 = io_waddr1 == 5'h1e; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_30 = io_wen1 & _T_590; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_607 = io_waddr1 == 5'h1f; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_31 = io_wen1 & _T_607; // @[el2_dec_gpr_ctl.scala 27:28] + wire [31:0] _T_61 = {w1v_31,w1v_30,w1v_29,w1v_28,_T_57}; // @[Cat.scala 29:58] + wire [31:0] _T_62 = _T_30 | _T_61; // @[el2_dec_gpr_ctl.scala 23:51] + wire _T_99 = io_waddr2 == 5'h1; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_1 = io_wen2 & _T_99; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_116 = io_waddr2 == 5'h2; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_2 = io_wen2 & _T_116; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_133 = io_waddr2 == 5'h3; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_3 = io_wen2 & _T_133; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_150 = io_waddr2 == 5'h4; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_4 = io_wen2 & _T_150; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_167 = io_waddr2 == 5'h5; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_5 = io_wen2 & _T_167; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_184 = io_waddr2 == 5'h6; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_6 = io_wen2 & _T_184; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_201 = io_waddr2 == 5'h7; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_7 = io_wen2 & _T_201; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_218 = io_waddr2 == 5'h8; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_8 = io_wen2 & _T_218; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_235 = io_waddr2 == 5'h9; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_9 = io_wen2 & _T_235; // @[el2_dec_gpr_ctl.scala 28:28] + wire [9:0] _T_71 = {w2v_9,w2v_8,w2v_7,w2v_6,w2v_5,w2v_4,w2v_3,w2v_2,w2v_1,1'h0}; // @[Cat.scala 29:58] + wire _T_252 = io_waddr2 == 5'ha; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_10 = io_wen2 & _T_252; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_269 = io_waddr2 == 5'hb; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_11 = io_wen2 & _T_269; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_286 = io_waddr2 == 5'hc; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_12 = io_wen2 & _T_286; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_303 = io_waddr2 == 5'hd; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_13 = io_wen2 & _T_303; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_320 = io_waddr2 == 5'he; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_14 = io_wen2 & _T_320; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_337 = io_waddr2 == 5'hf; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_15 = io_wen2 & _T_337; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_354 = io_waddr2 == 5'h10; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_16 = io_wen2 & _T_354; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_371 = io_waddr2 == 5'h11; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_17 = io_wen2 & _T_371; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_388 = io_waddr2 == 5'h12; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_18 = io_wen2 & _T_388; // @[el2_dec_gpr_ctl.scala 28:28] + wire [18:0] _T_80 = {w2v_18,w2v_17,w2v_16,w2v_15,w2v_14,w2v_13,w2v_12,w2v_11,w2v_10,_T_71}; // @[Cat.scala 29:58] + wire _T_405 = io_waddr2 == 5'h13; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_19 = io_wen2 & _T_405; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_422 = io_waddr2 == 5'h14; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_20 = io_wen2 & _T_422; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_439 = io_waddr2 == 5'h15; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_21 = io_wen2 & _T_439; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_456 = io_waddr2 == 5'h16; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_22 = io_wen2 & _T_456; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_473 = io_waddr2 == 5'h17; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_23 = io_wen2 & _T_473; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_490 = io_waddr2 == 5'h18; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_24 = io_wen2 & _T_490; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_507 = io_waddr2 == 5'h19; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_25 = io_wen2 & _T_507; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_524 = io_waddr2 == 5'h1a; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_26 = io_wen2 & _T_524; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_541 = io_waddr2 == 5'h1b; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_27 = io_wen2 & _T_541; // @[el2_dec_gpr_ctl.scala 28:28] + wire [27:0] _T_89 = {w2v_27,w2v_26,w2v_25,w2v_24,w2v_23,w2v_22,w2v_21,w2v_20,w2v_19,_T_80}; // @[Cat.scala 29:58] + wire _T_558 = io_waddr2 == 5'h1c; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_28 = io_wen2 & _T_558; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_575 = io_waddr2 == 5'h1d; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_29 = io_wen2 & _T_575; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_592 = io_waddr2 == 5'h1e; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_30 = io_wen2 & _T_592; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_609 = io_waddr2 == 5'h1f; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_31 = io_wen2 & _T_609; // @[el2_dec_gpr_ctl.scala 28:28] + wire [31:0] _T_93 = {w2v_31,w2v_30,w2v_29,w2v_28,_T_89}; // @[Cat.scala 29:58] + wire [31:0] gpr_wr_en = _T_62 | _T_93; // @[el2_dec_gpr_ctl.scala 23:89] + wire [31:0] _T_102 = w0v_1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_103 = _T_102 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_105 = w1v_1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_106 = _T_105 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_107 = _T_103 | _T_106; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_109 = w2v_1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_110 = _T_109 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_119 = w0v_2 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_120 = _T_119 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_122 = w1v_2 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_123 = _T_122 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_124 = _T_120 | _T_123; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_126 = w2v_2 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_127 = _T_126 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_136 = w0v_3 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_137 = _T_136 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_139 = w1v_3 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_140 = _T_139 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_141 = _T_137 | _T_140; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_143 = w2v_3 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_144 = _T_143 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_153 = w0v_4 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_154 = _T_153 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_156 = w1v_4 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_157 = _T_156 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_158 = _T_154 | _T_157; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_160 = w2v_4 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_161 = _T_160 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_170 = w0v_5 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_171 = _T_170 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_173 = w1v_5 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_174 = _T_173 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_175 = _T_171 | _T_174; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_177 = w2v_5 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_178 = _T_177 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_187 = w0v_6 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_188 = _T_187 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_190 = w1v_6 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_191 = _T_190 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_192 = _T_188 | _T_191; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_194 = w2v_6 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_195 = _T_194 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_204 = w0v_7 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_205 = _T_204 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_207 = w1v_7 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_208 = _T_207 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_209 = _T_205 | _T_208; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_211 = w2v_7 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_212 = _T_211 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_221 = w0v_8 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_222 = _T_221 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_224 = w1v_8 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_225 = _T_224 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_226 = _T_222 | _T_225; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_228 = w2v_8 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_229 = _T_228 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_238 = w0v_9 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_239 = _T_238 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_241 = w1v_9 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_242 = _T_241 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_243 = _T_239 | _T_242; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_245 = w2v_9 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_246 = _T_245 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_255 = w0v_10 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_256 = _T_255 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_258 = w1v_10 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_259 = _T_258 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_260 = _T_256 | _T_259; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_262 = w2v_10 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_263 = _T_262 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_272 = w0v_11 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_273 = _T_272 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_275 = w1v_11 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_276 = _T_275 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_277 = _T_273 | _T_276; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_279 = w2v_11 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_280 = _T_279 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_289 = w0v_12 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_290 = _T_289 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_292 = w1v_12 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_293 = _T_292 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_294 = _T_290 | _T_293; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_296 = w2v_12 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_297 = _T_296 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_306 = w0v_13 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_307 = _T_306 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_309 = w1v_13 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_310 = _T_309 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_311 = _T_307 | _T_310; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_313 = w2v_13 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_314 = _T_313 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_323 = w0v_14 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_324 = _T_323 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_326 = w1v_14 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_327 = _T_326 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_328 = _T_324 | _T_327; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_330 = w2v_14 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_331 = _T_330 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_340 = w0v_15 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_341 = _T_340 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_343 = w1v_15 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_344 = _T_343 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_345 = _T_341 | _T_344; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_347 = w2v_15 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_348 = _T_347 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_357 = w0v_16 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_358 = _T_357 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_360 = w1v_16 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_361 = _T_360 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_362 = _T_358 | _T_361; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_364 = w2v_16 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_365 = _T_364 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_374 = w0v_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_375 = _T_374 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_377 = w1v_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_378 = _T_377 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_379 = _T_375 | _T_378; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_381 = w2v_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_382 = _T_381 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_391 = w0v_18 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_392 = _T_391 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_394 = w1v_18 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_395 = _T_394 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_396 = _T_392 | _T_395; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_398 = w2v_18 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_399 = _T_398 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_408 = w0v_19 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_409 = _T_408 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_411 = w1v_19 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_412 = _T_411 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_413 = _T_409 | _T_412; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_415 = w2v_19 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_416 = _T_415 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_425 = w0v_20 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_426 = _T_425 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_428 = w1v_20 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_429 = _T_428 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_430 = _T_426 | _T_429; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_432 = w2v_20 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_433 = _T_432 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_442 = w0v_21 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_443 = _T_442 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_445 = w1v_21 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_446 = _T_445 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_447 = _T_443 | _T_446; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_449 = w2v_21 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_450 = _T_449 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_459 = w0v_22 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_460 = _T_459 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_462 = w1v_22 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_463 = _T_462 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_464 = _T_460 | _T_463; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_466 = w2v_22 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_467 = _T_466 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_476 = w0v_23 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_477 = _T_476 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_479 = w1v_23 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_480 = _T_479 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_481 = _T_477 | _T_480; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_483 = w2v_23 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_484 = _T_483 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_493 = w0v_24 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_494 = _T_493 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_496 = w1v_24 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_497 = _T_496 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_498 = _T_494 | _T_497; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_500 = w2v_24 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_501 = _T_500 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_510 = w0v_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_511 = _T_510 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_513 = w1v_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_514 = _T_513 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_515 = _T_511 | _T_514; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_517 = w2v_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_518 = _T_517 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_527 = w0v_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_528 = _T_527 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_530 = w1v_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_531 = _T_530 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_532 = _T_528 | _T_531; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_534 = w2v_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_535 = _T_534 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_544 = w0v_27 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_545 = _T_544 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_547 = w1v_27 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_548 = _T_547 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_549 = _T_545 | _T_548; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_551 = w2v_27 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_552 = _T_551 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_561 = w0v_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_562 = _T_561 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_564 = w1v_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_565 = _T_564 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_566 = _T_562 | _T_565; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_568 = w2v_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_569 = _T_568 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_578 = w0v_29 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_579 = _T_578 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_581 = w1v_29 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_582 = _T_581 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_583 = _T_579 | _T_582; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_585 = w2v_29 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_586 = _T_585 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_595 = w0v_30 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_596 = _T_595 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_598 = w1v_30 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_599 = _T_598 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_600 = _T_596 | _T_599; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_602 = w2v_30 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_603 = _T_602 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_612 = w0v_31 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_613 = _T_612 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_615 = w1v_31 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_616 = _T_615 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_617 = _T_613 | _T_616; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_619 = w2v_31 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_620 = _T_619 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + reg [31:0] gpr_out_1; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_2; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_3; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_4; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_5; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_6; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_7; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_8; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_9; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_10; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_11; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_12; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_13; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_14; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_15; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_16; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_17; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_18; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_19; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_20; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_21; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_22; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_23; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_24; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_25; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_26; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_27; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_28; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_29; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_30; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_31; // @[el2_lib.scala 491:16] + wire _T_684 = io_raddr0 == 5'h1; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_686 = io_raddr0 == 5'h2; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_688 = io_raddr0 == 5'h3; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_690 = io_raddr0 == 5'h4; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_692 = io_raddr0 == 5'h5; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_694 = io_raddr0 == 5'h6; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_696 = io_raddr0 == 5'h7; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_698 = io_raddr0 == 5'h8; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_700 = io_raddr0 == 5'h9; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_702 = io_raddr0 == 5'ha; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_704 = io_raddr0 == 5'hb; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_706 = io_raddr0 == 5'hc; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_708 = io_raddr0 == 5'hd; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_710 = io_raddr0 == 5'he; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_712 = io_raddr0 == 5'hf; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_714 = io_raddr0 == 5'h10; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_716 = io_raddr0 == 5'h11; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_718 = io_raddr0 == 5'h12; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_720 = io_raddr0 == 5'h13; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_722 = io_raddr0 == 5'h14; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_724 = io_raddr0 == 5'h15; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_726 = io_raddr0 == 5'h16; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_728 = io_raddr0 == 5'h17; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_730 = io_raddr0 == 5'h18; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_732 = io_raddr0 == 5'h19; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_734 = io_raddr0 == 5'h1a; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_736 = io_raddr0 == 5'h1b; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_738 = io_raddr0 == 5'h1c; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_740 = io_raddr0 == 5'h1d; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_742 = io_raddr0 == 5'h1e; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_744 = io_raddr0 == 5'h1f; // @[el2_dec_gpr_ctl.scala 36:49] + wire [31:0] _T_746 = _T_684 ? gpr_out_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_747 = _T_686 ? gpr_out_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_748 = _T_688 ? gpr_out_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_749 = _T_690 ? gpr_out_4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_750 = _T_692 ? gpr_out_5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_751 = _T_694 ? gpr_out_6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_752 = _T_696 ? gpr_out_7 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_753 = _T_698 ? gpr_out_8 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_754 = _T_700 ? gpr_out_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_755 = _T_702 ? gpr_out_10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_756 = _T_704 ? gpr_out_11 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_757 = _T_706 ? gpr_out_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_758 = _T_708 ? gpr_out_13 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_759 = _T_710 ? gpr_out_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_760 = _T_712 ? gpr_out_15 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_761 = _T_714 ? gpr_out_16 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_762 = _T_716 ? gpr_out_17 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_763 = _T_718 ? gpr_out_18 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_764 = _T_720 ? gpr_out_19 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_765 = _T_722 ? gpr_out_20 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_766 = _T_724 ? gpr_out_21 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_767 = _T_726 ? gpr_out_22 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_768 = _T_728 ? gpr_out_23 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_769 = _T_730 ? gpr_out_24 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_770 = _T_732 ? gpr_out_25 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_771 = _T_734 ? gpr_out_26 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_772 = _T_736 ? gpr_out_27 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_773 = _T_738 ? gpr_out_28 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_774 = _T_740 ? gpr_out_29 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_775 = _T_742 ? gpr_out_30 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_776 = _T_744 ? gpr_out_31 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_777 = _T_746 | _T_747; // @[Mux.scala 27:72] + wire [31:0] _T_778 = _T_777 | _T_748; // @[Mux.scala 27:72] + wire [31:0] _T_779 = _T_778 | _T_749; // @[Mux.scala 27:72] + wire [31:0] _T_780 = _T_779 | _T_750; // @[Mux.scala 27:72] + wire [31:0] _T_781 = _T_780 | _T_751; // @[Mux.scala 27:72] + wire [31:0] _T_782 = _T_781 | _T_752; // @[Mux.scala 27:72] + wire [31:0] _T_783 = _T_782 | _T_753; // @[Mux.scala 27:72] + wire [31:0] _T_784 = _T_783 | _T_754; // @[Mux.scala 27:72] + wire [31:0] _T_785 = _T_784 | _T_755; // @[Mux.scala 27:72] + wire [31:0] _T_786 = _T_785 | _T_756; // @[Mux.scala 27:72] + wire [31:0] _T_787 = _T_786 | _T_757; // @[Mux.scala 27:72] + wire [31:0] _T_788 = _T_787 | _T_758; // @[Mux.scala 27:72] + wire [31:0] _T_789 = _T_788 | _T_759; // @[Mux.scala 27:72] + wire [31:0] _T_790 = _T_789 | _T_760; // @[Mux.scala 27:72] + wire [31:0] _T_791 = _T_790 | _T_761; // @[Mux.scala 27:72] + wire [31:0] _T_792 = _T_791 | _T_762; // @[Mux.scala 27:72] + wire [31:0] _T_793 = _T_792 | _T_763; // @[Mux.scala 27:72] + wire [31:0] _T_794 = _T_793 | _T_764; // @[Mux.scala 27:72] + wire [31:0] _T_795 = _T_794 | _T_765; // @[Mux.scala 27:72] + wire [31:0] _T_796 = _T_795 | _T_766; // @[Mux.scala 27:72] + wire [31:0] _T_797 = _T_796 | _T_767; // @[Mux.scala 27:72] + wire [31:0] _T_798 = _T_797 | _T_768; // @[Mux.scala 27:72] + wire [31:0] _T_799 = _T_798 | _T_769; // @[Mux.scala 27:72] + wire [31:0] _T_800 = _T_799 | _T_770; // @[Mux.scala 27:72] + wire [31:0] _T_801 = _T_800 | _T_771; // @[Mux.scala 27:72] + wire [31:0] _T_802 = _T_801 | _T_772; // @[Mux.scala 27:72] + wire [31:0] _T_803 = _T_802 | _T_773; // @[Mux.scala 27:72] + wire [31:0] _T_804 = _T_803 | _T_774; // @[Mux.scala 27:72] + wire [31:0] _T_805 = _T_804 | _T_775; // @[Mux.scala 27:72] + wire _T_808 = io_raddr1 == 5'h1; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_810 = io_raddr1 == 5'h2; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_812 = io_raddr1 == 5'h3; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_814 = io_raddr1 == 5'h4; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_816 = io_raddr1 == 5'h5; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_818 = io_raddr1 == 5'h6; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_820 = io_raddr1 == 5'h7; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_822 = io_raddr1 == 5'h8; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_824 = io_raddr1 == 5'h9; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_826 = io_raddr1 == 5'ha; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_828 = io_raddr1 == 5'hb; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_830 = io_raddr1 == 5'hc; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_832 = io_raddr1 == 5'hd; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_834 = io_raddr1 == 5'he; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_836 = io_raddr1 == 5'hf; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_838 = io_raddr1 == 5'h10; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_840 = io_raddr1 == 5'h11; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_842 = io_raddr1 == 5'h12; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_844 = io_raddr1 == 5'h13; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_846 = io_raddr1 == 5'h14; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_848 = io_raddr1 == 5'h15; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_850 = io_raddr1 == 5'h16; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_852 = io_raddr1 == 5'h17; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_854 = io_raddr1 == 5'h18; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_856 = io_raddr1 == 5'h19; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_858 = io_raddr1 == 5'h1a; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_860 = io_raddr1 == 5'h1b; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_862 = io_raddr1 == 5'h1c; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_864 = io_raddr1 == 5'h1d; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_866 = io_raddr1 == 5'h1e; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_868 = io_raddr1 == 5'h1f; // @[el2_dec_gpr_ctl.scala 37:49] + wire [31:0] _T_870 = _T_808 ? gpr_out_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_871 = _T_810 ? gpr_out_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_872 = _T_812 ? gpr_out_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_873 = _T_814 ? gpr_out_4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_874 = _T_816 ? gpr_out_5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_875 = _T_818 ? gpr_out_6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_876 = _T_820 ? gpr_out_7 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_877 = _T_822 ? gpr_out_8 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_878 = _T_824 ? gpr_out_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_879 = _T_826 ? gpr_out_10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_880 = _T_828 ? gpr_out_11 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_881 = _T_830 ? gpr_out_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_882 = _T_832 ? gpr_out_13 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_883 = _T_834 ? gpr_out_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_884 = _T_836 ? gpr_out_15 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_885 = _T_838 ? gpr_out_16 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_886 = _T_840 ? gpr_out_17 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_887 = _T_842 ? gpr_out_18 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_888 = _T_844 ? gpr_out_19 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_889 = _T_846 ? gpr_out_20 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_890 = _T_848 ? gpr_out_21 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_891 = _T_850 ? gpr_out_22 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_892 = _T_852 ? gpr_out_23 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_893 = _T_854 ? gpr_out_24 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_894 = _T_856 ? gpr_out_25 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_895 = _T_858 ? gpr_out_26 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_896 = _T_860 ? gpr_out_27 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_897 = _T_862 ? gpr_out_28 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_898 = _T_864 ? gpr_out_29 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_899 = _T_866 ? gpr_out_30 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_900 = _T_868 ? gpr_out_31 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_901 = _T_870 | _T_871; // @[Mux.scala 27:72] + wire [31:0] _T_902 = _T_901 | _T_872; // @[Mux.scala 27:72] + wire [31:0] _T_903 = _T_902 | _T_873; // @[Mux.scala 27:72] + wire [31:0] _T_904 = _T_903 | _T_874; // @[Mux.scala 27:72] + wire [31:0] _T_905 = _T_904 | _T_875; // @[Mux.scala 27:72] + wire [31:0] _T_906 = _T_905 | _T_876; // @[Mux.scala 27:72] + wire [31:0] _T_907 = _T_906 | _T_877; // @[Mux.scala 27:72] + wire [31:0] _T_908 = _T_907 | _T_878; // @[Mux.scala 27:72] + wire [31:0] _T_909 = _T_908 | _T_879; // @[Mux.scala 27:72] + wire [31:0] _T_910 = _T_909 | _T_880; // @[Mux.scala 27:72] + wire [31:0] _T_911 = _T_910 | _T_881; // @[Mux.scala 27:72] + wire [31:0] _T_912 = _T_911 | _T_882; // @[Mux.scala 27:72] + wire [31:0] _T_913 = _T_912 | _T_883; // @[Mux.scala 27:72] + wire [31:0] _T_914 = _T_913 | _T_884; // @[Mux.scala 27:72] + wire [31:0] _T_915 = _T_914 | _T_885; // @[Mux.scala 27:72] + wire [31:0] _T_916 = _T_915 | _T_886; // @[Mux.scala 27:72] + wire [31:0] _T_917 = _T_916 | _T_887; // @[Mux.scala 27:72] + wire [31:0] _T_918 = _T_917 | _T_888; // @[Mux.scala 27:72] + wire [31:0] _T_919 = _T_918 | _T_889; // @[Mux.scala 27:72] + wire [31:0] _T_920 = _T_919 | _T_890; // @[Mux.scala 27:72] + wire [31:0] _T_921 = _T_920 | _T_891; // @[Mux.scala 27:72] + wire [31:0] _T_922 = _T_921 | _T_892; // @[Mux.scala 27:72] + wire [31:0] _T_923 = _T_922 | _T_893; // @[Mux.scala 27:72] + wire [31:0] _T_924 = _T_923 | _T_894; // @[Mux.scala 27:72] + wire [31:0] _T_925 = _T_924 | _T_895; // @[Mux.scala 27:72] + wire [31:0] _T_926 = _T_925 | _T_896; // @[Mux.scala 27:72] + wire [31:0] _T_927 = _T_926 | _T_897; // @[Mux.scala 27:72] + wire [31:0] _T_928 = _T_927 | _T_898; // @[Mux.scala 27:72] + wire [31:0] _T_929 = _T_928 | _T_899; // @[Mux.scala 27:72] + rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + rvclkhdr rvclkhdr_12 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_12_io_l1clk), + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en), + .io_scan_mode(rvclkhdr_12_io_scan_mode) + ); + rvclkhdr rvclkhdr_13 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_13_io_l1clk), + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en), + .io_scan_mode(rvclkhdr_13_io_scan_mode) + ); + rvclkhdr rvclkhdr_14 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_14_io_l1clk), + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en), + .io_scan_mode(rvclkhdr_14_io_scan_mode) + ); + rvclkhdr rvclkhdr_15 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_15_io_l1clk), + .io_clk(rvclkhdr_15_io_clk), + .io_en(rvclkhdr_15_io_en), + .io_scan_mode(rvclkhdr_15_io_scan_mode) + ); + rvclkhdr rvclkhdr_16 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_16_io_l1clk), + .io_clk(rvclkhdr_16_io_clk), + .io_en(rvclkhdr_16_io_en), + .io_scan_mode(rvclkhdr_16_io_scan_mode) + ); + rvclkhdr rvclkhdr_17 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_17_io_l1clk), + .io_clk(rvclkhdr_17_io_clk), + .io_en(rvclkhdr_17_io_en), + .io_scan_mode(rvclkhdr_17_io_scan_mode) + ); + rvclkhdr rvclkhdr_18 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_18_io_l1clk), + .io_clk(rvclkhdr_18_io_clk), + .io_en(rvclkhdr_18_io_en), + .io_scan_mode(rvclkhdr_18_io_scan_mode) + ); + rvclkhdr rvclkhdr_19 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_19_io_l1clk), + .io_clk(rvclkhdr_19_io_clk), + .io_en(rvclkhdr_19_io_en), + .io_scan_mode(rvclkhdr_19_io_scan_mode) + ); + rvclkhdr rvclkhdr_20 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_20_io_l1clk), + .io_clk(rvclkhdr_20_io_clk), + .io_en(rvclkhdr_20_io_en), + .io_scan_mode(rvclkhdr_20_io_scan_mode) + ); + rvclkhdr rvclkhdr_21 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_21_io_l1clk), + .io_clk(rvclkhdr_21_io_clk), + .io_en(rvclkhdr_21_io_en), + .io_scan_mode(rvclkhdr_21_io_scan_mode) + ); + rvclkhdr rvclkhdr_22 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_22_io_l1clk), + .io_clk(rvclkhdr_22_io_clk), + .io_en(rvclkhdr_22_io_en), + .io_scan_mode(rvclkhdr_22_io_scan_mode) + ); + rvclkhdr rvclkhdr_23 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_23_io_l1clk), + .io_clk(rvclkhdr_23_io_clk), + .io_en(rvclkhdr_23_io_en), + .io_scan_mode(rvclkhdr_23_io_scan_mode) + ); + rvclkhdr rvclkhdr_24 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_24_io_l1clk), + .io_clk(rvclkhdr_24_io_clk), + .io_en(rvclkhdr_24_io_en), + .io_scan_mode(rvclkhdr_24_io_scan_mode) + ); + rvclkhdr rvclkhdr_25 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_25_io_l1clk), + .io_clk(rvclkhdr_25_io_clk), + .io_en(rvclkhdr_25_io_en), + .io_scan_mode(rvclkhdr_25_io_scan_mode) + ); + rvclkhdr rvclkhdr_26 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_26_io_l1clk), + .io_clk(rvclkhdr_26_io_clk), + .io_en(rvclkhdr_26_io_en), + .io_scan_mode(rvclkhdr_26_io_scan_mode) + ); + rvclkhdr rvclkhdr_27 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_27_io_l1clk), + .io_clk(rvclkhdr_27_io_clk), + .io_en(rvclkhdr_27_io_en), + .io_scan_mode(rvclkhdr_27_io_scan_mode) + ); + rvclkhdr rvclkhdr_28 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_28_io_l1clk), + .io_clk(rvclkhdr_28_io_clk), + .io_en(rvclkhdr_28_io_en), + .io_scan_mode(rvclkhdr_28_io_scan_mode) + ); + rvclkhdr rvclkhdr_29 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_29_io_l1clk), + .io_clk(rvclkhdr_29_io_clk), + .io_en(rvclkhdr_29_io_en), + .io_scan_mode(rvclkhdr_29_io_scan_mode) + ); + rvclkhdr rvclkhdr_30 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_30_io_l1clk), + .io_clk(rvclkhdr_30_io_clk), + .io_en(rvclkhdr_30_io_en), + .io_scan_mode(rvclkhdr_30_io_scan_mode) + ); + assign io_rd0 = _T_805 | _T_776; // @[el2_dec_gpr_ctl.scala 21:9 el2_dec_gpr_ctl.scala 36:9] + assign io_rd1 = _T_929 | _T_900; // @[el2_dec_gpr_ctl.scala 22:9 el2_dec_gpr_ctl.scala 37:9] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_io_en = gpr_wr_en[1]; // @[el2_lib.scala 488:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_1_io_en = gpr_wr_en[2]; // @[el2_lib.scala 488:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_2_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_2_io_en = gpr_wr_en[3]; // @[el2_lib.scala 488:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_3_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_3_io_en = gpr_wr_en[4]; // @[el2_lib.scala 488:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_4_io_en = gpr_wr_en[5]; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_5_io_en = gpr_wr_en[6]; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_6_io_en = gpr_wr_en[7]; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_7_io_en = gpr_wr_en[8]; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_8_io_en = gpr_wr_en[9]; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_9_io_en = gpr_wr_en[10]; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_10_io_en = gpr_wr_en[11]; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_11_io_en = gpr_wr_en[12]; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_12_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_12_io_en = gpr_wr_en[13]; // @[el2_lib.scala 488:17] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_13_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_13_io_en = gpr_wr_en[14]; // @[el2_lib.scala 488:17] + assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_14_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_14_io_en = gpr_wr_en[15]; // @[el2_lib.scala 488:17] + assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_15_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_15_io_en = gpr_wr_en[16]; // @[el2_lib.scala 488:17] + assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_16_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_16_io_en = gpr_wr_en[17]; // @[el2_lib.scala 488:17] + assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_17_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_17_io_en = gpr_wr_en[18]; // @[el2_lib.scala 488:17] + assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_18_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_18_io_en = gpr_wr_en[19]; // @[el2_lib.scala 488:17] + assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_19_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_19_io_en = gpr_wr_en[20]; // @[el2_lib.scala 488:17] + assign rvclkhdr_19_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_20_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_20_io_en = gpr_wr_en[21]; // @[el2_lib.scala 488:17] + assign rvclkhdr_20_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_21_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_21_io_en = gpr_wr_en[22]; // @[el2_lib.scala 488:17] + assign rvclkhdr_21_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_22_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_22_io_en = gpr_wr_en[23]; // @[el2_lib.scala 488:17] + assign rvclkhdr_22_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_23_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_23_io_en = gpr_wr_en[24]; // @[el2_lib.scala 488:17] + assign rvclkhdr_23_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_24_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_24_io_en = gpr_wr_en[25]; // @[el2_lib.scala 488:17] + assign rvclkhdr_24_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_25_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_25_io_en = gpr_wr_en[26]; // @[el2_lib.scala 488:17] + assign rvclkhdr_25_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_26_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_26_io_en = gpr_wr_en[27]; // @[el2_lib.scala 488:17] + assign rvclkhdr_26_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_27_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_27_io_en = gpr_wr_en[28]; // @[el2_lib.scala 488:17] + assign rvclkhdr_27_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_28_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_28_io_en = gpr_wr_en[29]; // @[el2_lib.scala 488:17] + assign rvclkhdr_28_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_29_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_29_io_en = gpr_wr_en[30]; // @[el2_lib.scala 488:17] + assign rvclkhdr_29_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_30_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_30_io_en = gpr_wr_en[31]; // @[el2_lib.scala 488:17] + assign rvclkhdr_30_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + gpr_out_1 = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + gpr_out_2 = _RAND_1[31:0]; + _RAND_2 = {1{`RANDOM}}; + gpr_out_3 = _RAND_2[31:0]; + _RAND_3 = {1{`RANDOM}}; + gpr_out_4 = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + gpr_out_5 = _RAND_4[31:0]; + _RAND_5 = {1{`RANDOM}}; + gpr_out_6 = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + gpr_out_7 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + gpr_out_8 = _RAND_7[31:0]; + _RAND_8 = {1{`RANDOM}}; + gpr_out_9 = _RAND_8[31:0]; + _RAND_9 = {1{`RANDOM}}; + gpr_out_10 = _RAND_9[31:0]; + _RAND_10 = {1{`RANDOM}}; + gpr_out_11 = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + gpr_out_12 = _RAND_11[31:0]; + _RAND_12 = {1{`RANDOM}}; + gpr_out_13 = _RAND_12[31:0]; + _RAND_13 = {1{`RANDOM}}; + gpr_out_14 = _RAND_13[31:0]; + _RAND_14 = {1{`RANDOM}}; + gpr_out_15 = _RAND_14[31:0]; + _RAND_15 = {1{`RANDOM}}; + gpr_out_16 = _RAND_15[31:0]; + _RAND_16 = {1{`RANDOM}}; + gpr_out_17 = _RAND_16[31:0]; + _RAND_17 = {1{`RANDOM}}; + gpr_out_18 = _RAND_17[31:0]; + _RAND_18 = {1{`RANDOM}}; + gpr_out_19 = _RAND_18[31:0]; + _RAND_19 = {1{`RANDOM}}; + gpr_out_20 = _RAND_19[31:0]; + _RAND_20 = {1{`RANDOM}}; + gpr_out_21 = _RAND_20[31:0]; + _RAND_21 = {1{`RANDOM}}; + gpr_out_22 = _RAND_21[31:0]; + _RAND_22 = {1{`RANDOM}}; + gpr_out_23 = _RAND_22[31:0]; + _RAND_23 = {1{`RANDOM}}; + gpr_out_24 = _RAND_23[31:0]; + _RAND_24 = {1{`RANDOM}}; + gpr_out_25 = _RAND_24[31:0]; + _RAND_25 = {1{`RANDOM}}; + gpr_out_26 = _RAND_25[31:0]; + _RAND_26 = {1{`RANDOM}}; + gpr_out_27 = _RAND_26[31:0]; + _RAND_27 = {1{`RANDOM}}; + gpr_out_28 = _RAND_27[31:0]; + _RAND_28 = {1{`RANDOM}}; + gpr_out_29 = _RAND_28[31:0]; + _RAND_29 = {1{`RANDOM}}; + gpr_out_30 = _RAND_29[31:0]; + _RAND_30 = {1{`RANDOM}}; + gpr_out_31 = _RAND_30[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + gpr_out_1 = 32'h0; + end + if (reset) begin + gpr_out_2 = 32'h0; + end + if (reset) begin + gpr_out_3 = 32'h0; + end + if (reset) begin + gpr_out_4 = 32'h0; + end + if (reset) begin + gpr_out_5 = 32'h0; + end + if (reset) begin + gpr_out_6 = 32'h0; + end + if (reset) begin + gpr_out_7 = 32'h0; + end + if (reset) begin + gpr_out_8 = 32'h0; + end + if (reset) begin + gpr_out_9 = 32'h0; + end + if (reset) begin + gpr_out_10 = 32'h0; + end + if (reset) begin + gpr_out_11 = 32'h0; + end + if (reset) begin + gpr_out_12 = 32'h0; + end + if (reset) begin + gpr_out_13 = 32'h0; + end + if (reset) begin + gpr_out_14 = 32'h0; + end + if (reset) begin + gpr_out_15 = 32'h0; + end + if (reset) begin + gpr_out_16 = 32'h0; + end + if (reset) begin + gpr_out_17 = 32'h0; + end + if (reset) begin + gpr_out_18 = 32'h0; + end + if (reset) begin + gpr_out_19 = 32'h0; + end + if (reset) begin + gpr_out_20 = 32'h0; + end + if (reset) begin + gpr_out_21 = 32'h0; + end + if (reset) begin + gpr_out_22 = 32'h0; + end + if (reset) begin + gpr_out_23 = 32'h0; + end + if (reset) begin + gpr_out_24 = 32'h0; + end + if (reset) begin + gpr_out_25 = 32'h0; + end + if (reset) begin + gpr_out_26 = 32'h0; + end + if (reset) begin + gpr_out_27 = 32'h0; + end + if (reset) begin + gpr_out_28 = 32'h0; + end + if (reset) begin + gpr_out_29 = 32'h0; + end + if (reset) begin + gpr_out_30 = 32'h0; + end + if (reset) begin + gpr_out_31 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_1 <= 32'h0; + end else begin + gpr_out_1 <= _T_107 | _T_110; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_2 <= 32'h0; + end else begin + gpr_out_2 <= _T_124 | _T_127; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_3 <= 32'h0; + end else begin + gpr_out_3 <= _T_141 | _T_144; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_4 <= 32'h0; + end else begin + gpr_out_4 <= _T_158 | _T_161; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_5 <= 32'h0; + end else begin + gpr_out_5 <= _T_175 | _T_178; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_6 <= 32'h0; + end else begin + gpr_out_6 <= _T_192 | _T_195; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_7 <= 32'h0; + end else begin + gpr_out_7 <= _T_209 | _T_212; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_8 <= 32'h0; + end else begin + gpr_out_8 <= _T_226 | _T_229; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_9 <= 32'h0; + end else begin + gpr_out_9 <= _T_243 | _T_246; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_10 <= 32'h0; + end else begin + gpr_out_10 <= _T_260 | _T_263; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_11 <= 32'h0; + end else begin + gpr_out_11 <= _T_277 | _T_280; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_12 <= 32'h0; + end else begin + gpr_out_12 <= _T_294 | _T_297; + end + end + always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_13 <= 32'h0; + end else begin + gpr_out_13 <= _T_311 | _T_314; + end + end + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_14 <= 32'h0; + end else begin + gpr_out_14 <= _T_328 | _T_331; + end + end + always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_15 <= 32'h0; + end else begin + gpr_out_15 <= _T_345 | _T_348; + end + end + always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_16 <= 32'h0; + end else begin + gpr_out_16 <= _T_362 | _T_365; + end + end + always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_17 <= 32'h0; + end else begin + gpr_out_17 <= _T_379 | _T_382; + end + end + always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_18 <= 32'h0; + end else begin + gpr_out_18 <= _T_396 | _T_399; + end + end + always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_19 <= 32'h0; + end else begin + gpr_out_19 <= _T_413 | _T_416; + end + end + always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_20 <= 32'h0; + end else begin + gpr_out_20 <= _T_430 | _T_433; + end + end + always @(posedge rvclkhdr_20_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_21 <= 32'h0; + end else begin + gpr_out_21 <= _T_447 | _T_450; + end + end + always @(posedge rvclkhdr_21_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_22 <= 32'h0; + end else begin + gpr_out_22 <= _T_464 | _T_467; + end + end + always @(posedge rvclkhdr_22_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_23 <= 32'h0; + end else begin + gpr_out_23 <= _T_481 | _T_484; + end + end + always @(posedge rvclkhdr_23_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_24 <= 32'h0; + end else begin + gpr_out_24 <= _T_498 | _T_501; + end + end + always @(posedge rvclkhdr_24_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_25 <= 32'h0; + end else begin + gpr_out_25 <= _T_515 | _T_518; + end + end + always @(posedge rvclkhdr_25_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_26 <= 32'h0; + end else begin + gpr_out_26 <= _T_532 | _T_535; + end + end + always @(posedge rvclkhdr_26_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_27 <= 32'h0; + end else begin + gpr_out_27 <= _T_549 | _T_552; + end + end + always @(posedge rvclkhdr_27_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_28 <= 32'h0; + end else begin + gpr_out_28 <= _T_566 | _T_569; + end + end + always @(posedge rvclkhdr_28_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_29 <= 32'h0; + end else begin + gpr_out_29 <= _T_583 | _T_586; + end + end + always @(posedge rvclkhdr_29_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_30 <= 32'h0; + end else begin + gpr_out_30 <= _T_600 | _T_603; + end + end + always @(posedge rvclkhdr_30_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_31 <= 32'h0; + end else begin + gpr_out_31 <= _T_617 | _T_620; + end + end +endmodule +module el2_dec_timer_ctl( + input clock, + input reset, + input io_free_clk, + input io_scan_mode, + input io_dec_csr_wen_r_mod, + input [11:0] io_dec_csr_wraddr_r, + input [31:0] io_dec_csr_wrdata_r, + input io_csr_mitctl0, + input io_csr_mitctl1, + input io_csr_mitb0, + input io_csr_mitb1, + input io_csr_mitcnt0, + input io_csr_mitcnt1, + input io_dec_pause_state, + input io_dec_tlu_pmu_fw_halted, + input io_internal_dbg_halt_timers, + output [31:0] io_dec_timer_rddata_d, + output io_dec_timer_read_d, + output io_dec_timer_t0_pulse, + output io_dec_timer_t1_pulse +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 485:23] + reg [31:0] mitcnt0; // @[el2_lib.scala 491:16] + reg [31:0] mitb0_b; // @[el2_lib.scala 491:16] + wire [31:0] mitb0 = ~mitb0_b; // @[el2_dec_tlu_ctl.scala 2791:14] + wire mit0_match_ns = mitcnt0 >= mitb0; // @[el2_dec_tlu_ctl.scala 2752:30] + reg [31:0] mitcnt1; // @[el2_lib.scala 491:16] + reg [31:0] mitb1_b; // @[el2_lib.scala 491:16] + wire [31:0] mitb1 = ~mitb1_b; // @[el2_dec_tlu_ctl.scala 2800:12] + wire mit1_match_ns = mitcnt1 >= mitb1; // @[el2_dec_tlu_ctl.scala 2753:30] + wire _T = io_dec_csr_wraddr_r == 12'h7d2; // @[el2_dec_tlu_ctl.scala 2763:66] + wire wr_mitcnt0_r = io_dec_csr_wen_r_mod & _T; // @[el2_dec_tlu_ctl.scala 2763:43] + reg [1:0] _T_57; // @[el2_dec_tlu_ctl.scala 2816:67] + reg mitctl0_0_b; // @[el2_dec_tlu_ctl.scala 2815:54] + wire _T_58 = ~mitctl0_0_b; // @[el2_dec_tlu_ctl.scala 2816:90] + wire [2:0] mitctl0 = {_T_57,_T_58}; // @[Cat.scala 29:58] + wire _T_2 = ~io_dec_pause_state; // @[el2_dec_tlu_ctl.scala 2765:50] + wire _T_4 = _T_2 | mitctl0[2]; // @[el2_dec_tlu_ctl.scala 2765:70] + wire _T_5 = mitctl0[0] & _T_4; // @[el2_dec_tlu_ctl.scala 2765:47] + wire _T_6 = ~io_dec_tlu_pmu_fw_halted; // @[el2_dec_tlu_ctl.scala 2765:106] + wire _T_8 = _T_6 | mitctl0[1]; // @[el2_dec_tlu_ctl.scala 2765:132] + wire _T_9 = _T_5 & _T_8; // @[el2_dec_tlu_ctl.scala 2765:103] + wire _T_10 = ~io_internal_dbg_halt_timers; // @[el2_dec_tlu_ctl.scala 2765:167] + wire mitcnt0_inc_ok = _T_9 & _T_10; // @[el2_dec_tlu_ctl.scala 2765:165] + wire [31:0] mitcnt0_inc = mitcnt0 + 32'h1; // @[el2_dec_tlu_ctl.scala 2766:29] + wire _T_15 = wr_mitcnt0_r | mitcnt0_inc_ok; // @[el2_dec_tlu_ctl.scala 2768:60] + wire _T_19 = io_dec_csr_wraddr_r == 12'h7d5; // @[el2_dec_tlu_ctl.scala 2775:66] + wire wr_mitcnt1_r = io_dec_csr_wen_r_mod & _T_19; // @[el2_dec_tlu_ctl.scala 2775:43] + reg [2:0] _T_66; // @[el2_dec_tlu_ctl.scala 2830:46] + reg mitctl1_0_b; // @[el2_dec_tlu_ctl.scala 2829:49] + wire _T_67 = ~mitctl1_0_b; // @[el2_dec_tlu_ctl.scala 2830:69] + wire [3:0] mitctl1 = {_T_66,_T_67}; // @[Cat.scala 29:58] + wire _T_23 = _T_2 | mitctl1[2]; // @[el2_dec_tlu_ctl.scala 2777:70] + wire _T_24 = mitctl1[0] & _T_23; // @[el2_dec_tlu_ctl.scala 2777:47] + wire _T_27 = _T_6 | mitctl1[1]; // @[el2_dec_tlu_ctl.scala 2777:132] + wire _T_28 = _T_24 & _T_27; // @[el2_dec_tlu_ctl.scala 2777:103] + wire mitcnt1_inc_ok = _T_28 & _T_10; // @[el2_dec_tlu_ctl.scala 2777:165] + wire _T_32 = ~mitctl1[3]; // @[el2_dec_tlu_ctl.scala 2780:54] + wire _T_33 = _T_32 | mit0_match_ns; // @[el2_dec_tlu_ctl.scala 2780:66] + wire [31:0] _T_34 = {31'h0,_T_33}; // @[Cat.scala 29:58] + wire [31:0] mitcnt1_inc = mitcnt1 + _T_34; // @[el2_dec_tlu_ctl.scala 2780:29] + wire _T_39 = wr_mitcnt1_r | mitcnt1_inc_ok; // @[el2_dec_tlu_ctl.scala 2782:52] + wire _T_43 = io_dec_csr_wraddr_r == 12'h7d3; // @[el2_dec_tlu_ctl.scala 2789:64] + wire _T_47 = io_dec_csr_wraddr_r == 12'h7d6; // @[el2_dec_tlu_ctl.scala 2798:63] + wire _T_51 = io_dec_csr_wraddr_r == 12'h7d4; // @[el2_dec_tlu_ctl.scala 2811:66] + wire wr_mitctl0_r = io_dec_csr_wen_r_mod & _T_51; // @[el2_dec_tlu_ctl.scala 2811:43] + wire [2:0] mitctl0_ns = wr_mitctl0_r ? io_dec_csr_wrdata_r[2:0] : mitctl0; // @[el2_dec_tlu_ctl.scala 2812:31] + wire _T_60 = io_dec_csr_wraddr_r == 12'h7d7; // @[el2_dec_tlu_ctl.scala 2826:65] + wire wr_mitctl1_r = io_dec_csr_wen_r_mod & _T_60; // @[el2_dec_tlu_ctl.scala 2826:43] + wire [3:0] mitctl1_ns = wr_mitctl1_r ? io_dec_csr_wrdata_r[3:0] : mitctl1; // @[el2_dec_tlu_ctl.scala 2827:31] + wire _T_69 = io_csr_mitcnt1 | io_csr_mitcnt0; // @[el2_dec_tlu_ctl.scala 2832:43] + wire _T_70 = _T_69 | io_csr_mitb1; // @[el2_dec_tlu_ctl.scala 2832:60] + wire _T_71 = _T_70 | io_csr_mitb0; // @[el2_dec_tlu_ctl.scala 2832:75] + wire _T_72 = _T_71 | io_csr_mitctl0; // @[el2_dec_tlu_ctl.scala 2832:90] + wire [31:0] _T_81 = {29'h0,_T_57,_T_58}; // @[Cat.scala 29:58] + wire [31:0] _T_84 = {28'h0,_T_66,_T_67}; // @[Cat.scala 29:58] + wire [31:0] _T_85 = io_csr_mitcnt0 ? mitcnt0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_86 = io_csr_mitcnt1 ? mitcnt1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_87 = io_csr_mitb0 ? mitb0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_88 = io_csr_mitb1 ? mitb1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_89 = io_csr_mitctl0 ? _T_81 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_90 = io_csr_mitctl1 ? _T_84 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_91 = _T_85 | _T_86; // @[Mux.scala 27:72] + wire [31:0] _T_92 = _T_91 | _T_87; // @[Mux.scala 27:72] + wire [31:0] _T_93 = _T_92 | _T_88; // @[Mux.scala 27:72] + wire [31:0] _T_94 = _T_93 | _T_89; // @[Mux.scala 27:72] + rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + assign io_dec_timer_rddata_d = _T_94 | _T_90; // @[el2_dec_tlu_ctl.scala 2833:33] + assign io_dec_timer_read_d = _T_72 | io_csr_mitctl1; // @[el2_dec_tlu_ctl.scala 2832:25] + assign io_dec_timer_t0_pulse = mitcnt0 >= mitb0; // @[el2_dec_tlu_ctl.scala 2755:25] + assign io_dec_timer_t1_pulse = mitcnt1 >= mitb1; // @[el2_dec_tlu_ctl.scala 2756:25] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_io_en = _T_15 | mit0_match_ns; // @[el2_lib.scala 488:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_1_io_en = _T_39 | mit1_match_ns; // @[el2_lib.scala 488:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_2_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_2_io_en = io_dec_csr_wen_r_mod & _T_43; // @[el2_lib.scala 488:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_3_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_3_io_en = io_dec_csr_wen_r_mod & _T_47; // @[el2_lib.scala 488:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + mitcnt0 = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + mitb0_b = _RAND_1[31:0]; + _RAND_2 = {1{`RANDOM}}; + mitcnt1 = _RAND_2[31:0]; + _RAND_3 = {1{`RANDOM}}; + mitb1_b = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + _T_57 = _RAND_4[1:0]; + _RAND_5 = {1{`RANDOM}}; + mitctl0_0_b = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + _T_66 = _RAND_6[2:0]; + _RAND_7 = {1{`RANDOM}}; + mitctl1_0_b = _RAND_7[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + mitcnt0 = 32'h0; + end + if (reset) begin + mitb0_b = 32'h0; + end + if (reset) begin + mitcnt1 = 32'h0; + end + if (reset) begin + mitb1_b = 32'h0; + end + if (reset) begin + _T_57 = 2'h0; + end + if (reset) begin + mitctl0_0_b = 1'h0; + end + if (reset) begin + _T_66 = 3'h0; + end + if (reset) begin + mitctl1_0_b = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + mitcnt0 <= 32'h0; + end else if (mit0_match_ns) begin + mitcnt0 <= 32'h0; + end else if (wr_mitcnt0_r) begin + mitcnt0 <= io_dec_csr_wrdata_r; + end else begin + mitcnt0 <= mitcnt0_inc; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + mitb0_b <= 32'h0; + end else begin + mitb0_b <= ~io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + mitcnt1 <= 32'h0; + end else if (mit1_match_ns) begin + mitcnt1 <= 32'h0; + end else if (wr_mitcnt1_r) begin + mitcnt1 <= io_dec_csr_wrdata_r; + end else begin + mitcnt1 <= mitcnt1_inc; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + mitb1_b <= 32'h0; + end else begin + mitb1_b <= ~io_dec_csr_wrdata_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_57 <= 2'h0; + end else begin + _T_57 <= mitctl0_ns[2:1]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mitctl0_0_b <= 1'h0; + end else begin + mitctl0_0_b <= ~mitctl0_ns[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_66 <= 3'h0; + end else begin + _T_66 <= mitctl1_ns[3:1]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mitctl1_0_b <= 1'h0; + end else begin + mitctl1_0_b <= ~mitctl1_ns[0]; + end + end +endmodule +module csr_tlu( + input clock, + input reset, + input io_free_clk, + input io_active_clk, + input io_scan_mode, + input [31:0] io_dec_csr_wrdata_r, + input [11:0] io_dec_csr_wraddr_r, + input [11:0] io_dec_csr_rdaddr_d, + input io_dec_csr_wen_unq_d, + input io_dec_i0_decode_d, + output [70:0] io_dec_tlu_ic_diag_pkt_icache_wrdata, + output [16:0] io_dec_tlu_ic_diag_pkt_icache_dicawics, + output io_dec_tlu_ic_diag_pkt_icache_rd_valid, + output io_dec_tlu_ic_diag_pkt_icache_wr_valid, + input io_ifu_ic_debug_rd_data_valid, + output io_trigger_pkt_any_0_select, + output io_trigger_pkt_any_0_match_, + output io_trigger_pkt_any_0_store, + output io_trigger_pkt_any_0_load, + output io_trigger_pkt_any_0_execute, + output io_trigger_pkt_any_0_m, + output [31:0] io_trigger_pkt_any_0_tdata2, + output io_trigger_pkt_any_1_select, + output io_trigger_pkt_any_1_match_, + output io_trigger_pkt_any_1_store, + output io_trigger_pkt_any_1_load, + output io_trigger_pkt_any_1_execute, + output io_trigger_pkt_any_1_m, + output [31:0] io_trigger_pkt_any_1_tdata2, + output io_trigger_pkt_any_2_select, + output io_trigger_pkt_any_2_match_, + output io_trigger_pkt_any_2_store, + output io_trigger_pkt_any_2_load, + output io_trigger_pkt_any_2_execute, + output io_trigger_pkt_any_2_m, + output [31:0] io_trigger_pkt_any_2_tdata2, + output io_trigger_pkt_any_3_select, + output io_trigger_pkt_any_3_match_, + output io_trigger_pkt_any_3_store, + output io_trigger_pkt_any_3_load, + output io_trigger_pkt_any_3_execute, + output io_trigger_pkt_any_3_m, + output [31:0] io_trigger_pkt_any_3_tdata2, + input io_ifu_pmu_bus_trxn, + input io_dma_iccm_stall_any, + input io_dma_dccm_stall_any, + input io_lsu_store_stall_any, + input io_dec_pmu_presync_stall, + input io_dec_pmu_postsync_stall, + input io_dec_pmu_decode_stall, + input io_ifu_pmu_fetch_stall, + input [1:0] io_dec_tlu_packet_r_icaf_type, + input [3:0] io_dec_tlu_packet_r_pmu_i0_itype, + input io_dec_tlu_packet_r_pmu_i0_br_unpred, + input io_dec_tlu_packet_r_pmu_divide, + input io_dec_tlu_packet_r_pmu_lsu_misaligned, + input io_exu_pmu_i0_br_ataken, + input io_exu_pmu_i0_br_misp, + input io_dec_pmu_instr_decoded, + input io_ifu_pmu_instr_aligned, + input io_exu_pmu_i0_pc4, + input io_ifu_pmu_ic_miss, + input io_ifu_pmu_ic_hit, + output io_dec_tlu_int_valid_wb1, + output io_dec_tlu_i0_exc_valid_wb1, + output io_dec_tlu_i0_valid_wb1, + input io_dec_csr_wen_r, + output io_dec_tlu_perfcnt0, + output io_dec_tlu_perfcnt1, + output io_dec_tlu_perfcnt2, + output io_dec_tlu_perfcnt3, + input io_dec_tlu_dbg_halted, + input io_dma_pmu_dccm_write, + input io_dma_pmu_dccm_read, + input io_dma_pmu_any_write, + input io_dma_pmu_any_read, + input io_lsu_pmu_bus_busy, + input [30:0] io_dec_tlu_i0_pc_r, + input io_dec_tlu_i0_valid_r, + input io_dec_csr_any_unq_d, + output io_dec_tlu_misc_clk_override, + output io_dec_tlu_dec_clk_override, + output io_dec_tlu_ifu_clk_override, + output io_dec_tlu_lsu_clk_override, + output io_dec_tlu_bus_clk_override, + output io_dec_tlu_pic_clk_override, + output io_dec_tlu_dccm_clk_override, + output io_dec_tlu_icm_clk_override, + output [31:0] io_dec_csr_rddata_d, + output io_dec_tlu_pipelining_disable, + output io_dec_tlu_wr_pause_r, + input io_ifu_pmu_bus_busy, + input io_lsu_pmu_bus_error, + input io_ifu_pmu_bus_error, + input io_lsu_pmu_bus_misaligned, + input io_lsu_pmu_bus_trxn, + input [70:0] io_ifu_ic_debug_rd_data, + output [3:0] io_dec_tlu_meipt, + input [3:0] io_pic_pl, + output [3:0] io_dec_tlu_meicurpl, + output [29:0] io_dec_tlu_meihap, + input [7:0] io_pic_claimid, + input io_iccm_dma_sb_error, + input [31:0] io_lsu_imprecise_error_addr_any, + input io_lsu_imprecise_error_load_any, + input io_lsu_imprecise_error_store_any, + output [31:0] io_dec_tlu_mrac_ff, + output io_dec_tlu_wb_coalescing_disable, + output io_dec_tlu_bpred_disable, + output io_dec_tlu_sideeffect_posted_disable, + output io_dec_tlu_core_ecc_disable, + output io_dec_tlu_external_ldfwd_disable, + output [2:0] io_dec_tlu_dma_qos_prty, + input [31:0] io_dec_illegal_inst, + input io_lsu_error_pkt_r_mscause, + input io_mexintpend, + input [30:0] io_exu_npc_r, + input io_mpc_reset_run_req, + input [30:0] io_rst_vec, + input [27:0] io_core_id, + input [31:0] io_dec_timer_rddata_d, + input io_dec_timer_read_d, + output io_dec_csr_wen_r_mod, + input io_rfpc_i0_r, + input io_i0_trigger_hit_r, + output io_fw_halt_req, + output [1:0] io_mstatus, + input io_exc_or_int_valid_r, + input io_mret_r, + output io_mstatus_mie_ns, + input io_dcsr_single_step_running_f, + output [15:0] io_dcsr, + output [30:0] io_mtvec, + output [5:0] io_mip, + input io_dec_timer_t0_pulse, + input io_dec_timer_t1_pulse, + input io_timer_int_sync, + input io_soft_int_sync, + output [5:0] io_mie_ns, + input io_csr_wr_clk, + input io_ebreak_to_debug_mode_r, + input io_dec_tlu_pmu_fw_halted, + input [1:0] io_lsu_fir_error, + output [30:0] io_npc_r, + input io_tlu_flush_lower_r_d1, + input io_dec_tlu_flush_noredir_r_d1, + input [30:0] io_tlu_flush_path_r_d1, + output [30:0] io_npc_r_d1, + input io_reset_delayed, + output [30:0] io_mepc, + input io_interrupt_valid_r, + input io_i0_exception_valid_r, + input io_lsu_exc_valid_r, + input io_mepc_trigger_hit_sel_pc_r, + input io_e4e5_int_clk, + input io_lsu_i0_exc_r, + input io_inst_acc_r, + input io_inst_acc_second_r, + input io_take_nmi, + input [31:0] io_lsu_error_pkt_addr_r, + input [4:0] io_exc_cause_r, + input io_i0_valid_wb, + input io_exc_or_int_valid_r_d1, + input io_interrupt_valid_r_d1, + input io_clk_override, + input io_i0_exception_valid_r_d1, + input io_lsu_i0_exc_r_d1, + input io_nmi_lsu_store_type, + input io_nmi_lsu_load_type, + input io_tlu_i0_commit_cmt, + input io_ebreak_r, + input io_ecall_r, + input io_illegal_r, + output io_mdseac_locked_ns, + input io_mdseac_locked_f, + input io_nmi_int_detected_f, + input io_internal_dbg_halt_mode_f2, + input io_ext_int_freeze_d1, + input io_ic_perr_r_d1, + input io_iccm_sbecc_r_d1, + input io_lsu_single_ecc_error_r_d1, + input io_ifu_miss_state_idle_f, + input io_lsu_idle_any_f, + input io_dbg_tlu_halted_f, + input io_dbg_tlu_halted, + input io_debug_halt_req_f, + output io_force_halt, + input io_take_ext_int_start, + input io_trigger_hit_dmode_r_d1, + input io_trigger_hit_r_d1, + input io_dcsr_single_step_done_f, + input io_ebreak_to_debug_mode_r_d1, + input io_debug_halt_req, + input io_allow_dbg_halt_csr_write, + input io_internal_dbg_halt_mode_f, + input io_enter_debug_halt_req, + input io_internal_dbg_halt_mode, + input io_request_debug_mode_done, + input io_request_debug_mode_r, + output [30:0] io_dpc, + input [3:0] io_update_hit_bit_r, + input io_take_timer_int, + input io_take_int_timer0_int, + input io_take_int_timer1_int, + input io_take_ext_int, + input io_tlu_flush_lower_r, + input io_dec_tlu_br0_error_r, + input io_dec_tlu_br0_start_error_r, + input io_lsu_pmu_load_external_r, + input io_lsu_pmu_store_external_r, + input io_csr_pkt_csr_misa, + input io_csr_pkt_csr_mvendorid, + input io_csr_pkt_csr_marchid, + input io_csr_pkt_csr_mimpid, + input io_csr_pkt_csr_mhartid, + input io_csr_pkt_csr_mstatus, + input io_csr_pkt_csr_mtvec, + input io_csr_pkt_csr_mip, + input io_csr_pkt_csr_mie, + input io_csr_pkt_csr_mcyclel, + input io_csr_pkt_csr_mcycleh, + input io_csr_pkt_csr_minstretl, + input io_csr_pkt_csr_minstreth, + input io_csr_pkt_csr_mscratch, + input io_csr_pkt_csr_mepc, + input io_csr_pkt_csr_mcause, + input io_csr_pkt_csr_mscause, + input io_csr_pkt_csr_mtval, + input io_csr_pkt_csr_mrac, + input io_csr_pkt_csr_mdseac, + input io_csr_pkt_csr_meihap, + input io_csr_pkt_csr_meivt, + input io_csr_pkt_csr_meipt, + input io_csr_pkt_csr_meicurpl, + input io_csr_pkt_csr_meicidpl, + input io_csr_pkt_csr_dcsr, + input io_csr_pkt_csr_mcgc, + input io_csr_pkt_csr_mfdc, + input io_csr_pkt_csr_dpc, + input io_csr_pkt_csr_mtsel, + input io_csr_pkt_csr_mtdata1, + input io_csr_pkt_csr_mtdata2, + input io_csr_pkt_csr_mhpmc3, + input io_csr_pkt_csr_mhpmc4, + input io_csr_pkt_csr_mhpmc5, + input io_csr_pkt_csr_mhpmc6, + input io_csr_pkt_csr_mhpmc3h, + input io_csr_pkt_csr_mhpmc4h, + input io_csr_pkt_csr_mhpmc5h, + input io_csr_pkt_csr_mhpmc6h, + input io_csr_pkt_csr_mhpme3, + input io_csr_pkt_csr_mhpme4, + input io_csr_pkt_csr_mhpme5, + input io_csr_pkt_csr_mhpme6, + input io_csr_pkt_csr_mcountinhibit, + input io_csr_pkt_csr_mpmc, + input io_csr_pkt_csr_micect, + input io_csr_pkt_csr_miccmect, + input io_csr_pkt_csr_mdccmect, + input io_csr_pkt_csr_mfdht, + input io_csr_pkt_csr_mfdhs, + input io_csr_pkt_csr_dicawics, + input io_csr_pkt_csr_dicad0h, + input io_csr_pkt_csr_dicad0, + input io_csr_pkt_csr_dicad1, + output [9:0] io_mtdata1_t_0, + output [9:0] io_mtdata1_t_1, + output [9:0] io_mtdata1_t_2, + output [9:0] io_mtdata1_t_3 +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [63:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [63:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [95:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_31_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_31_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_31_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_31_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_32_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_32_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_32_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_32_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_33_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_33_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_33_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_33_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_34_io_l1clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_34_io_clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_34_io_en; // @[el2_lib.scala 474:22] + wire rvclkhdr_34_io_scan_mode; // @[el2_lib.scala 474:22] + wire _T = ~io_i0_trigger_hit_r; // @[el2_dec_tlu_ctl.scala 1530:46] + wire _T_1 = io_dec_csr_wen_r & _T; // @[el2_dec_tlu_ctl.scala 1530:44] + wire _T_2 = ~io_rfpc_i0_r; // @[el2_dec_tlu_ctl.scala 1530:69] + wire _T_5 = io_dec_csr_wraddr_r == 12'h300; // @[el2_dec_tlu_ctl.scala 1531:72] + wire wr_mstatus_r = io_dec_csr_wen_r_mod & _T_5; // @[el2_dec_tlu_ctl.scala 1531:43] + wire _T_496 = io_dec_csr_wraddr_r == 12'h7c6; // @[el2_dec_tlu_ctl.scala 1917:69] + wire wr_mpmc_r = io_dec_csr_wen_r_mod & _T_496; // @[el2_dec_tlu_ctl.scala 1917:40] + wire _T_507 = ~io_dec_csr_wrdata_r[1]; // @[el2_dec_tlu_ctl.scala 1924:38] + reg mpmc_b; // @[el2_dec_tlu_ctl.scala 1926:45] + wire mpmc = ~mpmc_b; // @[el2_dec_tlu_ctl.scala 1929:11] + wire _T_508 = ~mpmc; // @[el2_dec_tlu_ctl.scala 1924:63] + wire mpmc_b_ns = wr_mpmc_r ? _T_507 : _T_508; // @[el2_dec_tlu_ctl.scala 1924:19] + wire _T_6 = ~mpmc_b_ns; // @[el2_dec_tlu_ctl.scala 1534:29] + wire set_mie_pmu_fw_halt = _T_6 & io_fw_halt_req; // @[el2_dec_tlu_ctl.scala 1534:40] + wire _T_7 = ~wr_mstatus_r; // @[el2_dec_tlu_ctl.scala 1537:6] + wire _T_8 = _T_7 & io_exc_or_int_valid_r; // @[el2_dec_tlu_ctl.scala 1537:20] + wire _T_12 = wr_mstatus_r & io_exc_or_int_valid_r; // @[el2_dec_tlu_ctl.scala 1538:19] + wire _T_15 = ~io_exc_or_int_valid_r; // @[el2_dec_tlu_ctl.scala 1539:18] + wire _T_16 = io_mret_r & _T_15; // @[el2_dec_tlu_ctl.scala 1539:16] + wire [1:0] _T_19 = {1'h1,io_mstatus[1]}; // @[Cat.scala 29:58] + wire [1:0] _T_22 = {io_mstatus[1],1'h1}; // @[Cat.scala 29:58] + wire _T_24 = wr_mstatus_r & _T_15; // @[el2_dec_tlu_ctl.scala 1541:19] + wire [1:0] _T_28 = {io_dec_csr_wrdata_r[7],io_dec_csr_wrdata_r[3]}; // @[Cat.scala 29:58] + wire _T_31 = _T_7 & _T_15; // @[el2_dec_tlu_ctl.scala 1542:20] + wire _T_32 = ~io_mret_r; // @[el2_dec_tlu_ctl.scala 1542:47] + wire _T_33 = _T_31 & _T_32; // @[el2_dec_tlu_ctl.scala 1542:45] + wire _T_34 = ~set_mie_pmu_fw_halt; // @[el2_dec_tlu_ctl.scala 1542:60] + wire _T_35 = _T_33 & _T_34; // @[el2_dec_tlu_ctl.scala 1542:58] + wire _T_37 = _T_8 & io_mstatus[0]; // @[Mux.scala 27:72] + wire _T_38 = _T_12 & io_dec_csr_wrdata_r[3]; // @[Mux.scala 27:72] + wire [1:0] _T_39 = _T_16 ? _T_19 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_40 = set_mie_pmu_fw_halt ? _T_22 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_41 = _T_24 ? _T_28 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_42 = _T_35 ? io_mstatus : 2'h0; // @[Mux.scala 27:72] + wire _T_43 = _T_37 | _T_38; // @[Mux.scala 27:72] + wire [1:0] _GEN_9 = {{1'd0}, _T_43}; // @[Mux.scala 27:72] + wire [1:0] _T_44 = _GEN_9 | _T_39; // @[Mux.scala 27:72] + wire [1:0] _T_45 = _T_44 | _T_40; // @[Mux.scala 27:72] + wire [1:0] _T_46 = _T_45 | _T_41; // @[Mux.scala 27:72] + wire _T_50 = ~io_dcsr_single_step_running_f; // @[el2_dec_tlu_ctl.scala 1545:51] + wire _T_52 = _T_50 | io_dcsr[11]; // @[el2_dec_tlu_ctl.scala 1545:82] + reg [1:0] _T_54; // @[el2_dec_tlu_ctl.scala 1547:12] + wire _T_56 = io_dec_csr_wraddr_r == 12'h305; // @[el2_dec_tlu_ctl.scala 1556:70] + reg [30:0] _T_60; // @[el2_lib.scala 491:16] + reg [31:0] mdccmect; // @[el2_lib.scala 491:16] + wire [62:0] _T_572 = 63'hffffffff << mdccmect[31:27]; // @[el2_dec_tlu_ctl.scala 1975:42] + wire [31:0] _T_574 = {5'h0,mdccmect[26:0]}; // @[Cat.scala 29:58] + wire [62:0] _GEN_10 = {{31'd0}, _T_574}; // @[el2_dec_tlu_ctl.scala 1975:62] + wire [62:0] _T_575 = _T_572 & _GEN_10; // @[el2_dec_tlu_ctl.scala 1975:62] + wire mdccme_ce_req = |_T_575; // @[el2_dec_tlu_ctl.scala 1975:95] + reg [31:0] miccmect; // @[el2_lib.scala 491:16] + wire [62:0] _T_552 = 63'hffffffff << miccmect[31:27]; // @[el2_dec_tlu_ctl.scala 1960:42] + wire [31:0] _T_554 = {5'h0,miccmect[26:0]}; // @[Cat.scala 29:58] + wire [62:0] _GEN_11 = {{31'd0}, _T_554}; // @[el2_dec_tlu_ctl.scala 1960:62] + wire [62:0] _T_555 = _T_552 & _GEN_11; // @[el2_dec_tlu_ctl.scala 1960:62] + wire miccme_ce_req = |_T_555; // @[el2_dec_tlu_ctl.scala 1960:95] + wire _T_61 = mdccme_ce_req | miccme_ce_req; // @[el2_dec_tlu_ctl.scala 1570:31] + reg [31:0] micect; // @[el2_lib.scala 491:16] + wire [62:0] _T_530 = 63'hffffffff << micect[31:27]; // @[el2_dec_tlu_ctl.scala 1946:39] + wire _T_531 = |_T_530; // @[el2_dec_tlu_ctl.scala 1946:57] + wire [31:0] _T_533 = {5'h0,micect[26:0]}; // @[Cat.scala 29:58] + wire [31:0] _GEN_12 = {{31'd0}, _T_531}; // @[el2_dec_tlu_ctl.scala 1946:61] + wire [31:0] _T_534 = _GEN_12 & _T_533; // @[el2_dec_tlu_ctl.scala 1946:61] + wire mice_ce_req = _T_534[0]; // @[el2_dec_tlu_ctl.scala 1455:41 el2_dec_tlu_ctl.scala 1946:15] + wire ce_int = _T_61 | mice_ce_req; // @[el2_dec_tlu_ctl.scala 1570:47] + wire [2:0] _T_63 = {io_mexintpend,io_timer_int_sync,io_soft_int_sync}; // @[Cat.scala 29:58] + wire [2:0] _T_65 = {ce_int,io_dec_timer_t0_pulse,io_dec_timer_t1_pulse}; // @[Cat.scala 29:58] + reg [5:0] _T_66; // @[el2_dec_tlu_ctl.scala 1574:12] + wire _T_68 = io_dec_csr_wraddr_r == 12'h304; // @[el2_dec_tlu_ctl.scala 1586:68] + wire wr_mie_r = io_dec_csr_wen_r_mod & _T_68; // @[el2_dec_tlu_ctl.scala 1586:39] + wire [5:0] _T_76 = {io_dec_csr_wrdata_r[30:28],io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7],io_dec_csr_wrdata_r[3]}; // @[Cat.scala 29:58] + reg [5:0] mie; // @[el2_dec_tlu_ctl.scala 1589:12] + wire kill_ebreak_count_r = io_ebreak_to_debug_mode_r & io_dcsr[10]; // @[el2_dec_tlu_ctl.scala 1596:55] + wire _T_81 = io_dec_csr_wraddr_r == 12'hb00; // @[el2_dec_tlu_ctl.scala 1598:72] + wire wr_mcyclel_r = io_dec_csr_wen_r_mod & _T_81; // @[el2_dec_tlu_ctl.scala 1598:43] + wire _T_83 = io_dec_tlu_dbg_halted & io_dcsr[10]; // @[el2_dec_tlu_ctl.scala 1600:72] + wire _T_84 = kill_ebreak_count_r | _T_83; // @[el2_dec_tlu_ctl.scala 1600:47] + wire _T_85 = _T_84 | io_dec_tlu_pmu_fw_halted; // @[el2_dec_tlu_ctl.scala 1600:95] + reg [4:0] temp_ncount6_2; // @[Reg.scala 27:20] + reg temp_ncount0; // @[Reg.scala 27:20] + wire [6:0] mcountinhibit = {temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] + wire _T_87 = _T_85 | mcountinhibit[0]; // @[el2_dec_tlu_ctl.scala 1600:122] + wire mcyclel_cout_in = ~_T_87; // @[el2_dec_tlu_ctl.scala 1600:25] + wire [31:0] _T_88 = {31'h0,mcyclel_cout_in}; // @[Cat.scala 29:58] + reg [32:0] _T_95; // @[el2_lib.scala 491:16] + wire [31:0] mcyclel = _T_95[31:0]; // @[el2_dec_tlu_ctl.scala 1607:11] + wire [31:0] _T_90 = mcyclel + _T_88; // @[el2_dec_tlu_ctl.scala 1604:26] + wire [32:0] mcyclel_inc = {{1'd0}, _T_90}; // @[el2_dec_tlu_ctl.scala 1604:15] + wire mcyclel_cout = mcyclel_inc[32]; // @[el2_dec_tlu_ctl.scala 1606:33] + wire _T_99 = io_dec_csr_wraddr_r == 12'hb80; // @[el2_dec_tlu_ctl.scala 1614:69] + wire wr_mcycleh_r = io_dec_csr_wen_r_mod & _T_99; // @[el2_dec_tlu_ctl.scala 1614:40] + wire _T_96 = ~wr_mcycleh_r; // @[el2_dec_tlu_ctl.scala 1608:72] + reg mcyclel_cout_f; // @[el2_dec_tlu_ctl.scala 1608:55] + wire [31:0] _T_101 = {31'h0,mcyclel_cout_f}; // @[Cat.scala 29:58] + reg [31:0] mcycleh; // @[el2_lib.scala 491:16] + wire [31:0] mcycleh_inc = mcycleh + _T_101; // @[el2_dec_tlu_ctl.scala 1616:29] + wire _T_107 = io_ebreak_r | io_ecall_r; // @[el2_dec_tlu_ctl.scala 1633:73] + wire _T_108 = _T_107 | io_ebreak_to_debug_mode_r; // @[el2_dec_tlu_ctl.scala 1633:86] + wire _T_109 = _T_108 | io_illegal_r; // @[el2_dec_tlu_ctl.scala 1633:114] + wire _T_111 = _T_109 | mcountinhibit[2]; // @[el2_dec_tlu_ctl.scala 1633:129] + wire _T_113 = ~_T_111; // @[el2_dec_tlu_ctl.scala 1633:59] + wire i0_valid_no_ebreak_ecall_r = io_tlu_i0_commit_cmt & _T_113; // @[el2_dec_tlu_ctl.scala 1633:57] + wire _T_115 = io_dec_csr_wraddr_r == 12'hb02; // @[el2_dec_tlu_ctl.scala 1635:74] + wire wr_minstretl_r = io_dec_csr_wen_r_mod & _T_115; // @[el2_dec_tlu_ctl.scala 1635:45] + wire [31:0] _T_116 = {31'h0,i0_valid_no_ebreak_ecall_r}; // @[Cat.scala 29:58] + reg [32:0] _T_122; // @[el2_lib.scala 491:16] + wire [31:0] minstretl = _T_122[31:0]; // @[el2_dec_tlu_ctl.scala 1642:13] + wire [31:0] _T_118 = minstretl + _T_116; // @[el2_dec_tlu_ctl.scala 1637:30] + wire [32:0] minstretl_inc = {{1'd0}, _T_118}; // @[el2_dec_tlu_ctl.scala 1637:17] + wire minstretl_cout = minstretl_inc[32]; // @[el2_dec_tlu_ctl.scala 1638:37] + reg minstret_enable_f; // @[el2_dec_tlu_ctl.scala 1643:57] + wire _T_126 = io_dec_csr_wraddr_r == 12'hb82; // @[el2_dec_tlu_ctl.scala 1652:72] + wire wr_minstreth_r = io_dec_csr_wen_r_mod & _T_126; // @[el2_dec_tlu_ctl.scala 1652:43] + wire _T_123 = ~wr_minstreth_r; // @[el2_dec_tlu_ctl.scala 1644:76] + reg minstretl_cout_f; // @[el2_dec_tlu_ctl.scala 1644:57] + wire [31:0] _T_129 = {31'h0,minstretl_cout_f}; // @[Cat.scala 29:58] + reg [31:0] minstreth; // @[el2_lib.scala 491:16] + wire [31:0] minstreth_inc = minstreth + _T_129; // @[el2_dec_tlu_ctl.scala 1655:30] + wire _T_137 = io_dec_csr_wraddr_r == 12'h340; // @[el2_dec_tlu_ctl.scala 1666:73] + reg [31:0] mscratch; // @[el2_lib.scala 491:16] + wire _T_140 = ~io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 1677:23] + wire _T_141 = ~io_tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 1677:48] + wire _T_142 = _T_140 & _T_141; // @[el2_dec_tlu_ctl.scala 1677:46] + wire sel_exu_npc_r = _T_142 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 1677:73] + wire _T_144 = _T_140 & io_tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 1678:48] + wire _T_145 = ~io_dec_tlu_flush_noredir_r_d1; // @[el2_dec_tlu_ctl.scala 1678:76] + wire sel_flush_npc_r = _T_144 & _T_145; // @[el2_dec_tlu_ctl.scala 1678:74] + wire _T_146 = ~sel_exu_npc_r; // @[el2_dec_tlu_ctl.scala 1679:24] + wire _T_147 = ~sel_flush_npc_r; // @[el2_dec_tlu_ctl.scala 1679:41] + wire sel_hold_npc_r = _T_146 & _T_147; // @[el2_dec_tlu_ctl.scala 1679:39] + wire _T_149 = ~io_mpc_reset_run_req; // @[el2_dec_tlu_ctl.scala 1683:6] + wire _T_150 = _T_149 & io_reset_delayed; // @[el2_dec_tlu_ctl.scala 1683:28] + wire [30:0] _T_154 = sel_exu_npc_r ? io_exu_npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_155 = _T_150 ? io_rst_vec : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_156 = sel_flush_npc_r ? io_tlu_flush_path_r_d1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_157 = sel_hold_npc_r ? io_npc_r_d1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_158 = _T_154 | _T_155; // @[Mux.scala 27:72] + wire [30:0] _T_159 = _T_158 | _T_156; // @[Mux.scala 27:72] + wire _T_162 = sel_exu_npc_r | sel_flush_npc_r; // @[el2_dec_tlu_ctl.scala 1687:49] + reg [30:0] _T_165; // @[el2_lib.scala 491:16] + wire pc0_valid_r = _T_140 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 1690:45] + wire _T_168 = ~pc0_valid_r; // @[el2_dec_tlu_ctl.scala 1694:5] + wire [30:0] _T_169 = pc0_valid_r ? io_dec_tlu_i0_pc_r : 31'h0; // @[Mux.scala 27:72] + reg [30:0] pc_r_d1; // @[el2_lib.scala 491:16] + wire [30:0] _T_170 = _T_168 ? pc_r_d1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] pc_r = _T_169 | _T_170; // @[Mux.scala 27:72] + wire _T_174 = io_dec_csr_wraddr_r == 12'h341; // @[el2_dec_tlu_ctl.scala 1698:69] + wire wr_mepc_r = io_dec_csr_wen_r_mod & _T_174; // @[el2_dec_tlu_ctl.scala 1698:40] + wire _T_175 = io_i0_exception_valid_r | io_lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 1701:30] + wire _T_176 = _T_175 | io_mepc_trigger_hit_sel_pc_r; // @[el2_dec_tlu_ctl.scala 1701:51] + wire _T_180 = wr_mepc_r & _T_15; // @[el2_dec_tlu_ctl.scala 1703:16] + wire _T_183 = ~wr_mepc_r; // @[el2_dec_tlu_ctl.scala 1704:6] + wire _T_185 = _T_183 & _T_15; // @[el2_dec_tlu_ctl.scala 1704:17] + wire [30:0] _T_187 = _T_176 ? pc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_188 = io_interrupt_valid_r ? io_npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_189 = _T_180 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_190 = _T_185 ? io_mepc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_191 = _T_187 | _T_188; // @[Mux.scala 27:72] + wire [30:0] _T_192 = _T_191 | _T_189; // @[Mux.scala 27:72] + reg [30:0] _T_194; // @[el2_dec_tlu_ctl.scala 1706:48] + wire _T_196 = io_dec_csr_wraddr_r == 12'h342; // @[el2_dec_tlu_ctl.scala 1713:71] + wire wr_mcause_r = io_dec_csr_wen_r_mod & _T_196; // @[el2_dec_tlu_ctl.scala 1713:42] + wire _T_197 = io_exc_or_int_valid_r & io_take_nmi; // @[el2_dec_tlu_ctl.scala 1714:52] + wire mcause_sel_nmi_store = _T_197 & io_nmi_lsu_store_type; // @[el2_dec_tlu_ctl.scala 1714:66] + wire mcause_sel_nmi_load = _T_197 & io_nmi_lsu_load_type; // @[el2_dec_tlu_ctl.scala 1715:65] + wire _T_200 = |io_lsu_fir_error; // @[el2_dec_tlu_ctl.scala 1716:83] + wire mcause_sel_nmi_ext = _T_197 & _T_200; // @[el2_dec_tlu_ctl.scala 1716:64] + wire _T_201 = &io_lsu_fir_error; // @[el2_dec_tlu_ctl.scala 1722:52] + wire _T_204 = ~io_lsu_fir_error[0]; // @[el2_dec_tlu_ctl.scala 1722:81] + wire _T_205 = io_lsu_fir_error[1] & _T_204; // @[el2_dec_tlu_ctl.scala 1722:79] + wire [31:0] _T_210 = {30'h3c000400,_T_201,_T_205}; // @[Cat.scala 29:58] + wire _T_211 = ~io_take_nmi; // @[el2_dec_tlu_ctl.scala 1728:30] + wire _T_212 = io_exc_or_int_valid_r & _T_211; // @[el2_dec_tlu_ctl.scala 1728:28] + wire [31:0] _T_215 = {io_interrupt_valid_r,26'h0,io_exc_cause_r}; // @[Cat.scala 29:58] + wire _T_217 = wr_mcause_r & _T_15; // @[el2_dec_tlu_ctl.scala 1729:18] + wire _T_219 = ~wr_mcause_r; // @[el2_dec_tlu_ctl.scala 1730:6] + wire _T_221 = _T_219 & _T_15; // @[el2_dec_tlu_ctl.scala 1730:19] + wire [31:0] _T_223 = mcause_sel_nmi_store ? 32'hf0000000 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_224 = mcause_sel_nmi_load ? 32'hf0000001 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_225 = mcause_sel_nmi_ext ? _T_210 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_226 = _T_212 ? _T_215 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_227 = _T_217 ? io_dec_csr_wrdata_r : 32'h0; // @[Mux.scala 27:72] + reg [31:0] mcause; // @[el2_dec_tlu_ctl.scala 1732:47] + wire [31:0] _T_228 = _T_221 ? mcause : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_229 = _T_223 | _T_224; // @[Mux.scala 27:72] + wire [31:0] _T_230 = _T_229 | _T_225; // @[Mux.scala 27:72] + wire [31:0] _T_231 = _T_230 | _T_226; // @[Mux.scala 27:72] + wire [31:0] _T_232 = _T_231 | _T_227; // @[Mux.scala 27:72] + wire _T_236 = io_dec_csr_wraddr_r == 12'h7ff; // @[el2_dec_tlu_ctl.scala 1739:72] + wire wr_mscause_r = io_dec_csr_wen_r_mod & _T_236; // @[el2_dec_tlu_ctl.scala 1739:43] + wire _T_237 = io_dec_tlu_packet_r_icaf_type == 2'h0; // @[el2_dec_tlu_ctl.scala 1741:57] + wire [3:0] _T_238 = {2'h0,io_dec_tlu_packet_r_icaf_type}; // @[Cat.scala 29:58] + wire [3:0] ifu_mscause = _T_237 ? 4'h9 : _T_238; // @[el2_dec_tlu_ctl.scala 1741:25] + wire _T_243 = io_lsu_i0_exc_r & io_lsu_error_pkt_r_mscause; // @[Mux.scala 27:72] + wire [1:0] _T_245 = io_ebreak_r ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [3:0] _T_246 = io_inst_acc_r ? ifu_mscause : 4'h0; // @[Mux.scala 27:72] + wire _T_247 = _T_243 | io_i0_trigger_hit_r; // @[Mux.scala 27:72] + wire [1:0] _GEN_13 = {{1'd0}, _T_247}; // @[Mux.scala 27:72] + wire [1:0] _T_248 = _GEN_13 | _T_245; // @[Mux.scala 27:72] + wire [3:0] _GEN_14 = {{2'd0}, _T_248}; // @[Mux.scala 27:72] + wire [3:0] mscause_type = _GEN_14 | _T_246; // @[Mux.scala 27:72] + wire _T_252 = wr_mscause_r & _T_15; // @[el2_dec_tlu_ctl.scala 1752:19] + wire _T_255 = ~wr_mscause_r; // @[el2_dec_tlu_ctl.scala 1753:6] + wire _T_257 = _T_255 & _T_15; // @[el2_dec_tlu_ctl.scala 1753:20] + wire [3:0] _T_259 = io_exc_or_int_valid_r ? mscause_type : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_260 = _T_252 ? io_dec_csr_wrdata_r[3:0] : 4'h0; // @[Mux.scala 27:72] + reg [3:0] mscause; // @[el2_dec_tlu_ctl.scala 1755:48] + wire [3:0] _T_261 = _T_257 ? mscause : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_262 = _T_259 | _T_260; // @[Mux.scala 27:72] + wire _T_266 = io_dec_csr_wraddr_r == 12'h343; // @[el2_dec_tlu_ctl.scala 1762:70] + wire wr_mtval_r = io_dec_csr_wen_r_mod & _T_266; // @[el2_dec_tlu_ctl.scala 1762:41] + wire _T_267 = ~io_inst_acc_second_r; // @[el2_dec_tlu_ctl.scala 1763:84] + wire _T_268 = io_inst_acc_r & _T_267; // @[el2_dec_tlu_ctl.scala 1763:82] + wire _T_269 = io_ebreak_r | _T_268; // @[el2_dec_tlu_ctl.scala 1763:65] + wire _T_270 = _T_269 | io_mepc_trigger_hit_sel_pc_r; // @[el2_dec_tlu_ctl.scala 1763:107] + wire _T_271 = io_exc_or_int_valid_r & _T_270; // @[el2_dec_tlu_ctl.scala 1763:50] + wire mtval_capture_pc_r = _T_271 & _T_211; // @[el2_dec_tlu_ctl.scala 1763:139] + wire _T_273 = io_inst_acc_r & io_inst_acc_second_r; // @[el2_dec_tlu_ctl.scala 1764:73] + wire _T_274 = io_exc_or_int_valid_r & _T_273; // @[el2_dec_tlu_ctl.scala 1764:56] + wire mtval_capture_pc_plus2_r = _T_274 & _T_211; // @[el2_dec_tlu_ctl.scala 1764:97] + wire _T_276 = io_exc_or_int_valid_r & io_illegal_r; // @[el2_dec_tlu_ctl.scala 1765:52] + wire mtval_capture_inst_r = _T_276 & _T_211; // @[el2_dec_tlu_ctl.scala 1765:67] + wire _T_278 = io_exc_or_int_valid_r & io_lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 1766:51] + wire mtval_capture_lsu_r = _T_278 & _T_211; // @[el2_dec_tlu_ctl.scala 1766:72] + wire _T_280 = ~mtval_capture_pc_r; // @[el2_dec_tlu_ctl.scala 1767:47] + wire _T_281 = io_exc_or_int_valid_r & _T_280; // @[el2_dec_tlu_ctl.scala 1767:45] + wire _T_282 = ~mtval_capture_inst_r; // @[el2_dec_tlu_ctl.scala 1767:69] + wire _T_283 = _T_281 & _T_282; // @[el2_dec_tlu_ctl.scala 1767:67] + wire _T_284 = ~mtval_capture_lsu_r; // @[el2_dec_tlu_ctl.scala 1767:93] + wire _T_285 = _T_283 & _T_284; // @[el2_dec_tlu_ctl.scala 1767:91] + wire _T_286 = ~io_mepc_trigger_hit_sel_pc_r; // @[el2_dec_tlu_ctl.scala 1767:116] + wire mtval_clear_r = _T_285 & _T_286; // @[el2_dec_tlu_ctl.scala 1767:114] + wire [31:0] _T_288 = {pc_r,1'h0}; // @[Cat.scala 29:58] + wire [30:0] _T_291 = pc_r + 31'h1; // @[el2_dec_tlu_ctl.scala 1772:84] + wire [31:0] _T_292 = {_T_291,1'h0}; // @[Cat.scala 29:58] + wire _T_295 = ~io_interrupt_valid_r; // @[el2_dec_tlu_ctl.scala 1775:19] + wire _T_296 = wr_mtval_r & _T_295; // @[el2_dec_tlu_ctl.scala 1775:17] + wire _T_299 = ~wr_mtval_r; // @[el2_dec_tlu_ctl.scala 1776:21] + wire _T_300 = _T_211 & _T_299; // @[el2_dec_tlu_ctl.scala 1776:19] + wire _T_302 = _T_300 & _T_280; // @[el2_dec_tlu_ctl.scala 1776:33] + wire _T_304 = _T_302 & _T_282; // @[el2_dec_tlu_ctl.scala 1776:55] + wire _T_305 = ~mtval_clear_r; // @[el2_dec_tlu_ctl.scala 1776:81] + wire _T_306 = _T_304 & _T_305; // @[el2_dec_tlu_ctl.scala 1776:79] + wire _T_308 = _T_306 & _T_284; // @[el2_dec_tlu_ctl.scala 1776:96] + wire [31:0] _T_310 = mtval_capture_pc_r ? _T_288 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_311 = mtval_capture_pc_plus2_r ? _T_292 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_312 = mtval_capture_inst_r ? io_dec_illegal_inst : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_313 = mtval_capture_lsu_r ? io_lsu_error_pkt_addr_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_314 = _T_296 ? io_dec_csr_wrdata_r : 32'h0; // @[Mux.scala 27:72] + reg [31:0] mtval; // @[el2_dec_tlu_ctl.scala 1778:47] + wire [31:0] _T_315 = _T_308 ? mtval : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_316 = _T_310 | _T_311; // @[Mux.scala 27:72] + wire [31:0] _T_317 = _T_316 | _T_312; // @[Mux.scala 27:72] + wire [31:0] _T_318 = _T_317 | _T_313; // @[Mux.scala 27:72] + wire [31:0] _T_319 = _T_318 | _T_314; // @[Mux.scala 27:72] + wire _T_323 = io_dec_csr_wraddr_r == 12'h7f8; // @[el2_dec_tlu_ctl.scala 1793:69] + reg [8:0] mcgc; // @[el2_lib.scala 491:16] + wire _T_335 = io_dec_csr_wraddr_r == 12'h7f9; // @[el2_dec_tlu_ctl.scala 1823:69] + reg [14:0] mfdc_int; // @[el2_lib.scala 491:16] + wire [2:0] _T_339 = ~io_dec_csr_wrdata_r[18:16]; // @[el2_dec_tlu_ctl.scala 1832:20] + wire _T_342 = ~io_dec_csr_wrdata_r[6]; // @[el2_dec_tlu_ctl.scala 1832:75] + wire [6:0] _T_344 = {_T_342,io_dec_csr_wrdata_r[5:0]}; // @[Cat.scala 29:58] + wire [7:0] _T_345 = {_T_339,io_dec_csr_wrdata_r[11:7]}; // @[Cat.scala 29:58] + wire [2:0] _T_348 = ~mfdc_int[14:12]; // @[el2_dec_tlu_ctl.scala 1833:20] + wire _T_351 = ~mfdc_int[6]; // @[el2_dec_tlu_ctl.scala 1833:63] + wire [18:0] mfdc = {_T_348,4'h0,mfdc_int[11:7],_T_351,mfdc_int[5:0]}; // @[Cat.scala 29:58] + wire _T_365 = io_dec_csr_wraddr_r == 12'h7c2; // @[el2_dec_tlu_ctl.scala 1856:78] + wire _T_366 = io_dec_csr_wen_r_mod & _T_365; // @[el2_dec_tlu_ctl.scala 1856:49] + wire _T_368 = _T_366 & _T_295; // @[el2_dec_tlu_ctl.scala 1856:88] + wire _T_369 = ~io_take_ext_int_start; // @[el2_dec_tlu_ctl.scala 1856:114] + wire _T_372 = io_dec_csr_wraddr_r == 12'h7c0; // @[el2_dec_tlu_ctl.scala 1863:69] + wire _T_376 = ~io_dec_csr_wrdata_r[31]; // @[el2_dec_tlu_ctl.scala 1866:72] + wire _T_377 = io_dec_csr_wrdata_r[30] & _T_376; // @[el2_dec_tlu_ctl.scala 1866:70] + wire _T_381 = ~io_dec_csr_wrdata_r[29]; // @[el2_dec_tlu_ctl.scala 1867:56] + wire _T_382 = io_dec_csr_wrdata_r[28] & _T_381; // @[el2_dec_tlu_ctl.scala 1867:54] + wire _T_386 = ~io_dec_csr_wrdata_r[27]; // @[el2_dec_tlu_ctl.scala 1868:56] + wire _T_387 = io_dec_csr_wrdata_r[26] & _T_386; // @[el2_dec_tlu_ctl.scala 1868:54] + wire _T_391 = ~io_dec_csr_wrdata_r[25]; // @[el2_dec_tlu_ctl.scala 1869:56] + wire _T_392 = io_dec_csr_wrdata_r[24] & _T_391; // @[el2_dec_tlu_ctl.scala 1869:54] + wire _T_396 = ~io_dec_csr_wrdata_r[23]; // @[el2_dec_tlu_ctl.scala 1870:56] + wire _T_397 = io_dec_csr_wrdata_r[22] & _T_396; // @[el2_dec_tlu_ctl.scala 1870:54] + wire _T_401 = ~io_dec_csr_wrdata_r[21]; // @[el2_dec_tlu_ctl.scala 1871:56] + wire _T_402 = io_dec_csr_wrdata_r[20] & _T_401; // @[el2_dec_tlu_ctl.scala 1871:54] + wire _T_406 = ~io_dec_csr_wrdata_r[19]; // @[el2_dec_tlu_ctl.scala 1872:56] + wire _T_407 = io_dec_csr_wrdata_r[18] & _T_406; // @[el2_dec_tlu_ctl.scala 1872:54] + wire _T_411 = ~io_dec_csr_wrdata_r[17]; // @[el2_dec_tlu_ctl.scala 1873:56] + wire _T_412 = io_dec_csr_wrdata_r[16] & _T_411; // @[el2_dec_tlu_ctl.scala 1873:54] + wire _T_416 = ~io_dec_csr_wrdata_r[15]; // @[el2_dec_tlu_ctl.scala 1874:56] + wire _T_417 = io_dec_csr_wrdata_r[14] & _T_416; // @[el2_dec_tlu_ctl.scala 1874:54] + wire _T_421 = ~io_dec_csr_wrdata_r[13]; // @[el2_dec_tlu_ctl.scala 1875:56] + wire _T_422 = io_dec_csr_wrdata_r[12] & _T_421; // @[el2_dec_tlu_ctl.scala 1875:54] + wire _T_426 = ~io_dec_csr_wrdata_r[11]; // @[el2_dec_tlu_ctl.scala 1876:56] + wire _T_427 = io_dec_csr_wrdata_r[10] & _T_426; // @[el2_dec_tlu_ctl.scala 1876:54] + wire _T_431 = ~io_dec_csr_wrdata_r[9]; // @[el2_dec_tlu_ctl.scala 1877:56] + wire _T_432 = io_dec_csr_wrdata_r[8] & _T_431; // @[el2_dec_tlu_ctl.scala 1877:53] + wire _T_436 = ~io_dec_csr_wrdata_r[7]; // @[el2_dec_tlu_ctl.scala 1878:56] + wire _T_437 = io_dec_csr_wrdata_r[6] & _T_436; // @[el2_dec_tlu_ctl.scala 1878:53] + wire _T_441 = ~io_dec_csr_wrdata_r[5]; // @[el2_dec_tlu_ctl.scala 1879:56] + wire _T_442 = io_dec_csr_wrdata_r[4] & _T_441; // @[el2_dec_tlu_ctl.scala 1879:53] + wire _T_446 = ~io_dec_csr_wrdata_r[3]; // @[el2_dec_tlu_ctl.scala 1880:56] + wire _T_447 = io_dec_csr_wrdata_r[2] & _T_446; // @[el2_dec_tlu_ctl.scala 1880:53] + wire _T_452 = io_dec_csr_wrdata_r[0] & _T_507; // @[el2_dec_tlu_ctl.scala 1881:53] + wire [7:0] _T_459 = {io_dec_csr_wrdata_r[7],_T_437,io_dec_csr_wrdata_r[5],_T_442,io_dec_csr_wrdata_r[3],_T_447,io_dec_csr_wrdata_r[1],_T_452}; // @[Cat.scala 29:58] + wire [15:0] _T_467 = {io_dec_csr_wrdata_r[15],_T_417,io_dec_csr_wrdata_r[13],_T_422,io_dec_csr_wrdata_r[11],_T_427,io_dec_csr_wrdata_r[9],_T_432,_T_459}; // @[Cat.scala 29:58] + wire [7:0] _T_474 = {io_dec_csr_wrdata_r[23],_T_397,io_dec_csr_wrdata_r[21],_T_402,io_dec_csr_wrdata_r[19],_T_407,io_dec_csr_wrdata_r[17],_T_412}; // @[Cat.scala 29:58] + wire [15:0] _T_482 = {io_dec_csr_wrdata_r[31],_T_377,io_dec_csr_wrdata_r[29],_T_382,io_dec_csr_wrdata_r[27],_T_387,io_dec_csr_wrdata_r[25],_T_392,_T_474}; // @[Cat.scala 29:58] + reg [31:0] mrac; // @[el2_lib.scala 491:16] + wire _T_485 = io_dec_csr_wraddr_r == 12'hbc0; // @[el2_dec_tlu_ctl.scala 1894:70] + wire wr_mdeau_r = io_dec_csr_wen_r_mod & _T_485; // @[el2_dec_tlu_ctl.scala 1894:41] + wire _T_486 = ~wr_mdeau_r; // @[el2_dec_tlu_ctl.scala 1904:60] + wire _T_487 = io_mdseac_locked_f & _T_486; // @[el2_dec_tlu_ctl.scala 1904:58] + wire _T_489 = io_lsu_imprecise_error_store_any | io_lsu_imprecise_error_load_any; // @[el2_dec_tlu_ctl.scala 1906:50] + wire _T_490 = ~io_nmi_int_detected_f; // @[el2_dec_tlu_ctl.scala 1906:87] + wire _T_491 = _T_489 & _T_490; // @[el2_dec_tlu_ctl.scala 1906:85] + wire _T_492 = ~io_mdseac_locked_f; // @[el2_dec_tlu_ctl.scala 1906:112] + wire mdseac_en = _T_491 & _T_492; // @[el2_dec_tlu_ctl.scala 1906:110] + reg [31:0] mdseac; // @[el2_lib.scala 491:16] + wire _T_498 = wr_mpmc_r & io_dec_csr_wrdata_r[0]; // @[el2_dec_tlu_ctl.scala 1921:31] + wire _T_499 = ~io_internal_dbg_halt_mode_f2; // @[el2_dec_tlu_ctl.scala 1921:58] + wire _T_500 = _T_498 & _T_499; // @[el2_dec_tlu_ctl.scala 1921:56] + wire _T_501 = ~io_ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 1921:90] + wire _T_514 = io_dec_csr_wrdata_r[31:27] > 5'h1a; // @[el2_dec_tlu_ctl.scala 1938:49] + wire [4:0] csr_sat = _T_514 ? 5'h1a : io_dec_csr_wrdata_r[31:27]; // @[el2_dec_tlu_ctl.scala 1938:20] + wire _T_517 = io_dec_csr_wraddr_r == 12'h7f0; // @[el2_dec_tlu_ctl.scala 1940:71] + wire wr_micect_r = io_dec_csr_wen_r_mod & _T_517; // @[el2_dec_tlu_ctl.scala 1940:42] + wire [26:0] _T_518 = {26'h0,io_ic_perr_r_d1}; // @[Cat.scala 29:58] + wire [31:0] _GEN_15 = {{5'd0}, _T_518}; // @[el2_dec_tlu_ctl.scala 1941:24] + wire [31:0] _T_520 = micect + _GEN_15; // @[el2_dec_tlu_ctl.scala 1941:24] + wire [31:0] _T_523 = {csr_sat,io_dec_csr_wrdata_r[26:0]}; // @[Cat.scala 29:58] + wire [26:0] micect_inc = _T_520[26:0]; // @[el2_dec_tlu_ctl.scala 1941:14] + wire [31:0] _T_525 = {micect[31:27],micect_inc}; // @[Cat.scala 29:58] + wire _T_536 = io_dec_csr_wraddr_r == 12'h7f1; // @[el2_dec_tlu_ctl.scala 1955:77] + wire wr_miccmect_r = io_dec_csr_wen_r_mod & _T_536; // @[el2_dec_tlu_ctl.scala 1955:48] + wire _T_538 = io_iccm_sbecc_r_d1 | io_iccm_dma_sb_error; // @[el2_dec_tlu_ctl.scala 1956:71] + wire [26:0] _T_539 = {26'h0,_T_538}; // @[Cat.scala 29:58] + wire [26:0] miccmect_inc = miccmect[26:0] + _T_539; // @[el2_dec_tlu_ctl.scala 1956:34] + wire [31:0] _T_546 = {miccmect[31:27],miccmect_inc}; // @[Cat.scala 29:58] + wire _T_547 = wr_miccmect_r | io_iccm_sbecc_r_d1; // @[el2_dec_tlu_ctl.scala 1959:49] + wire _T_558 = io_dec_csr_wraddr_r == 12'h7f2; // @[el2_dec_tlu_ctl.scala 1969:77] + wire wr_mdccmect_r = io_dec_csr_wen_r_mod & _T_558; // @[el2_dec_tlu_ctl.scala 1969:48] + wire [26:0] _T_560 = {26'h0,io_lsu_single_ecc_error_r_d1}; // @[Cat.scala 29:58] + wire [26:0] mdccmect_inc = mdccmect[26:0] + _T_560; // @[el2_dec_tlu_ctl.scala 1970:34] + wire [31:0] _T_567 = {mdccmect[31:27],mdccmect_inc}; // @[Cat.scala 29:58] + wire _T_578 = io_dec_csr_wraddr_r == 12'h7ce; // @[el2_dec_tlu_ctl.scala 1985:70] + wire wr_mfdht_r = io_dec_csr_wen_r_mod & _T_578; // @[el2_dec_tlu_ctl.scala 1985:41] + reg [5:0] mfdht; // @[el2_dec_tlu_ctl.scala 1989:44] + wire _T_583 = io_dec_csr_wraddr_r == 12'h7cf; // @[el2_dec_tlu_ctl.scala 1998:70] + wire wr_mfdhs_r = io_dec_csr_wen_r_mod & _T_583; // @[el2_dec_tlu_ctl.scala 1998:41] + wire _T_586 = ~io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 2001:30] + wire _T_587 = io_dbg_tlu_halted & _T_586; // @[el2_dec_tlu_ctl.scala 2001:28] + wire _T_589 = ~io_lsu_idle_any_f; // @[el2_dec_tlu_ctl.scala 2001:65] + wire _T_590 = ~io_ifu_miss_state_idle_f; // @[el2_dec_tlu_ctl.scala 2001:85] + wire [1:0] _T_591 = {_T_589,_T_590}; // @[Cat.scala 29:58] + reg [1:0] mfdhs; // @[Reg.scala 27:20] + wire _T_593 = wr_mfdhs_r | io_dbg_tlu_halted; // @[el2_dec_tlu_ctl.scala 2003:72] + reg [31:0] force_halt_ctr_f; // @[Reg.scala 27:20] + wire [31:0] _T_598 = force_halt_ctr_f + 32'h1; // @[el2_dec_tlu_ctl.scala 2005:75] + wire [62:0] _T_605 = 63'hffffffff << mfdht[5:1]; // @[el2_dec_tlu_ctl.scala 2010:72] + wire [62:0] _GEN_16 = {{31'd0}, force_halt_ctr_f}; // @[el2_dec_tlu_ctl.scala 2010:49] + wire [62:0] _T_606 = _GEN_16 & _T_605; // @[el2_dec_tlu_ctl.scala 2010:49] + wire _T_607 = |_T_606; // @[el2_dec_tlu_ctl.scala 2010:88] + wire _T_610 = io_dec_csr_wraddr_r == 12'hbc8; // @[el2_dec_tlu_ctl.scala 2018:70] + reg [21:0] meivt; // @[el2_lib.scala 491:16] + wire _T_630 = io_dec_csr_wraddr_r == 12'hbca; // @[el2_dec_tlu_ctl.scala 2069:70] + wire _T_631 = io_dec_csr_wen_r_mod & _T_630; // @[el2_dec_tlu_ctl.scala 2069:41] + wire wr_meicpct_r = _T_631 | io_take_ext_int_start; // @[el2_dec_tlu_ctl.scala 2069:84] + reg [7:0] meihap; // @[el2_lib.scala 491:16] + wire [31:0] _T_615 = {meivt,meihap,2'h0}; // @[Cat.scala 29:58] + wire _T_617 = io_dec_csr_wraddr_r == 12'hbcc; // @[el2_dec_tlu_ctl.scala 2042:73] + wire wr_meicurpl_r = io_dec_csr_wen_r_mod & _T_617; // @[el2_dec_tlu_ctl.scala 2042:44] + reg [3:0] meicurpl; // @[el2_dec_tlu_ctl.scala 2045:47] + wire _T_622 = io_dec_csr_wraddr_r == 12'hbcb; // @[el2_dec_tlu_ctl.scala 2057:74] + wire _T_623 = io_dec_csr_wen_r_mod & _T_622; // @[el2_dec_tlu_ctl.scala 2057:45] + wire wr_meicidpl_r = _T_623 | io_take_ext_int_start; // @[el2_dec_tlu_ctl.scala 2057:89] + reg [3:0] meicidpl; // @[el2_dec_tlu_ctl.scala 2062:45] + wire _T_634 = io_dec_csr_wraddr_r == 12'hbc9; // @[el2_dec_tlu_ctl.scala 2078:70] + wire wr_meipt_r = io_dec_csr_wen_r_mod & _T_634; // @[el2_dec_tlu_ctl.scala 2078:41] + reg [3:0] meipt; // @[el2_dec_tlu_ctl.scala 2081:44] + wire _T_638 = io_trigger_hit_r_d1 & io_dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 2109:90] + wire trigger_hit_for_dscr_cause_r_d1 = io_trigger_hit_dmode_r_d1 | _T_638; // @[el2_dec_tlu_ctl.scala 2109:67] + wire _T_639 = ~io_ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 2112:35] + wire _T_640 = io_dcsr_single_step_done_f & _T_639; // @[el2_dec_tlu_ctl.scala 2112:33] + wire _T_641 = ~trigger_hit_for_dscr_cause_r_d1; // @[el2_dec_tlu_ctl.scala 2112:67] + wire _T_642 = _T_640 & _T_641; // @[el2_dec_tlu_ctl.scala 2112:65] + wire _T_643 = ~io_debug_halt_req; // @[el2_dec_tlu_ctl.scala 2112:102] + wire _T_644 = _T_642 & _T_643; // @[el2_dec_tlu_ctl.scala 2112:100] + wire _T_647 = io_debug_halt_req & _T_639; // @[el2_dec_tlu_ctl.scala 2113:24] + wire _T_649 = _T_647 & _T_641; // @[el2_dec_tlu_ctl.scala 2113:56] + wire _T_652 = io_ebreak_to_debug_mode_r_d1 & _T_641; // @[el2_dec_tlu_ctl.scala 2114:35] + wire [2:0] _T_655 = _T_644 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_656 = _T_649 ? 3'h3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_657 = _T_652 ? 3'h1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_658 = trigger_hit_for_dscr_cause_r_d1 ? 3'h2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_659 = _T_655 | _T_656; // @[Mux.scala 27:72] + wire [2:0] _T_660 = _T_659 | _T_657; // @[Mux.scala 27:72] + wire [2:0] dcsr_cause = _T_660 | _T_658; // @[Mux.scala 27:72] + wire _T_662 = io_allow_dbg_halt_csr_write & io_dec_csr_wen_r_mod; // @[el2_dec_tlu_ctl.scala 2117:47] + wire _T_664 = io_dec_csr_wraddr_r == 12'h7b0; // @[el2_dec_tlu_ctl.scala 2117:99] + wire wr_dcsr_r = _T_662 & _T_664; // @[el2_dec_tlu_ctl.scala 2117:70] + wire _T_666 = io_dcsr[8:6] == 3'h3; // @[el2_dec_tlu_ctl.scala 2123:76] + wire dcsr_cause_upgradeable = io_internal_dbg_halt_mode_f & _T_666; // @[el2_dec_tlu_ctl.scala 2123:60] + wire _T_667 = ~io_dbg_tlu_halted; // @[el2_dec_tlu_ctl.scala 2124:60] + wire _T_668 = _T_667 | dcsr_cause_upgradeable; // @[el2_dec_tlu_ctl.scala 2124:79] + wire enter_debug_halt_req_le = io_enter_debug_halt_req & _T_668; // @[el2_dec_tlu_ctl.scala 2124:57] + wire nmi_in_debug_mode = io_nmi_int_detected_f & io_internal_dbg_halt_mode_f; // @[el2_dec_tlu_ctl.scala 2126:49] + wire [15:0] _T_674 = {io_dcsr[15:9],dcsr_cause,io_dcsr[5:2],2'h3}; // @[Cat.scala 29:58] + wire _T_680 = nmi_in_debug_mode | io_dcsr[3]; // @[el2_dec_tlu_ctl.scala 2128:146] + wire [15:0] _T_689 = {io_dec_csr_wrdata_r[15],3'h0,io_dec_csr_wrdata_r[11:10],1'h0,io_dcsr[8:6],2'h0,_T_680,io_dec_csr_wrdata_r[2],2'h3}; // @[Cat.scala 29:58] + wire [15:0] _T_694 = {io_dcsr[15:4],nmi_in_debug_mode,io_dcsr[2],2'h3}; // @[Cat.scala 29:58] + wire _T_696 = enter_debug_halt_req_le | wr_dcsr_r; // @[el2_dec_tlu_ctl.scala 2130:55] + wire _T_697 = _T_696 | io_internal_dbg_halt_mode; // @[el2_dec_tlu_ctl.scala 2130:67] + reg [15:0] _T_700; // @[el2_lib.scala 491:16] + wire _T_703 = io_dec_csr_wraddr_r == 12'h7b1; // @[el2_dec_tlu_ctl.scala 2138:98] + wire wr_dpc_r = _T_662 & _T_703; // @[el2_dec_tlu_ctl.scala 2138:69] + wire _T_706 = ~io_request_debug_mode_done; // @[el2_dec_tlu_ctl.scala 2139:68] + wire dpc_capture_npc = _T_587 & _T_706; // @[el2_dec_tlu_ctl.scala 2139:66] + wire _T_707 = ~io_request_debug_mode_r; // @[el2_dec_tlu_ctl.scala 2143:6] + wire _T_708 = ~dpc_capture_npc; // @[el2_dec_tlu_ctl.scala 2143:24] + wire _T_709 = _T_707 & _T_708; // @[el2_dec_tlu_ctl.scala 2143:22] + wire _T_710 = _T_709 & wr_dpc_r; // @[el2_dec_tlu_ctl.scala 2143:41] + wire _T_715 = _T_707 & dpc_capture_npc; // @[el2_dec_tlu_ctl.scala 2145:22] + wire [30:0] _T_717 = _T_710 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_718 = io_request_debug_mode_r ? pc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_719 = _T_715 ? io_npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_720 = _T_717 | _T_718; // @[Mux.scala 27:72] + wire _T_722 = wr_dpc_r | io_request_debug_mode_r; // @[el2_dec_tlu_ctl.scala 2147:37] + reg [30:0] _T_725; // @[el2_lib.scala 491:16] + wire [2:0] _T_729 = {io_dec_csr_wrdata_r[24],io_dec_csr_wrdata_r[21:20]}; // @[Cat.scala 29:58] + wire _T_732 = io_dec_csr_wraddr_r == 12'h7c8; // @[el2_dec_tlu_ctl.scala 2162:103] + reg [16:0] dicawics; // @[el2_lib.scala 491:16] + wire _T_736 = io_dec_csr_wraddr_r == 12'h7c9; // @[el2_dec_tlu_ctl.scala 2180:101] + wire wr_dicad0_r = _T_662 & _T_736; // @[el2_dec_tlu_ctl.scala 2180:72] + reg [70:0] dicad0; // @[el2_lib.scala 491:16] + wire _T_742 = io_dec_csr_wraddr_r == 12'h7cc; // @[el2_dec_tlu_ctl.scala 2193:102] + wire wr_dicad0h_r = _T_662 & _T_742; // @[el2_dec_tlu_ctl.scala 2193:73] + reg [31:0] dicad0h; // @[el2_lib.scala 491:16] + wire _T_750 = io_dec_csr_wraddr_r == 12'h7ca; // @[el2_dec_tlu_ctl.scala 2205:103] + wire _T_751 = _T_662 & _T_750; // @[el2_dec_tlu_ctl.scala 2205:74] + wire _T_755 = _T_751 | io_ifu_ic_debug_rd_data_valid; // @[el2_dec_tlu_ctl.scala 2209:81] + reg [31:0] _T_757; // @[Reg.scala 27:20] + wire [31:0] dicad1 = {25'h0,_T_757[6:0]}; // @[Cat.scala 29:58] + wire [38:0] _T_762 = {dicad1[6:0],dicad0h}; // @[Cat.scala 29:58] + wire _T_764 = io_allow_dbg_halt_csr_write & io_dec_csr_any_unq_d; // @[el2_dec_tlu_ctl.scala 2237:53] + wire _T_765 = _T_764 & io_dec_i0_decode_d; // @[el2_dec_tlu_ctl.scala 2237:76] + wire _T_766 = ~io_dec_csr_wen_unq_d; // @[el2_dec_tlu_ctl.scala 2237:99] + wire _T_767 = _T_765 & _T_766; // @[el2_dec_tlu_ctl.scala 2237:97] + wire _T_769 = io_dec_csr_rdaddr_d == 12'h7cb; // @[el2_dec_tlu_ctl.scala 2237:150] + wire _T_772 = io_dec_csr_wraddr_r == 12'h7cb; // @[el2_dec_tlu_ctl.scala 2238:105] + reg icache_rd_valid_f; // @[el2_dec_tlu_ctl.scala 2240:59] + reg icache_wr_valid_f; // @[el2_dec_tlu_ctl.scala 2241:59] + wire _T_774 = io_dec_csr_wraddr_r == 12'h7a0; // @[el2_dec_tlu_ctl.scala 2252:70] + wire wr_mtsel_r = io_dec_csr_wen_r_mod & _T_774; // @[el2_dec_tlu_ctl.scala 2252:41] + reg [1:0] mtsel; // @[el2_dec_tlu_ctl.scala 2255:44] + wire tdata_load = io_dec_csr_wrdata_r[0] & _T_406; // @[el2_dec_tlu_ctl.scala 2290:43] + wire tdata_opcode = io_dec_csr_wrdata_r[2] & _T_406; // @[el2_dec_tlu_ctl.scala 2292:45] + wire _T_785 = io_dec_csr_wrdata_r[27] & io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 2294:47] + wire tdata_action = _T_785 & io_dec_csr_wrdata_r[12]; // @[el2_dec_tlu_ctl.scala 2294:70] + wire [9:0] tdata_wrdata_r = {_T_785,io_dec_csr_wrdata_r[20:19],tdata_action,io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7:6],tdata_opcode,io_dec_csr_wrdata_r[1],tdata_load}; // @[Cat.scala 29:58] + wire _T_800 = io_dec_csr_wraddr_r == 12'h7a1; // @[el2_dec_tlu_ctl.scala 2300:100] + wire _T_801 = io_dec_csr_wen_r_mod & _T_800; // @[el2_dec_tlu_ctl.scala 2300:71] + wire _T_802 = mtsel == 2'h0; // @[el2_dec_tlu_ctl.scala 2300:122] + wire _T_803 = _T_801 & _T_802; // @[el2_dec_tlu_ctl.scala 2300:113] + wire _T_805 = ~io_mtdata1_t_0[9]; // @[el2_dec_tlu_ctl.scala 2300:139] + wire _T_806 = _T_805 | io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 2300:171] + wire wr_mtdata1_t_r_0 = _T_803 & _T_806; // @[el2_dec_tlu_ctl.scala 2300:136] + wire _T_814 = ~io_mtdata1_t_1[9]; // @[el2_dec_tlu_ctl.scala 2300:139] + wire _T_815 = _T_814 | io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 2300:171] + wire wr_mtdata1_t_r_1 = _T_803 & _T_815; // @[el2_dec_tlu_ctl.scala 2300:136] + wire _T_823 = ~io_mtdata1_t_2[9]; // @[el2_dec_tlu_ctl.scala 2300:139] + wire _T_824 = _T_823 | io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 2300:171] + wire wr_mtdata1_t_r_2 = _T_803 & _T_824; // @[el2_dec_tlu_ctl.scala 2300:136] + wire _T_832 = ~io_mtdata1_t_3[9]; // @[el2_dec_tlu_ctl.scala 2300:139] + wire _T_833 = _T_832 | io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 2300:171] + wire wr_mtdata1_t_r_3 = _T_803 & _T_833; // @[el2_dec_tlu_ctl.scala 2300:136] + wire _T_839 = io_update_hit_bit_r[0] | io_mtdata1_t_0[8]; // @[el2_dec_tlu_ctl.scala 2301:140] + wire [9:0] _T_842 = {io_mtdata1_t_0[9],_T_839,io_mtdata1_t_0[7:0]}; // @[Cat.scala 29:58] + wire _T_848 = io_update_hit_bit_r[1] | io_mtdata1_t_1[8]; // @[el2_dec_tlu_ctl.scala 2301:140] + wire [9:0] _T_851 = {io_mtdata1_t_1[9],_T_848,io_mtdata1_t_1[7:0]}; // @[Cat.scala 29:58] + wire _T_857 = io_update_hit_bit_r[2] | io_mtdata1_t_2[8]; // @[el2_dec_tlu_ctl.scala 2301:140] + wire [9:0] _T_860 = {io_mtdata1_t_2[9],_T_857,io_mtdata1_t_2[7:0]}; // @[Cat.scala 29:58] + wire _T_866 = io_update_hit_bit_r[3] | io_mtdata1_t_3[8]; // @[el2_dec_tlu_ctl.scala 2301:140] + wire [9:0] _T_869 = {io_mtdata1_t_3[9],_T_866,io_mtdata1_t_3[7:0]}; // @[Cat.scala 29:58] + reg [9:0] _T_871; // @[el2_dec_tlu_ctl.scala 2303:76] + reg [9:0] _T_872; // @[el2_dec_tlu_ctl.scala 2303:76] + reg [9:0] _T_873; // @[el2_dec_tlu_ctl.scala 2303:76] + reg [9:0] _T_874; // @[el2_dec_tlu_ctl.scala 2303:76] + wire [31:0] _T_889 = {4'h2,io_mtdata1_t_0[9],6'h1f,io_mtdata1_t_0[8:7],6'h0,io_mtdata1_t_0[6:5],3'h0,io_mtdata1_t_0[4:3],3'h0,io_mtdata1_t_0[2:0]}; // @[Cat.scala 29:58] + wire _T_890 = mtsel == 2'h1; // @[el2_dec_tlu_ctl.scala 2306:60] + wire [31:0] _T_904 = {4'h2,io_mtdata1_t_1[9],6'h1f,io_mtdata1_t_1[8:7],6'h0,io_mtdata1_t_1[6:5],3'h0,io_mtdata1_t_1[4:3],3'h0,io_mtdata1_t_1[2:0]}; // @[Cat.scala 29:58] + wire _T_905 = mtsel == 2'h2; // @[el2_dec_tlu_ctl.scala 2306:60] + wire [31:0] _T_919 = {4'h2,io_mtdata1_t_2[9],6'h1f,io_mtdata1_t_2[8:7],6'h0,io_mtdata1_t_2[6:5],3'h0,io_mtdata1_t_2[4:3],3'h0,io_mtdata1_t_2[2:0]}; // @[Cat.scala 29:58] + wire _T_920 = mtsel == 2'h3; // @[el2_dec_tlu_ctl.scala 2306:60] + wire [31:0] _T_934 = {4'h2,io_mtdata1_t_3[9],6'h1f,io_mtdata1_t_3[8:7],6'h0,io_mtdata1_t_3[6:5],3'h0,io_mtdata1_t_3[4:3],3'h0,io_mtdata1_t_3[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_935 = _T_802 ? _T_889 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_936 = _T_890 ? _T_904 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_937 = _T_905 ? _T_919 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_938 = _T_920 ? _T_934 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_939 = _T_935 | _T_936; // @[Mux.scala 27:72] + wire [31:0] _T_940 = _T_939 | _T_937; // @[Mux.scala 27:72] + wire [31:0] mtdata1_tsel_out = _T_940 | _T_938; // @[Mux.scala 27:72] + wire _T_967 = io_dec_csr_wraddr_r == 12'h7a2; // @[el2_dec_tlu_ctl.scala 2320:99] + wire _T_968 = io_dec_csr_wen_r_mod & _T_967; // @[el2_dec_tlu_ctl.scala 2320:70] + wire _T_970 = _T_968 & _T_802; // @[el2_dec_tlu_ctl.scala 2320:112] + wire _T_979 = _T_968 & _T_890; // @[el2_dec_tlu_ctl.scala 2320:112] + wire _T_988 = _T_968 & _T_905; // @[el2_dec_tlu_ctl.scala 2320:112] + wire _T_997 = _T_968 & _T_920; // @[el2_dec_tlu_ctl.scala 2320:112] + reg [31:0] mtdata2_t_0; // @[el2_lib.scala 491:16] + reg [31:0] mtdata2_t_1; // @[el2_lib.scala 491:16] + reg [31:0] mtdata2_t_2; // @[el2_lib.scala 491:16] + reg [31:0] mtdata2_t_3; // @[el2_lib.scala 491:16] + wire [31:0] _T_1014 = _T_802 ? mtdata2_t_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1015 = _T_890 ? mtdata2_t_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1016 = _T_905 ? mtdata2_t_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1017 = _T_920 ? mtdata2_t_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1018 = _T_1014 | _T_1015; // @[Mux.scala 27:72] + wire [31:0] _T_1019 = _T_1018 | _T_1016; // @[Mux.scala 27:72] + wire [31:0] mtdata2_tsel_out = _T_1019 | _T_1017; // @[Mux.scala 27:72] + wire [3:0] _T_1022 = io_tlu_i0_commit_cmt ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] pmu_i0_itype_qual = io_dec_tlu_packet_r_pmu_i0_itype & _T_1022; // @[el2_dec_tlu_ctl.scala 2345:60] + wire _T_1024 = ~mcountinhibit[3]; // @[el2_dec_tlu_ctl.scala 2351:25] + reg [9:0] mhpme3; // @[Reg.scala 27:20] + wire _T_1025 = mhpme3 == 10'h1; // @[el2_dec_tlu_ctl.scala 2352:21] + wire _T_1027 = mhpme3 == 10'h2; // @[el2_dec_tlu_ctl.scala 2353:21] + wire _T_1029 = mhpme3 == 10'h3; // @[el2_dec_tlu_ctl.scala 2354:21] + wire _T_1031 = mhpme3 == 10'h4; // @[el2_dec_tlu_ctl.scala 2355:21] + wire _T_1033 = ~io_illegal_r; // @[el2_dec_tlu_ctl.scala 2355:83] + wire _T_1034 = io_tlu_i0_commit_cmt & _T_1033; // @[el2_dec_tlu_ctl.scala 2355:81] + wire _T_1035 = mhpme3 == 10'h5; // @[el2_dec_tlu_ctl.scala 2356:21] + wire _T_1037 = ~io_exu_pmu_i0_pc4; // @[el2_dec_tlu_ctl.scala 2356:83] + wire _T_1038 = io_tlu_i0_commit_cmt & _T_1037; // @[el2_dec_tlu_ctl.scala 2356:81] + wire _T_1040 = _T_1038 & _T_1033; // @[el2_dec_tlu_ctl.scala 2356:102] + wire _T_1041 = mhpme3 == 10'h6; // @[el2_dec_tlu_ctl.scala 2357:21] + wire _T_1043 = io_tlu_i0_commit_cmt & io_exu_pmu_i0_pc4; // @[el2_dec_tlu_ctl.scala 2357:81] + wire _T_1045 = _T_1043 & _T_1033; // @[el2_dec_tlu_ctl.scala 2357:102] + wire _T_1046 = mhpme3 == 10'h7; // @[el2_dec_tlu_ctl.scala 2358:21] + wire _T_1048 = mhpme3 == 10'h8; // @[el2_dec_tlu_ctl.scala 2359:21] + wire _T_1050 = mhpme3 == 10'h1e; // @[el2_dec_tlu_ctl.scala 2360:21] + wire _T_1052 = mhpme3 == 10'h9; // @[el2_dec_tlu_ctl.scala 2361:21] + wire _T_1054 = pmu_i0_itype_qual == 4'h1; // @[el2_dec_tlu_ctl.scala 2361:78] + wire _T_1055 = mhpme3 == 10'ha; // @[el2_dec_tlu_ctl.scala 2362:21] + wire _T_1057 = io_dec_tlu_packet_r_pmu_divide & io_tlu_i0_commit_cmt; // @[el2_dec_tlu_ctl.scala 2362:92] + wire _T_1058 = mhpme3 == 10'hb; // @[el2_dec_tlu_ctl.scala 2363:21] + wire _T_1060 = pmu_i0_itype_qual == 4'h2; // @[el2_dec_tlu_ctl.scala 2363:78] + wire _T_1061 = mhpme3 == 10'hc; // @[el2_dec_tlu_ctl.scala 2364:21] + wire _T_1063 = pmu_i0_itype_qual == 4'h3; // @[el2_dec_tlu_ctl.scala 2364:78] + wire _T_1064 = mhpme3 == 10'hd; // @[el2_dec_tlu_ctl.scala 2365:21] + wire _T_1067 = mhpme3 == 10'he; // @[el2_dec_tlu_ctl.scala 2366:21] + wire _T_1068 = io_dec_tlu_packet_r_pmu_lsu_misaligned >> _T_1067; // @[el2_dec_tlu_ctl.scala 2366:7] + wire _T_1070 = _T_1060 & _T_1068; // @[el2_dec_tlu_ctl.scala 2365:89] + wire _T_1072 = _T_1070 & _T_1063; // @[el2_dec_tlu_ctl.scala 2366:45] + wire _T_1074 = _T_1072 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec_tlu_ctl.scala 2366:77] + wire _T_1075 = mhpme3 == 10'hf; // @[el2_dec_tlu_ctl.scala 2368:21] + wire _T_1077 = pmu_i0_itype_qual == 4'h4; // @[el2_dec_tlu_ctl.scala 2368:76] + wire _T_1078 = mhpme3 == 10'h10; // @[el2_dec_tlu_ctl.scala 2369:21] + wire _T_1080 = pmu_i0_itype_qual == 4'h5; // @[el2_dec_tlu_ctl.scala 2369:76] + wire _T_1081 = mhpme3 == 10'h12; // @[el2_dec_tlu_ctl.scala 2370:21] + wire _T_1083 = pmu_i0_itype_qual == 4'h6; // @[el2_dec_tlu_ctl.scala 2370:76] + wire _T_1084 = mhpme3 == 10'h11; // @[el2_dec_tlu_ctl.scala 2371:21] + wire _T_1086 = pmu_i0_itype_qual == 4'h7; // @[el2_dec_tlu_ctl.scala 2371:76] + wire _T_1087 = mhpme3 == 10'h13; // @[el2_dec_tlu_ctl.scala 2372:21] + wire _T_1089 = pmu_i0_itype_qual == 4'h8; // @[el2_dec_tlu_ctl.scala 2372:76] + wire _T_1090 = mhpme3 == 10'h14; // @[el2_dec_tlu_ctl.scala 2373:21] + wire _T_1092 = pmu_i0_itype_qual == 4'h9; // @[el2_dec_tlu_ctl.scala 2373:76] + wire _T_1093 = mhpme3 == 10'h15; // @[el2_dec_tlu_ctl.scala 2374:21] + wire _T_1095 = pmu_i0_itype_qual == 4'ha; // @[el2_dec_tlu_ctl.scala 2374:76] + wire _T_1096 = mhpme3 == 10'h16; // @[el2_dec_tlu_ctl.scala 2375:21] + wire _T_1098 = pmu_i0_itype_qual == 4'hb; // @[el2_dec_tlu_ctl.scala 2375:76] + wire _T_1099 = mhpme3 == 10'h17; // @[el2_dec_tlu_ctl.scala 2376:21] + wire _T_1101 = pmu_i0_itype_qual == 4'hc; // @[el2_dec_tlu_ctl.scala 2376:76] + wire _T_1102 = mhpme3 == 10'h18; // @[el2_dec_tlu_ctl.scala 2377:21] + wire _T_1104 = pmu_i0_itype_qual == 4'hd; // @[el2_dec_tlu_ctl.scala 2377:76] + wire _T_1105 = pmu_i0_itype_qual == 4'he; // @[el2_dec_tlu_ctl.scala 2377:109] + wire _T_1106 = _T_1104 | _T_1105; // @[el2_dec_tlu_ctl.scala 2377:88] + wire _T_1107 = mhpme3 == 10'h19; // @[el2_dec_tlu_ctl.scala 2378:21] + wire _T_1109 = io_exu_pmu_i0_br_misp & io_tlu_i0_commit_cmt; // @[el2_dec_tlu_ctl.scala 2378:82] + wire _T_1110 = mhpme3 == 10'h1a; // @[el2_dec_tlu_ctl.scala 2379:21] + wire _T_1112 = io_exu_pmu_i0_br_ataken & io_tlu_i0_commit_cmt; // @[el2_dec_tlu_ctl.scala 2379:84] + wire _T_1113 = mhpme3 == 10'h1b; // @[el2_dec_tlu_ctl.scala 2380:21] + wire _T_1115 = io_dec_tlu_packet_r_pmu_i0_br_unpred & io_tlu_i0_commit_cmt; // @[el2_dec_tlu_ctl.scala 2380:97] + wire _T_1116 = mhpme3 == 10'h1c; // @[el2_dec_tlu_ctl.scala 2381:21] + wire _T_1120 = mhpme3 == 10'h1f; // @[el2_dec_tlu_ctl.scala 2383:21] + wire _T_1122 = mhpme3 == 10'h20; // @[el2_dec_tlu_ctl.scala 2384:21] + wire _T_1124 = mhpme3 == 10'h22; // @[el2_dec_tlu_ctl.scala 2385:21] + wire _T_1126 = mhpme3 == 10'h23; // @[el2_dec_tlu_ctl.scala 2386:21] + wire _T_1128 = mhpme3 == 10'h24; // @[el2_dec_tlu_ctl.scala 2387:21] + wire _T_1130 = mhpme3 == 10'h25; // @[el2_dec_tlu_ctl.scala 2388:21] + wire _T_1132 = io_i0_exception_valid_r | io_i0_trigger_hit_r; // @[el2_dec_tlu_ctl.scala 2388:85] + wire _T_1133 = _T_1132 | io_lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 2388:107] + wire _T_1134 = mhpme3 == 10'h26; // @[el2_dec_tlu_ctl.scala 2389:21] + wire _T_1136 = io_take_timer_int | io_take_int_timer0_int; // @[el2_dec_tlu_ctl.scala 2389:79] + wire _T_1137 = _T_1136 | io_take_int_timer1_int; // @[el2_dec_tlu_ctl.scala 2389:104] + wire _T_1138 = mhpme3 == 10'h27; // @[el2_dec_tlu_ctl.scala 2390:21] + wire _T_1140 = mhpme3 == 10'h28; // @[el2_dec_tlu_ctl.scala 2391:21] + wire _T_1142 = mhpme3 == 10'h29; // @[el2_dec_tlu_ctl.scala 2392:21] + wire _T_1144 = io_dec_tlu_br0_error_r | io_dec_tlu_br0_start_error_r; // @[el2_dec_tlu_ctl.scala 2392:84] + wire _T_1145 = _T_1144 & io_rfpc_i0_r; // @[el2_dec_tlu_ctl.scala 2392:116] + wire _T_1146 = mhpme3 == 10'h2a; // @[el2_dec_tlu_ctl.scala 2393:21] + wire _T_1148 = mhpme3 == 10'h2b; // @[el2_dec_tlu_ctl.scala 2394:21] + wire _T_1150 = mhpme3 == 10'h2c; // @[el2_dec_tlu_ctl.scala 2395:21] + wire _T_1152 = mhpme3 == 10'h2d; // @[el2_dec_tlu_ctl.scala 2396:21] + wire _T_1154 = mhpme3 == 10'h2e; // @[el2_dec_tlu_ctl.scala 2397:21] + wire _T_1156 = mhpme3 == 10'h2f; // @[el2_dec_tlu_ctl.scala 2398:21] + wire _T_1158 = mhpme3 == 10'h30; // @[el2_dec_tlu_ctl.scala 2399:21] + wire _T_1160 = mhpme3 == 10'h31; // @[el2_dec_tlu_ctl.scala 2400:21] + wire _T_1164 = ~io_mstatus[0]; // @[el2_dec_tlu_ctl.scala 2400:60] + wire _T_1165 = mhpme3 == 10'h32; // @[el2_dec_tlu_ctl.scala 2401:21] + wire [5:0] _T_1172 = io_mip & mie; // @[el2_dec_tlu_ctl.scala 2401:100] + wire [5:0] _GEN_17 = {{5'd0}, _T_1164}; // @[el2_dec_tlu_ctl.scala 2401:85] + wire [5:0] _T_1173 = _GEN_17 & _T_1172; // @[el2_dec_tlu_ctl.scala 2401:85] + wire _T_1174 = mhpme3 == 10'h36; // @[el2_dec_tlu_ctl.scala 2402:21] + wire _T_1176 = pmu_i0_itype_qual == 4'hf; // @[el2_dec_tlu_ctl.scala 2402:78] + wire _T_1177 = mhpme3 == 10'h37; // @[el2_dec_tlu_ctl.scala 2403:21] + wire _T_1179 = io_tlu_i0_commit_cmt & io_lsu_pmu_load_external_r; // @[el2_dec_tlu_ctl.scala 2403:81] + wire _T_1180 = mhpme3 == 10'h38; // @[el2_dec_tlu_ctl.scala 2404:21] + wire _T_1182 = io_tlu_i0_commit_cmt & io_lsu_pmu_store_external_r; // @[el2_dec_tlu_ctl.scala 2404:81] + wire _T_1183 = mhpme3 == 10'h200; // @[el2_dec_tlu_ctl.scala 2406:21] + wire _T_1185 = mhpme3 == 10'h201; // @[el2_dec_tlu_ctl.scala 2407:21] + wire _T_1187 = mhpme3 == 10'h202; // @[el2_dec_tlu_ctl.scala 2408:21] + wire _T_1189 = mhpme3 == 10'h203; // @[el2_dec_tlu_ctl.scala 2409:21] + wire _T_1191 = mhpme3 == 10'h204; // @[el2_dec_tlu_ctl.scala 2410:21] + wire _T_1194 = _T_1027 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1195 = _T_1029 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1196 = _T_1031 & _T_1034; // @[Mux.scala 27:72] + wire _T_1197 = _T_1035 & _T_1040; // @[Mux.scala 27:72] + wire _T_1198 = _T_1041 & _T_1045; // @[Mux.scala 27:72] + wire _T_1199 = _T_1046 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1200 = _T_1048 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1201 = _T_1050 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1202 = _T_1052 & _T_1054; // @[Mux.scala 27:72] + wire _T_1203 = _T_1055 & _T_1057; // @[Mux.scala 27:72] + wire _T_1204 = _T_1058 & _T_1060; // @[Mux.scala 27:72] + wire _T_1205 = _T_1061 & _T_1063; // @[Mux.scala 27:72] + wire _T_1206 = _T_1064 & _T_1074; // @[Mux.scala 27:72] + wire _T_1207 = _T_1075 & _T_1077; // @[Mux.scala 27:72] + wire _T_1208 = _T_1078 & _T_1080; // @[Mux.scala 27:72] + wire _T_1209 = _T_1081 & _T_1083; // @[Mux.scala 27:72] + wire _T_1210 = _T_1084 & _T_1086; // @[Mux.scala 27:72] + wire _T_1211 = _T_1087 & _T_1089; // @[Mux.scala 27:72] + wire _T_1212 = _T_1090 & _T_1092; // @[Mux.scala 27:72] + wire _T_1213 = _T_1093 & _T_1095; // @[Mux.scala 27:72] + wire _T_1214 = _T_1096 & _T_1098; // @[Mux.scala 27:72] + wire _T_1215 = _T_1099 & _T_1101; // @[Mux.scala 27:72] + wire _T_1216 = _T_1102 & _T_1106; // @[Mux.scala 27:72] + wire _T_1217 = _T_1107 & _T_1109; // @[Mux.scala 27:72] + wire _T_1218 = _T_1110 & _T_1112; // @[Mux.scala 27:72] + wire _T_1219 = _T_1113 & _T_1115; // @[Mux.scala 27:72] + wire _T_1220 = _T_1116 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1222 = _T_1120 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1223 = _T_1122 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1224 = _T_1124 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1225 = _T_1126 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1226 = _T_1128 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1227 = _T_1130 & _T_1133; // @[Mux.scala 27:72] + wire _T_1228 = _T_1134 & _T_1137; // @[Mux.scala 27:72] + wire _T_1229 = _T_1138 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1230 = _T_1140 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1231 = _T_1142 & _T_1145; // @[Mux.scala 27:72] + wire _T_1232 = _T_1146 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1233 = _T_1148 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1234 = _T_1150 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1235 = _T_1152 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1236 = _T_1154 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1237 = _T_1156 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1238 = _T_1158 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1239 = _T_1160 & _T_1164; // @[Mux.scala 27:72] + wire [5:0] _T_1240 = _T_1165 ? _T_1173 : 6'h0; // @[Mux.scala 27:72] + wire _T_1241 = _T_1174 & _T_1176; // @[Mux.scala 27:72] + wire _T_1242 = _T_1177 & _T_1179; // @[Mux.scala 27:72] + wire _T_1243 = _T_1180 & _T_1182; // @[Mux.scala 27:72] + wire _T_1244 = _T_1183 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1245 = _T_1185 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1246 = _T_1187 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1247 = _T_1189 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1248 = _T_1191 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1249 = _T_1025 | _T_1194; // @[Mux.scala 27:72] + wire _T_1250 = _T_1249 | _T_1195; // @[Mux.scala 27:72] + wire _T_1251 = _T_1250 | _T_1196; // @[Mux.scala 27:72] + wire _T_1252 = _T_1251 | _T_1197; // @[Mux.scala 27:72] + wire _T_1253 = _T_1252 | _T_1198; // @[Mux.scala 27:72] + wire _T_1254 = _T_1253 | _T_1199; // @[Mux.scala 27:72] + wire _T_1255 = _T_1254 | _T_1200; // @[Mux.scala 27:72] + wire _T_1256 = _T_1255 | _T_1201; // @[Mux.scala 27:72] + wire _T_1257 = _T_1256 | _T_1202; // @[Mux.scala 27:72] + wire _T_1258 = _T_1257 | _T_1203; // @[Mux.scala 27:72] + wire _T_1259 = _T_1258 | _T_1204; // @[Mux.scala 27:72] + wire _T_1260 = _T_1259 | _T_1205; // @[Mux.scala 27:72] + wire _T_1261 = _T_1260 | _T_1206; // @[Mux.scala 27:72] + wire _T_1262 = _T_1261 | _T_1207; // @[Mux.scala 27:72] + wire _T_1263 = _T_1262 | _T_1208; // @[Mux.scala 27:72] + wire _T_1264 = _T_1263 | _T_1209; // @[Mux.scala 27:72] + wire _T_1265 = _T_1264 | _T_1210; // @[Mux.scala 27:72] + wire _T_1266 = _T_1265 | _T_1211; // @[Mux.scala 27:72] + wire _T_1267 = _T_1266 | _T_1212; // @[Mux.scala 27:72] + wire _T_1268 = _T_1267 | _T_1213; // @[Mux.scala 27:72] + wire _T_1269 = _T_1268 | _T_1214; // @[Mux.scala 27:72] + wire _T_1270 = _T_1269 | _T_1215; // @[Mux.scala 27:72] + wire _T_1271 = _T_1270 | _T_1216; // @[Mux.scala 27:72] + wire _T_1272 = _T_1271 | _T_1217; // @[Mux.scala 27:72] + wire _T_1273 = _T_1272 | _T_1218; // @[Mux.scala 27:72] + wire _T_1274 = _T_1273 | _T_1219; // @[Mux.scala 27:72] + wire _T_1275 = _T_1274 | _T_1220; // @[Mux.scala 27:72] + wire _T_1276 = _T_1275 | _T_1201; // @[Mux.scala 27:72] + wire _T_1277 = _T_1276 | _T_1222; // @[Mux.scala 27:72] + wire _T_1278 = _T_1277 | _T_1223; // @[Mux.scala 27:72] + wire _T_1279 = _T_1278 | _T_1224; // @[Mux.scala 27:72] + wire _T_1280 = _T_1279 | _T_1225; // @[Mux.scala 27:72] + wire _T_1281 = _T_1280 | _T_1226; // @[Mux.scala 27:72] + wire _T_1282 = _T_1281 | _T_1227; // @[Mux.scala 27:72] + wire _T_1283 = _T_1282 | _T_1228; // @[Mux.scala 27:72] + wire _T_1284 = _T_1283 | _T_1229; // @[Mux.scala 27:72] + wire _T_1285 = _T_1284 | _T_1230; // @[Mux.scala 27:72] + wire _T_1286 = _T_1285 | _T_1231; // @[Mux.scala 27:72] + wire _T_1287 = _T_1286 | _T_1232; // @[Mux.scala 27:72] + wire _T_1288 = _T_1287 | _T_1233; // @[Mux.scala 27:72] + wire _T_1289 = _T_1288 | _T_1234; // @[Mux.scala 27:72] + wire _T_1290 = _T_1289 | _T_1235; // @[Mux.scala 27:72] + wire _T_1291 = _T_1290 | _T_1236; // @[Mux.scala 27:72] + wire _T_1292 = _T_1291 | _T_1237; // @[Mux.scala 27:72] + wire _T_1293 = _T_1292 | _T_1238; // @[Mux.scala 27:72] + wire _T_1294 = _T_1293 | _T_1239; // @[Mux.scala 27:72] + wire [5:0] _GEN_18 = {{5'd0}, _T_1294}; // @[Mux.scala 27:72] + wire [5:0] _T_1295 = _GEN_18 | _T_1240; // @[Mux.scala 27:72] + wire [5:0] _GEN_19 = {{5'd0}, _T_1241}; // @[Mux.scala 27:72] + wire [5:0] _T_1296 = _T_1295 | _GEN_19; // @[Mux.scala 27:72] + wire [5:0] _GEN_20 = {{5'd0}, _T_1242}; // @[Mux.scala 27:72] + wire [5:0] _T_1297 = _T_1296 | _GEN_20; // @[Mux.scala 27:72] + wire [5:0] _GEN_21 = {{5'd0}, _T_1243}; // @[Mux.scala 27:72] + wire [5:0] _T_1298 = _T_1297 | _GEN_21; // @[Mux.scala 27:72] + wire [5:0] _GEN_22 = {{5'd0}, _T_1244}; // @[Mux.scala 27:72] + wire [5:0] _T_1299 = _T_1298 | _GEN_22; // @[Mux.scala 27:72] + wire [5:0] _GEN_23 = {{5'd0}, _T_1245}; // @[Mux.scala 27:72] + wire [5:0] _T_1300 = _T_1299 | _GEN_23; // @[Mux.scala 27:72] + wire [5:0] _GEN_24 = {{5'd0}, _T_1246}; // @[Mux.scala 27:72] + wire [5:0] _T_1301 = _T_1300 | _GEN_24; // @[Mux.scala 27:72] + wire [5:0] _GEN_25 = {{5'd0}, _T_1247}; // @[Mux.scala 27:72] + wire [5:0] _T_1302 = _T_1301 | _GEN_25; // @[Mux.scala 27:72] + wire [5:0] _GEN_26 = {{5'd0}, _T_1248}; // @[Mux.scala 27:72] + wire [5:0] _T_1303 = _T_1302 | _GEN_26; // @[Mux.scala 27:72] + wire [5:0] _GEN_27 = {{5'd0}, _T_1024}; // @[el2_dec_tlu_ctl.scala 2351:45] + wire [5:0] _T_1305 = _GEN_27 & _T_1303; // @[el2_dec_tlu_ctl.scala 2351:45] + wire _T_1307 = ~mcountinhibit[4]; // @[el2_dec_tlu_ctl.scala 2351:25] + reg [9:0] mhpme4; // @[Reg.scala 27:20] + wire _T_1308 = mhpme4 == 10'h1; // @[el2_dec_tlu_ctl.scala 2352:21] + wire _T_1310 = mhpme4 == 10'h2; // @[el2_dec_tlu_ctl.scala 2353:21] + wire _T_1312 = mhpme4 == 10'h3; // @[el2_dec_tlu_ctl.scala 2354:21] + wire _T_1314 = mhpme4 == 10'h4; // @[el2_dec_tlu_ctl.scala 2355:21] + wire _T_1318 = mhpme4 == 10'h5; // @[el2_dec_tlu_ctl.scala 2356:21] + wire _T_1324 = mhpme4 == 10'h6; // @[el2_dec_tlu_ctl.scala 2357:21] + wire _T_1329 = mhpme4 == 10'h7; // @[el2_dec_tlu_ctl.scala 2358:21] + wire _T_1331 = mhpme4 == 10'h8; // @[el2_dec_tlu_ctl.scala 2359:21] + wire _T_1333 = mhpme4 == 10'h1e; // @[el2_dec_tlu_ctl.scala 2360:21] + wire _T_1335 = mhpme4 == 10'h9; // @[el2_dec_tlu_ctl.scala 2361:21] + wire _T_1338 = mhpme4 == 10'ha; // @[el2_dec_tlu_ctl.scala 2362:21] + wire _T_1341 = mhpme4 == 10'hb; // @[el2_dec_tlu_ctl.scala 2363:21] + wire _T_1344 = mhpme4 == 10'hc; // @[el2_dec_tlu_ctl.scala 2364:21] + wire _T_1347 = mhpme4 == 10'hd; // @[el2_dec_tlu_ctl.scala 2365:21] + wire _T_1350 = mhpme4 == 10'he; // @[el2_dec_tlu_ctl.scala 2366:21] + wire _T_1351 = io_dec_tlu_packet_r_pmu_lsu_misaligned >> _T_1350; // @[el2_dec_tlu_ctl.scala 2366:7] + wire _T_1353 = _T_1060 & _T_1351; // @[el2_dec_tlu_ctl.scala 2365:89] + wire _T_1355 = _T_1353 & _T_1063; // @[el2_dec_tlu_ctl.scala 2366:45] + wire _T_1357 = _T_1355 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec_tlu_ctl.scala 2366:77] + wire _T_1358 = mhpme4 == 10'hf; // @[el2_dec_tlu_ctl.scala 2368:21] + wire _T_1361 = mhpme4 == 10'h10; // @[el2_dec_tlu_ctl.scala 2369:21] + wire _T_1364 = mhpme4 == 10'h12; // @[el2_dec_tlu_ctl.scala 2370:21] + wire _T_1367 = mhpme4 == 10'h11; // @[el2_dec_tlu_ctl.scala 2371:21] + wire _T_1370 = mhpme4 == 10'h13; // @[el2_dec_tlu_ctl.scala 2372:21] + wire _T_1373 = mhpme4 == 10'h14; // @[el2_dec_tlu_ctl.scala 2373:21] + wire _T_1376 = mhpme4 == 10'h15; // @[el2_dec_tlu_ctl.scala 2374:21] + wire _T_1379 = mhpme4 == 10'h16; // @[el2_dec_tlu_ctl.scala 2375:21] + wire _T_1382 = mhpme4 == 10'h17; // @[el2_dec_tlu_ctl.scala 2376:21] + wire _T_1385 = mhpme4 == 10'h18; // @[el2_dec_tlu_ctl.scala 2377:21] + wire _T_1390 = mhpme4 == 10'h19; // @[el2_dec_tlu_ctl.scala 2378:21] + wire _T_1393 = mhpme4 == 10'h1a; // @[el2_dec_tlu_ctl.scala 2379:21] + wire _T_1396 = mhpme4 == 10'h1b; // @[el2_dec_tlu_ctl.scala 2380:21] + wire _T_1399 = mhpme4 == 10'h1c; // @[el2_dec_tlu_ctl.scala 2381:21] + wire _T_1403 = mhpme4 == 10'h1f; // @[el2_dec_tlu_ctl.scala 2383:21] + wire _T_1405 = mhpme4 == 10'h20; // @[el2_dec_tlu_ctl.scala 2384:21] + wire _T_1407 = mhpme4 == 10'h22; // @[el2_dec_tlu_ctl.scala 2385:21] + wire _T_1409 = mhpme4 == 10'h23; // @[el2_dec_tlu_ctl.scala 2386:21] + wire _T_1411 = mhpme4 == 10'h24; // @[el2_dec_tlu_ctl.scala 2387:21] + wire _T_1413 = mhpme4 == 10'h25; // @[el2_dec_tlu_ctl.scala 2388:21] + wire _T_1417 = mhpme4 == 10'h26; // @[el2_dec_tlu_ctl.scala 2389:21] + wire _T_1421 = mhpme4 == 10'h27; // @[el2_dec_tlu_ctl.scala 2390:21] + wire _T_1423 = mhpme4 == 10'h28; // @[el2_dec_tlu_ctl.scala 2391:21] + wire _T_1425 = mhpme4 == 10'h29; // @[el2_dec_tlu_ctl.scala 2392:21] + wire _T_1429 = mhpme4 == 10'h2a; // @[el2_dec_tlu_ctl.scala 2393:21] + wire _T_1431 = mhpme4 == 10'h2b; // @[el2_dec_tlu_ctl.scala 2394:21] + wire _T_1433 = mhpme4 == 10'h2c; // @[el2_dec_tlu_ctl.scala 2395:21] + wire _T_1435 = mhpme4 == 10'h2d; // @[el2_dec_tlu_ctl.scala 2396:21] + wire _T_1437 = mhpme4 == 10'h2e; // @[el2_dec_tlu_ctl.scala 2397:21] + wire _T_1439 = mhpme4 == 10'h2f; // @[el2_dec_tlu_ctl.scala 2398:21] + wire _T_1441 = mhpme4 == 10'h30; // @[el2_dec_tlu_ctl.scala 2399:21] + wire _T_1443 = mhpme4 == 10'h31; // @[el2_dec_tlu_ctl.scala 2400:21] + wire _T_1448 = mhpme4 == 10'h32; // @[el2_dec_tlu_ctl.scala 2401:21] + wire _T_1457 = mhpme4 == 10'h36; // @[el2_dec_tlu_ctl.scala 2402:21] + wire _T_1460 = mhpme4 == 10'h37; // @[el2_dec_tlu_ctl.scala 2403:21] + wire _T_1463 = mhpme4 == 10'h38; // @[el2_dec_tlu_ctl.scala 2404:21] + wire _T_1466 = mhpme4 == 10'h200; // @[el2_dec_tlu_ctl.scala 2406:21] + wire _T_1468 = mhpme4 == 10'h201; // @[el2_dec_tlu_ctl.scala 2407:21] + wire _T_1470 = mhpme4 == 10'h202; // @[el2_dec_tlu_ctl.scala 2408:21] + wire _T_1472 = mhpme4 == 10'h203; // @[el2_dec_tlu_ctl.scala 2409:21] + wire _T_1474 = mhpme4 == 10'h204; // @[el2_dec_tlu_ctl.scala 2410:21] + wire _T_1477 = _T_1310 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1478 = _T_1312 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1479 = _T_1314 & _T_1034; // @[Mux.scala 27:72] + wire _T_1480 = _T_1318 & _T_1040; // @[Mux.scala 27:72] + wire _T_1481 = _T_1324 & _T_1045; // @[Mux.scala 27:72] + wire _T_1482 = _T_1329 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1483 = _T_1331 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1484 = _T_1333 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1485 = _T_1335 & _T_1054; // @[Mux.scala 27:72] + wire _T_1486 = _T_1338 & _T_1057; // @[Mux.scala 27:72] + wire _T_1487 = _T_1341 & _T_1060; // @[Mux.scala 27:72] + wire _T_1488 = _T_1344 & _T_1063; // @[Mux.scala 27:72] + wire _T_1489 = _T_1347 & _T_1357; // @[Mux.scala 27:72] + wire _T_1490 = _T_1358 & _T_1077; // @[Mux.scala 27:72] + wire _T_1491 = _T_1361 & _T_1080; // @[Mux.scala 27:72] + wire _T_1492 = _T_1364 & _T_1083; // @[Mux.scala 27:72] + wire _T_1493 = _T_1367 & _T_1086; // @[Mux.scala 27:72] + wire _T_1494 = _T_1370 & _T_1089; // @[Mux.scala 27:72] + wire _T_1495 = _T_1373 & _T_1092; // @[Mux.scala 27:72] + wire _T_1496 = _T_1376 & _T_1095; // @[Mux.scala 27:72] + wire _T_1497 = _T_1379 & _T_1098; // @[Mux.scala 27:72] + wire _T_1498 = _T_1382 & _T_1101; // @[Mux.scala 27:72] + wire _T_1499 = _T_1385 & _T_1106; // @[Mux.scala 27:72] + wire _T_1500 = _T_1390 & _T_1109; // @[Mux.scala 27:72] + wire _T_1501 = _T_1393 & _T_1112; // @[Mux.scala 27:72] + wire _T_1502 = _T_1396 & _T_1115; // @[Mux.scala 27:72] + wire _T_1503 = _T_1399 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1505 = _T_1403 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1506 = _T_1405 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1507 = _T_1407 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1508 = _T_1409 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1509 = _T_1411 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1510 = _T_1413 & _T_1133; // @[Mux.scala 27:72] + wire _T_1511 = _T_1417 & _T_1137; // @[Mux.scala 27:72] + wire _T_1512 = _T_1421 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1513 = _T_1423 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1514 = _T_1425 & _T_1145; // @[Mux.scala 27:72] + wire _T_1515 = _T_1429 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1516 = _T_1431 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1517 = _T_1433 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1518 = _T_1435 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1519 = _T_1437 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1520 = _T_1439 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1521 = _T_1441 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1522 = _T_1443 & _T_1164; // @[Mux.scala 27:72] + wire [5:0] _T_1523 = _T_1448 ? _T_1173 : 6'h0; // @[Mux.scala 27:72] + wire _T_1524 = _T_1457 & _T_1176; // @[Mux.scala 27:72] + wire _T_1525 = _T_1460 & _T_1179; // @[Mux.scala 27:72] + wire _T_1526 = _T_1463 & _T_1182; // @[Mux.scala 27:72] + wire _T_1527 = _T_1466 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1528 = _T_1468 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1529 = _T_1470 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1530 = _T_1472 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1531 = _T_1474 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1532 = _T_1308 | _T_1477; // @[Mux.scala 27:72] + wire _T_1533 = _T_1532 | _T_1478; // @[Mux.scala 27:72] + wire _T_1534 = _T_1533 | _T_1479; // @[Mux.scala 27:72] + wire _T_1535 = _T_1534 | _T_1480; // @[Mux.scala 27:72] + wire _T_1536 = _T_1535 | _T_1481; // @[Mux.scala 27:72] + wire _T_1537 = _T_1536 | _T_1482; // @[Mux.scala 27:72] + wire _T_1538 = _T_1537 | _T_1483; // @[Mux.scala 27:72] + wire _T_1539 = _T_1538 | _T_1484; // @[Mux.scala 27:72] + wire _T_1540 = _T_1539 | _T_1485; // @[Mux.scala 27:72] + wire _T_1541 = _T_1540 | _T_1486; // @[Mux.scala 27:72] + wire _T_1542 = _T_1541 | _T_1487; // @[Mux.scala 27:72] + wire _T_1543 = _T_1542 | _T_1488; // @[Mux.scala 27:72] + wire _T_1544 = _T_1543 | _T_1489; // @[Mux.scala 27:72] + wire _T_1545 = _T_1544 | _T_1490; // @[Mux.scala 27:72] + wire _T_1546 = _T_1545 | _T_1491; // @[Mux.scala 27:72] + wire _T_1547 = _T_1546 | _T_1492; // @[Mux.scala 27:72] + wire _T_1548 = _T_1547 | _T_1493; // @[Mux.scala 27:72] + wire _T_1549 = _T_1548 | _T_1494; // @[Mux.scala 27:72] + wire _T_1550 = _T_1549 | _T_1495; // @[Mux.scala 27:72] + wire _T_1551 = _T_1550 | _T_1496; // @[Mux.scala 27:72] + wire _T_1552 = _T_1551 | _T_1497; // @[Mux.scala 27:72] + wire _T_1553 = _T_1552 | _T_1498; // @[Mux.scala 27:72] + wire _T_1554 = _T_1553 | _T_1499; // @[Mux.scala 27:72] + wire _T_1555 = _T_1554 | _T_1500; // @[Mux.scala 27:72] + wire _T_1556 = _T_1555 | _T_1501; // @[Mux.scala 27:72] + wire _T_1557 = _T_1556 | _T_1502; // @[Mux.scala 27:72] + wire _T_1558 = _T_1557 | _T_1503; // @[Mux.scala 27:72] + wire _T_1559 = _T_1558 | _T_1484; // @[Mux.scala 27:72] + wire _T_1560 = _T_1559 | _T_1505; // @[Mux.scala 27:72] + wire _T_1561 = _T_1560 | _T_1506; // @[Mux.scala 27:72] + wire _T_1562 = _T_1561 | _T_1507; // @[Mux.scala 27:72] + wire _T_1563 = _T_1562 | _T_1508; // @[Mux.scala 27:72] + wire _T_1564 = _T_1563 | _T_1509; // @[Mux.scala 27:72] + wire _T_1565 = _T_1564 | _T_1510; // @[Mux.scala 27:72] + wire _T_1566 = _T_1565 | _T_1511; // @[Mux.scala 27:72] + wire _T_1567 = _T_1566 | _T_1512; // @[Mux.scala 27:72] + wire _T_1568 = _T_1567 | _T_1513; // @[Mux.scala 27:72] + wire _T_1569 = _T_1568 | _T_1514; // @[Mux.scala 27:72] + wire _T_1570 = _T_1569 | _T_1515; // @[Mux.scala 27:72] + wire _T_1571 = _T_1570 | _T_1516; // @[Mux.scala 27:72] + wire _T_1572 = _T_1571 | _T_1517; // @[Mux.scala 27:72] + wire _T_1573 = _T_1572 | _T_1518; // @[Mux.scala 27:72] + wire _T_1574 = _T_1573 | _T_1519; // @[Mux.scala 27:72] + wire _T_1575 = _T_1574 | _T_1520; // @[Mux.scala 27:72] + wire _T_1576 = _T_1575 | _T_1521; // @[Mux.scala 27:72] + wire _T_1577 = _T_1576 | _T_1522; // @[Mux.scala 27:72] + wire [5:0] _GEN_29 = {{5'd0}, _T_1577}; // @[Mux.scala 27:72] + wire [5:0] _T_1578 = _GEN_29 | _T_1523; // @[Mux.scala 27:72] + wire [5:0] _GEN_30 = {{5'd0}, _T_1524}; // @[Mux.scala 27:72] + wire [5:0] _T_1579 = _T_1578 | _GEN_30; // @[Mux.scala 27:72] + wire [5:0] _GEN_31 = {{5'd0}, _T_1525}; // @[Mux.scala 27:72] + wire [5:0] _T_1580 = _T_1579 | _GEN_31; // @[Mux.scala 27:72] + wire [5:0] _GEN_32 = {{5'd0}, _T_1526}; // @[Mux.scala 27:72] + wire [5:0] _T_1581 = _T_1580 | _GEN_32; // @[Mux.scala 27:72] + wire [5:0] _GEN_33 = {{5'd0}, _T_1527}; // @[Mux.scala 27:72] + wire [5:0] _T_1582 = _T_1581 | _GEN_33; // @[Mux.scala 27:72] + wire [5:0] _GEN_34 = {{5'd0}, _T_1528}; // @[Mux.scala 27:72] + wire [5:0] _T_1583 = _T_1582 | _GEN_34; // @[Mux.scala 27:72] + wire [5:0] _GEN_35 = {{5'd0}, _T_1529}; // @[Mux.scala 27:72] + wire [5:0] _T_1584 = _T_1583 | _GEN_35; // @[Mux.scala 27:72] + wire [5:0] _GEN_36 = {{5'd0}, _T_1530}; // @[Mux.scala 27:72] + wire [5:0] _T_1585 = _T_1584 | _GEN_36; // @[Mux.scala 27:72] + wire [5:0] _GEN_37 = {{5'd0}, _T_1531}; // @[Mux.scala 27:72] + wire [5:0] _T_1586 = _T_1585 | _GEN_37; // @[Mux.scala 27:72] + wire [5:0] _GEN_38 = {{5'd0}, _T_1307}; // @[el2_dec_tlu_ctl.scala 2351:45] + wire [5:0] _T_1588 = _GEN_38 & _T_1586; // @[el2_dec_tlu_ctl.scala 2351:45] + wire _T_1590 = ~mcountinhibit[5]; // @[el2_dec_tlu_ctl.scala 2351:25] + reg [9:0] mhpme5; // @[Reg.scala 27:20] + wire _T_1591 = mhpme5 == 10'h1; // @[el2_dec_tlu_ctl.scala 2352:21] + wire _T_1593 = mhpme5 == 10'h2; // @[el2_dec_tlu_ctl.scala 2353:21] + wire _T_1595 = mhpme5 == 10'h3; // @[el2_dec_tlu_ctl.scala 2354:21] + wire _T_1597 = mhpme5 == 10'h4; // @[el2_dec_tlu_ctl.scala 2355:21] + wire _T_1601 = mhpme5 == 10'h5; // @[el2_dec_tlu_ctl.scala 2356:21] + wire _T_1607 = mhpme5 == 10'h6; // @[el2_dec_tlu_ctl.scala 2357:21] + wire _T_1612 = mhpme5 == 10'h7; // @[el2_dec_tlu_ctl.scala 2358:21] + wire _T_1614 = mhpme5 == 10'h8; // @[el2_dec_tlu_ctl.scala 2359:21] + wire _T_1616 = mhpme5 == 10'h1e; // @[el2_dec_tlu_ctl.scala 2360:21] + wire _T_1618 = mhpme5 == 10'h9; // @[el2_dec_tlu_ctl.scala 2361:21] + wire _T_1621 = mhpme5 == 10'ha; // @[el2_dec_tlu_ctl.scala 2362:21] + wire _T_1624 = mhpme5 == 10'hb; // @[el2_dec_tlu_ctl.scala 2363:21] + wire _T_1627 = mhpme5 == 10'hc; // @[el2_dec_tlu_ctl.scala 2364:21] + wire _T_1630 = mhpme5 == 10'hd; // @[el2_dec_tlu_ctl.scala 2365:21] + wire _T_1633 = mhpme5 == 10'he; // @[el2_dec_tlu_ctl.scala 2366:21] + wire _T_1634 = io_dec_tlu_packet_r_pmu_lsu_misaligned >> _T_1633; // @[el2_dec_tlu_ctl.scala 2366:7] + wire _T_1636 = _T_1060 & _T_1634; // @[el2_dec_tlu_ctl.scala 2365:89] + wire _T_1638 = _T_1636 & _T_1063; // @[el2_dec_tlu_ctl.scala 2366:45] + wire _T_1640 = _T_1638 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec_tlu_ctl.scala 2366:77] + wire _T_1641 = mhpme5 == 10'hf; // @[el2_dec_tlu_ctl.scala 2368:21] + wire _T_1644 = mhpme5 == 10'h10; // @[el2_dec_tlu_ctl.scala 2369:21] + wire _T_1647 = mhpme5 == 10'h12; // @[el2_dec_tlu_ctl.scala 2370:21] + wire _T_1650 = mhpme5 == 10'h11; // @[el2_dec_tlu_ctl.scala 2371:21] + wire _T_1653 = mhpme5 == 10'h13; // @[el2_dec_tlu_ctl.scala 2372:21] + wire _T_1656 = mhpme5 == 10'h14; // @[el2_dec_tlu_ctl.scala 2373:21] + wire _T_1659 = mhpme5 == 10'h15; // @[el2_dec_tlu_ctl.scala 2374:21] + wire _T_1662 = mhpme5 == 10'h16; // @[el2_dec_tlu_ctl.scala 2375:21] + wire _T_1665 = mhpme5 == 10'h17; // @[el2_dec_tlu_ctl.scala 2376:21] + wire _T_1668 = mhpme5 == 10'h18; // @[el2_dec_tlu_ctl.scala 2377:21] + wire _T_1673 = mhpme5 == 10'h19; // @[el2_dec_tlu_ctl.scala 2378:21] + wire _T_1676 = mhpme5 == 10'h1a; // @[el2_dec_tlu_ctl.scala 2379:21] + wire _T_1679 = mhpme5 == 10'h1b; // @[el2_dec_tlu_ctl.scala 2380:21] + wire _T_1682 = mhpme5 == 10'h1c; // @[el2_dec_tlu_ctl.scala 2381:21] + wire _T_1686 = mhpme5 == 10'h1f; // @[el2_dec_tlu_ctl.scala 2383:21] + wire _T_1688 = mhpme5 == 10'h20; // @[el2_dec_tlu_ctl.scala 2384:21] + wire _T_1690 = mhpme5 == 10'h22; // @[el2_dec_tlu_ctl.scala 2385:21] + wire _T_1692 = mhpme5 == 10'h23; // @[el2_dec_tlu_ctl.scala 2386:21] + wire _T_1694 = mhpme5 == 10'h24; // @[el2_dec_tlu_ctl.scala 2387:21] + wire _T_1696 = mhpme5 == 10'h25; // @[el2_dec_tlu_ctl.scala 2388:21] + wire _T_1700 = mhpme5 == 10'h26; // @[el2_dec_tlu_ctl.scala 2389:21] + wire _T_1704 = mhpme5 == 10'h27; // @[el2_dec_tlu_ctl.scala 2390:21] + wire _T_1706 = mhpme5 == 10'h28; // @[el2_dec_tlu_ctl.scala 2391:21] + wire _T_1708 = mhpme5 == 10'h29; // @[el2_dec_tlu_ctl.scala 2392:21] + wire _T_1712 = mhpme5 == 10'h2a; // @[el2_dec_tlu_ctl.scala 2393:21] + wire _T_1714 = mhpme5 == 10'h2b; // @[el2_dec_tlu_ctl.scala 2394:21] + wire _T_1716 = mhpme5 == 10'h2c; // @[el2_dec_tlu_ctl.scala 2395:21] + wire _T_1718 = mhpme5 == 10'h2d; // @[el2_dec_tlu_ctl.scala 2396:21] + wire _T_1720 = mhpme5 == 10'h2e; // @[el2_dec_tlu_ctl.scala 2397:21] + wire _T_1722 = mhpme5 == 10'h2f; // @[el2_dec_tlu_ctl.scala 2398:21] + wire _T_1724 = mhpme5 == 10'h30; // @[el2_dec_tlu_ctl.scala 2399:21] + wire _T_1726 = mhpme5 == 10'h31; // @[el2_dec_tlu_ctl.scala 2400:21] + wire _T_1731 = mhpme5 == 10'h32; // @[el2_dec_tlu_ctl.scala 2401:21] + wire _T_1740 = mhpme5 == 10'h36; // @[el2_dec_tlu_ctl.scala 2402:21] + wire _T_1743 = mhpme5 == 10'h37; // @[el2_dec_tlu_ctl.scala 2403:21] + wire _T_1746 = mhpme5 == 10'h38; // @[el2_dec_tlu_ctl.scala 2404:21] + wire _T_1749 = mhpme5 == 10'h200; // @[el2_dec_tlu_ctl.scala 2406:21] + wire _T_1751 = mhpme5 == 10'h201; // @[el2_dec_tlu_ctl.scala 2407:21] + wire _T_1753 = mhpme5 == 10'h202; // @[el2_dec_tlu_ctl.scala 2408:21] + wire _T_1755 = mhpme5 == 10'h203; // @[el2_dec_tlu_ctl.scala 2409:21] + wire _T_1757 = mhpme5 == 10'h204; // @[el2_dec_tlu_ctl.scala 2410:21] + wire _T_1760 = _T_1593 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1761 = _T_1595 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1762 = _T_1597 & _T_1034; // @[Mux.scala 27:72] + wire _T_1763 = _T_1601 & _T_1040; // @[Mux.scala 27:72] + wire _T_1764 = _T_1607 & _T_1045; // @[Mux.scala 27:72] + wire _T_1765 = _T_1612 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1766 = _T_1614 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1767 = _T_1616 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1768 = _T_1618 & _T_1054; // @[Mux.scala 27:72] + wire _T_1769 = _T_1621 & _T_1057; // @[Mux.scala 27:72] + wire _T_1770 = _T_1624 & _T_1060; // @[Mux.scala 27:72] + wire _T_1771 = _T_1627 & _T_1063; // @[Mux.scala 27:72] + wire _T_1772 = _T_1630 & _T_1640; // @[Mux.scala 27:72] + wire _T_1773 = _T_1641 & _T_1077; // @[Mux.scala 27:72] + wire _T_1774 = _T_1644 & _T_1080; // @[Mux.scala 27:72] + wire _T_1775 = _T_1647 & _T_1083; // @[Mux.scala 27:72] + wire _T_1776 = _T_1650 & _T_1086; // @[Mux.scala 27:72] + wire _T_1777 = _T_1653 & _T_1089; // @[Mux.scala 27:72] + wire _T_1778 = _T_1656 & _T_1092; // @[Mux.scala 27:72] + wire _T_1779 = _T_1659 & _T_1095; // @[Mux.scala 27:72] + wire _T_1780 = _T_1662 & _T_1098; // @[Mux.scala 27:72] + wire _T_1781 = _T_1665 & _T_1101; // @[Mux.scala 27:72] + wire _T_1782 = _T_1668 & _T_1106; // @[Mux.scala 27:72] + wire _T_1783 = _T_1673 & _T_1109; // @[Mux.scala 27:72] + wire _T_1784 = _T_1676 & _T_1112; // @[Mux.scala 27:72] + wire _T_1785 = _T_1679 & _T_1115; // @[Mux.scala 27:72] + wire _T_1786 = _T_1682 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1788 = _T_1686 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1789 = _T_1688 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1790 = _T_1690 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1791 = _T_1692 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1792 = _T_1694 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1793 = _T_1696 & _T_1133; // @[Mux.scala 27:72] + wire _T_1794 = _T_1700 & _T_1137; // @[Mux.scala 27:72] + wire _T_1795 = _T_1704 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1796 = _T_1706 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1797 = _T_1708 & _T_1145; // @[Mux.scala 27:72] + wire _T_1798 = _T_1712 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1799 = _T_1714 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1800 = _T_1716 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1801 = _T_1718 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1802 = _T_1720 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1803 = _T_1722 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1804 = _T_1724 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1805 = _T_1726 & _T_1164; // @[Mux.scala 27:72] + wire [5:0] _T_1806 = _T_1731 ? _T_1173 : 6'h0; // @[Mux.scala 27:72] + wire _T_1807 = _T_1740 & _T_1176; // @[Mux.scala 27:72] + wire _T_1808 = _T_1743 & _T_1179; // @[Mux.scala 27:72] + wire _T_1809 = _T_1746 & _T_1182; // @[Mux.scala 27:72] + wire _T_1810 = _T_1749 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1811 = _T_1751 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1812 = _T_1753 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1813 = _T_1755 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1814 = _T_1757 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1815 = _T_1591 | _T_1760; // @[Mux.scala 27:72] + wire _T_1816 = _T_1815 | _T_1761; // @[Mux.scala 27:72] + wire _T_1817 = _T_1816 | _T_1762; // @[Mux.scala 27:72] + wire _T_1818 = _T_1817 | _T_1763; // @[Mux.scala 27:72] + wire _T_1819 = _T_1818 | _T_1764; // @[Mux.scala 27:72] + wire _T_1820 = _T_1819 | _T_1765; // @[Mux.scala 27:72] + wire _T_1821 = _T_1820 | _T_1766; // @[Mux.scala 27:72] + wire _T_1822 = _T_1821 | _T_1767; // @[Mux.scala 27:72] + wire _T_1823 = _T_1822 | _T_1768; // @[Mux.scala 27:72] + wire _T_1824 = _T_1823 | _T_1769; // @[Mux.scala 27:72] + wire _T_1825 = _T_1824 | _T_1770; // @[Mux.scala 27:72] + wire _T_1826 = _T_1825 | _T_1771; // @[Mux.scala 27:72] + wire _T_1827 = _T_1826 | _T_1772; // @[Mux.scala 27:72] + wire _T_1828 = _T_1827 | _T_1773; // @[Mux.scala 27:72] + wire _T_1829 = _T_1828 | _T_1774; // @[Mux.scala 27:72] + wire _T_1830 = _T_1829 | _T_1775; // @[Mux.scala 27:72] + wire _T_1831 = _T_1830 | _T_1776; // @[Mux.scala 27:72] + wire _T_1832 = _T_1831 | _T_1777; // @[Mux.scala 27:72] + wire _T_1833 = _T_1832 | _T_1778; // @[Mux.scala 27:72] + wire _T_1834 = _T_1833 | _T_1779; // @[Mux.scala 27:72] + wire _T_1835 = _T_1834 | _T_1780; // @[Mux.scala 27:72] + wire _T_1836 = _T_1835 | _T_1781; // @[Mux.scala 27:72] + wire _T_1837 = _T_1836 | _T_1782; // @[Mux.scala 27:72] + wire _T_1838 = _T_1837 | _T_1783; // @[Mux.scala 27:72] + wire _T_1839 = _T_1838 | _T_1784; // @[Mux.scala 27:72] + wire _T_1840 = _T_1839 | _T_1785; // @[Mux.scala 27:72] + wire _T_1841 = _T_1840 | _T_1786; // @[Mux.scala 27:72] + wire _T_1842 = _T_1841 | _T_1767; // @[Mux.scala 27:72] + wire _T_1843 = _T_1842 | _T_1788; // @[Mux.scala 27:72] + wire _T_1844 = _T_1843 | _T_1789; // @[Mux.scala 27:72] + wire _T_1845 = _T_1844 | _T_1790; // @[Mux.scala 27:72] + wire _T_1846 = _T_1845 | _T_1791; // @[Mux.scala 27:72] + wire _T_1847 = _T_1846 | _T_1792; // @[Mux.scala 27:72] + wire _T_1848 = _T_1847 | _T_1793; // @[Mux.scala 27:72] + wire _T_1849 = _T_1848 | _T_1794; // @[Mux.scala 27:72] + wire _T_1850 = _T_1849 | _T_1795; // @[Mux.scala 27:72] + wire _T_1851 = _T_1850 | _T_1796; // @[Mux.scala 27:72] + wire _T_1852 = _T_1851 | _T_1797; // @[Mux.scala 27:72] + wire _T_1853 = _T_1852 | _T_1798; // @[Mux.scala 27:72] + wire _T_1854 = _T_1853 | _T_1799; // @[Mux.scala 27:72] + wire _T_1855 = _T_1854 | _T_1800; // @[Mux.scala 27:72] + wire _T_1856 = _T_1855 | _T_1801; // @[Mux.scala 27:72] + wire _T_1857 = _T_1856 | _T_1802; // @[Mux.scala 27:72] + wire _T_1858 = _T_1857 | _T_1803; // @[Mux.scala 27:72] + wire _T_1859 = _T_1858 | _T_1804; // @[Mux.scala 27:72] + wire _T_1860 = _T_1859 | _T_1805; // @[Mux.scala 27:72] + wire [5:0] _GEN_40 = {{5'd0}, _T_1860}; // @[Mux.scala 27:72] + wire [5:0] _T_1861 = _GEN_40 | _T_1806; // @[Mux.scala 27:72] + wire [5:0] _GEN_41 = {{5'd0}, _T_1807}; // @[Mux.scala 27:72] + wire [5:0] _T_1862 = _T_1861 | _GEN_41; // @[Mux.scala 27:72] + wire [5:0] _GEN_42 = {{5'd0}, _T_1808}; // @[Mux.scala 27:72] + wire [5:0] _T_1863 = _T_1862 | _GEN_42; // @[Mux.scala 27:72] + wire [5:0] _GEN_43 = {{5'd0}, _T_1809}; // @[Mux.scala 27:72] + wire [5:0] _T_1864 = _T_1863 | _GEN_43; // @[Mux.scala 27:72] + wire [5:0] _GEN_44 = {{5'd0}, _T_1810}; // @[Mux.scala 27:72] + wire [5:0] _T_1865 = _T_1864 | _GEN_44; // @[Mux.scala 27:72] + wire [5:0] _GEN_45 = {{5'd0}, _T_1811}; // @[Mux.scala 27:72] + wire [5:0] _T_1866 = _T_1865 | _GEN_45; // @[Mux.scala 27:72] + wire [5:0] _GEN_46 = {{5'd0}, _T_1812}; // @[Mux.scala 27:72] + wire [5:0] _T_1867 = _T_1866 | _GEN_46; // @[Mux.scala 27:72] + wire [5:0] _GEN_47 = {{5'd0}, _T_1813}; // @[Mux.scala 27:72] + wire [5:0] _T_1868 = _T_1867 | _GEN_47; // @[Mux.scala 27:72] + wire [5:0] _GEN_48 = {{5'd0}, _T_1814}; // @[Mux.scala 27:72] + wire [5:0] _T_1869 = _T_1868 | _GEN_48; // @[Mux.scala 27:72] + wire [5:0] _GEN_49 = {{5'd0}, _T_1590}; // @[el2_dec_tlu_ctl.scala 2351:45] + wire [5:0] _T_1871 = _GEN_49 & _T_1869; // @[el2_dec_tlu_ctl.scala 2351:45] + wire _T_1873 = ~mcountinhibit[6]; // @[el2_dec_tlu_ctl.scala 2351:25] + reg [9:0] mhpme6; // @[Reg.scala 27:20] + wire _T_1874 = mhpme6 == 10'h1; // @[el2_dec_tlu_ctl.scala 2352:21] + wire _T_1876 = mhpme6 == 10'h2; // @[el2_dec_tlu_ctl.scala 2353:21] + wire _T_1878 = mhpme6 == 10'h3; // @[el2_dec_tlu_ctl.scala 2354:21] + wire _T_1880 = mhpme6 == 10'h4; // @[el2_dec_tlu_ctl.scala 2355:21] + wire _T_1884 = mhpme6 == 10'h5; // @[el2_dec_tlu_ctl.scala 2356:21] + wire _T_1890 = mhpme6 == 10'h6; // @[el2_dec_tlu_ctl.scala 2357:21] + wire _T_1895 = mhpme6 == 10'h7; // @[el2_dec_tlu_ctl.scala 2358:21] + wire _T_1897 = mhpme6 == 10'h8; // @[el2_dec_tlu_ctl.scala 2359:21] + wire _T_1899 = mhpme6 == 10'h1e; // @[el2_dec_tlu_ctl.scala 2360:21] + wire _T_1901 = mhpme6 == 10'h9; // @[el2_dec_tlu_ctl.scala 2361:21] + wire _T_1904 = mhpme6 == 10'ha; // @[el2_dec_tlu_ctl.scala 2362:21] + wire _T_1907 = mhpme6 == 10'hb; // @[el2_dec_tlu_ctl.scala 2363:21] + wire _T_1910 = mhpme6 == 10'hc; // @[el2_dec_tlu_ctl.scala 2364:21] + wire _T_1913 = mhpme6 == 10'hd; // @[el2_dec_tlu_ctl.scala 2365:21] + wire _T_1916 = mhpme6 == 10'he; // @[el2_dec_tlu_ctl.scala 2366:21] + wire _T_1917 = io_dec_tlu_packet_r_pmu_lsu_misaligned >> _T_1916; // @[el2_dec_tlu_ctl.scala 2366:7] + wire _T_1919 = _T_1060 & _T_1917; // @[el2_dec_tlu_ctl.scala 2365:89] + wire _T_1921 = _T_1919 & _T_1063; // @[el2_dec_tlu_ctl.scala 2366:45] + wire _T_1923 = _T_1921 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec_tlu_ctl.scala 2366:77] + wire _T_1924 = mhpme6 == 10'hf; // @[el2_dec_tlu_ctl.scala 2368:21] + wire _T_1927 = mhpme6 == 10'h10; // @[el2_dec_tlu_ctl.scala 2369:21] + wire _T_1930 = mhpme6 == 10'h12; // @[el2_dec_tlu_ctl.scala 2370:21] + wire _T_1933 = mhpme6 == 10'h11; // @[el2_dec_tlu_ctl.scala 2371:21] + wire _T_1936 = mhpme6 == 10'h13; // @[el2_dec_tlu_ctl.scala 2372:21] + wire _T_1939 = mhpme6 == 10'h14; // @[el2_dec_tlu_ctl.scala 2373:21] + wire _T_1942 = mhpme6 == 10'h15; // @[el2_dec_tlu_ctl.scala 2374:21] + wire _T_1945 = mhpme6 == 10'h16; // @[el2_dec_tlu_ctl.scala 2375:21] + wire _T_1948 = mhpme6 == 10'h17; // @[el2_dec_tlu_ctl.scala 2376:21] + wire _T_1951 = mhpme6 == 10'h18; // @[el2_dec_tlu_ctl.scala 2377:21] + wire _T_1956 = mhpme6 == 10'h19; // @[el2_dec_tlu_ctl.scala 2378:21] + wire _T_1959 = mhpme6 == 10'h1a; // @[el2_dec_tlu_ctl.scala 2379:21] + wire _T_1962 = mhpme6 == 10'h1b; // @[el2_dec_tlu_ctl.scala 2380:21] + wire _T_1965 = mhpme6 == 10'h1c; // @[el2_dec_tlu_ctl.scala 2381:21] + wire _T_1969 = mhpme6 == 10'h1f; // @[el2_dec_tlu_ctl.scala 2383:21] + wire _T_1971 = mhpme6 == 10'h20; // @[el2_dec_tlu_ctl.scala 2384:21] + wire _T_1973 = mhpme6 == 10'h22; // @[el2_dec_tlu_ctl.scala 2385:21] + wire _T_1975 = mhpme6 == 10'h23; // @[el2_dec_tlu_ctl.scala 2386:21] + wire _T_1977 = mhpme6 == 10'h24; // @[el2_dec_tlu_ctl.scala 2387:21] + wire _T_1979 = mhpme6 == 10'h25; // @[el2_dec_tlu_ctl.scala 2388:21] + wire _T_1983 = mhpme6 == 10'h26; // @[el2_dec_tlu_ctl.scala 2389:21] + wire _T_1987 = mhpme6 == 10'h27; // @[el2_dec_tlu_ctl.scala 2390:21] + wire _T_1989 = mhpme6 == 10'h28; // @[el2_dec_tlu_ctl.scala 2391:21] + wire _T_1991 = mhpme6 == 10'h29; // @[el2_dec_tlu_ctl.scala 2392:21] + wire _T_1995 = mhpme6 == 10'h2a; // @[el2_dec_tlu_ctl.scala 2393:21] + wire _T_1997 = mhpme6 == 10'h2b; // @[el2_dec_tlu_ctl.scala 2394:21] + wire _T_1999 = mhpme6 == 10'h2c; // @[el2_dec_tlu_ctl.scala 2395:21] + wire _T_2001 = mhpme6 == 10'h2d; // @[el2_dec_tlu_ctl.scala 2396:21] + wire _T_2003 = mhpme6 == 10'h2e; // @[el2_dec_tlu_ctl.scala 2397:21] + wire _T_2005 = mhpme6 == 10'h2f; // @[el2_dec_tlu_ctl.scala 2398:21] + wire _T_2007 = mhpme6 == 10'h30; // @[el2_dec_tlu_ctl.scala 2399:21] + wire _T_2009 = mhpme6 == 10'h31; // @[el2_dec_tlu_ctl.scala 2400:21] + wire _T_2014 = mhpme6 == 10'h32; // @[el2_dec_tlu_ctl.scala 2401:21] + wire _T_2023 = mhpme6 == 10'h36; // @[el2_dec_tlu_ctl.scala 2402:21] + wire _T_2026 = mhpme6 == 10'h37; // @[el2_dec_tlu_ctl.scala 2403:21] + wire _T_2029 = mhpme6 == 10'h38; // @[el2_dec_tlu_ctl.scala 2404:21] + wire _T_2032 = mhpme6 == 10'h200; // @[el2_dec_tlu_ctl.scala 2406:21] + wire _T_2034 = mhpme6 == 10'h201; // @[el2_dec_tlu_ctl.scala 2407:21] + wire _T_2036 = mhpme6 == 10'h202; // @[el2_dec_tlu_ctl.scala 2408:21] + wire _T_2038 = mhpme6 == 10'h203; // @[el2_dec_tlu_ctl.scala 2409:21] + wire _T_2040 = mhpme6 == 10'h204; // @[el2_dec_tlu_ctl.scala 2410:21] + wire _T_2043 = _T_1876 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_2044 = _T_1878 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_2045 = _T_1880 & _T_1034; // @[Mux.scala 27:72] + wire _T_2046 = _T_1884 & _T_1040; // @[Mux.scala 27:72] + wire _T_2047 = _T_1890 & _T_1045; // @[Mux.scala 27:72] + wire _T_2048 = _T_1895 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_2049 = _T_1897 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_2050 = _T_1899 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_2051 = _T_1901 & _T_1054; // @[Mux.scala 27:72] + wire _T_2052 = _T_1904 & _T_1057; // @[Mux.scala 27:72] + wire _T_2053 = _T_1907 & _T_1060; // @[Mux.scala 27:72] + wire _T_2054 = _T_1910 & _T_1063; // @[Mux.scala 27:72] + wire _T_2055 = _T_1913 & _T_1923; // @[Mux.scala 27:72] + wire _T_2056 = _T_1924 & _T_1077; // @[Mux.scala 27:72] + wire _T_2057 = _T_1927 & _T_1080; // @[Mux.scala 27:72] + wire _T_2058 = _T_1930 & _T_1083; // @[Mux.scala 27:72] + wire _T_2059 = _T_1933 & _T_1086; // @[Mux.scala 27:72] + wire _T_2060 = _T_1936 & _T_1089; // @[Mux.scala 27:72] + wire _T_2061 = _T_1939 & _T_1092; // @[Mux.scala 27:72] + wire _T_2062 = _T_1942 & _T_1095; // @[Mux.scala 27:72] + wire _T_2063 = _T_1945 & _T_1098; // @[Mux.scala 27:72] + wire _T_2064 = _T_1948 & _T_1101; // @[Mux.scala 27:72] + wire _T_2065 = _T_1951 & _T_1106; // @[Mux.scala 27:72] + wire _T_2066 = _T_1956 & _T_1109; // @[Mux.scala 27:72] + wire _T_2067 = _T_1959 & _T_1112; // @[Mux.scala 27:72] + wire _T_2068 = _T_1962 & _T_1115; // @[Mux.scala 27:72] + wire _T_2069 = _T_1965 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_2071 = _T_1969 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_2072 = _T_1971 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_2073 = _T_1973 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_2074 = _T_1975 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_2075 = _T_1977 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_2076 = _T_1979 & _T_1133; // @[Mux.scala 27:72] + wire _T_2077 = _T_1983 & _T_1137; // @[Mux.scala 27:72] + wire _T_2078 = _T_1987 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_2079 = _T_1989 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_2080 = _T_1991 & _T_1145; // @[Mux.scala 27:72] + wire _T_2081 = _T_1995 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_2082 = _T_1997 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_2083 = _T_1999 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_2084 = _T_2001 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_2085 = _T_2003 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_2086 = _T_2005 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_2087 = _T_2007 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_2088 = _T_2009 & _T_1164; // @[Mux.scala 27:72] + wire [5:0] _T_2089 = _T_2014 ? _T_1173 : 6'h0; // @[Mux.scala 27:72] + wire _T_2090 = _T_2023 & _T_1176; // @[Mux.scala 27:72] + wire _T_2091 = _T_2026 & _T_1179; // @[Mux.scala 27:72] + wire _T_2092 = _T_2029 & _T_1182; // @[Mux.scala 27:72] + wire _T_2093 = _T_2032 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_2094 = _T_2034 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_2095 = _T_2036 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_2096 = _T_2038 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_2097 = _T_2040 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_2098 = _T_1874 | _T_2043; // @[Mux.scala 27:72] + wire _T_2099 = _T_2098 | _T_2044; // @[Mux.scala 27:72] + wire _T_2100 = _T_2099 | _T_2045; // @[Mux.scala 27:72] + wire _T_2101 = _T_2100 | _T_2046; // @[Mux.scala 27:72] + wire _T_2102 = _T_2101 | _T_2047; // @[Mux.scala 27:72] + wire _T_2103 = _T_2102 | _T_2048; // @[Mux.scala 27:72] + wire _T_2104 = _T_2103 | _T_2049; // @[Mux.scala 27:72] + wire _T_2105 = _T_2104 | _T_2050; // @[Mux.scala 27:72] + wire _T_2106 = _T_2105 | _T_2051; // @[Mux.scala 27:72] + wire _T_2107 = _T_2106 | _T_2052; // @[Mux.scala 27:72] + wire _T_2108 = _T_2107 | _T_2053; // @[Mux.scala 27:72] + wire _T_2109 = _T_2108 | _T_2054; // @[Mux.scala 27:72] + wire _T_2110 = _T_2109 | _T_2055; // @[Mux.scala 27:72] + wire _T_2111 = _T_2110 | _T_2056; // @[Mux.scala 27:72] + wire _T_2112 = _T_2111 | _T_2057; // @[Mux.scala 27:72] + wire _T_2113 = _T_2112 | _T_2058; // @[Mux.scala 27:72] + wire _T_2114 = _T_2113 | _T_2059; // @[Mux.scala 27:72] + wire _T_2115 = _T_2114 | _T_2060; // @[Mux.scala 27:72] + wire _T_2116 = _T_2115 | _T_2061; // @[Mux.scala 27:72] + wire _T_2117 = _T_2116 | _T_2062; // @[Mux.scala 27:72] + wire _T_2118 = _T_2117 | _T_2063; // @[Mux.scala 27:72] + wire _T_2119 = _T_2118 | _T_2064; // @[Mux.scala 27:72] + wire _T_2120 = _T_2119 | _T_2065; // @[Mux.scala 27:72] + wire _T_2121 = _T_2120 | _T_2066; // @[Mux.scala 27:72] + wire _T_2122 = _T_2121 | _T_2067; // @[Mux.scala 27:72] + wire _T_2123 = _T_2122 | _T_2068; // @[Mux.scala 27:72] + wire _T_2124 = _T_2123 | _T_2069; // @[Mux.scala 27:72] + wire _T_2125 = _T_2124 | _T_2050; // @[Mux.scala 27:72] + wire _T_2126 = _T_2125 | _T_2071; // @[Mux.scala 27:72] + wire _T_2127 = _T_2126 | _T_2072; // @[Mux.scala 27:72] + wire _T_2128 = _T_2127 | _T_2073; // @[Mux.scala 27:72] + wire _T_2129 = _T_2128 | _T_2074; // @[Mux.scala 27:72] + wire _T_2130 = _T_2129 | _T_2075; // @[Mux.scala 27:72] + wire _T_2131 = _T_2130 | _T_2076; // @[Mux.scala 27:72] + wire _T_2132 = _T_2131 | _T_2077; // @[Mux.scala 27:72] + wire _T_2133 = _T_2132 | _T_2078; // @[Mux.scala 27:72] + wire _T_2134 = _T_2133 | _T_2079; // @[Mux.scala 27:72] + wire _T_2135 = _T_2134 | _T_2080; // @[Mux.scala 27:72] + wire _T_2136 = _T_2135 | _T_2081; // @[Mux.scala 27:72] + wire _T_2137 = _T_2136 | _T_2082; // @[Mux.scala 27:72] + wire _T_2138 = _T_2137 | _T_2083; // @[Mux.scala 27:72] + wire _T_2139 = _T_2138 | _T_2084; // @[Mux.scala 27:72] + wire _T_2140 = _T_2139 | _T_2085; // @[Mux.scala 27:72] + wire _T_2141 = _T_2140 | _T_2086; // @[Mux.scala 27:72] + wire _T_2142 = _T_2141 | _T_2087; // @[Mux.scala 27:72] + wire _T_2143 = _T_2142 | _T_2088; // @[Mux.scala 27:72] + wire [5:0] _GEN_51 = {{5'd0}, _T_2143}; // @[Mux.scala 27:72] + wire [5:0] _T_2144 = _GEN_51 | _T_2089; // @[Mux.scala 27:72] + wire [5:0] _GEN_52 = {{5'd0}, _T_2090}; // @[Mux.scala 27:72] + wire [5:0] _T_2145 = _T_2144 | _GEN_52; // @[Mux.scala 27:72] + wire [5:0] _GEN_53 = {{5'd0}, _T_2091}; // @[Mux.scala 27:72] + wire [5:0] _T_2146 = _T_2145 | _GEN_53; // @[Mux.scala 27:72] + wire [5:0] _GEN_54 = {{5'd0}, _T_2092}; // @[Mux.scala 27:72] + wire [5:0] _T_2147 = _T_2146 | _GEN_54; // @[Mux.scala 27:72] + wire [5:0] _GEN_55 = {{5'd0}, _T_2093}; // @[Mux.scala 27:72] + wire [5:0] _T_2148 = _T_2147 | _GEN_55; // @[Mux.scala 27:72] + wire [5:0] _GEN_56 = {{5'd0}, _T_2094}; // @[Mux.scala 27:72] + wire [5:0] _T_2149 = _T_2148 | _GEN_56; // @[Mux.scala 27:72] + wire [5:0] _GEN_57 = {{5'd0}, _T_2095}; // @[Mux.scala 27:72] + wire [5:0] _T_2150 = _T_2149 | _GEN_57; // @[Mux.scala 27:72] + wire [5:0] _GEN_58 = {{5'd0}, _T_2096}; // @[Mux.scala 27:72] + wire [5:0] _T_2151 = _T_2150 | _GEN_58; // @[Mux.scala 27:72] + wire [5:0] _GEN_59 = {{5'd0}, _T_2097}; // @[Mux.scala 27:72] + wire [5:0] _T_2152 = _T_2151 | _GEN_59; // @[Mux.scala 27:72] + wire [5:0] _GEN_60 = {{5'd0}, _T_1873}; // @[el2_dec_tlu_ctl.scala 2351:45] + wire [5:0] _T_2154 = _GEN_60 & _T_2152; // @[el2_dec_tlu_ctl.scala 2351:45] + reg mhpmc_inc_r_d1_0; // @[el2_dec_tlu_ctl.scala 2413:54] + reg mhpmc_inc_r_d1_1; // @[el2_dec_tlu_ctl.scala 2414:54] + reg mhpmc_inc_r_d1_2; // @[el2_dec_tlu_ctl.scala 2415:54] + reg mhpmc_inc_r_d1_3; // @[el2_dec_tlu_ctl.scala 2416:54] + reg perfcnt_halted_d1; // @[el2_dec_tlu_ctl.scala 2417:57] + wire perfcnt_halted = _T_83 | io_dec_tlu_pmu_fw_halted; // @[el2_dec_tlu_ctl.scala 2420:68] + wire _T_2164 = ~_T_83; // @[el2_dec_tlu_ctl.scala 2421:38] + wire [3:0] _T_2166 = _T_2164 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_2173 = {mhpme6[9],mhpme5[9],mhpme4[9],mhpme3[9]}; // @[Cat.scala 29:58] + wire [3:0] perfcnt_during_sleep = _T_2166 & _T_2173; // @[el2_dec_tlu_ctl.scala 2421:87] + wire _T_2175 = ~perfcnt_during_sleep[0]; // @[el2_dec_tlu_ctl.scala 2423:68] + wire _T_2176 = perfcnt_halted_d1 & _T_2175; // @[el2_dec_tlu_ctl.scala 2423:66] + wire _T_2177 = ~_T_2176; // @[el2_dec_tlu_ctl.scala 2423:46] + wire _T_2180 = ~perfcnt_during_sleep[1]; // @[el2_dec_tlu_ctl.scala 2424:68] + wire _T_2181 = perfcnt_halted_d1 & _T_2180; // @[el2_dec_tlu_ctl.scala 2424:66] + wire _T_2182 = ~_T_2181; // @[el2_dec_tlu_ctl.scala 2424:46] + wire _T_2185 = ~perfcnt_during_sleep[2]; // @[el2_dec_tlu_ctl.scala 2425:68] + wire _T_2186 = perfcnt_halted_d1 & _T_2185; // @[el2_dec_tlu_ctl.scala 2425:66] + wire _T_2187 = ~_T_2186; // @[el2_dec_tlu_ctl.scala 2425:46] + wire _T_2190 = ~perfcnt_during_sleep[3]; // @[el2_dec_tlu_ctl.scala 2426:68] + wire _T_2191 = perfcnt_halted_d1 & _T_2190; // @[el2_dec_tlu_ctl.scala 2426:66] + wire _T_2192 = ~_T_2191; // @[el2_dec_tlu_ctl.scala 2426:46] + wire _T_2195 = io_dec_csr_wraddr_r == 12'hb03; // @[el2_dec_tlu_ctl.scala 2432:73] + wire mhpmc3_wr_en0 = io_dec_csr_wen_r_mod & _T_2195; // @[el2_dec_tlu_ctl.scala 2432:44] + wire _T_2196 = ~perfcnt_halted; // @[el2_dec_tlu_ctl.scala 2433:24] + wire _T_2198 = _T_2196 | perfcnt_during_sleep[0]; // @[el2_dec_tlu_ctl.scala 2433:40] + wire mhpmc_inc_r_0 = _T_1305[0]; // @[el2_dec_tlu_ctl.scala 2346:25 el2_dec_tlu_ctl.scala 2351:20] + wire _T_2199 = |mhpmc_inc_r_0; // @[el2_dec_tlu_ctl.scala 2433:87] + wire mhpmc3_wr_en1 = _T_2198 & _T_2199; // @[el2_dec_tlu_ctl.scala 2433:67] + reg [31:0] mhpmc3h; // @[el2_lib.scala 491:16] + reg [31:0] mhpmc3; // @[el2_lib.scala 491:16] + wire [63:0] _T_2202 = {mhpmc3h,mhpmc3}; // @[Cat.scala 29:58] + wire [63:0] _T_2203 = {63'h0,mhpmc_inc_r_0}; // @[Cat.scala 29:58] + wire [63:0] mhpmc3_incr = _T_2202 + _T_2203; // @[el2_dec_tlu_ctl.scala 2437:50] + wire _T_2211 = io_dec_csr_wraddr_r == 12'hb83; // @[el2_dec_tlu_ctl.scala 2442:74] + wire mhpmc3h_wr_en0 = io_dec_csr_wen_r_mod & _T_2211; // @[el2_dec_tlu_ctl.scala 2442:45] + wire _T_2217 = io_dec_csr_wraddr_r == 12'hb04; // @[el2_dec_tlu_ctl.scala 2451:73] + wire mhpmc4_wr_en0 = io_dec_csr_wen_r_mod & _T_2217; // @[el2_dec_tlu_ctl.scala 2451:44] + wire _T_2220 = _T_2196 | perfcnt_during_sleep[1]; // @[el2_dec_tlu_ctl.scala 2452:40] + wire mhpmc_inc_r_1 = _T_1588[0]; // @[el2_dec_tlu_ctl.scala 2346:25 el2_dec_tlu_ctl.scala 2351:20] + wire _T_2221 = |mhpmc_inc_r_1; // @[el2_dec_tlu_ctl.scala 2452:87] + wire mhpmc4_wr_en1 = _T_2220 & _T_2221; // @[el2_dec_tlu_ctl.scala 2452:67] + reg [31:0] mhpmc4h; // @[el2_lib.scala 491:16] + reg [31:0] mhpmc4; // @[el2_lib.scala 491:16] + wire [63:0] _T_2224 = {mhpmc4h,mhpmc4}; // @[Cat.scala 29:58] + wire [63:0] _T_2225 = {63'h0,mhpmc_inc_r_1}; // @[Cat.scala 29:58] + wire [63:0] mhpmc4_incr = _T_2224 + _T_2225; // @[el2_dec_tlu_ctl.scala 2457:50] + wire _T_2234 = io_dec_csr_wraddr_r == 12'hb84; // @[el2_dec_tlu_ctl.scala 2461:74] + wire mhpmc4h_wr_en0 = io_dec_csr_wen_r_mod & _T_2234; // @[el2_dec_tlu_ctl.scala 2461:45] + wire _T_2240 = io_dec_csr_wraddr_r == 12'hb05; // @[el2_dec_tlu_ctl.scala 2470:73] + wire mhpmc5_wr_en0 = io_dec_csr_wen_r_mod & _T_2240; // @[el2_dec_tlu_ctl.scala 2470:44] + wire _T_2243 = _T_2196 | perfcnt_during_sleep[2]; // @[el2_dec_tlu_ctl.scala 2471:40] + wire mhpmc_inc_r_2 = _T_1871[0]; // @[el2_dec_tlu_ctl.scala 2346:25 el2_dec_tlu_ctl.scala 2351:20] + wire _T_2244 = |mhpmc_inc_r_2; // @[el2_dec_tlu_ctl.scala 2471:87] + wire mhpmc5_wr_en1 = _T_2243 & _T_2244; // @[el2_dec_tlu_ctl.scala 2471:67] + reg [31:0] mhpmc5h; // @[el2_lib.scala 491:16] + reg [31:0] mhpmc5; // @[el2_lib.scala 491:16] + wire [63:0] _T_2247 = {mhpmc5h,mhpmc5}; // @[Cat.scala 29:58] + wire [63:0] _T_2248 = {63'h0,mhpmc_inc_r_2}; // @[Cat.scala 29:58] + wire [63:0] mhpmc5_incr = _T_2247 + _T_2248; // @[el2_dec_tlu_ctl.scala 2474:50] + wire _T_2256 = io_dec_csr_wraddr_r == 12'hb85; // @[el2_dec_tlu_ctl.scala 2479:74] + wire mhpmc5h_wr_en0 = io_dec_csr_wen_r_mod & _T_2256; // @[el2_dec_tlu_ctl.scala 2479:45] + wire _T_2262 = io_dec_csr_wraddr_r == 12'hb06; // @[el2_dec_tlu_ctl.scala 2488:73] + wire mhpmc6_wr_en0 = io_dec_csr_wen_r_mod & _T_2262; // @[el2_dec_tlu_ctl.scala 2488:44] + wire _T_2265 = _T_2196 | perfcnt_during_sleep[3]; // @[el2_dec_tlu_ctl.scala 2489:40] + wire mhpmc_inc_r_3 = _T_2154[0]; // @[el2_dec_tlu_ctl.scala 2346:25 el2_dec_tlu_ctl.scala 2351:20] + wire _T_2266 = |mhpmc_inc_r_3; // @[el2_dec_tlu_ctl.scala 2489:87] + wire mhpmc6_wr_en1 = _T_2265 & _T_2266; // @[el2_dec_tlu_ctl.scala 2489:67] + reg [31:0] mhpmc6h; // @[el2_lib.scala 491:16] + reg [31:0] mhpmc6; // @[el2_lib.scala 491:16] + wire [63:0] _T_2269 = {mhpmc6h,mhpmc6}; // @[Cat.scala 29:58] + wire [63:0] _T_2270 = {63'h0,mhpmc_inc_r_3}; // @[Cat.scala 29:58] + wire [63:0] mhpmc6_incr = _T_2269 + _T_2270; // @[el2_dec_tlu_ctl.scala 2492:50] + wire _T_2278 = io_dec_csr_wraddr_r == 12'hb86; // @[el2_dec_tlu_ctl.scala 2497:74] + wire mhpmc6h_wr_en0 = io_dec_csr_wen_r_mod & _T_2278; // @[el2_dec_tlu_ctl.scala 2497:45] + wire _T_2284 = io_dec_csr_wrdata_r[9:0] > 10'h204; // @[el2_dec_tlu_ctl.scala 2508:57] + wire _T_2286 = |io_dec_csr_wrdata_r[31:10]; // @[el2_dec_tlu_ctl.scala 2508:103] + wire _T_2287 = _T_2284 | _T_2286; // @[el2_dec_tlu_ctl.scala 2508:72] + wire _T_2290 = io_dec_csr_wraddr_r == 12'h323; // @[el2_dec_tlu_ctl.scala 2510:71] + wire wr_mhpme3_r = io_dec_csr_wen_r_mod & _T_2290; // @[el2_dec_tlu_ctl.scala 2510:42] + wire _T_2294 = io_dec_csr_wraddr_r == 12'h324; // @[el2_dec_tlu_ctl.scala 2517:71] + wire wr_mhpme4_r = io_dec_csr_wen_r_mod & _T_2294; // @[el2_dec_tlu_ctl.scala 2517:42] + wire _T_2298 = io_dec_csr_wraddr_r == 12'h325; // @[el2_dec_tlu_ctl.scala 2524:71] + wire wr_mhpme5_r = io_dec_csr_wen_r_mod & _T_2298; // @[el2_dec_tlu_ctl.scala 2524:42] + wire _T_2302 = io_dec_csr_wraddr_r == 12'h326; // @[el2_dec_tlu_ctl.scala 2531:71] + wire wr_mhpme6_r = io_dec_csr_wen_r_mod & _T_2302; // @[el2_dec_tlu_ctl.scala 2531:42] + wire _T_2306 = io_dec_csr_wraddr_r == 12'h320; // @[el2_dec_tlu_ctl.scala 2548:78] + wire wr_mcountinhibit_r = io_dec_csr_wen_r_mod & _T_2306; // @[el2_dec_tlu_ctl.scala 2548:49] + wire _T_2318 = io_i0_valid_wb | io_exc_or_int_valid_r_d1; // @[el2_dec_tlu_ctl.scala 2563:52] + wire _T_2319 = _T_2318 | io_interrupt_valid_r_d1; // @[el2_dec_tlu_ctl.scala 2563:79] + wire _T_2320 = _T_2319 | io_dec_tlu_i0_valid_wb1; // @[el2_dec_tlu_ctl.scala 2563:105] + wire _T_2321 = _T_2320 | io_dec_tlu_i0_exc_valid_wb1; // @[el2_dec_tlu_ctl.scala 2563:131] + wire _T_2322 = _T_2321 | io_dec_tlu_int_valid_wb1; // @[el2_dec_tlu_ctl.scala 2564:33] + reg _T_2325; // @[el2_dec_tlu_ctl.scala 2566:63] + wire _T_2326 = io_i0_exception_valid_r_d1 | io_lsu_i0_exc_r_d1; // @[el2_dec_tlu_ctl.scala 2567:92] + wire _T_2327 = ~io_trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 2567:138] + wire _T_2328 = io_trigger_hit_r_d1 & _T_2327; // @[el2_dec_tlu_ctl.scala 2567:136] + reg _T_2330; // @[el2_dec_tlu_ctl.scala 2567:63] + reg _T_2332; // @[el2_dec_tlu_ctl.scala 2569:63] + wire [31:0] _T_2338 = {io_core_id,4'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2347 = {21'h3,3'h0,io_mstatus[1],3'h0,io_mstatus[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2352 = {io_mtvec[30:1],1'h0,io_mtvec[0]}; // @[Cat.scala 29:58] + wire [31:0] _T_2365 = {1'h0,io_mip[5:3],16'h0,io_mip[2],3'h0,io_mip[1],3'h0,io_mip[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2378 = {1'h0,mie[5:3],16'h0,mie[2],3'h0,mie[1],3'h0,mie[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2390 = {io_mepc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2395 = {28'h0,mscause}; // @[Cat.scala 29:58] + wire [31:0] _T_2403 = {meivt,10'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2409 = {28'h0,meicurpl}; // @[Cat.scala 29:58] + wire [31:0] _T_2412 = {28'h0,meicidpl}; // @[Cat.scala 29:58] + wire [31:0] _T_2415 = {28'h0,meipt}; // @[Cat.scala 29:58] + wire [31:0] _T_2418 = {23'h0,mcgc}; // @[Cat.scala 29:58] + wire [31:0] _T_2421 = {13'h0,_T_348,4'h0,mfdc_int[11:7],_T_351,mfdc_int[5:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_2425 = {16'h4000,io_dcsr[15:2],2'h3}; // @[Cat.scala 29:58] + wire [31:0] _T_2427 = {io_dpc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2443 = {7'h0,dicawics[16],2'h0,dicawics[15:14],3'h0,dicawics[13:0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2446 = {30'h0,mtsel}; // @[Cat.scala 29:58] + wire [31:0] _T_2475 = {26'h0,mfdht}; // @[Cat.scala 29:58] + wire [31:0] _T_2478 = {30'h0,mfdhs}; // @[Cat.scala 29:58] + wire [31:0] _T_2481 = {22'h0,mhpme3}; // @[Cat.scala 29:58] + wire [31:0] _T_2484 = {22'h0,mhpme4}; // @[Cat.scala 29:58] + wire [31:0] _T_2487 = {22'h0,mhpme5}; // @[Cat.scala 29:58] + wire [31:0] _T_2490 = {22'h0,mhpme6}; // @[Cat.scala 29:58] + wire [31:0] _T_2493 = {25'h0,temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] + wire [31:0] _T_2496 = {30'h0,mpmc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2499 = io_csr_pkt_csr_misa ? 32'h40001104 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2500 = io_csr_pkt_csr_mvendorid ? 32'h45 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2501 = io_csr_pkt_csr_marchid ? 32'h10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2502 = io_csr_pkt_csr_mimpid ? 32'h2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2503 = io_csr_pkt_csr_mhartid ? _T_2338 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2504 = io_csr_pkt_csr_mstatus ? _T_2347 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2505 = io_csr_pkt_csr_mtvec ? _T_2352 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2506 = io_csr_pkt_csr_mip ? _T_2365 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2507 = io_csr_pkt_csr_mie ? _T_2378 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2508 = io_csr_pkt_csr_mcyclel ? mcyclel : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2509 = io_csr_pkt_csr_mcycleh ? mcycleh_inc : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2510 = io_csr_pkt_csr_minstretl ? minstretl : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2511 = io_csr_pkt_csr_minstreth ? minstreth_inc : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2512 = io_csr_pkt_csr_mscratch ? mscratch : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2513 = io_csr_pkt_csr_mepc ? _T_2390 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2514 = io_csr_pkt_csr_mcause ? mcause : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2515 = io_csr_pkt_csr_mscause ? _T_2395 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2516 = io_csr_pkt_csr_mtval ? mtval : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2517 = io_csr_pkt_csr_mrac ? mrac : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2518 = io_csr_pkt_csr_mdseac ? mdseac : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2519 = io_csr_pkt_csr_meivt ? _T_2403 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2520 = io_csr_pkt_csr_meihap ? _T_615 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2521 = io_csr_pkt_csr_meicurpl ? _T_2409 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2522 = io_csr_pkt_csr_meicidpl ? _T_2412 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2523 = io_csr_pkt_csr_meipt ? _T_2415 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2524 = io_csr_pkt_csr_mcgc ? _T_2418 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2525 = io_csr_pkt_csr_mfdc ? _T_2421 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2526 = io_csr_pkt_csr_dcsr ? _T_2425 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2527 = io_csr_pkt_csr_dpc ? _T_2427 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2528 = io_csr_pkt_csr_dicad0 ? dicad0[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2529 = io_csr_pkt_csr_dicad0h ? dicad0h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2530 = io_csr_pkt_csr_dicad1 ? dicad1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2531 = io_csr_pkt_csr_dicawics ? _T_2443 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2532 = io_csr_pkt_csr_mtsel ? _T_2446 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2533 = io_csr_pkt_csr_mtdata1 ? mtdata1_tsel_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2534 = io_csr_pkt_csr_mtdata2 ? mtdata2_tsel_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2535 = io_csr_pkt_csr_micect ? micect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2536 = io_csr_pkt_csr_miccmect ? miccmect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2537 = io_csr_pkt_csr_mdccmect ? mdccmect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2538 = io_csr_pkt_csr_mhpmc3 ? mhpmc3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2539 = io_csr_pkt_csr_mhpmc4 ? mhpmc4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2540 = io_csr_pkt_csr_mhpmc5 ? mhpmc5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2541 = io_csr_pkt_csr_mhpmc6 ? mhpmc6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2542 = io_csr_pkt_csr_mhpmc3h ? mhpmc3h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2543 = io_csr_pkt_csr_mhpmc4h ? mhpmc4h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2544 = io_csr_pkt_csr_mhpmc5h ? mhpmc5h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2545 = io_csr_pkt_csr_mhpmc6h ? mhpmc6h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2546 = io_csr_pkt_csr_mfdht ? _T_2475 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2547 = io_csr_pkt_csr_mfdhs ? _T_2478 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2548 = io_csr_pkt_csr_mhpme3 ? _T_2481 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2549 = io_csr_pkt_csr_mhpme4 ? _T_2484 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2550 = io_csr_pkt_csr_mhpme5 ? _T_2487 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2551 = io_csr_pkt_csr_mhpme6 ? _T_2490 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2552 = io_csr_pkt_csr_mcountinhibit ? _T_2493 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2553 = io_csr_pkt_csr_mpmc ? _T_2496 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2554 = io_dec_timer_read_d ? io_dec_timer_rddata_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2555 = _T_2499 | _T_2500; // @[Mux.scala 27:72] + wire [31:0] _T_2556 = _T_2555 | _T_2501; // @[Mux.scala 27:72] + wire [31:0] _T_2557 = _T_2556 | _T_2502; // @[Mux.scala 27:72] + wire [31:0] _T_2558 = _T_2557 | _T_2503; // @[Mux.scala 27:72] + wire [31:0] _T_2559 = _T_2558 | _T_2504; // @[Mux.scala 27:72] + wire [31:0] _T_2560 = _T_2559 | _T_2505; // @[Mux.scala 27:72] + wire [31:0] _T_2561 = _T_2560 | _T_2506; // @[Mux.scala 27:72] + wire [31:0] _T_2562 = _T_2561 | _T_2507; // @[Mux.scala 27:72] + wire [31:0] _T_2563 = _T_2562 | _T_2508; // @[Mux.scala 27:72] + wire [31:0] _T_2564 = _T_2563 | _T_2509; // @[Mux.scala 27:72] + wire [31:0] _T_2565 = _T_2564 | _T_2510; // @[Mux.scala 27:72] + wire [31:0] _T_2566 = _T_2565 | _T_2511; // @[Mux.scala 27:72] + wire [31:0] _T_2567 = _T_2566 | _T_2512; // @[Mux.scala 27:72] + wire [31:0] _T_2568 = _T_2567 | _T_2513; // @[Mux.scala 27:72] + wire [31:0] _T_2569 = _T_2568 | _T_2514; // @[Mux.scala 27:72] + wire [31:0] _T_2570 = _T_2569 | _T_2515; // @[Mux.scala 27:72] + wire [31:0] _T_2571 = _T_2570 | _T_2516; // @[Mux.scala 27:72] + wire [31:0] _T_2572 = _T_2571 | _T_2517; // @[Mux.scala 27:72] + wire [31:0] _T_2573 = _T_2572 | _T_2518; // @[Mux.scala 27:72] + wire [31:0] _T_2574 = _T_2573 | _T_2519; // @[Mux.scala 27:72] + wire [31:0] _T_2575 = _T_2574 | _T_2520; // @[Mux.scala 27:72] + wire [31:0] _T_2576 = _T_2575 | _T_2521; // @[Mux.scala 27:72] + wire [31:0] _T_2577 = _T_2576 | _T_2522; // @[Mux.scala 27:72] + wire [31:0] _T_2578 = _T_2577 | _T_2523; // @[Mux.scala 27:72] + wire [31:0] _T_2579 = _T_2578 | _T_2524; // @[Mux.scala 27:72] + wire [31:0] _T_2580 = _T_2579 | _T_2525; // @[Mux.scala 27:72] + wire [31:0] _T_2581 = _T_2580 | _T_2526; // @[Mux.scala 27:72] + wire [31:0] _T_2582 = _T_2581 | _T_2527; // @[Mux.scala 27:72] + wire [31:0] _T_2583 = _T_2582 | _T_2528; // @[Mux.scala 27:72] + wire [31:0] _T_2584 = _T_2583 | _T_2529; // @[Mux.scala 27:72] + wire [31:0] _T_2585 = _T_2584 | _T_2530; // @[Mux.scala 27:72] + wire [31:0] _T_2586 = _T_2585 | _T_2531; // @[Mux.scala 27:72] + wire [31:0] _T_2587 = _T_2586 | _T_2532; // @[Mux.scala 27:72] + wire [31:0] _T_2588 = _T_2587 | _T_2533; // @[Mux.scala 27:72] + wire [31:0] _T_2589 = _T_2588 | _T_2534; // @[Mux.scala 27:72] + wire [31:0] _T_2590 = _T_2589 | _T_2535; // @[Mux.scala 27:72] + wire [31:0] _T_2591 = _T_2590 | _T_2536; // @[Mux.scala 27:72] + wire [31:0] _T_2592 = _T_2591 | _T_2537; // @[Mux.scala 27:72] + wire [31:0] _T_2593 = _T_2592 | _T_2538; // @[Mux.scala 27:72] + wire [31:0] _T_2594 = _T_2593 | _T_2539; // @[Mux.scala 27:72] + wire [31:0] _T_2595 = _T_2594 | _T_2540; // @[Mux.scala 27:72] + wire [31:0] _T_2596 = _T_2595 | _T_2541; // @[Mux.scala 27:72] + wire [31:0] _T_2597 = _T_2596 | _T_2542; // @[Mux.scala 27:72] + wire [31:0] _T_2598 = _T_2597 | _T_2543; // @[Mux.scala 27:72] + wire [31:0] _T_2599 = _T_2598 | _T_2544; // @[Mux.scala 27:72] + wire [31:0] _T_2600 = _T_2599 | _T_2545; // @[Mux.scala 27:72] + wire [31:0] _T_2601 = _T_2600 | _T_2546; // @[Mux.scala 27:72] + wire [31:0] _T_2602 = _T_2601 | _T_2547; // @[Mux.scala 27:72] + wire [31:0] _T_2603 = _T_2602 | _T_2548; // @[Mux.scala 27:72] + wire [31:0] _T_2604 = _T_2603 | _T_2549; // @[Mux.scala 27:72] + wire [31:0] _T_2605 = _T_2604 | _T_2550; // @[Mux.scala 27:72] + wire [31:0] _T_2606 = _T_2605 | _T_2551; // @[Mux.scala 27:72] + wire [31:0] _T_2607 = _T_2606 | _T_2552; // @[Mux.scala 27:72] + wire [31:0] _T_2608 = _T_2607 | _T_2553; // @[Mux.scala 27:72] + rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + rvclkhdr rvclkhdr_12 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_12_io_l1clk), + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en), + .io_scan_mode(rvclkhdr_12_io_scan_mode) + ); + rvclkhdr rvclkhdr_13 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_13_io_l1clk), + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en), + .io_scan_mode(rvclkhdr_13_io_scan_mode) + ); + rvclkhdr rvclkhdr_14 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_14_io_l1clk), + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en), + .io_scan_mode(rvclkhdr_14_io_scan_mode) + ); + rvclkhdr rvclkhdr_15 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_15_io_l1clk), + .io_clk(rvclkhdr_15_io_clk), + .io_en(rvclkhdr_15_io_en), + .io_scan_mode(rvclkhdr_15_io_scan_mode) + ); + rvclkhdr rvclkhdr_16 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_16_io_l1clk), + .io_clk(rvclkhdr_16_io_clk), + .io_en(rvclkhdr_16_io_en), + .io_scan_mode(rvclkhdr_16_io_scan_mode) + ); + rvclkhdr rvclkhdr_17 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_17_io_l1clk), + .io_clk(rvclkhdr_17_io_clk), + .io_en(rvclkhdr_17_io_en), + .io_scan_mode(rvclkhdr_17_io_scan_mode) + ); + rvclkhdr rvclkhdr_18 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_18_io_l1clk), + .io_clk(rvclkhdr_18_io_clk), + .io_en(rvclkhdr_18_io_en), + .io_scan_mode(rvclkhdr_18_io_scan_mode) + ); + rvclkhdr rvclkhdr_19 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_19_io_l1clk), + .io_clk(rvclkhdr_19_io_clk), + .io_en(rvclkhdr_19_io_en), + .io_scan_mode(rvclkhdr_19_io_scan_mode) + ); + rvclkhdr rvclkhdr_20 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_20_io_l1clk), + .io_clk(rvclkhdr_20_io_clk), + .io_en(rvclkhdr_20_io_en), + .io_scan_mode(rvclkhdr_20_io_scan_mode) + ); + rvclkhdr rvclkhdr_21 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_21_io_l1clk), + .io_clk(rvclkhdr_21_io_clk), + .io_en(rvclkhdr_21_io_en), + .io_scan_mode(rvclkhdr_21_io_scan_mode) + ); + rvclkhdr rvclkhdr_22 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_22_io_l1clk), + .io_clk(rvclkhdr_22_io_clk), + .io_en(rvclkhdr_22_io_en), + .io_scan_mode(rvclkhdr_22_io_scan_mode) + ); + rvclkhdr rvclkhdr_23 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_23_io_l1clk), + .io_clk(rvclkhdr_23_io_clk), + .io_en(rvclkhdr_23_io_en), + .io_scan_mode(rvclkhdr_23_io_scan_mode) + ); + rvclkhdr rvclkhdr_24 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_24_io_l1clk), + .io_clk(rvclkhdr_24_io_clk), + .io_en(rvclkhdr_24_io_en), + .io_scan_mode(rvclkhdr_24_io_scan_mode) + ); + rvclkhdr rvclkhdr_25 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_25_io_l1clk), + .io_clk(rvclkhdr_25_io_clk), + .io_en(rvclkhdr_25_io_en), + .io_scan_mode(rvclkhdr_25_io_scan_mode) + ); + rvclkhdr rvclkhdr_26 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_26_io_l1clk), + .io_clk(rvclkhdr_26_io_clk), + .io_en(rvclkhdr_26_io_en), + .io_scan_mode(rvclkhdr_26_io_scan_mode) + ); + rvclkhdr rvclkhdr_27 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_27_io_l1clk), + .io_clk(rvclkhdr_27_io_clk), + .io_en(rvclkhdr_27_io_en), + .io_scan_mode(rvclkhdr_27_io_scan_mode) + ); + rvclkhdr rvclkhdr_28 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_28_io_l1clk), + .io_clk(rvclkhdr_28_io_clk), + .io_en(rvclkhdr_28_io_en), + .io_scan_mode(rvclkhdr_28_io_scan_mode) + ); + rvclkhdr rvclkhdr_29 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_29_io_l1clk), + .io_clk(rvclkhdr_29_io_clk), + .io_en(rvclkhdr_29_io_en), + .io_scan_mode(rvclkhdr_29_io_scan_mode) + ); + rvclkhdr rvclkhdr_30 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_30_io_l1clk), + .io_clk(rvclkhdr_30_io_clk), + .io_en(rvclkhdr_30_io_en), + .io_scan_mode(rvclkhdr_30_io_scan_mode) + ); + rvclkhdr rvclkhdr_31 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_31_io_l1clk), + .io_clk(rvclkhdr_31_io_clk), + .io_en(rvclkhdr_31_io_en), + .io_scan_mode(rvclkhdr_31_io_scan_mode) + ); + rvclkhdr rvclkhdr_32 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_32_io_l1clk), + .io_clk(rvclkhdr_32_io_clk), + .io_en(rvclkhdr_32_io_en), + .io_scan_mode(rvclkhdr_32_io_scan_mode) + ); + rvclkhdr rvclkhdr_33 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_33_io_l1clk), + .io_clk(rvclkhdr_33_io_clk), + .io_en(rvclkhdr_33_io_en), + .io_scan_mode(rvclkhdr_33_io_scan_mode) + ); + rvclkhdr rvclkhdr_34 ( // @[el2_lib.scala 474:22] + .io_l1clk(rvclkhdr_34_io_l1clk), + .io_clk(rvclkhdr_34_io_clk), + .io_en(rvclkhdr_34_io_en), + .io_scan_mode(rvclkhdr_34_io_scan_mode) + ); + assign io_dec_tlu_ic_diag_pkt_icache_wrdata = {_T_762,dicad0[31:0]}; // @[el2_dec_tlu_ctl.scala 2232:65] + assign io_dec_tlu_ic_diag_pkt_icache_dicawics = dicawics; // @[el2_dec_tlu_ctl.scala 2235:42] + assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = icache_rd_valid_f; // @[el2_dec_tlu_ctl.scala 2243:42] + assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = icache_wr_valid_f; // @[el2_dec_tlu_ctl.scala 2244:42] + assign io_trigger_pkt_any_0_select = io_mtdata1_t_0[7]; // @[el2_dec_tlu_ctl.scala 2308:36] + assign io_trigger_pkt_any_0_match_ = io_mtdata1_t_0[4]; // @[el2_dec_tlu_ctl.scala 2309:36] + assign io_trigger_pkt_any_0_store = io_mtdata1_t_0[1]; // @[el2_dec_tlu_ctl.scala 2310:36] + assign io_trigger_pkt_any_0_load = io_mtdata1_t_0[0]; // @[el2_dec_tlu_ctl.scala 2311:36] + assign io_trigger_pkt_any_0_execute = io_mtdata1_t_0[2]; // @[el2_dec_tlu_ctl.scala 2312:36] + assign io_trigger_pkt_any_0_m = io_mtdata1_t_0[3]; // @[el2_dec_tlu_ctl.scala 2313:36] + assign io_trigger_pkt_any_0_tdata2 = mtdata2_t_0; // @[el2_dec_tlu_ctl.scala 2326:53] + assign io_trigger_pkt_any_1_select = io_mtdata1_t_1[7]; // @[el2_dec_tlu_ctl.scala 2308:36] + assign io_trigger_pkt_any_1_match_ = io_mtdata1_t_1[4]; // @[el2_dec_tlu_ctl.scala 2309:36] + assign io_trigger_pkt_any_1_store = io_mtdata1_t_1[1]; // @[el2_dec_tlu_ctl.scala 2310:36] + assign io_trigger_pkt_any_1_load = io_mtdata1_t_1[0]; // @[el2_dec_tlu_ctl.scala 2311:36] + assign io_trigger_pkt_any_1_execute = io_mtdata1_t_1[2]; // @[el2_dec_tlu_ctl.scala 2312:36] + assign io_trigger_pkt_any_1_m = io_mtdata1_t_1[3]; // @[el2_dec_tlu_ctl.scala 2313:36] + assign io_trigger_pkt_any_1_tdata2 = mtdata2_t_1; // @[el2_dec_tlu_ctl.scala 2326:53] + assign io_trigger_pkt_any_2_select = io_mtdata1_t_2[7]; // @[el2_dec_tlu_ctl.scala 2308:36] + assign io_trigger_pkt_any_2_match_ = io_mtdata1_t_2[4]; // @[el2_dec_tlu_ctl.scala 2309:36] + assign io_trigger_pkt_any_2_store = io_mtdata1_t_2[1]; // @[el2_dec_tlu_ctl.scala 2310:36] + assign io_trigger_pkt_any_2_load = io_mtdata1_t_2[0]; // @[el2_dec_tlu_ctl.scala 2311:36] + assign io_trigger_pkt_any_2_execute = io_mtdata1_t_2[2]; // @[el2_dec_tlu_ctl.scala 2312:36] + assign io_trigger_pkt_any_2_m = io_mtdata1_t_2[3]; // @[el2_dec_tlu_ctl.scala 2313:36] + assign io_trigger_pkt_any_2_tdata2 = mtdata2_t_2; // @[el2_dec_tlu_ctl.scala 2326:53] + assign io_trigger_pkt_any_3_select = io_mtdata1_t_3[7]; // @[el2_dec_tlu_ctl.scala 2308:36] + assign io_trigger_pkt_any_3_match_ = io_mtdata1_t_3[4]; // @[el2_dec_tlu_ctl.scala 2309:36] + assign io_trigger_pkt_any_3_store = io_mtdata1_t_3[1]; // @[el2_dec_tlu_ctl.scala 2310:36] + assign io_trigger_pkt_any_3_load = io_mtdata1_t_3[0]; // @[el2_dec_tlu_ctl.scala 2311:36] + assign io_trigger_pkt_any_3_execute = io_mtdata1_t_3[2]; // @[el2_dec_tlu_ctl.scala 2312:36] + assign io_trigger_pkt_any_3_m = io_mtdata1_t_3[3]; // @[el2_dec_tlu_ctl.scala 2313:36] + assign io_trigger_pkt_any_3_tdata2 = mtdata2_t_3; // @[el2_dec_tlu_ctl.scala 2326:53] + assign io_dec_tlu_int_valid_wb1 = _T_2332; // @[el2_dec_tlu_ctl.scala 2569:31] + assign io_dec_tlu_i0_exc_valid_wb1 = _T_2330; // @[el2_dec_tlu_ctl.scala 2567:31] + assign io_dec_tlu_i0_valid_wb1 = _T_2325; // @[el2_dec_tlu_ctl.scala 2566:31] + assign io_dec_tlu_perfcnt0 = mhpmc_inc_r_d1_0 & _T_2177; // @[el2_dec_tlu_ctl.scala 2423:23] + assign io_dec_tlu_perfcnt1 = mhpmc_inc_r_d1_1 & _T_2182; // @[el2_dec_tlu_ctl.scala 2424:23] + assign io_dec_tlu_perfcnt2 = mhpmc_inc_r_d1_2 & _T_2187; // @[el2_dec_tlu_ctl.scala 2425:23] + assign io_dec_tlu_perfcnt3 = mhpmc_inc_r_d1_3 & _T_2192; // @[el2_dec_tlu_ctl.scala 2426:23] + assign io_dec_tlu_misc_clk_override = mcgc[8]; // @[el2_dec_tlu_ctl.scala 1797:32] + assign io_dec_tlu_dec_clk_override = mcgc[7]; // @[el2_dec_tlu_ctl.scala 1798:32] + assign io_dec_tlu_ifu_clk_override = mcgc[5]; // @[el2_dec_tlu_ctl.scala 1799:32] + assign io_dec_tlu_lsu_clk_override = mcgc[4]; // @[el2_dec_tlu_ctl.scala 1800:32] + assign io_dec_tlu_bus_clk_override = mcgc[3]; // @[el2_dec_tlu_ctl.scala 1801:32] + assign io_dec_tlu_pic_clk_override = mcgc[2]; // @[el2_dec_tlu_ctl.scala 1802:32] + assign io_dec_tlu_dccm_clk_override = mcgc[1]; // @[el2_dec_tlu_ctl.scala 1803:32] + assign io_dec_tlu_icm_clk_override = mcgc[0]; // @[el2_dec_tlu_ctl.scala 1804:32] + assign io_dec_csr_rddata_d = _T_2608 | _T_2554; // @[el2_dec_tlu_ctl.scala 2576:22] + assign io_dec_tlu_pipelining_disable = mfdc[0]; // @[el2_dec_tlu_ctl.scala 1847:40] + assign io_dec_tlu_wr_pause_r = _T_368 & _T_369; // @[el2_dec_tlu_ctl.scala 1856:25] + assign io_dec_tlu_meipt = meipt; // @[el2_dec_tlu_ctl.scala 2083:20] + assign io_dec_tlu_meicurpl = meicurpl; // @[el2_dec_tlu_ctl.scala 2047:23] + assign io_dec_tlu_meihap = _T_615[29:0]; // @[el2_dec_tlu_ctl.scala 2033:21] + assign io_dec_tlu_mrac_ff = mrac; // @[el2_dec_tlu_ctl.scala 1886:22] + assign io_dec_tlu_wb_coalescing_disable = mfdc[2]; // @[el2_dec_tlu_ctl.scala 1846:40] + assign io_dec_tlu_bpred_disable = mfdc[3]; // @[el2_dec_tlu_ctl.scala 1845:40] + assign io_dec_tlu_sideeffect_posted_disable = mfdc[6]; // @[el2_dec_tlu_ctl.scala 1844:40] + assign io_dec_tlu_core_ecc_disable = mfdc[8]; // @[el2_dec_tlu_ctl.scala 1843:40] + assign io_dec_tlu_external_ldfwd_disable = mfdc[11]; // @[el2_dec_tlu_ctl.scala 1842:40] + assign io_dec_tlu_dma_qos_prty = mfdc[18:16]; // @[el2_dec_tlu_ctl.scala 1841:40] + assign io_dec_csr_wen_r_mod = _T_1 & _T_2; // @[el2_dec_tlu_ctl.scala 1530:24] + assign io_fw_halt_req = _T_500 & _T_501; // @[el2_dec_tlu_ctl.scala 1921:18] + assign io_mstatus = _T_54; // @[el2_dec_tlu_ctl.scala 1546:14] + assign io_mstatus_mie_ns = io_mstatus[0] & _T_52; // @[el2_dec_tlu_ctl.scala 1545:21] + assign io_dcsr = _T_700; // @[el2_dec_tlu_ctl.scala 2130:11] + assign io_mtvec = _T_60; // @[el2_dec_tlu_ctl.scala 1558:12] + assign io_mip = _T_66; // @[el2_dec_tlu_ctl.scala 1573:10] + assign io_mie_ns = wr_mie_r ? _T_76 : mie; // @[el2_dec_tlu_ctl.scala 1587:13] + assign io_npc_r = _T_159 | _T_157; // @[el2_dec_tlu_ctl.scala 1681:12] + assign io_npc_r_d1 = _T_165; // @[el2_dec_tlu_ctl.scala 1687:15] + assign io_mepc = _T_194; // @[el2_dec_tlu_ctl.scala 1706:11] + assign io_mdseac_locked_ns = mdseac_en | _T_487; // @[el2_dec_tlu_ctl.scala 1904:23] + assign io_force_halt = mfdht[0] & _T_607; // @[el2_dec_tlu_ctl.scala 2010:17] + assign io_dpc = _T_725; // @[el2_dec_tlu_ctl.scala 2147:10] + assign io_mtdata1_t_0 = _T_871; // @[el2_dec_tlu_ctl.scala 2303:41] + assign io_mtdata1_t_1 = _T_872; // @[el2_dec_tlu_ctl.scala 2303:41] + assign io_mtdata1_t_2 = _T_873; // @[el2_dec_tlu_ctl.scala 2303:41] + assign io_mtdata1_t_3 = _T_874; // @[el2_dec_tlu_ctl.scala 2303:41] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_io_en = io_dec_csr_wen_r_mod & _T_56; // @[el2_lib.scala 488:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_1_io_en = wr_mcyclel_r | mcyclel_cout_in; // @[el2_lib.scala 488:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_2_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_2_io_en = wr_mcycleh_r | mcyclel_cout_f; // @[el2_lib.scala 488:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_3_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_3_io_en = i0_valid_no_ebreak_ecall_r | wr_minstretl_r; // @[el2_lib.scala 488:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_4_io_en = minstret_enable_f | wr_minstreth_r; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_5_io_en = io_dec_csr_wen_r_mod & _T_137; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_6_io_en = _T_162 | io_reset_delayed; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_7_io_en = _T_140 & io_dec_tlu_i0_valid_r; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_8_io_en = io_dec_csr_wen_r_mod & _T_323; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_9_io_en = io_dec_csr_wen_r_mod & _T_335; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_10_io_en = io_dec_csr_wen_r_mod & _T_372; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_11_io_en = _T_491 & _T_492; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_12_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_12_io_en = wr_micect_r | io_ic_perr_r_d1; // @[el2_lib.scala 488:17] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_13_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_13_io_en = _T_547 | io_iccm_dma_sb_error; // @[el2_lib.scala 488:17] + assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_14_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_14_io_en = wr_mdccmect_r | io_lsu_single_ecc_error_r_d1; // @[el2_lib.scala 488:17] + assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_15_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_15_io_en = io_dec_csr_wen_r_mod & _T_610; // @[el2_lib.scala 488:17] + assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_16_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_16_io_en = _T_631 | io_take_ext_int_start; // @[el2_lib.scala 488:17] + assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_17_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_17_io_en = _T_697 | io_take_nmi; // @[el2_lib.scala 488:17] + assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_18_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_18_io_en = _T_722 | dpc_capture_npc; // @[el2_lib.scala 488:17] + assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_19_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_19_io_en = _T_662 & _T_732; // @[el2_lib.scala 488:17] + assign rvclkhdr_19_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_20_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_20_io_en = wr_dicad0_r | io_ifu_ic_debug_rd_data_valid; // @[el2_lib.scala 488:17] + assign rvclkhdr_20_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_21_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_21_io_en = wr_dicad0h_r | io_ifu_ic_debug_rd_data_valid; // @[el2_lib.scala 488:17] + assign rvclkhdr_21_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_22_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_22_io_en = _T_970 & _T_806; // @[el2_lib.scala 488:17] + assign rvclkhdr_22_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_23_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_23_io_en = _T_979 & _T_815; // @[el2_lib.scala 488:17] + assign rvclkhdr_23_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_24_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_24_io_en = _T_988 & _T_824; // @[el2_lib.scala 488:17] + assign rvclkhdr_24_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_25_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_25_io_en = _T_997 & _T_833; // @[el2_lib.scala 488:17] + assign rvclkhdr_25_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_26_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_26_io_en = mhpmc3_wr_en0 | mhpmc3_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_26_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_27_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_27_io_en = mhpmc3h_wr_en0 | mhpmc3_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_27_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_28_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_28_io_en = mhpmc4_wr_en0 | mhpmc4_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_28_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_29_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_29_io_en = mhpmc4h_wr_en0 | mhpmc4_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_29_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_30_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_30_io_en = mhpmc5_wr_en0 | mhpmc5_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_30_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_31_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_31_io_en = mhpmc5h_wr_en0 | mhpmc5_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_31_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_32_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_32_io_en = mhpmc6_wr_en0 | mhpmc6_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_32_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_33_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_33_io_en = mhpmc6h_wr_en0 | mhpmc6_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_33_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_34_io_clk = clock; // @[el2_lib.scala 475:17] + assign rvclkhdr_34_io_en = _T_2322 | io_clk_override; // @[el2_lib.scala 476:16] + assign rvclkhdr_34_io_scan_mode = io_scan_mode; // @[el2_lib.scala 477:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + mpmc_b = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + _T_54 = _RAND_1[1:0]; + _RAND_2 = {1{`RANDOM}}; + _T_60 = _RAND_2[30:0]; + _RAND_3 = {1{`RANDOM}}; + mdccmect = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + miccmect = _RAND_4[31:0]; + _RAND_5 = {1{`RANDOM}}; + micect = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + _T_66 = _RAND_6[5:0]; + _RAND_7 = {1{`RANDOM}}; + mie = _RAND_7[5:0]; + _RAND_8 = {1{`RANDOM}}; + temp_ncount6_2 = _RAND_8[4:0]; + _RAND_9 = {1{`RANDOM}}; + temp_ncount0 = _RAND_9[0:0]; + _RAND_10 = {2{`RANDOM}}; + _T_95 = _RAND_10[32:0]; + _RAND_11 = {1{`RANDOM}}; + mcyclel_cout_f = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + mcycleh = _RAND_12[31:0]; + _RAND_13 = {2{`RANDOM}}; + _T_122 = _RAND_13[32:0]; + _RAND_14 = {1{`RANDOM}}; + minstret_enable_f = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + minstretl_cout_f = _RAND_15[0:0]; + _RAND_16 = {1{`RANDOM}}; + minstreth = _RAND_16[31:0]; + _RAND_17 = {1{`RANDOM}}; + mscratch = _RAND_17[31:0]; + _RAND_18 = {1{`RANDOM}}; + _T_165 = _RAND_18[30:0]; + _RAND_19 = {1{`RANDOM}}; + pc_r_d1 = _RAND_19[30:0]; + _RAND_20 = {1{`RANDOM}}; + _T_194 = _RAND_20[30:0]; + _RAND_21 = {1{`RANDOM}}; + mcause = _RAND_21[31:0]; + _RAND_22 = {1{`RANDOM}}; + mscause = _RAND_22[3:0]; + _RAND_23 = {1{`RANDOM}}; + mtval = _RAND_23[31:0]; + _RAND_24 = {1{`RANDOM}}; + mcgc = _RAND_24[8:0]; + _RAND_25 = {1{`RANDOM}}; + mfdc_int = _RAND_25[14:0]; + _RAND_26 = {1{`RANDOM}}; + mrac = _RAND_26[31:0]; + _RAND_27 = {1{`RANDOM}}; + mdseac = _RAND_27[31:0]; + _RAND_28 = {1{`RANDOM}}; + mfdht = _RAND_28[5:0]; + _RAND_29 = {1{`RANDOM}}; + mfdhs = _RAND_29[1:0]; + _RAND_30 = {1{`RANDOM}}; + force_halt_ctr_f = _RAND_30[31:0]; + _RAND_31 = {1{`RANDOM}}; + meivt = _RAND_31[21:0]; + _RAND_32 = {1{`RANDOM}}; + meihap = _RAND_32[7:0]; + _RAND_33 = {1{`RANDOM}}; + meicurpl = _RAND_33[3:0]; + _RAND_34 = {1{`RANDOM}}; + meicidpl = _RAND_34[3:0]; + _RAND_35 = {1{`RANDOM}}; + meipt = _RAND_35[3:0]; + _RAND_36 = {1{`RANDOM}}; + _T_700 = _RAND_36[15:0]; + _RAND_37 = {1{`RANDOM}}; + _T_725 = _RAND_37[30:0]; + _RAND_38 = {1{`RANDOM}}; + dicawics = _RAND_38[16:0]; + _RAND_39 = {3{`RANDOM}}; + dicad0 = _RAND_39[70:0]; + _RAND_40 = {1{`RANDOM}}; + dicad0h = _RAND_40[31:0]; + _RAND_41 = {1{`RANDOM}}; + _T_757 = _RAND_41[31:0]; + _RAND_42 = {1{`RANDOM}}; + icache_rd_valid_f = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + icache_wr_valid_f = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + mtsel = _RAND_44[1:0]; + _RAND_45 = {1{`RANDOM}}; + _T_871 = _RAND_45[9:0]; + _RAND_46 = {1{`RANDOM}}; + _T_872 = _RAND_46[9:0]; + _RAND_47 = {1{`RANDOM}}; + _T_873 = _RAND_47[9:0]; + _RAND_48 = {1{`RANDOM}}; + _T_874 = _RAND_48[9:0]; + _RAND_49 = {1{`RANDOM}}; + mtdata2_t_0 = _RAND_49[31:0]; + _RAND_50 = {1{`RANDOM}}; + mtdata2_t_1 = _RAND_50[31:0]; + _RAND_51 = {1{`RANDOM}}; + mtdata2_t_2 = _RAND_51[31:0]; + _RAND_52 = {1{`RANDOM}}; + mtdata2_t_3 = _RAND_52[31:0]; + _RAND_53 = {1{`RANDOM}}; + mhpme3 = _RAND_53[9:0]; + _RAND_54 = {1{`RANDOM}}; + mhpme4 = _RAND_54[9:0]; + _RAND_55 = {1{`RANDOM}}; + mhpme5 = _RAND_55[9:0]; + _RAND_56 = {1{`RANDOM}}; + mhpme6 = _RAND_56[9:0]; + _RAND_57 = {1{`RANDOM}}; + mhpmc_inc_r_d1_0 = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + mhpmc_inc_r_d1_1 = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + mhpmc_inc_r_d1_2 = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + mhpmc_inc_r_d1_3 = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + perfcnt_halted_d1 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + mhpmc3h = _RAND_62[31:0]; + _RAND_63 = {1{`RANDOM}}; + mhpmc3 = _RAND_63[31:0]; + _RAND_64 = {1{`RANDOM}}; + mhpmc4h = _RAND_64[31:0]; + _RAND_65 = {1{`RANDOM}}; + mhpmc4 = _RAND_65[31:0]; + _RAND_66 = {1{`RANDOM}}; + mhpmc5h = _RAND_66[31:0]; + _RAND_67 = {1{`RANDOM}}; + mhpmc5 = _RAND_67[31:0]; + _RAND_68 = {1{`RANDOM}}; + mhpmc6h = _RAND_68[31:0]; + _RAND_69 = {1{`RANDOM}}; + mhpmc6 = _RAND_69[31:0]; + _RAND_70 = {1{`RANDOM}}; + _T_2325 = _RAND_70[0:0]; + _RAND_71 = {1{`RANDOM}}; + _T_2330 = _RAND_71[0:0]; + _RAND_72 = {1{`RANDOM}}; + _T_2332 = _RAND_72[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + mpmc_b = 1'h0; + end + if (reset) begin + _T_54 = 2'h0; + end + if (reset) begin + _T_60 = 31'h0; + end + if (reset) begin + mdccmect = 32'h0; + end + if (reset) begin + miccmect = 32'h0; + end + if (reset) begin + micect = 32'h0; + end + if (reset) begin + _T_66 = 6'h0; + end + if (reset) begin + mie = 6'h0; + end + if (reset) begin + temp_ncount6_2 = 5'h0; + end + if (reset) begin + temp_ncount0 = 1'h0; + end + if (reset) begin + _T_95 = 33'h0; + end + if (reset) begin + mcyclel_cout_f = 1'h0; + end + if (reset) begin + mcycleh = 32'h0; + end + if (reset) begin + _T_122 = 33'h0; + end + if (reset) begin + minstret_enable_f = 1'h0; + end + if (reset) begin + minstretl_cout_f = 1'h0; + end + if (reset) begin + minstreth = 32'h0; + end + if (reset) begin + mscratch = 32'h0; + end + if (reset) begin + _T_165 = 31'h0; + end + if (reset) begin + pc_r_d1 = 31'h0; + end + if (reset) begin + _T_194 = 31'h0; + end + if (reset) begin + mcause = 32'h0; + end + if (reset) begin + mscause = 4'h0; + end + if (reset) begin + mtval = 32'h0; + end + if (reset) begin + mcgc = 9'h0; + end + if (reset) begin + mfdc_int = 15'h0; + end + if (reset) begin + mrac = 32'h0; + end + if (reset) begin + mdseac = 32'h0; + end + if (reset) begin + mfdht = 6'h0; + end + if (reset) begin + mfdhs = 2'h0; + end + if (reset) begin + force_halt_ctr_f = 32'h0; + end + if (reset) begin + meivt = 22'h0; + end + if (reset) begin + meihap = 8'h0; + end + if (reset) begin + meicurpl = 4'h0; + end + if (reset) begin + meicidpl = 4'h0; + end + if (reset) begin + meipt = 4'h0; + end + if (reset) begin + _T_700 = 16'h0; + end + if (reset) begin + _T_725 = 31'h0; + end + if (reset) begin + dicawics = 17'h0; + end + if (reset) begin + dicad0 = 71'h0; + end + if (reset) begin + dicad0h = 32'h0; + end + if (reset) begin + _T_757 = 32'h0; + end + if (reset) begin + icache_rd_valid_f = 1'h0; + end + if (reset) begin + icache_wr_valid_f = 1'h0; + end + if (reset) begin + mtsel = 2'h0; + end + if (reset) begin + _T_871 = 10'h0; + end + if (reset) begin + _T_872 = 10'h0; + end + if (reset) begin + _T_873 = 10'h0; + end + if (reset) begin + _T_874 = 10'h0; + end + if (reset) begin + mtdata2_t_0 = 32'h0; + end + if (reset) begin + mtdata2_t_1 = 32'h0; + end + if (reset) begin + mtdata2_t_2 = 32'h0; + end + if (reset) begin + mtdata2_t_3 = 32'h0; + end + if (reset) begin + mhpme3 = 10'h0; + end + if (reset) begin + mhpme4 = 10'h0; + end + if (reset) begin + mhpme5 = 10'h0; + end + if (reset) begin + mhpme6 = 10'h0; + end + if (reset) begin + mhpmc_inc_r_d1_0 = 1'h0; + end + if (reset) begin + mhpmc_inc_r_d1_1 = 1'h0; + end + if (reset) begin + mhpmc_inc_r_d1_2 = 1'h0; + end + if (reset) begin + mhpmc_inc_r_d1_3 = 1'h0; + end + if (reset) begin + perfcnt_halted_d1 = 1'h0; + end + if (reset) begin + mhpmc3h = 32'h0; + end + if (reset) begin + mhpmc3 = 32'h0; + end + if (reset) begin + mhpmc4h = 32'h0; + end + if (reset) begin + mhpmc4 = 32'h0; + end + if (reset) begin + mhpmc5h = 32'h0; + end + if (reset) begin + mhpmc5 = 32'h0; + end + if (reset) begin + mhpmc6h = 32'h0; + end + if (reset) begin + mhpmc6 = 32'h0; + end + if (reset) begin + _T_2325 = 1'h0; + end + if (reset) begin + _T_2330 = 1'h0; + end + if (reset) begin + _T_2332 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_csr_wr_clk or posedge reset) begin + if (reset) begin + mpmc_b <= 1'h0; + end else if (wr_mpmc_r) begin + mpmc_b <= _T_507; + end else begin + mpmc_b <= _T_508; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_54 <= 2'h0; + end else begin + _T_54 <= _T_46 | _T_42; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_60 <= 31'h0; + end else begin + _T_60 <= {io_dec_csr_wrdata_r[31:2],io_dec_csr_wrdata_r[0]}; + end + end + always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin + if (reset) begin + mdccmect <= 32'h0; + end else if (wr_mdccmect_r) begin + mdccmect <= _T_523; + end else begin + mdccmect <= _T_567; + end + end + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin + if (reset) begin + miccmect <= 32'h0; + end else if (wr_miccmect_r) begin + miccmect <= _T_523; + end else begin + miccmect <= _T_546; + end + end + always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin + if (reset) begin + micect <= 32'h0; + end else if (wr_micect_r) begin + micect <= _T_523; + end else begin + micect <= _T_525; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_66 <= 6'h0; + end else begin + _T_66 <= {_T_65,_T_63}; + end + end + always @(posedge io_csr_wr_clk or posedge reset) begin + if (reset) begin + mie <= 6'h0; + end else begin + mie <= io_mie_ns; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + temp_ncount6_2 <= 5'h0; + end else if (wr_mcountinhibit_r) begin + temp_ncount6_2 <= io_dec_csr_wrdata_r[6:2]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + temp_ncount0 <= 1'h0; + end else if (wr_mcountinhibit_r) begin + temp_ncount0 <= io_dec_csr_wrdata_r[0]; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + _T_95 <= 33'h0; + end else if (wr_mcyclel_r) begin + _T_95 <= {{1'd0}, io_dec_csr_wrdata_r}; + end else begin + _T_95 <= mcyclel_inc; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mcyclel_cout_f <= 1'h0; + end else begin + mcyclel_cout_f <= mcyclel_cout & _T_96; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + mcycleh <= 32'h0; + end else if (wr_mcycleh_r) begin + mcycleh <= io_dec_csr_wrdata_r; + end else begin + mcycleh <= mcycleh_inc; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + _T_122 <= 33'h0; + end else if (wr_minstretl_r) begin + _T_122 <= {{1'd0}, io_dec_csr_wrdata_r}; + end else begin + _T_122 <= minstretl_inc; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + minstret_enable_f <= 1'h0; + end else begin + minstret_enable_f <= i0_valid_no_ebreak_ecall_r | wr_minstretl_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + minstretl_cout_f <= 1'h0; + end else begin + minstretl_cout_f <= minstretl_cout & _T_123; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + minstreth <= 32'h0; + end else if (wr_minstreth_r) begin + minstreth <= io_dec_csr_wrdata_r; + end else begin + minstreth <= minstreth_inc; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + mscratch <= 32'h0; + end else begin + mscratch <= io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + _T_165 <= 31'h0; + end else begin + _T_165 <= io_npc_r; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + pc_r_d1 <= 31'h0; + end else begin + pc_r_d1 <= _T_169 | _T_170; + end + end + always @(posedge io_e4e5_int_clk or posedge reset) begin + if (reset) begin + _T_194 <= 31'h0; + end else begin + _T_194 <= _T_192 | _T_190; + end + end + always @(posedge io_e4e5_int_clk or posedge reset) begin + if (reset) begin + mcause <= 32'h0; + end else begin + mcause <= _T_232 | _T_228; + end + end + always @(posedge io_e4e5_int_clk or posedge reset) begin + if (reset) begin + mscause <= 4'h0; + end else begin + mscause <= _T_262 | _T_261; + end + end + always @(posedge io_e4e5_int_clk or posedge reset) begin + if (reset) begin + mtval <= 32'h0; + end else begin + mtval <= _T_319 | _T_315; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + mcgc <= 9'h0; + end else begin + mcgc <= io_dec_csr_wrdata_r[8:0]; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + mfdc_int <= 15'h0; + end else begin + mfdc_int <= {_T_345,_T_344}; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + mrac <= 32'h0; + end else begin + mrac <= {_T_482,_T_467}; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + mdseac <= 32'h0; + end else begin + mdseac <= io_lsu_imprecise_error_addr_any; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mfdht <= 6'h0; + end else if (wr_mfdht_r) begin + mfdht <= io_dec_csr_wrdata_r[5:0]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mfdhs <= 2'h0; + end else if (_T_593) begin + if (wr_mfdhs_r) begin + mfdhs <= io_dec_csr_wrdata_r[1:0]; + end else if (_T_587) begin + mfdhs <= _T_591; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + force_halt_ctr_f <= 32'h0; + end else if (mfdht[0]) begin + if (io_debug_halt_req_f) begin + force_halt_ctr_f <= _T_598; + end else if (io_dbg_tlu_halted_f) begin + force_halt_ctr_f <= 32'h0; + end + end + end + always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin + if (reset) begin + meivt <= 22'h0; + end else begin + meivt <= io_dec_csr_wrdata_r[31:10]; + end + end + always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin + if (reset) begin + meihap <= 8'h0; + end else begin + meihap <= io_pic_claimid; + end + end + always @(posedge io_csr_wr_clk or posedge reset) begin + if (reset) begin + meicurpl <= 4'h0; + end else if (wr_meicurpl_r) begin + meicurpl <= io_dec_csr_wrdata_r[3:0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + meicidpl <= 4'h0; + end else if (wr_meicpct_r) begin + meicidpl <= io_pic_pl; + end else if (wr_meicidpl_r) begin + meicidpl <= io_dec_csr_wrdata_r[3:0]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + meipt <= 4'h0; + end else if (wr_meipt_r) begin + meipt <= io_dec_csr_wrdata_r[3:0]; + end + end + always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin + if (reset) begin + _T_700 <= 16'h0; + end else if (enter_debug_halt_req_le) begin + _T_700 <= _T_674; + end else if (wr_dcsr_r) begin + _T_700 <= _T_689; + end else begin + _T_700 <= _T_694; + end + end + always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin + if (reset) begin + _T_725 <= 31'h0; + end else begin + _T_725 <= _T_720 | _T_719; + end + end + always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin + if (reset) begin + dicawics <= 17'h0; + end else begin + dicawics <= {_T_729,io_dec_csr_wrdata_r[16:3]}; + end + end + always @(posedge rvclkhdr_20_io_l1clk or posedge reset) begin + if (reset) begin + dicad0 <= 71'h0; + end else if (wr_dicad0_r) begin + dicad0 <= {{39'd0}, io_dec_csr_wrdata_r}; + end else begin + dicad0 <= io_ifu_ic_debug_rd_data; + end + end + always @(posedge rvclkhdr_21_io_l1clk or posedge reset) begin + if (reset) begin + dicad0h <= 32'h0; + end else if (wr_dicad0h_r) begin + dicad0h <= io_dec_csr_wrdata_r; + end else begin + dicad0h <= io_ifu_ic_debug_rd_data[63:32]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_757 <= 32'h0; + end else if (_T_755) begin + if (_T_751) begin + _T_757 <= io_dec_csr_wrdata_r; + end else begin + _T_757 <= {{25'd0}, io_ifu_ic_debug_rd_data[70:64]}; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + icache_rd_valid_f <= 1'h0; + end else begin + icache_rd_valid_f <= _T_767 & _T_769; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + icache_wr_valid_f <= 1'h0; + end else begin + icache_wr_valid_f <= _T_662 & _T_772; + end + end + always @(posedge io_csr_wr_clk or posedge reset) begin + if (reset) begin + mtsel <= 2'h0; + end else if (wr_mtsel_r) begin + mtsel <= io_dec_csr_wrdata_r[1:0]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_871 <= 10'h0; + end else if (wr_mtdata1_t_r_0) begin + _T_871 <= tdata_wrdata_r; + end else begin + _T_871 <= _T_842; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_872 <= 10'h0; + end else if (wr_mtdata1_t_r_1) begin + _T_872 <= tdata_wrdata_r; + end else begin + _T_872 <= _T_851; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_873 <= 10'h0; + end else if (wr_mtdata1_t_r_2) begin + _T_873 <= tdata_wrdata_r; + end else begin + _T_873 <= _T_860; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_874 <= 10'h0; + end else if (wr_mtdata1_t_r_3) begin + _T_874 <= tdata_wrdata_r; + end else begin + _T_874 <= _T_869; + end + end + always @(posedge rvclkhdr_22_io_l1clk or posedge reset) begin + if (reset) begin + mtdata2_t_0 <= 32'h0; + end else begin + mtdata2_t_0 <= io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_23_io_l1clk or posedge reset) begin + if (reset) begin + mtdata2_t_1 <= 32'h0; + end else begin + mtdata2_t_1 <= io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_24_io_l1clk or posedge reset) begin + if (reset) begin + mtdata2_t_2 <= 32'h0; + end else begin + mtdata2_t_2 <= io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_25_io_l1clk or posedge reset) begin + if (reset) begin + mtdata2_t_3 <= 32'h0; + end else begin + mtdata2_t_3 <= io_dec_csr_wrdata_r; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mhpme3 <= 10'h0; + end else if (wr_mhpme3_r) begin + if (_T_2287) begin + mhpme3 <= 10'h204; + end else begin + mhpme3 <= io_dec_csr_wrdata_r[9:0]; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mhpme4 <= 10'h0; + end else if (wr_mhpme4_r) begin + if (_T_2287) begin + mhpme4 <= 10'h204; + end else begin + mhpme4 <= io_dec_csr_wrdata_r[9:0]; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mhpme5 <= 10'h0; + end else if (wr_mhpme5_r) begin + if (_T_2287) begin + mhpme5 <= 10'h204; + end else begin + mhpme5 <= io_dec_csr_wrdata_r[9:0]; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mhpme6 <= 10'h0; + end else if (wr_mhpme6_r) begin + if (_T_2287) begin + mhpme6 <= 10'h204; + end else begin + mhpme6 <= io_dec_csr_wrdata_r[9:0]; + end + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mhpmc_inc_r_d1_0 <= 1'h0; + end else begin + mhpmc_inc_r_d1_0 <= _T_1305[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mhpmc_inc_r_d1_1 <= 1'h0; + end else begin + mhpmc_inc_r_d1_1 <= _T_1588[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mhpmc_inc_r_d1_2 <= 1'h0; + end else begin + mhpmc_inc_r_d1_2 <= _T_1871[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mhpmc_inc_r_d1_3 <= 1'h0; + end else begin + mhpmc_inc_r_d1_3 <= _T_2154[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + perfcnt_halted_d1 <= 1'h0; + end else begin + perfcnt_halted_d1 <= _T_83 | io_dec_tlu_pmu_fw_halted; + end + end + always @(posedge rvclkhdr_27_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc3h <= 32'h0; + end else if (mhpmc3h_wr_en0) begin + mhpmc3h <= io_dec_csr_wrdata_r; + end else begin + mhpmc3h <= mhpmc3_incr[63:32]; + end + end + always @(posedge rvclkhdr_26_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc3 <= 32'h0; + end else if (mhpmc3_wr_en0) begin + mhpmc3 <= io_dec_csr_wrdata_r; + end else begin + mhpmc3 <= mhpmc3_incr[31:0]; + end + end + always @(posedge rvclkhdr_29_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc4h <= 32'h0; + end else if (mhpmc4h_wr_en0) begin + mhpmc4h <= io_dec_csr_wrdata_r; + end else begin + mhpmc4h <= mhpmc4_incr[63:32]; + end + end + always @(posedge rvclkhdr_28_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc4 <= 32'h0; + end else if (mhpmc4_wr_en0) begin + mhpmc4 <= io_dec_csr_wrdata_r; + end else begin + mhpmc4 <= mhpmc4_incr[31:0]; + end + end + always @(posedge rvclkhdr_31_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc5h <= 32'h0; + end else if (mhpmc5h_wr_en0) begin + mhpmc5h <= io_dec_csr_wrdata_r; + end else begin + mhpmc5h <= mhpmc5_incr[63:32]; + end + end + always @(posedge rvclkhdr_30_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc5 <= 32'h0; + end else if (mhpmc5_wr_en0) begin + mhpmc5 <= io_dec_csr_wrdata_r; + end else begin + mhpmc5 <= mhpmc5_incr[31:0]; + end + end + always @(posedge rvclkhdr_33_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc6h <= 32'h0; + end else if (mhpmc6h_wr_en0) begin + mhpmc6h <= io_dec_csr_wrdata_r; + end else begin + mhpmc6h <= mhpmc6_incr[63:32]; + end + end + always @(posedge rvclkhdr_32_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc6 <= 32'h0; + end else if (mhpmc6_wr_en0) begin + mhpmc6 <= io_dec_csr_wrdata_r; + end else begin + mhpmc6 <= mhpmc6_incr[31:0]; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + _T_2325 <= 1'h0; + end else begin + _T_2325 <= io_i0_valid_wb; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + _T_2330 <= 1'h0; + end else begin + _T_2330 <= _T_2326 | _T_2328; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + _T_2332 <= 1'h0; + end else begin + _T_2332 <= io_interrupt_valid_r_d1; + end + end +endmodule +module el2_dec_decode_csr_read( + input [11:0] io_dec_csr_rdaddr_d, + output io_csr_pkt_csr_misa, + output io_csr_pkt_csr_mvendorid, + output io_csr_pkt_csr_marchid, + output io_csr_pkt_csr_mimpid, + output io_csr_pkt_csr_mhartid, + output io_csr_pkt_csr_mstatus, + output io_csr_pkt_csr_mtvec, + output io_csr_pkt_csr_mip, + output io_csr_pkt_csr_mie, + output io_csr_pkt_csr_mcyclel, + output io_csr_pkt_csr_mcycleh, + output io_csr_pkt_csr_minstretl, + output io_csr_pkt_csr_minstreth, + output io_csr_pkt_csr_mscratch, + output io_csr_pkt_csr_mepc, + output io_csr_pkt_csr_mcause, + output io_csr_pkt_csr_mscause, + output io_csr_pkt_csr_mtval, + output io_csr_pkt_csr_mrac, + output io_csr_pkt_csr_dmst, + output io_csr_pkt_csr_mdseac, + output io_csr_pkt_csr_meihap, + output io_csr_pkt_csr_meivt, + output io_csr_pkt_csr_meipt, + output io_csr_pkt_csr_meicurpl, + output io_csr_pkt_csr_meicidpl, + output io_csr_pkt_csr_dcsr, + output io_csr_pkt_csr_mcgc, + output io_csr_pkt_csr_mfdc, + output io_csr_pkt_csr_dpc, + output io_csr_pkt_csr_mtsel, + output io_csr_pkt_csr_mtdata1, + output io_csr_pkt_csr_mtdata2, + output io_csr_pkt_csr_mhpmc3, + output io_csr_pkt_csr_mhpmc4, + output io_csr_pkt_csr_mhpmc5, + output io_csr_pkt_csr_mhpmc6, + output io_csr_pkt_csr_mhpmc3h, + output io_csr_pkt_csr_mhpmc4h, + output io_csr_pkt_csr_mhpmc5h, + output io_csr_pkt_csr_mhpmc6h, + output io_csr_pkt_csr_mhpme3, + output io_csr_pkt_csr_mhpme4, + output io_csr_pkt_csr_mhpme5, + output io_csr_pkt_csr_mhpme6, + output io_csr_pkt_csr_mcountinhibit, + output io_csr_pkt_csr_mitctl0, + output io_csr_pkt_csr_mitctl1, + output io_csr_pkt_csr_mitb0, + output io_csr_pkt_csr_mitb1, + output io_csr_pkt_csr_mitcnt0, + output io_csr_pkt_csr_mitcnt1, + output io_csr_pkt_csr_mpmc, + output io_csr_pkt_csr_meicpct, + output io_csr_pkt_csr_micect, + output io_csr_pkt_csr_miccmect, + output io_csr_pkt_csr_mdccmect, + output io_csr_pkt_csr_mfdht, + output io_csr_pkt_csr_mfdhs, + output io_csr_pkt_csr_dicawics, + output io_csr_pkt_csr_dicad0h, + output io_csr_pkt_csr_dicad0, + output io_csr_pkt_csr_dicad1, + output io_csr_pkt_csr_dicago, + output io_csr_pkt_presync, + output io_csr_pkt_postsync, + output io_csr_pkt_legal +); + wire _T_1 = ~io_dec_csr_rdaddr_d[11]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_3 = ~io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_5 = ~io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_7 = ~io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_9 = _T_1 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_10 = _T_9 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_11 = _T_10 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_15 = ~io_dec_csr_rdaddr_d[7]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_17 = ~io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_19 = io_dec_csr_rdaddr_d[10] & _T_15; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_20 = _T_19 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_27 = ~io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:159] + wire _T_29 = _T_19 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_36 = io_dec_csr_rdaddr_d[10] & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_37 = _T_36 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_69 = _T_10 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_70 = _T_69 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_75 = _T_15 & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_94 = ~io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_96 = ~io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_101 = io_dec_csr_rdaddr_d[11] & _T_15; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_102 = _T_101 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_103 = _T_102 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_104 = _T_103 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_119 = io_dec_csr_rdaddr_d[7] & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_120 = _T_119 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_121 = _T_120 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_122 = _T_121 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_123 = _T_122 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_138 = _T_15 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_139 = _T_138 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_140 = _T_139 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_141 = _T_140 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_142 = _T_141 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_145 = ~io_dec_csr_rdaddr_d[10]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_156 = _T_145 & io_dec_csr_rdaddr_d[7]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_157 = _T_156 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_158 = _T_157 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_159 = _T_158 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_160 = _T_159 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_172 = _T_75 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_173 = _T_172 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_182 = _T_75 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_183 = _T_182 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_191 = _T_75 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_196 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_217 = _T_1 & io_dec_csr_rdaddr_d[7]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_218 = _T_217 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_219 = _T_218 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_220 = _T_219 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_230 = io_dec_csr_rdaddr_d[10] & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_231 = _T_230 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_232 = _T_231 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_240 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[10]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_241 = _T_240 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_258 = _T_145 & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_259 = _T_258 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_260 = _T_259 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_261 = _T_260 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_268 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_269 = _T_268 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_281 = _T_268 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_291 = _T_36 & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_292 = _T_291 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_299 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_300 = _T_299 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_310 = _T_300 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_311 = _T_310 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_330 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_331 = _T_330 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_332 = _T_331 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_342 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_381 = _T_103 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_382 = _T_381 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_397 = _T_103 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_411 = _T_15 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_412 = _T_411 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_413 = _T_412 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_414 = _T_413 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_415 = _T_414 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_426 = io_dec_csr_rdaddr_d[7] & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_427 = _T_426 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_428 = _T_427 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_429 = _T_428 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_444 = _T_119 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_445 = _T_444 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_446 = _T_445 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_447 = _T_446 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_460 = _T_427 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_461 = _T_460 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_478 = _T_446 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_490 = _T_15 & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_491 = _T_490 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_492 = _T_491 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_493 = _T_492 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_505 = io_dec_csr_rdaddr_d[5] & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_506 = _T_505 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_507 = _T_506 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_508 = _T_507 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_536 = _T_507 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_553 = _T_493 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_562 = io_dec_csr_rdaddr_d[6] & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_563 = _T_562 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_564 = _T_563 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_572 = io_dec_csr_rdaddr_d[6] & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_573 = _T_572 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_574 = _T_573 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_585 = _T_563 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_593 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_594 = _T_593 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_595 = _T_594 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_614 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_615 = _T_614 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_624 = io_dec_csr_rdaddr_d[6] & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_625 = _T_624 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_626 = _T_625 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_668 = _T_196 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_669 = _T_668 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_685 = _T_196 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_693 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_694 = _T_693 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_695 = _T_694 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_703 = _T_624 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_716 = _T_1 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_717 = _T_716 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_718 = _T_717 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_719 = _T_718 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_726 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_727 = _T_726 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_737 = _T_230 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_738 = _T_737 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_748 = _T_726 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_749 = _T_748 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_787 = _T_311 | _T_553; // @[el2_dec_tlu_ctl.scala 2716:73] + wire _T_799 = _T_3 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_800 = _T_799 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_801 = _T_800 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_802 = _T_801 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_803 = _T_802 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_804 = _T_787 | _T_803; // @[el2_dec_tlu_ctl.scala 2716:113] + wire _T_813 = io_dec_csr_rdaddr_d[11] & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_814 = _T_813 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_815 = _T_814 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_816 = _T_815 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_817 = _T_804 | _T_816; // @[el2_dec_tlu_ctl.scala 2716:147] + wire _T_828 = _T_814 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_829 = _T_828 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_830 = _T_817 | _T_829; // @[el2_dec_tlu_ctl.scala 2717:41] + wire _T_841 = io_dec_csr_rdaddr_d[7] & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_842 = _T_841 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_843 = _T_842 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_844 = _T_843 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_845 = _T_844 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_869 = _T_311 | _T_70; // @[el2_dec_tlu_ctl.scala 2718:73] + wire _T_879 = _T_869 | _T_183; // @[el2_dec_tlu_ctl.scala 2718:113] + wire _T_889 = _T_879 | _T_342; // @[el2_dec_tlu_ctl.scala 2718:154] + wire _T_904 = _T_1 & _T_15; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_905 = _T_904 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_906 = _T_905 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_907 = _T_906 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_908 = _T_907 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_909 = _T_908 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_910 = _T_889 | _T_909; // @[el2_dec_tlu_ctl.scala 2719:41] + wire _T_922 = _T_217 & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_923 = _T_922 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_924 = _T_923 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_925 = _T_924 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_926 = _T_910 | _T_925; // @[el2_dec_tlu_ctl.scala 2719:81] + wire _T_937 = _T_231 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_938 = _T_937 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_955 = _T_1 & io_dec_csr_rdaddr_d[10]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_956 = _T_955 & io_dec_csr_rdaddr_d[9]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_957 = _T_956 & io_dec_csr_rdaddr_d[8]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_958 = _T_957 & io_dec_csr_rdaddr_d[7]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_959 = _T_958 & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_960 = _T_959 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_961 = _T_960 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_962 = _T_961 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_963 = _T_962 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_964 = _T_963 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_983 = _T_1 & _T_145; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_984 = _T_983 & io_dec_csr_rdaddr_d[9]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_985 = _T_984 & io_dec_csr_rdaddr_d[8]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_986 = _T_985 & _T_15; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_987 = _T_986 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_988 = _T_987 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_989 = _T_988 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_990 = _T_989 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_991 = _T_990 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_992 = _T_964 | _T_991; // @[el2_dec_tlu_ctl.scala 2721:73] + wire _T_1013 = _T_987 & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1014 = _T_1013 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1015 = _T_1014 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1016 = _T_992 | _T_1015; // @[el2_dec_tlu_ctl.scala 2721:121] + wire _T_1032 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[9]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1033 = _T_1032 & io_dec_csr_rdaddr_d[8]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1034 = _T_1033 & io_dec_csr_rdaddr_d[7]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1035 = _T_1034 & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1036 = _T_1035 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1037 = _T_1036 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1038 = _T_1037 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1039 = _T_1038 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1040 = _T_1039 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1041 = _T_1016 | _T_1040; // @[el2_dec_tlu_ctl.scala 2722:57] + wire _T_1053 = io_dec_csr_rdaddr_d[11] & _T_145; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1054 = _T_1053 & io_dec_csr_rdaddr_d[9]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1055 = _T_1054 & io_dec_csr_rdaddr_d[8]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1056 = _T_1055 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1057 = _T_1056 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1058 = _T_1057 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1059 = _T_1041 | _T_1058; // @[el2_dec_tlu_ctl.scala 2722:105] + wire _T_1078 = _T_959 & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1079 = _T_1078 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1080 = _T_1079 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1081 = _T_1080 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1082 = _T_1081 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1083 = _T_1082 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1084 = _T_1059 | _T_1083; // @[el2_dec_tlu_ctl.scala 2723:65] + wire _T_1105 = _T_1079 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1106 = _T_1105 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1107 = _T_1084 | _T_1106; // @[el2_dec_tlu_ctl.scala 2723:113] + wire _T_1125 = _T_1033 & _T_15; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1126 = _T_1125 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1127 = _T_1126 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1128 = _T_1127 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1129 = _T_1128 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1130 = _T_1129 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1131 = _T_1130 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1132 = _T_1107 | _T_1131; // @[el2_dec_tlu_ctl.scala 2724:57] + wire _T_1152 = _T_958 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1153 = _T_1152 & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1154 = _T_1153 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1155 = _T_1154 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1156 = _T_1155 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1157 = _T_1132 | _T_1156; // @[el2_dec_tlu_ctl.scala 2724:113] + wire _T_1176 = _T_1013 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1177 = _T_1157 | _T_1176; // @[el2_dec_tlu_ctl.scala 2725:57] + wire _T_1202 = _T_1129 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1203 = _T_1202 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1204 = _T_1203 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1205 = _T_1177 | _T_1204; // @[el2_dec_tlu_ctl.scala 2725:113] + wire _T_1224 = _T_959 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1225 = _T_1224 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1226 = _T_1225 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1227 = _T_1226 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1228 = _T_1205 | _T_1227; // @[el2_dec_tlu_ctl.scala 2726:57] + wire _T_1248 = _T_1224 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1249 = _T_1248 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1250 = _T_1249 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1251 = _T_1228 | _T_1250; // @[el2_dec_tlu_ctl.scala 2726:113] + wire _T_1275 = _T_1130 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1276 = _T_1251 | _T_1275; // @[el2_dec_tlu_ctl.scala 2727:57] + wire _T_1296 = _T_1013 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1297 = _T_1296 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1298 = _T_1276 | _T_1297; // @[el2_dec_tlu_ctl.scala 2727:113] + wire _T_1315 = _T_1055 & io_dec_csr_rdaddr_d[7]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1316 = _T_1315 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1317 = _T_1316 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1318 = _T_1317 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1319 = _T_1318 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1320 = _T_1298 | _T_1319; // @[el2_dec_tlu_ctl.scala 2728:65] + wire _T_1343 = _T_1318 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1344 = _T_1343 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1345 = _T_1320 | _T_1344; // @[el2_dec_tlu_ctl.scala 2728:121] + wire _T_1361 = _T_1057 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1362 = _T_1345 | _T_1361; // @[el2_dec_tlu_ctl.scala 2729:57] + wire _T_1384 = _T_1249 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1385 = _T_1362 | _T_1384; // @[el2_dec_tlu_ctl.scala 2729:113] + wire _T_1406 = _T_1225 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1407 = _T_1385 | _T_1406; // @[el2_dec_tlu_ctl.scala 2730:57] + wire _T_1430 = _T_1226 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1431 = _T_1407 | _T_1430; // @[el2_dec_tlu_ctl.scala 2730:113] + wire _T_1455 = _T_1153 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1456 = _T_1455 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1457 = _T_1456 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1458 = _T_1457 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1459 = _T_1431 | _T_1458; // @[el2_dec_tlu_ctl.scala 2731:57] + wire _T_1475 = _T_1057 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1476 = _T_1459 | _T_1475; // @[el2_dec_tlu_ctl.scala 2731:105] + wire _T_1498 = _T_986 & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1499 = _T_1498 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1500 = _T_1499 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1501 = _T_1500 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1502 = _T_1501 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1503 = _T_1476 | _T_1502; // @[el2_dec_tlu_ctl.scala 2732:65] + wire _T_1526 = _T_986 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1527 = _T_1526 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1528 = _T_1527 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1529 = _T_1528 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1530 = _T_1529 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1531 = _T_1503 | _T_1530; // @[el2_dec_tlu_ctl.scala 2732:113] + wire _T_1550 = _T_1013 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1551 = _T_1531 | _T_1550; // @[el2_dec_tlu_ctl.scala 2733:57] + wire _T_1567 = _T_1057 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1568 = _T_1551 | _T_1567; // @[el2_dec_tlu_ctl.scala 2733:113] + wire _T_1587 = _T_1013 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1588 = _T_1568 | _T_1587; // @[el2_dec_tlu_ctl.scala 2734:65] + wire _T_1604 = _T_1057 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + assign io_csr_pkt_csr_misa = _T_11 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2650:49] + assign io_csr_pkt_csr_mvendorid = _T_20 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2651:49] + assign io_csr_pkt_csr_marchid = _T_29 & _T_27; // @[el2_dec_tlu_ctl.scala 2652:57] + assign io_csr_pkt_csr_mimpid = _T_37 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2653:57] + assign io_csr_pkt_csr_mhartid = _T_19 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2654:57] + assign io_csr_pkt_csr_mstatus = _T_11 & _T_27; // @[el2_dec_tlu_ctl.scala 2655:57] + assign io_csr_pkt_csr_mtvec = _T_69 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2656:49] + assign io_csr_pkt_csr_mip = _T_75 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2657:57] + assign io_csr_pkt_csr_mie = _T_69 & _T_27; // @[el2_dec_tlu_ctl.scala 2658:57] + assign io_csr_pkt_csr_mcyclel = _T_104 & _T_17; // @[el2_dec_tlu_ctl.scala 2659:57] + assign io_csr_pkt_csr_mcycleh = _T_123 & _T_17; // @[el2_dec_tlu_ctl.scala 2660:57] + assign io_csr_pkt_csr_minstretl = _T_142 & _T_27; // @[el2_dec_tlu_ctl.scala 2661:49] + assign io_csr_pkt_csr_minstreth = _T_160 & _T_27; // @[el2_dec_tlu_ctl.scala 2662:49] + assign io_csr_pkt_csr_mscratch = _T_173 & _T_27; // @[el2_dec_tlu_ctl.scala 2663:49] + assign io_csr_pkt_csr_mepc = _T_182 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2664:49] + assign io_csr_pkt_csr_mcause = _T_191 & _T_27; // @[el2_dec_tlu_ctl.scala 2665:57] + assign io_csr_pkt_csr_mscause = _T_196 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2666:57] + assign io_csr_pkt_csr_mtval = _T_191 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2667:49] + assign io_csr_pkt_csr_mrac = _T_220 & _T_17; // @[el2_dec_tlu_ctl.scala 2668:49] + assign io_csr_pkt_csr_dmst = _T_232 & _T_17; // @[el2_dec_tlu_ctl.scala 2669:49] + assign io_csr_pkt_csr_mdseac = _T_241 & _T_96; // @[el2_dec_tlu_ctl.scala 2670:57] + assign io_csr_pkt_csr_meihap = _T_240 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2671:57] + assign io_csr_pkt_csr_meivt = _T_261 & _T_27; // @[el2_dec_tlu_ctl.scala 2672:49] + assign io_csr_pkt_csr_meipt = _T_269 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2673:49] + assign io_csr_pkt_csr_meicurpl = _T_268 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2674:49] + assign io_csr_pkt_csr_meicidpl = _T_281 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2675:49] + assign io_csr_pkt_csr_dcsr = _T_292 & _T_27; // @[el2_dec_tlu_ctl.scala 2676:49] + assign io_csr_pkt_csr_mcgc = _T_300 & _T_27; // @[el2_dec_tlu_ctl.scala 2677:49] + assign io_csr_pkt_csr_mfdc = _T_310 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2678:49] + assign io_csr_pkt_csr_dpc = _T_292 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2679:57] + assign io_csr_pkt_csr_mtsel = _T_332 & _T_27; // @[el2_dec_tlu_ctl.scala 2680:49] + assign io_csr_pkt_csr_mtdata1 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2681:57] + assign io_csr_pkt_csr_mtdata2 = _T_331 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2682:57] + assign io_csr_pkt_csr_mhpmc3 = _T_104 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2683:57] + assign io_csr_pkt_csr_mhpmc4 = _T_382 & _T_27; // @[el2_dec_tlu_ctl.scala 2684:57] + assign io_csr_pkt_csr_mhpmc5 = _T_397 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2685:57] + assign io_csr_pkt_csr_mhpmc6 = _T_415 & _T_27; // @[el2_dec_tlu_ctl.scala 2686:57] + assign io_csr_pkt_csr_mhpmc3h = _T_429 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2687:57] + assign io_csr_pkt_csr_mhpmc4h = _T_447 & _T_27; // @[el2_dec_tlu_ctl.scala 2688:57] + assign io_csr_pkt_csr_mhpmc5h = _T_461 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2689:57] + assign io_csr_pkt_csr_mhpmc6h = _T_478 & _T_27; // @[el2_dec_tlu_ctl.scala 2690:57] + assign io_csr_pkt_csr_mhpme3 = _T_493 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2691:57] + assign io_csr_pkt_csr_mhpme4 = _T_508 & _T_27; // @[el2_dec_tlu_ctl.scala 2692:57] + assign io_csr_pkt_csr_mhpme5 = _T_508 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2693:57] + assign io_csr_pkt_csr_mhpme6 = _T_536 & _T_27; // @[el2_dec_tlu_ctl.scala 2694:57] + assign io_csr_pkt_csr_mcountinhibit = _T_493 & _T_27; // @[el2_dec_tlu_ctl.scala 2695:41] + assign io_csr_pkt_csr_mitctl0 = _T_564 & _T_27; // @[el2_dec_tlu_ctl.scala 2696:57] + assign io_csr_pkt_csr_mitctl1 = _T_574 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2697:57] + assign io_csr_pkt_csr_mitb0 = _T_585 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2698:49] + assign io_csr_pkt_csr_mitb1 = _T_595 & _T_27; // @[el2_dec_tlu_ctl.scala 2699:49] + assign io_csr_pkt_csr_mitcnt0 = _T_585 & _T_27; // @[el2_dec_tlu_ctl.scala 2700:57] + assign io_csr_pkt_csr_mitcnt1 = _T_615 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2701:57] + assign io_csr_pkt_csr_mpmc = _T_626 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2702:49] + assign io_csr_pkt_csr_meicpct = _T_281 & _T_27; // @[el2_dec_tlu_ctl.scala 2704:57] + assign io_csr_pkt_csr_micect = _T_669 & _T_27; // @[el2_dec_tlu_ctl.scala 2706:57] + assign io_csr_pkt_csr_miccmect = _T_668 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2707:49] + assign io_csr_pkt_csr_mdccmect = _T_685 & _T_27; // @[el2_dec_tlu_ctl.scala 2708:49] + assign io_csr_pkt_csr_mfdht = _T_695 & _T_27; // @[el2_dec_tlu_ctl.scala 2709:49] + assign io_csr_pkt_csr_mfdhs = _T_703 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2710:49] + assign io_csr_pkt_csr_dicawics = _T_719 & _T_27; // @[el2_dec_tlu_ctl.scala 2711:49] + assign io_csr_pkt_csr_dicad0h = _T_727 & _T_17; // @[el2_dec_tlu_ctl.scala 2712:57] + assign io_csr_pkt_csr_dicad0 = _T_738 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2713:57] + assign io_csr_pkt_csr_dicad1 = _T_749 & _T_27; // @[el2_dec_tlu_ctl.scala 2714:57] + assign io_csr_pkt_csr_dicago = _T_749 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2715:57] + assign io_csr_pkt_presync = _T_830 | _T_845; // @[el2_dec_tlu_ctl.scala 2716:26] + assign io_csr_pkt_postsync = _T_926 | _T_938; // @[el2_dec_tlu_ctl.scala 2718:24] + assign io_csr_pkt_legal = _T_1588 | _T_1604; // @[el2_dec_tlu_ctl.scala 2721:20] +endmodule +module el2_dec_tlu_ctl( + input clock, + input reset, + input io_active_clk, + input io_free_clk, + input io_scan_mode, + input [30:0] io_rst_vec, + input io_nmi_int, + input [30:0] io_nmi_vec, + input io_i_cpu_halt_req, + input io_i_cpu_run_req, + input io_lsu_fastint_stall_any, + input io_ifu_pmu_instr_aligned, + input io_ifu_pmu_fetch_stall, + input io_ifu_pmu_ic_miss, + input io_ifu_pmu_ic_hit, + input io_ifu_pmu_bus_error, + input io_ifu_pmu_bus_busy, + input io_ifu_pmu_bus_trxn, + input io_dec_pmu_instr_decoded, + input io_dec_pmu_decode_stall, + input io_dec_pmu_presync_stall, + input io_dec_pmu_postsync_stall, + input io_lsu_store_stall_any, + input io_dma_dccm_stall_any, + input io_dma_iccm_stall_any, + input io_exu_pmu_i0_br_misp, + input io_exu_pmu_i0_br_ataken, + input io_exu_pmu_i0_pc4, + input io_lsu_pmu_bus_trxn, + input io_lsu_pmu_bus_misaligned, + input io_lsu_pmu_bus_error, + input io_lsu_pmu_bus_busy, + input io_lsu_pmu_load_external_m, + input io_lsu_pmu_store_external_m, + input io_dma_pmu_dccm_read, + input io_dma_pmu_dccm_write, + input io_dma_pmu_any_read, + input io_dma_pmu_any_write, + input [30:0] io_lsu_fir_addr, + input [1:0] io_lsu_fir_error, + input io_iccm_dma_sb_error, + input io_lsu_error_pkt_r_exc_valid, + input io_lsu_error_pkt_r_single_ecc_error, + input io_lsu_error_pkt_r_inst_type, + input io_lsu_error_pkt_r_exc_type, + input io_lsu_error_pkt_r_mscause, + input io_lsu_error_pkt_r_addr, + input io_lsu_single_ecc_error_incr, + input io_dec_pause_state, + input io_lsu_imprecise_error_store_any, + input io_lsu_imprecise_error_load_any, + input [31:0] io_lsu_imprecise_error_addr_any, + input io_dec_csr_wen_unq_d, + input io_dec_csr_any_unq_d, + input [11:0] io_dec_csr_rdaddr_d, + input io_dec_csr_wen_r, + input [11:0] io_dec_csr_wraddr_r, + input [31:0] io_dec_csr_wrdata_r, + input io_dec_csr_stall_int_ff, + input io_dec_tlu_i0_valid_r, + input [30:0] io_exu_npc_r, + input [30:0] io_dec_tlu_i0_pc_r, + input io_dec_tlu_packet_r_legal, + input io_dec_tlu_packet_r_icaf, + input io_dec_tlu_packet_r_icaf_f1, + input [1:0] io_dec_tlu_packet_r_icaf_type, + input io_dec_tlu_packet_r_fence_i, + input [3:0] io_dec_tlu_packet_r_i0trigger, + input [3:0] io_dec_tlu_packet_r_pmu_i0_itype, + input io_dec_tlu_packet_r_pmu_i0_br_unpred, + input io_dec_tlu_packet_r_pmu_divide, + input io_dec_tlu_packet_r_pmu_lsu_misaligned, + input [31:0] io_dec_illegal_inst, + input io_dec_i0_decode_d, + input [1:0] io_exu_i0_br_hist_r, + input io_exu_i0_br_error_r, + input io_exu_i0_br_start_error_r, + input io_exu_i0_br_valid_r, + input io_exu_i0_br_mp_r, + input io_exu_i0_br_middle_r, + input io_exu_i0_br_way_r, + output io_dec_dbg_cmd_done, + output io_dec_dbg_cmd_fail, + output io_dec_tlu_dbg_halted, + output io_dec_tlu_debug_mode, + output io_dec_tlu_resume_ack, + output io_dec_tlu_debug_stall, + output io_dec_tlu_flush_noredir_r, + output io_dec_tlu_mpc_halted_only, + output io_dec_tlu_flush_leak_one_r, + output io_dec_tlu_flush_err_r, + output io_dec_tlu_flush_extint, + output [29:0] io_dec_tlu_meihap, + input io_dbg_halt_req, + input io_dbg_resume_req, + input io_ifu_miss_state_idle, + input io_lsu_idle_any, + input io_dec_div_active, + output io_trigger_pkt_any_0_select, + output io_trigger_pkt_any_0_match_, + output io_trigger_pkt_any_0_store, + output io_trigger_pkt_any_0_load, + output io_trigger_pkt_any_0_execute, + output io_trigger_pkt_any_0_m, + output [31:0] io_trigger_pkt_any_0_tdata2, + output io_trigger_pkt_any_1_select, + output io_trigger_pkt_any_1_match_, + output io_trigger_pkt_any_1_store, + output io_trigger_pkt_any_1_load, + output io_trigger_pkt_any_1_execute, + output io_trigger_pkt_any_1_m, + output [31:0] io_trigger_pkt_any_1_tdata2, + output io_trigger_pkt_any_2_select, + output io_trigger_pkt_any_2_match_, + output io_trigger_pkt_any_2_store, + output io_trigger_pkt_any_2_load, + output io_trigger_pkt_any_2_execute, + output io_trigger_pkt_any_2_m, + output [31:0] io_trigger_pkt_any_2_tdata2, + output io_trigger_pkt_any_3_select, + output io_trigger_pkt_any_3_match_, + output io_trigger_pkt_any_3_store, + output io_trigger_pkt_any_3_load, + output io_trigger_pkt_any_3_execute, + output io_trigger_pkt_any_3_m, + output [31:0] io_trigger_pkt_any_3_tdata2, + input io_ifu_ic_error_start, + input io_ifu_iccm_rd_ecc_single_err, + input [70:0] io_ifu_ic_debug_rd_data, + input io_ifu_ic_debug_rd_data_valid, + output [70:0] io_dec_tlu_ic_diag_pkt_icache_wrdata, + output [16:0] io_dec_tlu_ic_diag_pkt_icache_dicawics, + output io_dec_tlu_ic_diag_pkt_icache_rd_valid, + output io_dec_tlu_ic_diag_pkt_icache_wr_valid, + input [7:0] io_pic_claimid, + input [3:0] io_pic_pl, + input io_mhwakeup, + input io_mexintpend, + input io_timer_int, + input io_soft_int, + output io_o_cpu_halt_status, + output io_o_cpu_halt_ack, + output io_o_cpu_run_ack, + output io_o_debug_mode_status, + input [27:0] io_core_id, + input io_mpc_debug_halt_req, + input io_mpc_debug_run_req, + input io_mpc_reset_run_req, + output io_mpc_debug_halt_ack, + output io_mpc_debug_run_ack, + output io_debug_brkpt_status, + output [3:0] io_dec_tlu_meicurpl, + output [3:0] io_dec_tlu_meipt, + output [31:0] io_dec_csr_rddata_d, + output io_dec_csr_legal_d, + output io_dec_tlu_br0_r_pkt_valid, + output [1:0] io_dec_tlu_br0_r_pkt_hist, + output io_dec_tlu_br0_r_pkt_br_error, + output io_dec_tlu_br0_r_pkt_br_start_error, + output io_dec_tlu_br0_r_pkt_way, + output io_dec_tlu_br0_r_pkt_middle, + output io_dec_tlu_i0_kill_writeb_wb, + output io_dec_tlu_flush_lower_wb, + output io_dec_tlu_i0_commit_cmt, + output io_dec_tlu_i0_kill_writeb_r, + output io_dec_tlu_flush_lower_r, + output [30:0] io_dec_tlu_flush_path_r, + output io_dec_tlu_fence_i_r, + output io_dec_tlu_wr_pause_r, + output io_dec_tlu_flush_pause_r, + output io_dec_tlu_presync_d, + output io_dec_tlu_postsync_d, + output [31:0] io_dec_tlu_mrac_ff, + output io_dec_tlu_force_halt, + output io_dec_tlu_perfcnt0, + output io_dec_tlu_perfcnt1, + output io_dec_tlu_perfcnt2, + output io_dec_tlu_perfcnt3, + output io_dec_tlu_external_ldfwd_disable, + output io_dec_tlu_sideeffect_posted_disable, + output io_dec_tlu_core_ecc_disable, + output io_dec_tlu_bpred_disable, + output io_dec_tlu_wb_coalescing_disable, + output io_dec_tlu_pipelining_disable, + output [2:0] io_dec_tlu_dma_qos_prty, + output io_dec_tlu_misc_clk_override, + output io_dec_tlu_dec_clk_override, + output io_dec_tlu_ifu_clk_override, + output io_dec_tlu_lsu_clk_override, + output io_dec_tlu_bus_clk_override, + output io_dec_tlu_pic_clk_override, + output io_dec_tlu_dccm_clk_override, + output io_dec_tlu_icm_clk_override +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; +`endif // RANDOMIZE_REG_INIT + wire int_timers_clock; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_reset; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_free_clk; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_scan_mode; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_dec_csr_wen_r_mod; // @[el2_dec_tlu_ctl.scala 355:24] + wire [11:0] int_timers_io_dec_csr_wraddr_r; // @[el2_dec_tlu_ctl.scala 355:24] + wire [31:0] int_timers_io_dec_csr_wrdata_r; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_csr_mitctl0; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_csr_mitctl1; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_csr_mitb0; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_csr_mitb1; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_csr_mitcnt0; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_csr_mitcnt1; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_dec_pause_state; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_dec_tlu_pmu_fw_halted; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_internal_dbg_halt_timers; // @[el2_dec_tlu_ctl.scala 355:24] + wire [31:0] int_timers_io_dec_timer_rddata_d; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_dec_timer_read_d; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_dec_timer_t0_pulse; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_dec_timer_t1_pulse; // @[el2_dec_tlu_ctl.scala 355:24] + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_io_clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_io_en; // @[el2_lib.scala 474:22] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 474:22] + wire rvclkhdr_1_io_l1clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_1_io_clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_1_io_en; // @[el2_lib.scala 474:22] + wire rvclkhdr_1_io_scan_mode; // @[el2_lib.scala 474:22] + wire rvclkhdr_2_io_l1clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_2_io_clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_2_io_en; // @[el2_lib.scala 474:22] + wire rvclkhdr_2_io_scan_mode; // @[el2_lib.scala 474:22] + wire rvclkhdr_3_io_l1clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_3_io_clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_3_io_en; // @[el2_lib.scala 474:22] + wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 474:22] + wire csr_clock; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_reset; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_free_clk; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_active_clk; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_scan_mode; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_dec_csr_wrdata_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire [11:0] csr_io_dec_csr_wraddr_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire [11:0] csr_io_dec_csr_rdaddr_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_csr_wen_unq_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_i0_decode_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire [70:0] csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_dec_tlu_ctl.scala 897:17] + wire [16:0] csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_ic_debug_rd_data_valid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_0_select; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_0_match_; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_0_store; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_0_load; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_0_execute; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_0_m; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_trigger_pkt_any_0_tdata2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_1_select; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_1_match_; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_1_store; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_1_load; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_1_execute; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_1_m; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_trigger_pkt_any_1_tdata2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_2_select; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_2_match_; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_2_store; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_2_load; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_2_execute; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_2_m; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_trigger_pkt_any_2_tdata2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_3_select; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_3_match_; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_3_store; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_3_load; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_3_execute; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_3_m; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_trigger_pkt_any_3_tdata2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_bus_trxn; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dma_iccm_stall_any; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dma_dccm_stall_any; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_store_stall_any; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_pmu_presync_stall; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_pmu_postsync_stall; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_pmu_decode_stall; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_fetch_stall; // @[el2_dec_tlu_ctl.scala 897:17] + wire [1:0] csr_io_dec_tlu_packet_r_icaf_type; // @[el2_dec_tlu_ctl.scala 897:17] + wire [3:0] csr_io_dec_tlu_packet_r_pmu_i0_itype; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_packet_r_pmu_divide; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_exu_pmu_i0_br_ataken; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_exu_pmu_i0_br_misp; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_pmu_instr_decoded; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_instr_aligned; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_exu_pmu_i0_pc4; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_ic_miss; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_ic_hit; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_int_valid_wb1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_i0_exc_valid_wb1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_i0_valid_wb1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_csr_wen_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_perfcnt0; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_perfcnt1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_perfcnt2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_perfcnt3; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dma_pmu_dccm_write; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dma_pmu_dccm_read; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dma_pmu_any_write; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dma_pmu_any_read; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_pmu_bus_busy; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_dec_tlu_i0_pc_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_csr_any_unq_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_misc_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_dec_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_ifu_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_lsu_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_bus_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_pic_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_dccm_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_icm_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_dec_csr_rddata_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_pipelining_disable; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_wr_pause_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_bus_busy; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_pmu_bus_error; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_bus_error; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_pmu_bus_misaligned; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_pmu_bus_trxn; // @[el2_dec_tlu_ctl.scala 897:17] + wire [70:0] csr_io_ifu_ic_debug_rd_data; // @[el2_dec_tlu_ctl.scala 897:17] + wire [3:0] csr_io_dec_tlu_meipt; // @[el2_dec_tlu_ctl.scala 897:17] + wire [3:0] csr_io_pic_pl; // @[el2_dec_tlu_ctl.scala 897:17] + wire [3:0] csr_io_dec_tlu_meicurpl; // @[el2_dec_tlu_ctl.scala 897:17] + wire [29:0] csr_io_dec_tlu_meihap; // @[el2_dec_tlu_ctl.scala 897:17] + wire [7:0] csr_io_pic_claimid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_iccm_dma_sb_error; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_lsu_imprecise_error_addr_any; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_imprecise_error_load_any; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_imprecise_error_store_any; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_dec_tlu_mrac_ff; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_wb_coalescing_disable; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_bpred_disable; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_sideeffect_posted_disable; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_core_ecc_disable; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_external_ldfwd_disable; // @[el2_dec_tlu_ctl.scala 897:17] + wire [2:0] csr_io_dec_tlu_dma_qos_prty; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_dec_illegal_inst; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_error_pkt_r_mscause; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mexintpend; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_exu_npc_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mpc_reset_run_req; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_rst_vec; // @[el2_dec_tlu_ctl.scala 897:17] + wire [27:0] csr_io_core_id; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_dec_timer_rddata_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_timer_read_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_csr_wen_r_mod; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_rfpc_i0_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_i0_trigger_hit_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_fw_halt_req; // @[el2_dec_tlu_ctl.scala 897:17] + wire [1:0] csr_io_mstatus; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_exc_or_int_valid_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mret_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mstatus_mie_ns; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dcsr_single_step_running_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire [15:0] csr_io_dcsr; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_mtvec; // @[el2_dec_tlu_ctl.scala 897:17] + wire [5:0] csr_io_mip; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_timer_t0_pulse; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_timer_t1_pulse; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_timer_int_sync; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_soft_int_sync; // @[el2_dec_tlu_ctl.scala 897:17] + wire [5:0] csr_io_mie_ns; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_wr_clk; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ebreak_to_debug_mode_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_pmu_fw_halted; // @[el2_dec_tlu_ctl.scala 897:17] + wire [1:0] csr_io_lsu_fir_error; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_npc_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_flush_noredir_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_tlu_flush_path_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_npc_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_reset_delayed; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_mepc; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_interrupt_valid_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_i0_exception_valid_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mepc_trigger_hit_sel_pc_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_e4e5_int_clk; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_i0_exc_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_inst_acc_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_inst_acc_second_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_take_nmi; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_lsu_error_pkt_addr_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire [4:0] csr_io_exc_cause_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_i0_valid_wb; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_exc_or_int_valid_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_interrupt_valid_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_i0_exception_valid_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_i0_exc_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_nmi_lsu_store_type; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_nmi_lsu_load_type; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_tlu_i0_commit_cmt; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ebreak_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ecall_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_illegal_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mdseac_locked_ns; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mdseac_locked_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_nmi_int_detected_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_internal_dbg_halt_mode_f2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ic_perr_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_iccm_sbecc_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_single_ecc_error_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_miss_state_idle_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_idle_any_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dbg_tlu_halted; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_debug_halt_req_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_force_halt; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_take_ext_int_start; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_hit_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_debug_halt_req; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_allow_dbg_halt_csr_write; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_internal_dbg_halt_mode_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_enter_debug_halt_req; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_internal_dbg_halt_mode; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_request_debug_mode_done; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_request_debug_mode_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_dpc; // @[el2_dec_tlu_ctl.scala 897:17] + wire [3:0] csr_io_update_hit_bit_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_take_timer_int; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_take_int_timer0_int; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_take_int_timer1_int; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_take_ext_int; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_tlu_flush_lower_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_br0_error_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_br0_start_error_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_pmu_load_external_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_pmu_store_external_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_misa; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mvendorid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_marchid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mimpid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhartid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mstatus; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mtvec; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mip; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mie; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mcyclel; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mcycleh; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_minstretl; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_minstreth; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mscratch; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mepc; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mcause; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mscause; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mtval; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mrac; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mdseac; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_meihap; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_meivt; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_meipt; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_meicurpl; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_meicidpl; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_dcsr; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mcgc; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mfdc; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_dpc; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mtsel; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mtdata1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mtdata2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc3; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc4; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc5; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc6; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc3h; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc4h; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc5h; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc6h; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpme3; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpme4; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpme5; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpme6; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mcountinhibit; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mpmc; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_micect; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_miccmect; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mdccmect; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mfdht; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mfdhs; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_dicawics; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_dicad0h; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_dicad0; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_dicad1; // @[el2_dec_tlu_ctl.scala 897:17] + wire [9:0] csr_io_mtdata1_t_0; // @[el2_dec_tlu_ctl.scala 897:17] + wire [9:0] csr_io_mtdata1_t_1; // @[el2_dec_tlu_ctl.scala 897:17] + wire [9:0] csr_io_mtdata1_t_2; // @[el2_dec_tlu_ctl.scala 897:17] + wire [9:0] csr_io_mtdata1_t_3; // @[el2_dec_tlu_ctl.scala 897:17] + wire [11:0] csr_read_io_dec_csr_rdaddr_d; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_misa; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mvendorid; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_marchid; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mimpid; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhartid; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mstatus; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mtvec; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mip; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mie; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mcyclel; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mcycleh; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_minstretl; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_minstreth; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mscratch; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mepc; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mcause; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mscause; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mtval; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mrac; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dmst; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mdseac; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_meihap; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_meivt; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_meipt; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_meicurpl; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_meicidpl; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dcsr; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mcgc; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mfdc; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dpc; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mtsel; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mtdata1; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mtdata2; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc3; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc4; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc5; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc6; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc3h; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc4h; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc5h; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc6h; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpme3; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpme4; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpme5; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpme6; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mcountinhibit; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mitctl0; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mitctl1; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mitb0; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mitb1; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mitcnt0; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mitcnt1; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mpmc; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_meicpct; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_micect; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_miccmect; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mdccmect; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mfdht; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mfdhs; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dicawics; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dicad0h; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dicad0; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dicad1; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dicago; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_presync; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_postsync; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_legal; // @[el2_dec_tlu_ctl.scala 1090:22] + reg dbg_halt_state_f; // @[el2_dec_tlu_ctl.scala 447:81] + wire _T = ~dbg_halt_state_f; // @[el2_dec_tlu_ctl.scala 354:33] + reg mpc_halt_state_f; // @[el2_dec_tlu_ctl.scala 442:81] + wire [2:0] _T_3 = {io_i_cpu_run_req,io_mpc_debug_halt_req,io_mpc_debug_run_req}; // @[Cat.scala 29:58] + wire [3:0] _T_6 = {io_nmi_int,io_timer_int,io_soft_int,io_i_cpu_halt_req}; // @[Cat.scala 29:58] + reg [6:0] _T_8; // @[el2_lib.scala 174:81] + reg [6:0] syncro_ff; // @[el2_lib.scala 174:58] + wire nmi_int_sync = syncro_ff[6]; // @[el2_dec_tlu_ctl.scala 382:67] + wire i_cpu_halt_req_sync = syncro_ff[3]; // @[el2_dec_tlu_ctl.scala 385:59] + wire i_cpu_run_req_sync = syncro_ff[2]; // @[el2_dec_tlu_ctl.scala 386:59] + wire mpc_debug_halt_req_sync_raw = syncro_ff[1]; // @[el2_dec_tlu_ctl.scala 387:51] + wire mpc_debug_run_req_sync = syncro_ff[0]; // @[el2_dec_tlu_ctl.scala 388:51] + wire dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[el2_dec_tlu_ctl.scala 345:41 el2_dec_tlu_ctl.scala 1083:31] + reg lsu_exc_valid_r_d1; // @[el2_dec_tlu_ctl.scala 693:74] + wire _T_11 = io_lsu_error_pkt_r_exc_valid | lsu_exc_valid_r_d1; // @[el2_dec_tlu_ctl.scala 392:65] + reg e5_valid; // @[el2_dec_tlu_ctl.scala 404:89] + wire e4e5_valid = io_dec_tlu_i0_valid_r | e5_valid; // @[el2_dec_tlu_ctl.scala 395:29] + reg debug_mode_status; // @[el2_dec_tlu_ctl.scala 405:73] + reg i_cpu_run_req_d1_raw; // @[el2_dec_tlu_ctl.scala 653:72] + reg nmi_int_delayed; // @[el2_dec_tlu_ctl.scala 420:64] + wire _T_37 = ~nmi_int_delayed; // @[el2_dec_tlu_ctl.scala 429:39] + wire _T_38 = nmi_int_sync & _T_37; // @[el2_dec_tlu_ctl.scala 429:37] + reg mdseac_locked_f; // @[el2_dec_tlu_ctl.scala 686:89] + wire _T_35 = ~mdseac_locked_f; // @[el2_dec_tlu_ctl.scala 427:26] + wire _T_36 = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_dec_tlu_ctl.scala 427:78] + wire nmi_lsu_detected = _T_35 & _T_36; // @[el2_dec_tlu_ctl.scala 427:43] + wire _T_39 = _T_38 | nmi_lsu_detected; // @[el2_dec_tlu_ctl.scala 429:57] + reg nmi_int_detected_f; // @[el2_dec_tlu_ctl.scala 421:72] + reg take_nmi_r_d1; // @[el2_dec_tlu_ctl.scala 894:90] + wire _T_40 = ~take_nmi_r_d1; // @[el2_dec_tlu_ctl.scala 429:100] + wire _T_41 = nmi_int_detected_f & _T_40; // @[el2_dec_tlu_ctl.scala 429:98] + wire _T_42 = _T_39 | _T_41; // @[el2_dec_tlu_ctl.scala 429:76] + reg take_ext_int_start_d3; // @[el2_dec_tlu_ctl.scala 826:58] + wire _T_43 = |io_lsu_fir_error; // @[el2_dec_tlu_ctl.scala 429:159] + wire _T_44 = take_ext_int_start_d3 & _T_43; // @[el2_dec_tlu_ctl.scala 429:140] + wire nmi_int_detected = _T_42 | _T_44; // @[el2_dec_tlu_ctl.scala 429:116] + wire _T_631 = ~io_dec_csr_stall_int_ff; // @[el2_dec_tlu_ctl.scala 803:22] + wire mstatus_mie_ns = csr_io_mstatus_mie_ns; // @[el2_dec_tlu_ctl.scala 344:41 el2_dec_tlu_ctl.scala 1082:31] + wire _T_632 = _T_631 & mstatus_mie_ns; // @[el2_dec_tlu_ctl.scala 803:47] + wire [5:0] mip = csr_io_mip; // @[el2_dec_tlu_ctl.scala 350:41 el2_dec_tlu_ctl.scala 1088:31] + wire _T_634 = _T_632 & mip[1]; // @[el2_dec_tlu_ctl.scala 803:64] + wire [5:0] mie_ns = csr_io_mie_ns; // @[el2_dec_tlu_ctl.scala 339:41 el2_dec_tlu_ctl.scala 1077:31] + wire timer_int_ready = _T_634 & mie_ns[1]; // @[el2_dec_tlu_ctl.scala 803:82] + wire _T_391 = nmi_int_detected | timer_int_ready; // @[el2_dec_tlu_ctl.scala 680:65] + wire _T_628 = _T_632 & mip[0]; // @[el2_dec_tlu_ctl.scala 802:64] + wire soft_int_ready = _T_628 & mie_ns[0]; // @[el2_dec_tlu_ctl.scala 802:82] + wire _T_392 = _T_391 | soft_int_ready; // @[el2_dec_tlu_ctl.scala 680:83] + reg int_timer0_int_hold_f; // @[el2_dec_tlu_ctl.scala 660:65] + wire _T_393 = _T_392 | int_timer0_int_hold_f; // @[el2_dec_tlu_ctl.scala 680:100] + reg int_timer1_int_hold_f; // @[el2_dec_tlu_ctl.scala 661:65] + wire _T_394 = _T_393 | int_timer1_int_hold_f; // @[el2_dec_tlu_ctl.scala 680:124] + wire _T_608 = _T_632 & mip[2]; // @[el2_dec_tlu_ctl.scala 799:65] + wire mhwakeup_ready = _T_608 & mie_ns[2]; // @[el2_dec_tlu_ctl.scala 799:83] + wire _T_395 = io_mhwakeup & mhwakeup_ready; // @[el2_dec_tlu_ctl.scala 680:163] + wire _T_396 = _T_394 | _T_395; // @[el2_dec_tlu_ctl.scala 680:148] + wire _T_397 = _T_396 & io_o_cpu_halt_status; // @[el2_dec_tlu_ctl.scala 680:182] + reg i_cpu_halt_req_d1; // @[el2_dec_tlu_ctl.scala 652:80] + wire _T_398 = ~i_cpu_halt_req_d1; // @[el2_dec_tlu_ctl.scala 680:207] + wire _T_399 = _T_397 & _T_398; // @[el2_dec_tlu_ctl.scala 680:205] + wire i_cpu_run_req_d1 = i_cpu_run_req_d1_raw | _T_399; // @[el2_dec_tlu_ctl.scala 680:44] + wire _T_14 = debug_mode_status | i_cpu_run_req_d1; // @[el2_dec_tlu_ctl.scala 396:49] + wire _T_685 = ~_T_43; // @[el2_dec_tlu_ctl.scala 831:45] + wire take_ext_int = take_ext_int_start_d3 & _T_685; // @[el2_dec_tlu_ctl.scala 831:43] + wire _T_698 = ~soft_int_ready; // @[el2_dec_tlu_ctl.scala 848:39] + wire _T_699 = timer_int_ready & _T_698; // @[el2_dec_tlu_ctl.scala 848:37] + wire _T_617 = ~io_lsu_fastint_stall_any; // @[el2_dec_tlu_ctl.scala 800:103] + wire ext_int_ready = mhwakeup_ready & _T_617; // @[el2_dec_tlu_ctl.scala 800:101] + wire _T_700 = ~ext_int_ready; // @[el2_dec_tlu_ctl.scala 848:57] + wire _T_701 = _T_699 & _T_700; // @[el2_dec_tlu_ctl.scala 848:55] + wire _T_622 = _T_632 & mip[5]; // @[el2_dec_tlu_ctl.scala 801:64] + wire ce_int_ready = _T_622 & mie_ns[5]; // @[el2_dec_tlu_ctl.scala 801:82] + wire _T_702 = ~ce_int_ready; // @[el2_dec_tlu_ctl.scala 848:74] + wire _T_703 = _T_701 & _T_702; // @[el2_dec_tlu_ctl.scala 848:72] + wire _T_152 = ~debug_mode_status; // @[el2_dec_tlu_ctl.scala 503:31] + reg dbg_halt_req_held; // @[el2_dec_tlu_ctl.scala 546:81] + wire _T_106 = io_dbg_halt_req | dbg_halt_req_held; // @[el2_dec_tlu_ctl.scala 480:42] + reg ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 827:58] + wire _T_107 = ~ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 480:65] + wire dbg_halt_req_final = _T_106 & _T_107; // @[el2_dec_tlu_ctl.scala 480:63] + wire mpc_debug_halt_req_sync = mpc_debug_halt_req_sync_raw & _T_107; // @[el2_dec_tlu_ctl.scala 439:61] + wire _T_109 = dbg_halt_req_final | mpc_debug_halt_req_sync; // @[el2_dec_tlu_ctl.scala 483:44] + reg reset_detect; // @[el2_dec_tlu_ctl.scala 416:80] + reg reset_detected; // @[el2_dec_tlu_ctl.scala 417:80] + wire reset_delayed = reset_detect ^ reset_detected; // @[el2_dec_tlu_ctl.scala 418:64] + wire _T_110 = ~io_mpc_reset_run_req; // @[el2_dec_tlu_ctl.scala 483:89] + wire _T_111 = reset_delayed & _T_110; // @[el2_dec_tlu_ctl.scala 483:87] + wire _T_112 = _T_109 | _T_111; // @[el2_dec_tlu_ctl.scala 483:70] + wire _T_114 = _T_112 & _T_152; // @[el2_dec_tlu_ctl.scala 483:113] + wire debug_halt_req = _T_114 & _T_107; // @[el2_dec_tlu_ctl.scala 483:141] + wire _T_153 = _T_152 & debug_halt_req; // @[el2_dec_tlu_ctl.scala 503:57] + reg dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 538:81] + wire _T_154 = _T_153 | dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 503:75] + reg trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 537:81] + wire _T_155 = _T_154 | trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 503:101] + reg ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 752:58] + wire enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 503:126] + reg debug_halt_req_f; // @[el2_dec_tlu_ctl.scala 535:81] + wire force_halt = csr_io_force_halt; // @[el2_dec_tlu_ctl.scala 342:57 el2_dec_tlu_ctl.scala 1080:31] + reg lsu_idle_any_f; // @[el2_dec_tlu_ctl.scala 531:89] + wire _T_142 = io_lsu_idle_any & lsu_idle_any_f; // @[el2_dec_tlu_ctl.scala 497:47] + wire _T_143 = _T_142 & io_ifu_miss_state_idle; // @[el2_dec_tlu_ctl.scala 497:64] + reg ifu_miss_state_idle_f; // @[el2_dec_tlu_ctl.scala 532:73] + wire _T_144 = _T_143 & ifu_miss_state_idle_f; // @[el2_dec_tlu_ctl.scala 497:89] + wire _T_145 = ~debug_halt_req; // @[el2_dec_tlu_ctl.scala 497:115] + wire _T_146 = _T_144 & _T_145; // @[el2_dec_tlu_ctl.scala 497:113] + reg debug_halt_req_d1; // @[el2_dec_tlu_ctl.scala 539:81] + wire _T_147 = ~debug_halt_req_d1; // @[el2_dec_tlu_ctl.scala 497:133] + wire _T_148 = _T_146 & _T_147; // @[el2_dec_tlu_ctl.scala 497:131] + wire _T_149 = ~io_dec_div_active; // @[el2_dec_tlu_ctl.scala 497:154] + wire _T_150 = _T_148 & _T_149; // @[el2_dec_tlu_ctl.scala 497:152] + wire core_empty = force_halt | _T_150; // @[el2_dec_tlu_ctl.scala 497:28] + wire _T_163 = debug_halt_req_f & core_empty; // @[el2_dec_tlu_ctl.scala 513:42] + reg dec_tlu_flush_noredir_r_d1; // @[el2_dec_tlu_ctl.scala 529:73] + reg dec_tlu_flush_pause_r_d1; // @[el2_dec_tlu_ctl.scala 545:73] + wire _T_132 = ~dec_tlu_flush_pause_r_d1; // @[el2_dec_tlu_ctl.scala 493:50] + wire _T_133 = dec_tlu_flush_noredir_r_d1 & _T_132; // @[el2_dec_tlu_ctl.scala 493:48] + reg take_ext_int_start_d1; // @[el2_dec_tlu_ctl.scala 824:58] + wire _T_134 = ~take_ext_int_start_d1; // @[el2_dec_tlu_ctl.scala 493:78] + wire _T_135 = _T_133 & _T_134; // @[el2_dec_tlu_ctl.scala 493:76] + reg halt_taken_f; // @[el2_dec_tlu_ctl.scala 530:81] + reg dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 533:81] + wire _T_136 = ~dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 493:120] + wire _T_137 = halt_taken_f & _T_136; // @[el2_dec_tlu_ctl.scala 493:118] + reg pmu_fw_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 659:73] + wire _T_138 = ~pmu_fw_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 493:140] + wire _T_139 = _T_137 & _T_138; // @[el2_dec_tlu_ctl.scala 493:138] + reg interrupt_valid_r_d1; // @[el2_dec_tlu_ctl.scala 888:82] + wire _T_140 = ~interrupt_valid_r_d1; // @[el2_dec_tlu_ctl.scala 493:163] + wire _T_141 = _T_139 & _T_140; // @[el2_dec_tlu_ctl.scala 493:161] + wire halt_taken = _T_135 | _T_141; // @[el2_dec_tlu_ctl.scala 493:102] + wire _T_164 = _T_163 & halt_taken; // @[el2_dec_tlu_ctl.scala 513:55] + reg debug_resume_req_f; // @[el2_dec_tlu_ctl.scala 536:81] + wire _T_165 = ~debug_resume_req_f; // @[el2_dec_tlu_ctl.scala 513:91] + wire _T_166 = dbg_tlu_halted_f & _T_165; // @[el2_dec_tlu_ctl.scala 513:89] + wire dbg_tlu_halted = _T_164 | _T_166; // @[el2_dec_tlu_ctl.scala 513:69] + wire _T_167 = ~dbg_tlu_halted; // @[el2_dec_tlu_ctl.scala 514:67] + wire _T_168 = debug_halt_req_f & _T_167; // @[el2_dec_tlu_ctl.scala 514:65] + wire debug_halt_req_ns = enter_debug_halt_req | _T_168; // @[el2_dec_tlu_ctl.scala 514:45] + wire [15:0] dcsr = csr_io_dcsr; // @[el2_dec_tlu_ctl.scala 348:41 el2_dec_tlu_ctl.scala 1086:31] + wire _T_157 = ~dcsr[2]; // @[el2_dec_tlu_ctl.scala 506:100] + wire _T_158 = debug_resume_req_f & _T_157; // @[el2_dec_tlu_ctl.scala 506:98] + wire _T_159 = ~_T_158; // @[el2_dec_tlu_ctl.scala 506:77] + wire _T_160 = debug_mode_status & _T_159; // @[el2_dec_tlu_ctl.scala 506:75] + wire internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[el2_dec_tlu_ctl.scala 506:47] + wire _T_177 = debug_resume_req_f & dcsr[2]; // @[el2_dec_tlu_ctl.scala 519:54] + reg dcsr_single_step_running_f; // @[el2_dec_tlu_ctl.scala 544:73] + wire _T_178 = ~dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 519:105] + wire _T_179 = dcsr_single_step_running_f & _T_178; // @[el2_dec_tlu_ctl.scala 519:103] + wire dcsr_single_step_running = _T_177 | _T_179; // @[el2_dec_tlu_ctl.scala 519:73] + wire _T_665 = ~dcsr_single_step_running; // @[el2_dec_tlu_ctl.scala 820:54] + wire _T_666 = _T_665 | io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 820:80] + wire _T_667 = internal_dbg_halt_mode & _T_666; // @[el2_dec_tlu_ctl.scala 820:51] + wire _T_346 = ~io_dec_tlu_debug_mode; // @[el2_dec_tlu_ctl.scala 649:56] + wire _T_347 = i_cpu_halt_req_sync & _T_346; // @[el2_dec_tlu_ctl.scala 649:54] + wire i_cpu_halt_req_sync_qual = _T_347 & _T_107; // @[el2_dec_tlu_ctl.scala 649:79] + wire ext_halt_pulse = i_cpu_halt_req_sync_qual & _T_398; // @[el2_dec_tlu_ctl.scala 665:49] + wire fw_halt_req = csr_io_fw_halt_req; // @[el2_dec_tlu_ctl.scala 346:41 el2_dec_tlu_ctl.scala 1084:31] + wire enter_pmu_fw_halt_req = ext_halt_pulse | fw_halt_req; // @[el2_dec_tlu_ctl.scala 666:47] + reg pmu_fw_halt_req_f; // @[el2_dec_tlu_ctl.scala 658:73] + wire _T_371 = pmu_fw_halt_req_f & core_empty; // @[el2_dec_tlu_ctl.scala 671:44] + wire _T_372 = _T_371 & halt_taken; // @[el2_dec_tlu_ctl.scala 671:57] + wire _T_373 = ~enter_debug_halt_req; // @[el2_dec_tlu_ctl.scala 671:72] + wire _T_374 = _T_372 & _T_373; // @[el2_dec_tlu_ctl.scala 671:70] + wire _T_375 = ~i_cpu_run_req_d1; // @[el2_dec_tlu_ctl.scala 671:120] + wire _T_376 = pmu_fw_tlu_halted_f & _T_375; // @[el2_dec_tlu_ctl.scala 671:118] + wire _T_377 = _T_374 | _T_376; // @[el2_dec_tlu_ctl.scala 671:95] + wire _T_378 = ~debug_halt_req_f; // @[el2_dec_tlu_ctl.scala 671:142] + wire pmu_fw_tlu_halted = _T_377 & _T_378; // @[el2_dec_tlu_ctl.scala 671:140] + wire _T_361 = ~pmu_fw_tlu_halted; // @[el2_dec_tlu_ctl.scala 667:71] + wire _T_362 = pmu_fw_halt_req_f & _T_361; // @[el2_dec_tlu_ctl.scala 667:69] + wire _T_363 = enter_pmu_fw_halt_req | _T_362; // @[el2_dec_tlu_ctl.scala 667:48] + wire pmu_fw_halt_req_ns = _T_363 & _T_378; // @[el2_dec_tlu_ctl.scala 667:92] + reg internal_pmu_fw_halt_mode_f; // @[el2_dec_tlu_ctl.scala 657:66] + wire _T_367 = internal_pmu_fw_halt_mode_f & _T_375; // @[el2_dec_tlu_ctl.scala 668:82] + wire _T_369 = _T_367 & _T_378; // @[el2_dec_tlu_ctl.scala 668:102] + wire internal_pmu_fw_halt_mode = pmu_fw_halt_req_ns | _T_369; // @[el2_dec_tlu_ctl.scala 668:51] + wire _T_668 = _T_667 | internal_pmu_fw_halt_mode; // @[el2_dec_tlu_ctl.scala 820:106] + wire _T_669 = _T_668 | i_cpu_halt_req_d1; // @[el2_dec_tlu_ctl.scala 820:134] + wire _T_738 = ~internal_pmu_fw_halt_mode; // @[el2_dec_tlu_ctl.scala 852:34] + wire _T_739 = nmi_int_detected & _T_738; // @[el2_dec_tlu_ctl.scala 852:32] + wire _T_740 = ~internal_dbg_halt_mode; // @[el2_dec_tlu_ctl.scala 852:64] + wire _T_742 = dcsr_single_step_running_f & dcsr[11]; // @[el2_dec_tlu_ctl.scala 852:118] + wire _T_743 = ~io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 852:140] + wire _T_744 = _T_742 & _T_743; // @[el2_dec_tlu_ctl.scala 852:138] + wire _T_746 = _T_744 & _T_178; // @[el2_dec_tlu_ctl.scala 852:163] + wire _T_747 = _T_740 | _T_746; // @[el2_dec_tlu_ctl.scala 852:88] + wire _T_748 = _T_739 & _T_747; // @[el2_dec_tlu_ctl.scala 852:61] + wire _T_463 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h8; // @[el2_dec_tlu_ctl.scala 738:51] + wire _T_464 = _T_463 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 738:64] + wire _T_297 = io_dec_tlu_flush_lower_wb | io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 600:57] + wire [3:0] _T_299 = _T_297 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_300 = ~_T_299; // @[el2_dec_tlu_ctl.scala 600:22] + wire [3:0] _T_292 = io_dec_tlu_i0_valid_r ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_294 = _T_292 & io_dec_tlu_packet_r_i0trigger; // @[el2_dec_tlu_ctl.scala 598:52] + wire [9:0] mtdata1_t_3 = csr_io_mtdata1_t_3; // @[el2_dec_tlu_ctl.scala 236:59 el2_dec_tlu_ctl.scala 1089:33] + wire [9:0] mtdata1_t_2 = csr_io_mtdata1_t_2; // @[el2_dec_tlu_ctl.scala 236:59 el2_dec_tlu_ctl.scala 1089:33] + wire [9:0] mtdata1_t_1 = csr_io_mtdata1_t_1; // @[el2_dec_tlu_ctl.scala 236:59 el2_dec_tlu_ctl.scala 1089:33] + wire [9:0] mtdata1_t_0 = csr_io_mtdata1_t_0; // @[el2_dec_tlu_ctl.scala 236:59 el2_dec_tlu_ctl.scala 1089:33] + wire [3:0] trigger_execute = {mtdata1_t_3[2],mtdata1_t_2[2],mtdata1_t_1[2],mtdata1_t_0[2]}; // @[Cat.scala 29:58] + wire [3:0] trigger_data = {mtdata1_t_3[7],mtdata1_t_2[7],mtdata1_t_1[7],mtdata1_t_0[7]}; // @[Cat.scala 29:58] + wire [3:0] _T_279 = trigger_execute & trigger_data; // @[el2_dec_tlu_ctl.scala 590:56] + wire inst_acc_r_raw = io_dec_tlu_packet_r_icaf & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 746:48] + wire [3:0] _T_281 = inst_acc_r_raw ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_282 = _T_279 & _T_281; // @[el2_dec_tlu_ctl.scala 590:71] + wire _T_283 = io_exu_i0_br_error_r | io_exu_i0_br_start_error_r; // @[el2_dec_tlu_ctl.scala 590:128] + wire [3:0] _T_285 = _T_283 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_286 = _T_282 | _T_285; // @[el2_dec_tlu_ctl.scala 590:97] + wire [3:0] i0_iside_trigger_has_pri_r = ~_T_286; // @[el2_dec_tlu_ctl.scala 590:37] + wire [3:0] _T_295 = _T_294 & i0_iside_trigger_has_pri_r; // @[el2_dec_tlu_ctl.scala 598:89] + wire [3:0] trigger_store = {mtdata1_t_3[1],mtdata1_t_2[1],mtdata1_t_1[1],mtdata1_t_0[1]}; // @[Cat.scala 29:58] + wire [3:0] _T_287 = trigger_store & trigger_data; // @[el2_dec_tlu_ctl.scala 593:50] + wire [3:0] _T_289 = io_lsu_error_pkt_r_exc_valid ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_290 = _T_287 & _T_289; // @[el2_dec_tlu_ctl.scala 593:65] + wire [3:0] i0_lsu_trigger_has_pri_r = ~_T_290; // @[el2_dec_tlu_ctl.scala 593:34] + wire [3:0] _T_296 = _T_295 & i0_lsu_trigger_has_pri_r; // @[el2_dec_tlu_ctl.scala 598:118] + wire [1:0] mstatus = csr_io_mstatus; // @[el2_dec_tlu_ctl.scala 347:41 el2_dec_tlu_ctl.scala 1085:31] + wire _T_259 = mtdata1_t_3[6] | mstatus[0]; // @[el2_dec_tlu_ctl.scala 587:62] + wire _T_261 = _T_259 & mtdata1_t_3[3]; // @[el2_dec_tlu_ctl.scala 587:86] + wire _T_264 = mtdata1_t_2[6] | mstatus[0]; // @[el2_dec_tlu_ctl.scala 587:150] + wire _T_266 = _T_264 & mtdata1_t_2[3]; // @[el2_dec_tlu_ctl.scala 587:174] + wire _T_269 = mtdata1_t_1[6] | mstatus[0]; // @[el2_dec_tlu_ctl.scala 587:239] + wire _T_271 = _T_269 & mtdata1_t_1[3]; // @[el2_dec_tlu_ctl.scala 587:263] + wire _T_274 = mtdata1_t_0[6] | mstatus[0]; // @[el2_dec_tlu_ctl.scala 587:328] + wire _T_276 = _T_274 & mtdata1_t_0[3]; // @[el2_dec_tlu_ctl.scala 587:352] + wire [3:0] trigger_enabled = {_T_261,_T_266,_T_271,_T_276}; // @[Cat.scala 29:58] + wire [3:0] i0trigger_qual_r = _T_296 & trigger_enabled; // @[el2_dec_tlu_ctl.scala 598:145] + wire [3:0] i0_trigger_r = _T_300 & i0trigger_qual_r; // @[el2_dec_tlu_ctl.scala 600:83] + wire _T_303 = ~mtdata1_t_2[5]; // @[el2_dec_tlu_ctl.scala 603:59] + wire _T_305 = _T_303 | i0_trigger_r[2]; // @[el2_dec_tlu_ctl.scala 603:88] + wire _T_306 = i0_trigger_r[3] & _T_305; // @[el2_dec_tlu_ctl.scala 603:56] + wire _T_311 = _T_303 | i0_trigger_r[3]; // @[el2_dec_tlu_ctl.scala 603:156] + wire _T_312 = i0_trigger_r[2] & _T_311; // @[el2_dec_tlu_ctl.scala 603:124] + wire _T_315 = ~mtdata1_t_0[5]; // @[el2_dec_tlu_ctl.scala 603:195] + wire _T_317 = _T_315 | i0_trigger_r[0]; // @[el2_dec_tlu_ctl.scala 603:224] + wire _T_318 = i0_trigger_r[1] & _T_317; // @[el2_dec_tlu_ctl.scala 603:192] + wire _T_323 = _T_315 | i0_trigger_r[1]; // @[el2_dec_tlu_ctl.scala 603:292] + wire _T_324 = i0_trigger_r[0] & _T_323; // @[el2_dec_tlu_ctl.scala 603:260] + wire [3:0] i0_trigger_chain_masked_r = {_T_306,_T_312,_T_318,_T_324}; // @[Cat.scala 29:58] + wire i0_trigger_hit_raw_r = |i0_trigger_chain_masked_r; // @[el2_dec_tlu_ctl.scala 606:56] + wire _T_465 = ~i0_trigger_hit_raw_r; // @[el2_dec_tlu_ctl.scala 738:90] + wire _T_466 = _T_464 & _T_465; // @[el2_dec_tlu_ctl.scala 738:88] + wire _T_468 = ~dcsr[15]; // @[el2_dec_tlu_ctl.scala 738:110] + wire _T_469 = _T_466 & _T_468; // @[el2_dec_tlu_ctl.scala 738:108] + reg tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 408:72] + wire _T_429 = ~tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 713:43] + wire _T_430 = io_dec_tlu_i0_valid_r & _T_429; // @[el2_dec_tlu_ctl.scala 713:41] + wire _T_432 = _T_430 & _T_283; // @[el2_dec_tlu_ctl.scala 713:65] + reg ic_perr_r_d1; // @[el2_dec_tlu_ctl.scala 402:81] + reg iccm_sbecc_r_d1; // @[el2_dec_tlu_ctl.scala 403:89] + wire _T_433 = ic_perr_r_d1 | iccm_sbecc_r_d1; // @[el2_dec_tlu_ctl.scala 713:137] + wire _T_435 = _T_433 & _T_107; // @[el2_dec_tlu_ctl.scala 713:156] + wire _T_436 = _T_432 | _T_435; // @[el2_dec_tlu_ctl.scala 713:120] + wire _T_438 = _T_436 & _T_465; // @[el2_dec_tlu_ctl.scala 713:179] + wire _T_410 = io_dec_tlu_i0_valid_r & _T_465; // @[el2_dec_tlu_ctl.scala 701:46] + wire _T_411 = ~io_lsu_error_pkt_r_inst_type; // @[el2_dec_tlu_ctl.scala 701:69] + wire _T_412 = _T_411 & io_lsu_error_pkt_r_single_ecc_error; // @[el2_dec_tlu_ctl.scala 701:99] + wire lsu_i0_rfnpc_r = _T_410 & _T_412; // @[el2_dec_tlu_ctl.scala 701:66] + wire _T_439 = ~lsu_i0_rfnpc_r; // @[el2_dec_tlu_ctl.scala 713:203] + wire rfpc_i0_r = _T_438 & _T_439; // @[el2_dec_tlu_ctl.scala 713:200] + wire _T_470 = ~rfpc_i0_r; // @[el2_dec_tlu_ctl.scala 738:132] + wire ebreak_r = _T_469 & _T_470; // @[el2_dec_tlu_ctl.scala 738:130] + wire _T_472 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h9; // @[el2_dec_tlu_ctl.scala 739:51] + wire _T_473 = _T_472 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 739:64] + wire _T_475 = _T_473 & _T_465; // @[el2_dec_tlu_ctl.scala 739:88] + wire ecall_r = _T_475 & _T_470; // @[el2_dec_tlu_ctl.scala 739:108] + wire _T_523 = ebreak_r | ecall_r; // @[el2_dec_tlu_ctl.scala 766:40] + wire _T_478 = ~io_dec_tlu_packet_r_legal; // @[el2_dec_tlu_ctl.scala 740:17] + wire _T_479 = _T_478 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 740:46] + wire _T_481 = _T_479 & _T_465; // @[el2_dec_tlu_ctl.scala 740:70] + wire illegal_r = _T_481 & _T_470; // @[el2_dec_tlu_ctl.scala 740:90] + wire _T_524 = _T_523 | illegal_r; // @[el2_dec_tlu_ctl.scala 766:50] + wire _T_511 = inst_acc_r_raw & _T_470; // @[el2_dec_tlu_ctl.scala 747:32] + wire inst_acc_r = _T_511 & _T_465; // @[el2_dec_tlu_ctl.scala 747:45] + wire _T_525 = _T_524 | inst_acc_r; // @[el2_dec_tlu_ctl.scala 766:62] + wire _T_527 = _T_525 & _T_470; // @[el2_dec_tlu_ctl.scala 766:76] + wire _T_528 = ~io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 766:91] + wire i0_exception_valid_r = _T_527 & _T_528; // @[el2_dec_tlu_ctl.scala 766:89] + wire _T_789 = i0_exception_valid_r | rfpc_i0_r; // @[el2_dec_tlu_ctl.scala 865:48] + wire _T_402 = ~io_dec_tlu_flush_lower_wb; // @[el2_dec_tlu_ctl.scala 689:60] + wire lsu_exc_valid_r_raw = io_lsu_error_pkt_r_exc_valid & _T_402; // @[el2_dec_tlu_ctl.scala 689:58] + wire _T_403 = io_lsu_error_pkt_r_exc_valid & lsu_exc_valid_r_raw; // @[el2_dec_tlu_ctl.scala 691:39] + wire _T_405 = _T_403 & _T_465; // @[el2_dec_tlu_ctl.scala 691:61] + wire lsu_exc_valid_r = _T_405 & _T_470; // @[el2_dec_tlu_ctl.scala 691:81] + wire _T_790 = _T_789 | lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 865:60] + wire _T_490 = io_dec_tlu_packet_r_fence_i & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 743:49] + wire _T_492 = _T_490 & _T_465; // @[el2_dec_tlu_ctl.scala 743:73] + wire fence_i_r = _T_492 & _T_470; // @[el2_dec_tlu_ctl.scala 743:94] + wire _T_791 = _T_790 | fence_i_r; // @[el2_dec_tlu_ctl.scala 865:78] + wire _T_792 = _T_791 | lsu_i0_rfnpc_r; // @[el2_dec_tlu_ctl.scala 865:90] + wire _T_414 = io_dec_tlu_i0_valid_r & _T_470; // @[el2_dec_tlu_ctl.scala 704:49] + wire _T_415 = ~lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 704:64] + wire _T_416 = _T_414 & _T_415; // @[el2_dec_tlu_ctl.scala 704:62] + wire _T_417 = ~inst_acc_r; // @[el2_dec_tlu_ctl.scala 704:81] + wire _T_418 = _T_416 & _T_417; // @[el2_dec_tlu_ctl.scala 704:78] + wire _T_420 = _T_418 & _T_528; // @[el2_dec_tlu_ctl.scala 704:93] + reg request_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 542:81] + wire _T_421 = ~request_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 704:120] + wire _T_422 = _T_420 & _T_421; // @[el2_dec_tlu_ctl.scala 704:118] + wire tlu_i0_commit_cmt = _T_422 & _T_465; // @[el2_dec_tlu_ctl.scala 704:145] + reg iccm_repair_state_d1; // @[el2_dec_tlu_ctl.scala 401:72] + wire _T_444 = tlu_i0_commit_cmt & iccm_repair_state_d1; // @[el2_dec_tlu_ctl.scala 722:51] + wire _T_484 = io_dec_tlu_packet_r_pmu_i0_itype == 4'hc; // @[el2_dec_tlu_ctl.scala 741:51] + wire _T_485 = _T_484 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 741:64] + wire _T_487 = _T_485 & _T_465; // @[el2_dec_tlu_ctl.scala 741:88] + wire mret_r = _T_487 & _T_470; // @[el2_dec_tlu_ctl.scala 741:108] + wire _T_446 = _T_523 | mret_r; // @[el2_dec_tlu_ctl.scala 722:97] + wire take_reset = reset_delayed & io_mpc_reset_run_req; // @[el2_dec_tlu_ctl.scala 851:31] + wire _T_447 = _T_446 | take_reset; // @[el2_dec_tlu_ctl.scala 722:106] + wire _T_448 = _T_447 | illegal_r; // @[el2_dec_tlu_ctl.scala 722:119] + wire _T_449 = io_dec_csr_wraddr_r == 12'h7c2; // @[el2_dec_tlu_ctl.scala 722:175] + wire _T_450 = dec_csr_wen_r_mod & _T_449; // @[el2_dec_tlu_ctl.scala 722:152] + wire _T_451 = _T_448 | _T_450; // @[el2_dec_tlu_ctl.scala 722:131] + wire _T_452 = ~_T_451; // @[el2_dec_tlu_ctl.scala 722:76] + wire iccm_repair_state_rfnpc = _T_444 & _T_452; // @[el2_dec_tlu_ctl.scala 722:74] + wire _T_793 = _T_792 | iccm_repair_state_rfnpc; // @[el2_dec_tlu_ctl.scala 865:107] + wire _T_794 = _T_793 | debug_resume_req_f; // @[el2_dec_tlu_ctl.scala 865:134] + wire _T_786 = i_cpu_run_req_d1 & pmu_fw_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 863:42] + wire _T_211 = ~io_dec_pause_state; // @[el2_dec_tlu_ctl.scala 562:22] + reg dec_pause_state_f; // @[el2_dec_tlu_ctl.scala 541:73] + wire _T_212 = _T_211 & dec_pause_state_f; // @[el2_dec_tlu_ctl.scala 562:42] + wire _T_213 = ext_int_ready | ce_int_ready; // @[el2_dec_tlu_ctl.scala 562:80] + wire _T_214 = _T_213 | timer_int_ready; // @[el2_dec_tlu_ctl.scala 562:95] + wire _T_215 = _T_214 | soft_int_ready; // @[el2_dec_tlu_ctl.scala 562:113] + wire _T_216 = _T_215 | int_timer0_int_hold_f; // @[el2_dec_tlu_ctl.scala 562:130] + wire _T_217 = _T_216 | int_timer1_int_hold_f; // @[el2_dec_tlu_ctl.scala 562:154] + wire _T_218 = _T_217 | nmi_int_detected; // @[el2_dec_tlu_ctl.scala 562:178] + wire _T_219 = _T_218 | ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 562:197] + wire _T_220 = ~_T_219; // @[el2_dec_tlu_ctl.scala 562:64] + wire _T_221 = _T_212 & _T_220; // @[el2_dec_tlu_ctl.scala 562:62] + wire _T_223 = _T_221 & _T_140; // @[el2_dec_tlu_ctl.scala 562:218] + wire _T_225 = _T_223 & _T_378; // @[el2_dec_tlu_ctl.scala 562:242] + wire _T_226 = ~pmu_fw_halt_req_f; // @[el2_dec_tlu_ctl.scala 562:264] + wire _T_227 = _T_225 & _T_226; // @[el2_dec_tlu_ctl.scala 562:262] + wire _T_228 = ~halt_taken_f; // @[el2_dec_tlu_ctl.scala 562:285] + wire pause_expired_r = _T_227 & _T_228; // @[el2_dec_tlu_ctl.scala 562:283] + wire sel_npc_resume = _T_786 | pause_expired_r; // @[el2_dec_tlu_ctl.scala 863:65] + wire _T_795 = _T_794 | sel_npc_resume; // @[el2_dec_tlu_ctl.scala 865:156] + reg dec_tlu_wr_pause_r_d1; // @[el2_dec_tlu_ctl.scala 540:73] + wire _T_796 = _T_795 | dec_tlu_wr_pause_r_d1; // @[el2_dec_tlu_ctl.scala 865:174] + wire synchronous_flush_r = _T_796 | i0_trigger_hit_raw_r; // @[el2_dec_tlu_ctl.scala 865:200] + wire _T_749 = ~synchronous_flush_r; // @[el2_dec_tlu_ctl.scala 852:194] + wire _T_750 = _T_748 & _T_749; // @[el2_dec_tlu_ctl.scala 852:192] + wire _T_751 = ~mret_r; // @[el2_dec_tlu_ctl.scala 852:217] + wire _T_752 = _T_750 & _T_751; // @[el2_dec_tlu_ctl.scala 852:215] + wire _T_753 = ~take_reset; // @[el2_dec_tlu_ctl.scala 852:227] + wire _T_754 = _T_752 & _T_753; // @[el2_dec_tlu_ctl.scala 852:225] + wire _T_519 = _T_466 & dcsr[15]; // @[el2_dec_tlu_ctl.scala 750:120] + wire ebreak_to_debug_mode_r = _T_519 & _T_470; // @[el2_dec_tlu_ctl.scala 750:141] + wire _T_755 = ~ebreak_to_debug_mode_r; // @[el2_dec_tlu_ctl.scala 852:241] + wire _T_756 = _T_754 & _T_755; // @[el2_dec_tlu_ctl.scala 852:239] + wire _T_760 = _T_107 | _T_44; // @[el2_dec_tlu_ctl.scala 852:287] + wire take_nmi = _T_756 & _T_760; // @[el2_dec_tlu_ctl.scala 852:265] + wire _T_670 = _T_669 | take_nmi; // @[el2_dec_tlu_ctl.scala 820:154] + wire _T_671 = _T_670 | ebreak_to_debug_mode_r; // @[el2_dec_tlu_ctl.scala 820:165] + wire _T_672 = _T_671 | synchronous_flush_r; // @[el2_dec_tlu_ctl.scala 820:190] + reg exc_or_int_valid_r_d1; // @[el2_dec_tlu_ctl.scala 890:82] + wire _T_673 = _T_672 | exc_or_int_valid_r_d1; // @[el2_dec_tlu_ctl.scala 820:213] + wire _T_674 = _T_673 | mret_r; // @[el2_dec_tlu_ctl.scala 820:237] + wire block_interrupts = _T_674 | ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 820:246] + wire _T_704 = ~block_interrupts; // @[el2_dec_tlu_ctl.scala 848:90] + wire take_timer_int = _T_703 & _T_704; // @[el2_dec_tlu_ctl.scala 848:88] + wire _T_762 = take_ext_int | take_timer_int; // @[el2_dec_tlu_ctl.scala 855:37] + wire _T_693 = soft_int_ready & _T_700; // @[el2_dec_tlu_ctl.scala 847:35] + wire _T_695 = _T_693 & _T_702; // @[el2_dec_tlu_ctl.scala 847:52] + wire take_soft_int = _T_695 & _T_704; // @[el2_dec_tlu_ctl.scala 847:68] + wire _T_763 = _T_762 | take_soft_int; // @[el2_dec_tlu_ctl.scala 855:54] + wire _T_764 = _T_763 | take_nmi; // @[el2_dec_tlu_ctl.scala 855:70] + wire _T_689 = ce_int_ready & _T_700; // @[el2_dec_tlu_ctl.scala 846:32] + wire take_ce_int = _T_689 & _T_704; // @[el2_dec_tlu_ctl.scala 846:49] + wire _T_765 = _T_764 | take_ce_int; // @[el2_dec_tlu_ctl.scala 855:81] + wire int_timer0_int_possible = mstatus_mie_ns & mie_ns[4]; // @[el2_dec_tlu_ctl.scala 806:48] + wire int_timer0_int_ready = mip[4] & int_timer0_int_possible; // @[el2_dec_tlu_ctl.scala 807:46] + wire _T_706 = int_timer0_int_ready | int_timer0_int_hold_f; // @[el2_dec_tlu_ctl.scala 849:48] + wire _T_707 = _T_706 & int_timer0_int_possible; // @[el2_dec_tlu_ctl.scala 849:73] + wire _T_709 = _T_707 & _T_631; // @[el2_dec_tlu_ctl.scala 849:99] + wire _T_710 = ~timer_int_ready; // @[el2_dec_tlu_ctl.scala 849:128] + wire _T_711 = _T_709 & _T_710; // @[el2_dec_tlu_ctl.scala 849:126] + wire _T_713 = _T_711 & _T_698; // @[el2_dec_tlu_ctl.scala 849:145] + wire _T_715 = _T_713 & _T_700; // @[el2_dec_tlu_ctl.scala 849:163] + wire _T_717 = _T_715 & _T_702; // @[el2_dec_tlu_ctl.scala 849:180] + wire take_int_timer0_int = _T_717 & _T_704; // @[el2_dec_tlu_ctl.scala 849:196] + wire _T_766 = _T_765 | take_int_timer0_int; // @[el2_dec_tlu_ctl.scala 855:95] + wire int_timer1_int_possible = mstatus_mie_ns & mie_ns[3]; // @[el2_dec_tlu_ctl.scala 808:48] + wire int_timer1_int_ready = mip[3] & int_timer1_int_possible; // @[el2_dec_tlu_ctl.scala 809:46] + wire _T_720 = int_timer1_int_ready | int_timer1_int_hold_f; // @[el2_dec_tlu_ctl.scala 850:48] + wire _T_721 = _T_720 & int_timer1_int_possible; // @[el2_dec_tlu_ctl.scala 850:73] + wire _T_723 = _T_721 & _T_631; // @[el2_dec_tlu_ctl.scala 850:99] + wire _T_725 = ~_T_706; // @[el2_dec_tlu_ctl.scala 850:128] + wire _T_726 = _T_723 & _T_725; // @[el2_dec_tlu_ctl.scala 850:126] + wire _T_728 = _T_726 & _T_710; // @[el2_dec_tlu_ctl.scala 850:176] + wire _T_730 = _T_728 & _T_698; // @[el2_dec_tlu_ctl.scala 850:195] + wire _T_732 = _T_730 & _T_700; // @[el2_dec_tlu_ctl.scala 850:213] + wire _T_734 = _T_732 & _T_702; // @[el2_dec_tlu_ctl.scala 850:230] + wire take_int_timer1_int = _T_734 & _T_704; // @[el2_dec_tlu_ctl.scala 850:246] + wire interrupt_valid_r = _T_766 | take_int_timer1_int; // @[el2_dec_tlu_ctl.scala 855:117] + wire _T_15 = _T_14 | interrupt_valid_r; // @[el2_dec_tlu_ctl.scala 396:68] + wire _T_16 = _T_15 | interrupt_valid_r_d1; // @[el2_dec_tlu_ctl.scala 396:88] + wire _T_17 = _T_16 | reset_delayed; // @[el2_dec_tlu_ctl.scala 396:111] + wire _T_18 = _T_17 | pause_expired_r; // @[el2_dec_tlu_ctl.scala 396:127] + reg pause_expired_wb; // @[el2_dec_tlu_ctl.scala 895:90] + wire _T_19 = _T_18 | pause_expired_wb; // @[el2_dec_tlu_ctl.scala 396:145] + wire _T_496 = io_ifu_ic_error_start & _T_107; // @[el2_dec_tlu_ctl.scala 744:42] + wire _T_498 = _T_152 | dcsr_single_step_running; // @[el2_dec_tlu_ctl.scala 744:92] + wire _T_499 = _T_496 & _T_498; // @[el2_dec_tlu_ctl.scala 744:63] + wire _T_500 = ~internal_pmu_fw_halt_mode_f; // @[el2_dec_tlu_ctl.scala 744:122] + wire ic_perr_r = _T_499 & _T_500; // @[el2_dec_tlu_ctl.scala 744:120] + wire _T_20 = _T_19 | ic_perr_r; // @[el2_dec_tlu_ctl.scala 396:164] + wire _T_21 = _T_20 | ic_perr_r_d1; // @[el2_dec_tlu_ctl.scala 396:176] + wire _T_503 = io_ifu_iccm_rd_ecc_single_err & _T_107; // @[el2_dec_tlu_ctl.scala 745:50] + wire _T_506 = _T_503 & _T_498; // @[el2_dec_tlu_ctl.scala 745:71] + wire iccm_sbecc_r = _T_506 & _T_500; // @[el2_dec_tlu_ctl.scala 745:128] + wire _T_22 = _T_21 | iccm_sbecc_r; // @[el2_dec_tlu_ctl.scala 396:191] + wire _T_23 = _T_22 | iccm_sbecc_r_d1; // @[el2_dec_tlu_ctl.scala 396:206] + wire flush_clkvalid = _T_23 | io_dec_tlu_dec_clk_override; // @[el2_dec_tlu_ctl.scala 396:224] + reg lsu_pmu_load_external_r; // @[el2_dec_tlu_ctl.scala 406:72] + reg lsu_pmu_store_external_r; // @[el2_dec_tlu_ctl.scala 407:64] + reg _T_32; // @[el2_dec_tlu_ctl.scala 409:65] + reg internal_dbg_halt_mode_f2; // @[el2_dec_tlu_ctl.scala 410:64] + reg _T_33; // @[el2_dec_tlu_ctl.scala 411:73] + reg nmi_lsu_load_type_f; // @[el2_dec_tlu_ctl.scala 422:72] + reg nmi_lsu_store_type_f; // @[el2_dec_tlu_ctl.scala 423:64] + wire _T_46 = nmi_lsu_detected & io_lsu_imprecise_error_load_any; // @[el2_dec_tlu_ctl.scala 431:42] + wire _T_49 = ~_T_41; // @[el2_dec_tlu_ctl.scala 431:78] + wire _T_50 = _T_46 & _T_49; // @[el2_dec_tlu_ctl.scala 431:76] + wire _T_52 = nmi_lsu_load_type_f & _T_40; // @[el2_dec_tlu_ctl.scala 431:141] + wire _T_54 = nmi_lsu_detected & io_lsu_imprecise_error_store_any; // @[el2_dec_tlu_ctl.scala 432:43] + wire _T_58 = _T_54 & _T_49; // @[el2_dec_tlu_ctl.scala 432:78] + wire _T_60 = nmi_lsu_store_type_f & _T_40; // @[el2_dec_tlu_ctl.scala 432:144] + reg mpc_debug_halt_req_sync_f; // @[el2_dec_tlu_ctl.scala 440:64] + reg mpc_debug_run_req_sync_f; // @[el2_dec_tlu_ctl.scala 441:64] + reg mpc_run_state_f; // @[el2_dec_tlu_ctl.scala 443:80] + reg debug_brkpt_status_f; // @[el2_dec_tlu_ctl.scala 444:72] + reg mpc_debug_halt_ack_f; // @[el2_dec_tlu_ctl.scala 445:72] + reg mpc_debug_run_ack_f; // @[el2_dec_tlu_ctl.scala 446:80] + reg dbg_run_state_f; // @[el2_dec_tlu_ctl.scala 448:80] + reg _T_65; // @[el2_dec_tlu_ctl.scala 449:73] + wire _T_66 = ~mpc_debug_halt_req_sync_f; // @[el2_dec_tlu_ctl.scala 453:65] + wire mpc_debug_halt_req_sync_pulse = mpc_debug_halt_req_sync & _T_66; // @[el2_dec_tlu_ctl.scala 453:63] + wire _T_67 = ~mpc_debug_run_req_sync_f; // @[el2_dec_tlu_ctl.scala 454:64] + wire mpc_debug_run_req_sync_pulse = mpc_debug_run_req_sync & _T_67; // @[el2_dec_tlu_ctl.scala 454:62] + wire _T_68 = mpc_halt_state_f | mpc_debug_halt_req_sync_pulse; // @[el2_dec_tlu_ctl.scala 456:42] + wire _T_71 = _T_68 | _T_111; // @[el2_dec_tlu_ctl.scala 456:74] + wire _T_72 = ~mpc_debug_run_req_sync; // @[el2_dec_tlu_ctl.scala 456:119] + wire mpc_halt_state_ns = _T_71 & _T_72; // @[el2_dec_tlu_ctl.scala 456:117] + wire _T_74 = ~mpc_debug_run_ack_f; // @[el2_dec_tlu_ctl.scala 457:74] + wire _T_75 = mpc_debug_run_req_sync_pulse & _T_74; // @[el2_dec_tlu_ctl.scala 457:72] + wire _T_76 = mpc_run_state_f | _T_75; // @[el2_dec_tlu_ctl.scala 457:40] + wire _T_77 = ~dcsr_single_step_running_f; // @[el2_dec_tlu_ctl.scala 457:127] + wire _T_78 = debug_mode_status & _T_77; // @[el2_dec_tlu_ctl.scala 457:125] + wire mpc_run_state_ns = _T_76 & _T_78; // @[el2_dec_tlu_ctl.scala 457:97] + wire _T_80 = dbg_halt_req_final | dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 459:64] + wire _T_81 = _T_80 | trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 459:90] + wire _T_82 = _T_81 | ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 459:115] + wire _T_83 = dbg_halt_state_f | _T_82; // @[el2_dec_tlu_ctl.scala 459:42] + wire _T_84 = ~io_dbg_resume_req; // @[el2_dec_tlu_ctl.scala 459:147] + wire dbg_halt_state_ns = _T_83 & _T_84; // @[el2_dec_tlu_ctl.scala 459:145] + wire _T_86 = dbg_run_state_f | io_dbg_resume_req; // @[el2_dec_tlu_ctl.scala 460:40] + wire dbg_run_state_ns = _T_86 & _T_78; // @[el2_dec_tlu_ctl.scala 460:61] + wire debug_brkpt_valid = ebreak_to_debug_mode_r_d1 | trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 466:53] + wire _T_92 = debug_brkpt_valid | debug_brkpt_status_f; // @[el2_dec_tlu_ctl.scala 467:47] + wire _T_94 = internal_dbg_halt_mode & _T_77; // @[el2_dec_tlu_ctl.scala 467:97] + wire _T_96 = mpc_halt_state_f & debug_mode_status; // @[el2_dec_tlu_ctl.scala 470:45] + wire _T_97 = _T_96 & mpc_debug_halt_req_sync; // @[el2_dec_tlu_ctl.scala 470:72] + wire _T_99 = ~dbg_halt_state_ns; // @[el2_dec_tlu_ctl.scala 471:53] + wire _T_100 = mpc_debug_run_req_sync & _T_99; // @[el2_dec_tlu_ctl.scala 471:51] + wire _T_101 = ~mpc_debug_halt_req_sync; // @[el2_dec_tlu_ctl.scala 471:74] + wire _T_102 = _T_100 & _T_101; // @[el2_dec_tlu_ctl.scala 471:72] + wire _T_103 = mpc_debug_run_ack_f & mpc_debug_run_req_sync; // @[el2_dec_tlu_ctl.scala 471:123] + wire _T_118 = mpc_run_state_ns & _T_99; // @[el2_dec_tlu_ctl.scala 485:67] + wire _T_119 = ~mpc_halt_state_ns; // @[el2_dec_tlu_ctl.scala 485:111] + wire _T_120 = dbg_run_state_ns & _T_119; // @[el2_dec_tlu_ctl.scala 485:109] + wire _T_121 = _T_118 | _T_120; // @[el2_dec_tlu_ctl.scala 485:89] + wire _T_122 = debug_halt_req_f | pmu_fw_halt_req_f; // @[el2_dec_tlu_ctl.scala 490:37] + wire _T_124 = _T_122 & _T_749; // @[el2_dec_tlu_ctl.scala 490:58] + wire _T_126 = _T_124 & _T_751; // @[el2_dec_tlu_ctl.scala 490:81] + wire _T_128 = _T_126 & _T_228; // @[el2_dec_tlu_ctl.scala 490:91] + wire _T_129 = ~dec_tlu_flush_noredir_r_d1; // @[el2_dec_tlu_ctl.scala 490:109] + wire _T_130 = _T_128 & _T_129; // @[el2_dec_tlu_ctl.scala 490:107] + wire take_halt = _T_130 & _T_753; // @[el2_dec_tlu_ctl.scala 490:137] + wire _T_170 = debug_resume_req_f & dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 515:43] + wire _T_172 = io_dec_tlu_i0_valid_r & _T_528; // @[el2_dec_tlu_ctl.scala 517:53] + wire _T_174 = _T_172 & dcsr[2]; // @[el2_dec_tlu_ctl.scala 517:78] + wire _T_329 = mtdata1_t_3[6] & mtdata1_t_3[9]; // @[el2_dec_tlu_ctl.scala 612:61] + wire _T_332 = mtdata1_t_2[6] & mtdata1_t_2[9]; // @[el2_dec_tlu_ctl.scala 612:121] + wire _T_335 = mtdata1_t_1[6] & mtdata1_t_1[9]; // @[el2_dec_tlu_ctl.scala 612:181] + wire _T_338 = mtdata1_t_0[6] & mtdata1_t_0[9]; // @[el2_dec_tlu_ctl.scala 612:241] + wire [3:0] trigger_action = {_T_329,_T_332,_T_335,_T_338}; // @[Cat.scala 29:58] + wire [3:0] _T_343 = i0_trigger_chain_masked_r & trigger_action; // @[el2_dec_tlu_ctl.scala 618:56] + wire i0_trigger_action_r = |_T_343; // @[el2_dec_tlu_ctl.scala 618:74] + wire trigger_hit_dmode_r = i0_trigger_hit_raw_r & i0_trigger_action_r; // @[el2_dec_tlu_ctl.scala 620:44] + wire _T_180 = trigger_hit_dmode_r | ebreak_to_debug_mode_r; // @[el2_dec_tlu_ctl.scala 524:51] + wire _T_182 = request_debug_mode_r_d1 & _T_402; // @[el2_dec_tlu_ctl.scala 524:104] + reg request_debug_mode_done_f; // @[el2_dec_tlu_ctl.scala 543:73] + wire _T_183 = request_debug_mode_r_d1 | request_debug_mode_done_f; // @[el2_dec_tlu_ctl.scala 526:58] + reg _T_190; // @[el2_dec_tlu_ctl.scala 534:73] + wire _T_201 = fence_i_r & internal_dbg_halt_mode; // @[el2_dec_tlu_ctl.scala 555:56] + wire _T_202 = take_halt | _T_201; // @[el2_dec_tlu_ctl.scala 555:43] + wire _T_203 = _T_202 | io_dec_tlu_flush_pause_r; // @[el2_dec_tlu_ctl.scala 555:82] + wire _T_204 = i0_trigger_hit_raw_r & trigger_hit_dmode_r; // @[el2_dec_tlu_ctl.scala 555:129] + wire _T_205 = _T_203 | _T_204; // @[el2_dec_tlu_ctl.scala 555:109] + wire take_ext_int_start = ext_int_ready & _T_704; // @[el2_dec_tlu_ctl.scala 828:41] + wire _T_207 = ~interrupt_valid_r; // @[el2_dec_tlu_ctl.scala 560:55] + wire _T_208 = dec_tlu_wr_pause_r_d1 & _T_207; // @[el2_dec_tlu_ctl.scala 560:53] + wire _T_209 = ~take_ext_int_start; // @[el2_dec_tlu_ctl.scala 560:76] + wire _T_231 = io_dec_tlu_flush_lower_r & dcsr[2]; // @[el2_dec_tlu_ctl.scala 564:60] + wire _T_232 = io_dec_tlu_resume_ack | dcsr_single_step_running; // @[el2_dec_tlu_ctl.scala 564:103] + wire _T_233 = _T_231 & _T_232; // @[el2_dec_tlu_ctl.scala 564:78] + wire _T_234 = ~io_dec_tlu_flush_noredir_r; // @[el2_dec_tlu_ctl.scala 564:133] + wire [3:0] _T_342 = i0_trigger_hit_raw_r ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire _T_345 = ~trigger_hit_dmode_r; // @[el2_dec_tlu_ctl.scala 622:54] + wire mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[el2_dec_tlu_ctl.scala 622:52] + wire _T_350 = i_cpu_run_req_sync & _T_346; // @[el2_dec_tlu_ctl.scala 650:52] + wire _T_351 = _T_350 & pmu_fw_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 650:77] + wire i_cpu_run_req_sync_qual = _T_351 & _T_107; // @[el2_dec_tlu_ctl.scala 650:99] + reg _T_353; // @[el2_dec_tlu_ctl.scala 654:73] + reg _T_354; // @[el2_dec_tlu_ctl.scala 655:81] + reg _T_355; // @[el2_dec_tlu_ctl.scala 656:81] + wire _T_384 = io_o_cpu_halt_status & _T_375; // @[el2_dec_tlu_ctl.scala 674:88] + wire _T_386 = _T_384 & _T_152; // @[el2_dec_tlu_ctl.scala 674:108] + wire _T_388 = io_o_cpu_halt_status & i_cpu_run_req_sync_qual; // @[el2_dec_tlu_ctl.scala 675:40] + wire _T_389 = io_o_cpu_run_ack & i_cpu_run_req_sync_qual; // @[el2_dec_tlu_ctl.scala 675:87] + reg lsu_single_ecc_error_r_d1; // @[el2_dec_tlu_ctl.scala 687:64] + reg lsu_i0_exc_r_d1; // @[el2_dec_tlu_ctl.scala 694:73] + wire _T_408 = ~io_lsu_error_pkt_r_exc_type; // @[el2_dec_tlu_ctl.scala 695:39] + wire lsu_exc_ma_r = lsu_exc_valid_r & _T_408; // @[el2_dec_tlu_ctl.scala 695:37] + wire lsu_exc_acc_r = lsu_exc_valid_r & io_lsu_error_pkt_r_exc_type; // @[el2_dec_tlu_ctl.scala 696:37] + wire lsu_exc_st_r = lsu_exc_valid_r & io_lsu_error_pkt_r_inst_type; // @[el2_dec_tlu_ctl.scala 697:37] + wire _T_424 = rfpc_i0_r | lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 707:37] + wire _T_425 = _T_424 | inst_acc_r; // @[el2_dec_tlu_ctl.scala 707:52] + wire _T_426 = illegal_r & io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 707:78] + wire _T_427 = _T_425 | _T_426; // @[el2_dec_tlu_ctl.scala 707:65] + wire _T_441 = ~io_dec_tlu_flush_lower_r; // @[el2_dec_tlu_ctl.scala 716:69] + wire _T_442 = iccm_repair_state_d1 & _T_441; // @[el2_dec_tlu_ctl.scala 716:67] + wire _T_453 = io_exu_i0_br_error_r & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 725:50] + wire _T_455 = io_exu_i0_br_start_error_r & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 726:62] + wire _T_457 = io_exu_i0_br_valid_r & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 727:46] + wire _T_459 = _T_457 & _T_429; // @[el2_dec_tlu_ctl.scala 727:70] + wire _T_460 = ~io_exu_i0_br_mp_r; // @[el2_dec_tlu_ctl.scala 727:97] + wire _T_461 = ~io_exu_pmu_i0_br_ataken; // @[el2_dec_tlu_ctl.scala 727:118] + wire _T_462 = _T_460 | _T_461; // @[el2_dec_tlu_ctl.scala 727:116] + wire _T_529 = ~take_nmi; // @[el2_dec_tlu_ctl.scala 775:21] + wire _T_530 = take_ext_int & _T_529; // @[el2_dec_tlu_ctl.scala 775:19] + wire _T_533 = take_timer_int & _T_529; // @[el2_dec_tlu_ctl.scala 776:21] + wire _T_536 = take_soft_int & _T_529; // @[el2_dec_tlu_ctl.scala 777:20] + wire _T_539 = take_int_timer0_int & _T_529; // @[el2_dec_tlu_ctl.scala 778:26] + wire _T_542 = take_int_timer1_int & _T_529; // @[el2_dec_tlu_ctl.scala 779:26] + wire _T_545 = take_ce_int & _T_529; // @[el2_dec_tlu_ctl.scala 780:18] + wire _T_548 = illegal_r & _T_529; // @[el2_dec_tlu_ctl.scala 781:16] + wire _T_551 = ecall_r & _T_529; // @[el2_dec_tlu_ctl.scala 782:15] + wire _T_554 = inst_acc_r & _T_529; // @[el2_dec_tlu_ctl.scala 783:18] + wire _T_556 = ebreak_r | i0_trigger_hit_raw_r; // @[el2_dec_tlu_ctl.scala 784:16] + wire _T_558 = _T_556 & _T_529; // @[el2_dec_tlu_ctl.scala 784:36] + wire _T_560 = ~lsu_exc_st_r; // @[el2_dec_tlu_ctl.scala 785:21] + wire _T_561 = lsu_exc_ma_r & _T_560; // @[el2_dec_tlu_ctl.scala 785:19] + wire _T_563 = _T_561 & _T_529; // @[el2_dec_tlu_ctl.scala 785:35] + wire _T_566 = lsu_exc_acc_r & _T_560; // @[el2_dec_tlu_ctl.scala 786:20] + wire _T_568 = _T_566 & _T_529; // @[el2_dec_tlu_ctl.scala 786:36] + wire _T_570 = lsu_exc_ma_r & lsu_exc_st_r; // @[el2_dec_tlu_ctl.scala 787:19] + wire _T_572 = _T_570 & _T_529; // @[el2_dec_tlu_ctl.scala 787:34] + wire _T_574 = lsu_exc_acc_r & lsu_exc_st_r; // @[el2_dec_tlu_ctl.scala 788:20] + wire _T_576 = _T_574 & _T_529; // @[el2_dec_tlu_ctl.scala 788:35] + wire [4:0] _T_578 = _T_530 ? 5'hb : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_579 = _T_533 ? 5'h7 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_580 = _T_536 ? 5'h3 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_581 = _T_539 ? 5'h1d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_582 = _T_542 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_583 = _T_545 ? 5'h1e : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_584 = _T_548 ? 5'h2 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_585 = _T_551 ? 5'hb : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_586 = _T_554 ? 5'h1 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_587 = _T_558 ? 5'h3 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_588 = _T_563 ? 5'h4 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_589 = _T_568 ? 5'h5 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_590 = _T_572 ? 5'h6 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_591 = _T_576 ? 5'h7 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_592 = _T_578 | _T_579; // @[Mux.scala 27:72] + wire [4:0] _T_593 = _T_592 | _T_580; // @[Mux.scala 27:72] + wire [4:0] _T_594 = _T_593 | _T_581; // @[Mux.scala 27:72] + wire [4:0] _T_595 = _T_594 | _T_582; // @[Mux.scala 27:72] + wire [4:0] _T_596 = _T_595 | _T_583; // @[Mux.scala 27:72] + wire [4:0] _T_597 = _T_596 | _T_584; // @[Mux.scala 27:72] + wire [4:0] _T_598 = _T_597 | _T_585; // @[Mux.scala 27:72] + wire [4:0] _T_599 = _T_598 | _T_586; // @[Mux.scala 27:72] + wire [4:0] _T_600 = _T_599 | _T_587; // @[Mux.scala 27:72] + wire [4:0] _T_601 = _T_600 | _T_588; // @[Mux.scala 27:72] + wire [4:0] _T_602 = _T_601 | _T_589; // @[Mux.scala 27:72] + wire [4:0] _T_603 = _T_602 | _T_590; // @[Mux.scala 27:72] + wire [4:0] exc_cause_r = _T_603 | _T_591; // @[Mux.scala 27:72] + wire _T_641 = io_dec_csr_stall_int_ff | synchronous_flush_r; // @[el2_dec_tlu_ctl.scala 813:51] + wire _T_642 = _T_641 | exc_or_int_valid_r_d1; // @[el2_dec_tlu_ctl.scala 813:73] + wire int_timer_stalled = _T_642 | mret_r; // @[el2_dec_tlu_ctl.scala 813:97] + wire _T_643 = pmu_fw_tlu_halted_f | int_timer_stalled; // @[el2_dec_tlu_ctl.scala 815:71] + wire _T_644 = int_timer0_int_ready & _T_643; // @[el2_dec_tlu_ctl.scala 815:48] + wire _T_645 = int_timer0_int_possible & int_timer0_int_hold_f; // @[el2_dec_tlu_ctl.scala 815:120] + wire _T_647 = _T_645 & _T_207; // @[el2_dec_tlu_ctl.scala 815:144] + wire _T_649 = _T_647 & _T_209; // @[el2_dec_tlu_ctl.scala 815:165] + wire _T_651 = _T_649 & _T_152; // @[el2_dec_tlu_ctl.scala 815:187] + wire _T_654 = int_timer1_int_ready & _T_643; // @[el2_dec_tlu_ctl.scala 816:48] + wire _T_655 = int_timer1_int_possible & int_timer1_int_hold_f; // @[el2_dec_tlu_ctl.scala 816:120] + wire _T_657 = _T_655 & _T_207; // @[el2_dec_tlu_ctl.scala 816:144] + wire _T_659 = _T_657 & _T_209; // @[el2_dec_tlu_ctl.scala 816:165] + wire _T_661 = _T_659 & _T_152; // @[el2_dec_tlu_ctl.scala 816:187] + reg take_ext_int_start_d2; // @[el2_dec_tlu_ctl.scala 825:58] + wire _T_681 = take_ext_int_start | take_ext_int_start_d1; // @[el2_dec_tlu_ctl.scala 830:42] + wire _T_682 = _T_681 | take_ext_int_start_d2; // @[el2_dec_tlu_ctl.scala 830:66] + wire csr_pkt_csr_meicpct = csr_read_io_csr_pkt_csr_meicpct; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire fast_int_meicpct = csr_pkt_csr_meicpct & io_dec_csr_any_unq_d; // @[el2_dec_tlu_ctl.scala 832:45] + wire [30:0] mtvec = csr_io_mtvec; // @[el2_dec_tlu_ctl.scala 349:41 el2_dec_tlu_ctl.scala 1087:31] + wire [30:0] _T_769 = {mtvec[30:1],1'h0}; // @[Cat.scala 29:58] + wire [30:0] _T_771 = {25'h0,exc_cause_r,1'h0}; // @[Cat.scala 29:58] + wire [30:0] vectored_path = _T_769 + _T_771; // @[el2_dec_tlu_ctl.scala 860:50] + wire [30:0] _T_778 = mtvec[0] ? vectored_path : _T_769; // @[el2_dec_tlu_ctl.scala 861:60] + wire [30:0] interrupt_path = take_nmi ? io_nmi_vec : _T_778; // @[el2_dec_tlu_ctl.scala 861:27] + wire _T_779 = lsu_i0_rfnpc_r | fence_i_r; // @[el2_dec_tlu_ctl.scala 862:35] + wire _T_780 = _T_779 | iccm_repair_state_rfnpc; // @[el2_dec_tlu_ctl.scala 862:47] + wire _T_782 = i_cpu_run_req_d1 & _T_207; // @[el2_dec_tlu_ctl.scala 862:93] + wire _T_783 = _T_780 | _T_782; // @[el2_dec_tlu_ctl.scala 862:73] + wire _T_785 = rfpc_i0_r & _T_743; // @[el2_dec_tlu_ctl.scala 862:128] + wire sel_npc_r = _T_783 | _T_785; // @[el2_dec_tlu_ctl.scala 862:115] + wire _T_798 = interrupt_valid_r | mret_r; // @[el2_dec_tlu_ctl.scala 866:42] + wire _T_799 = _T_798 | synchronous_flush_r; // @[el2_dec_tlu_ctl.scala 866:51] + wire _T_800 = _T_799 | take_halt; // @[el2_dec_tlu_ctl.scala 866:73] + wire _T_801 = _T_800 | take_reset; // @[el2_dec_tlu_ctl.scala 866:85] + wire _T_807 = _T_529 & sel_npc_r; // @[el2_dec_tlu_ctl.scala 870:21] + wire _T_810 = _T_529 & rfpc_i0_r; // @[el2_dec_tlu_ctl.scala 871:21] + wire _T_812 = _T_810 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 871:39] + wire _T_813 = ~sel_npc_r; // @[el2_dec_tlu_ctl.scala 871:80] + wire _T_814 = _T_812 & _T_813; // @[el2_dec_tlu_ctl.scala 871:69] + wire _T_816 = ~take_ext_int; // @[el2_dec_tlu_ctl.scala 872:44] + wire _T_817 = interrupt_valid_r & _T_816; // @[el2_dec_tlu_ctl.scala 872:30] + wire _T_818 = i0_exception_valid_r | lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 873:28] + wire _T_821 = _T_818 | mepc_trigger_hit_sel_pc_r; // @[el2_dec_tlu_ctl.scala 873:46] + wire _T_823 = _T_821 & _T_207; // @[el2_dec_tlu_ctl.scala 873:91] + wire _T_825 = _T_823 & _T_816; // @[el2_dec_tlu_ctl.scala 873:112] + wire _T_830 = _T_529 & mret_r; // @[el2_dec_tlu_ctl.scala 874:16] + wire _T_833 = _T_529 & debug_resume_req_f; // @[el2_dec_tlu_ctl.scala 875:16] + wire _T_836 = _T_529 & sel_npc_resume; // @[el2_dec_tlu_ctl.scala 876:16] + wire [30:0] _T_838 = take_ext_int ? io_lsu_fir_addr : 31'h0; // @[Mux.scala 27:72] + wire [30:0] npc_r = csr_io_npc_r; // @[el2_dec_tlu_ctl.scala 337:41 el2_dec_tlu_ctl.scala 1075:31] + wire [30:0] _T_839 = _T_807 ? npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_840 = _T_814 ? io_dec_tlu_i0_pc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_841 = _T_817 ? interrupt_path : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_842 = _T_825 ? _T_769 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] mepc = csr_io_mepc; // @[el2_dec_tlu_ctl.scala 340:41 el2_dec_tlu_ctl.scala 1078:31] + wire [30:0] _T_843 = _T_830 ? mepc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] dpc = csr_io_dpc; // @[el2_dec_tlu_ctl.scala 343:41 el2_dec_tlu_ctl.scala 1081:31] + wire [30:0] _T_844 = _T_833 ? dpc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] npc_r_d1 = csr_io_npc_r_d1; // @[el2_dec_tlu_ctl.scala 338:41 el2_dec_tlu_ctl.scala 1076:31] + wire [30:0] _T_845 = _T_836 ? npc_r_d1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_846 = _T_838 | _T_839; // @[Mux.scala 27:72] + wire [30:0] _T_847 = _T_846 | _T_840; // @[Mux.scala 27:72] + wire [30:0] _T_848 = _T_847 | _T_841; // @[Mux.scala 27:72] + wire [30:0] _T_849 = _T_848 | _T_842; // @[Mux.scala 27:72] + wire [30:0] _T_850 = _T_849 | _T_843; // @[Mux.scala 27:72] + wire [30:0] _T_851 = _T_850 | _T_844; // @[Mux.scala 27:72] + wire [30:0] _T_852 = _T_851 | _T_845; // @[Mux.scala 27:72] + reg [30:0] tlu_flush_path_r_d1; // @[el2_dec_tlu_ctl.scala 879:58] + wire _T_854 = lsu_exc_valid_r | i0_exception_valid_r; // @[el2_dec_tlu_ctl.scala 886:44] + wire _T_855 = _T_854 | interrupt_valid_r; // @[el2_dec_tlu_ctl.scala 886:67] + reg i0_exception_valid_r_d1; // @[el2_dec_tlu_ctl.scala 889:81] + wire _T_860 = ~illegal_r; // @[el2_dec_tlu_ctl.scala 892:119] + reg i0_valid_wb; // @[el2_dec_tlu_ctl.scala 892:97] + reg trigger_hit_r_d1; // @[el2_dec_tlu_ctl.scala 893:81] + wire csr_pkt_presync = csr_read_io_csr_pkt_presync; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_864 = csr_pkt_presync & io_dec_csr_any_unq_d; // @[el2_dec_tlu_ctl.scala 1094:44] + wire _T_865 = ~io_dec_csr_wen_unq_d; // @[el2_dec_tlu_ctl.scala 1094:69] + wire csr_pkt_postsync = csr_read_io_csr_pkt_postsync; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_874 = csr_pkt_csr_dcsr | csr_pkt_csr_dpc; // @[el2_dec_tlu_ctl.scala 1099:57] + wire csr_pkt_csr_dmst = csr_read_io_csr_pkt_csr_dmst; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_875 = _T_874 | csr_pkt_csr_dmst; // @[el2_dec_tlu_ctl.scala 1099:75] + wire csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_876 = _T_875 | csr_pkt_csr_dicawics; // @[el2_dec_tlu_ctl.scala 1099:94] + wire csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_877 = _T_876 | csr_pkt_csr_dicad0; // @[el2_dec_tlu_ctl.scala 1099:117] + wire csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_878 = _T_877 | csr_pkt_csr_dicad0h; // @[el2_dec_tlu_ctl.scala 1099:138] + wire csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_879 = _T_878 | csr_pkt_csr_dicad1; // @[el2_dec_tlu_ctl.scala 1099:160] + wire csr_pkt_csr_dicago = csr_read_io_csr_pkt_csr_dicago; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_880 = _T_879 | csr_pkt_csr_dicago; // @[el2_dec_tlu_ctl.scala 1099:181] + wire _T_881 = ~_T_880; // @[el2_dec_tlu_ctl.scala 1099:38] + wire _T_882 = _T_881 | dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 1099:203] + wire csr_pkt_legal = csr_read_io_csr_pkt_legal; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_883 = csr_pkt_legal & _T_882; // @[el2_dec_tlu_ctl.scala 1099:35] + wire _T_884 = ~fast_int_meicpct; // @[el2_dec_tlu_ctl.scala 1099:225] + wire valid_csr = _T_883 & _T_884; // @[el2_dec_tlu_ctl.scala 1099:223] + wire _T_887 = io_dec_csr_any_unq_d & valid_csr; // @[el2_dec_tlu_ctl.scala 1101:48] + wire csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_888 = csr_pkt_csr_mvendorid | csr_pkt_csr_marchid; // @[el2_dec_tlu_ctl.scala 1101:109] + wire csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_889 = _T_888 | csr_pkt_csr_mimpid; // @[el2_dec_tlu_ctl.scala 1101:131] + wire csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_890 = _T_889 | csr_pkt_csr_mhartid; // @[el2_dec_tlu_ctl.scala 1101:152] + wire csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_891 = _T_890 | csr_pkt_csr_mdseac; // @[el2_dec_tlu_ctl.scala 1101:174] + wire csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_892 = _T_891 | csr_pkt_csr_meihap; // @[el2_dec_tlu_ctl.scala 1101:195] + wire _T_893 = io_dec_csr_wen_unq_d & _T_892; // @[el2_dec_tlu_ctl.scala 1101:84] + wire _T_894 = ~_T_893; // @[el2_dec_tlu_ctl.scala 1101:61] + el2_dec_timer_ctl int_timers ( // @[el2_dec_tlu_ctl.scala 355:24] + .clock(int_timers_clock), + .reset(int_timers_reset), + .io_free_clk(int_timers_io_free_clk), + .io_scan_mode(int_timers_io_scan_mode), + .io_dec_csr_wen_r_mod(int_timers_io_dec_csr_wen_r_mod), + .io_dec_csr_wraddr_r(int_timers_io_dec_csr_wraddr_r), + .io_dec_csr_wrdata_r(int_timers_io_dec_csr_wrdata_r), + .io_csr_mitctl0(int_timers_io_csr_mitctl0), + .io_csr_mitctl1(int_timers_io_csr_mitctl1), + .io_csr_mitb0(int_timers_io_csr_mitb0), + .io_csr_mitb1(int_timers_io_csr_mitb1), + .io_csr_mitcnt0(int_timers_io_csr_mitcnt0), + .io_csr_mitcnt1(int_timers_io_csr_mitcnt1), + .io_dec_pause_state(int_timers_io_dec_pause_state), + .io_dec_tlu_pmu_fw_halted(int_timers_io_dec_tlu_pmu_fw_halted), + .io_internal_dbg_halt_timers(int_timers_io_internal_dbg_halt_timers), + .io_dec_timer_rddata_d(int_timers_io_dec_timer_rddata_d), + .io_dec_timer_read_d(int_timers_io_dec_timer_read_d), + .io_dec_timer_t0_pulse(int_timers_io_dec_timer_t0_pulse), + .io_dec_timer_t1_pulse(int_timers_io_dec_timer_t1_pulse) + ); + rvclkhdr rvclkhdr ( // @[el2_lib.scala 474:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[el2_lib.scala 474:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[el2_lib.scala 474:22] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[el2_lib.scala 474:22] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + csr_tlu csr ( // @[el2_dec_tlu_ctl.scala 897:17] + .clock(csr_clock), + .reset(csr_reset), + .io_free_clk(csr_io_free_clk), + .io_active_clk(csr_io_active_clk), + .io_scan_mode(csr_io_scan_mode), + .io_dec_csr_wrdata_r(csr_io_dec_csr_wrdata_r), + .io_dec_csr_wraddr_r(csr_io_dec_csr_wraddr_r), + .io_dec_csr_rdaddr_d(csr_io_dec_csr_rdaddr_d), + .io_dec_csr_wen_unq_d(csr_io_dec_csr_wen_unq_d), + .io_dec_i0_decode_d(csr_io_dec_i0_decode_d), + .io_dec_tlu_ic_diag_pkt_icache_wrdata(csr_io_dec_tlu_ic_diag_pkt_icache_wrdata), + .io_dec_tlu_ic_diag_pkt_icache_dicawics(csr_io_dec_tlu_ic_diag_pkt_icache_dicawics), + .io_dec_tlu_ic_diag_pkt_icache_rd_valid(csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid), + .io_dec_tlu_ic_diag_pkt_icache_wr_valid(csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid), + .io_ifu_ic_debug_rd_data_valid(csr_io_ifu_ic_debug_rd_data_valid), + .io_trigger_pkt_any_0_select(csr_io_trigger_pkt_any_0_select), + .io_trigger_pkt_any_0_match_(csr_io_trigger_pkt_any_0_match_), + .io_trigger_pkt_any_0_store(csr_io_trigger_pkt_any_0_store), + .io_trigger_pkt_any_0_load(csr_io_trigger_pkt_any_0_load), + .io_trigger_pkt_any_0_execute(csr_io_trigger_pkt_any_0_execute), + .io_trigger_pkt_any_0_m(csr_io_trigger_pkt_any_0_m), + .io_trigger_pkt_any_0_tdata2(csr_io_trigger_pkt_any_0_tdata2), + .io_trigger_pkt_any_1_select(csr_io_trigger_pkt_any_1_select), + .io_trigger_pkt_any_1_match_(csr_io_trigger_pkt_any_1_match_), + .io_trigger_pkt_any_1_store(csr_io_trigger_pkt_any_1_store), + .io_trigger_pkt_any_1_load(csr_io_trigger_pkt_any_1_load), + .io_trigger_pkt_any_1_execute(csr_io_trigger_pkt_any_1_execute), + .io_trigger_pkt_any_1_m(csr_io_trigger_pkt_any_1_m), + .io_trigger_pkt_any_1_tdata2(csr_io_trigger_pkt_any_1_tdata2), + .io_trigger_pkt_any_2_select(csr_io_trigger_pkt_any_2_select), + .io_trigger_pkt_any_2_match_(csr_io_trigger_pkt_any_2_match_), + .io_trigger_pkt_any_2_store(csr_io_trigger_pkt_any_2_store), + .io_trigger_pkt_any_2_load(csr_io_trigger_pkt_any_2_load), + .io_trigger_pkt_any_2_execute(csr_io_trigger_pkt_any_2_execute), + .io_trigger_pkt_any_2_m(csr_io_trigger_pkt_any_2_m), + .io_trigger_pkt_any_2_tdata2(csr_io_trigger_pkt_any_2_tdata2), + .io_trigger_pkt_any_3_select(csr_io_trigger_pkt_any_3_select), + .io_trigger_pkt_any_3_match_(csr_io_trigger_pkt_any_3_match_), + .io_trigger_pkt_any_3_store(csr_io_trigger_pkt_any_3_store), + .io_trigger_pkt_any_3_load(csr_io_trigger_pkt_any_3_load), + .io_trigger_pkt_any_3_execute(csr_io_trigger_pkt_any_3_execute), + .io_trigger_pkt_any_3_m(csr_io_trigger_pkt_any_3_m), + .io_trigger_pkt_any_3_tdata2(csr_io_trigger_pkt_any_3_tdata2), + .io_ifu_pmu_bus_trxn(csr_io_ifu_pmu_bus_trxn), + .io_dma_iccm_stall_any(csr_io_dma_iccm_stall_any), + .io_dma_dccm_stall_any(csr_io_dma_dccm_stall_any), + .io_lsu_store_stall_any(csr_io_lsu_store_stall_any), + .io_dec_pmu_presync_stall(csr_io_dec_pmu_presync_stall), + .io_dec_pmu_postsync_stall(csr_io_dec_pmu_postsync_stall), + .io_dec_pmu_decode_stall(csr_io_dec_pmu_decode_stall), + .io_ifu_pmu_fetch_stall(csr_io_ifu_pmu_fetch_stall), + .io_dec_tlu_packet_r_icaf_type(csr_io_dec_tlu_packet_r_icaf_type), + .io_dec_tlu_packet_r_pmu_i0_itype(csr_io_dec_tlu_packet_r_pmu_i0_itype), + .io_dec_tlu_packet_r_pmu_i0_br_unpred(csr_io_dec_tlu_packet_r_pmu_i0_br_unpred), + .io_dec_tlu_packet_r_pmu_divide(csr_io_dec_tlu_packet_r_pmu_divide), + .io_dec_tlu_packet_r_pmu_lsu_misaligned(csr_io_dec_tlu_packet_r_pmu_lsu_misaligned), + .io_exu_pmu_i0_br_ataken(csr_io_exu_pmu_i0_br_ataken), + .io_exu_pmu_i0_br_misp(csr_io_exu_pmu_i0_br_misp), + .io_dec_pmu_instr_decoded(csr_io_dec_pmu_instr_decoded), + .io_ifu_pmu_instr_aligned(csr_io_ifu_pmu_instr_aligned), + .io_exu_pmu_i0_pc4(csr_io_exu_pmu_i0_pc4), + .io_ifu_pmu_ic_miss(csr_io_ifu_pmu_ic_miss), + .io_ifu_pmu_ic_hit(csr_io_ifu_pmu_ic_hit), + .io_dec_tlu_int_valid_wb1(csr_io_dec_tlu_int_valid_wb1), + .io_dec_tlu_i0_exc_valid_wb1(csr_io_dec_tlu_i0_exc_valid_wb1), + .io_dec_tlu_i0_valid_wb1(csr_io_dec_tlu_i0_valid_wb1), + .io_dec_csr_wen_r(csr_io_dec_csr_wen_r), + .io_dec_tlu_perfcnt0(csr_io_dec_tlu_perfcnt0), + .io_dec_tlu_perfcnt1(csr_io_dec_tlu_perfcnt1), + .io_dec_tlu_perfcnt2(csr_io_dec_tlu_perfcnt2), + .io_dec_tlu_perfcnt3(csr_io_dec_tlu_perfcnt3), + .io_dec_tlu_dbg_halted(csr_io_dec_tlu_dbg_halted), + .io_dma_pmu_dccm_write(csr_io_dma_pmu_dccm_write), + .io_dma_pmu_dccm_read(csr_io_dma_pmu_dccm_read), + .io_dma_pmu_any_write(csr_io_dma_pmu_any_write), + .io_dma_pmu_any_read(csr_io_dma_pmu_any_read), + .io_lsu_pmu_bus_busy(csr_io_lsu_pmu_bus_busy), + .io_dec_tlu_i0_pc_r(csr_io_dec_tlu_i0_pc_r), + .io_dec_tlu_i0_valid_r(csr_io_dec_tlu_i0_valid_r), + .io_dec_csr_any_unq_d(csr_io_dec_csr_any_unq_d), + .io_dec_tlu_misc_clk_override(csr_io_dec_tlu_misc_clk_override), + .io_dec_tlu_dec_clk_override(csr_io_dec_tlu_dec_clk_override), + .io_dec_tlu_ifu_clk_override(csr_io_dec_tlu_ifu_clk_override), + .io_dec_tlu_lsu_clk_override(csr_io_dec_tlu_lsu_clk_override), + .io_dec_tlu_bus_clk_override(csr_io_dec_tlu_bus_clk_override), + .io_dec_tlu_pic_clk_override(csr_io_dec_tlu_pic_clk_override), + .io_dec_tlu_dccm_clk_override(csr_io_dec_tlu_dccm_clk_override), + .io_dec_tlu_icm_clk_override(csr_io_dec_tlu_icm_clk_override), + .io_dec_csr_rddata_d(csr_io_dec_csr_rddata_d), + .io_dec_tlu_pipelining_disable(csr_io_dec_tlu_pipelining_disable), + .io_dec_tlu_wr_pause_r(csr_io_dec_tlu_wr_pause_r), + .io_ifu_pmu_bus_busy(csr_io_ifu_pmu_bus_busy), + .io_lsu_pmu_bus_error(csr_io_lsu_pmu_bus_error), + .io_ifu_pmu_bus_error(csr_io_ifu_pmu_bus_error), + .io_lsu_pmu_bus_misaligned(csr_io_lsu_pmu_bus_misaligned), + .io_lsu_pmu_bus_trxn(csr_io_lsu_pmu_bus_trxn), + .io_ifu_ic_debug_rd_data(csr_io_ifu_ic_debug_rd_data), + .io_dec_tlu_meipt(csr_io_dec_tlu_meipt), + .io_pic_pl(csr_io_pic_pl), + .io_dec_tlu_meicurpl(csr_io_dec_tlu_meicurpl), + .io_dec_tlu_meihap(csr_io_dec_tlu_meihap), + .io_pic_claimid(csr_io_pic_claimid), + .io_iccm_dma_sb_error(csr_io_iccm_dma_sb_error), + .io_lsu_imprecise_error_addr_any(csr_io_lsu_imprecise_error_addr_any), + .io_lsu_imprecise_error_load_any(csr_io_lsu_imprecise_error_load_any), + .io_lsu_imprecise_error_store_any(csr_io_lsu_imprecise_error_store_any), + .io_dec_tlu_mrac_ff(csr_io_dec_tlu_mrac_ff), + .io_dec_tlu_wb_coalescing_disable(csr_io_dec_tlu_wb_coalescing_disable), + .io_dec_tlu_bpred_disable(csr_io_dec_tlu_bpred_disable), + .io_dec_tlu_sideeffect_posted_disable(csr_io_dec_tlu_sideeffect_posted_disable), + .io_dec_tlu_core_ecc_disable(csr_io_dec_tlu_core_ecc_disable), + .io_dec_tlu_external_ldfwd_disable(csr_io_dec_tlu_external_ldfwd_disable), + .io_dec_tlu_dma_qos_prty(csr_io_dec_tlu_dma_qos_prty), + .io_dec_illegal_inst(csr_io_dec_illegal_inst), + .io_lsu_error_pkt_r_mscause(csr_io_lsu_error_pkt_r_mscause), + .io_mexintpend(csr_io_mexintpend), + .io_exu_npc_r(csr_io_exu_npc_r), + .io_mpc_reset_run_req(csr_io_mpc_reset_run_req), + .io_rst_vec(csr_io_rst_vec), + .io_core_id(csr_io_core_id), + .io_dec_timer_rddata_d(csr_io_dec_timer_rddata_d), + .io_dec_timer_read_d(csr_io_dec_timer_read_d), + .io_dec_csr_wen_r_mod(csr_io_dec_csr_wen_r_mod), + .io_rfpc_i0_r(csr_io_rfpc_i0_r), + .io_i0_trigger_hit_r(csr_io_i0_trigger_hit_r), + .io_fw_halt_req(csr_io_fw_halt_req), + .io_mstatus(csr_io_mstatus), + .io_exc_or_int_valid_r(csr_io_exc_or_int_valid_r), + .io_mret_r(csr_io_mret_r), + .io_mstatus_mie_ns(csr_io_mstatus_mie_ns), + .io_dcsr_single_step_running_f(csr_io_dcsr_single_step_running_f), + .io_dcsr(csr_io_dcsr), + .io_mtvec(csr_io_mtvec), + .io_mip(csr_io_mip), + .io_dec_timer_t0_pulse(csr_io_dec_timer_t0_pulse), + .io_dec_timer_t1_pulse(csr_io_dec_timer_t1_pulse), + .io_timer_int_sync(csr_io_timer_int_sync), + .io_soft_int_sync(csr_io_soft_int_sync), + .io_mie_ns(csr_io_mie_ns), + .io_csr_wr_clk(csr_io_csr_wr_clk), + .io_ebreak_to_debug_mode_r(csr_io_ebreak_to_debug_mode_r), + .io_dec_tlu_pmu_fw_halted(csr_io_dec_tlu_pmu_fw_halted), + .io_lsu_fir_error(csr_io_lsu_fir_error), + .io_npc_r(csr_io_npc_r), + .io_tlu_flush_lower_r_d1(csr_io_tlu_flush_lower_r_d1), + .io_dec_tlu_flush_noredir_r_d1(csr_io_dec_tlu_flush_noredir_r_d1), + .io_tlu_flush_path_r_d1(csr_io_tlu_flush_path_r_d1), + .io_npc_r_d1(csr_io_npc_r_d1), + .io_reset_delayed(csr_io_reset_delayed), + .io_mepc(csr_io_mepc), + .io_interrupt_valid_r(csr_io_interrupt_valid_r), + .io_i0_exception_valid_r(csr_io_i0_exception_valid_r), + .io_lsu_exc_valid_r(csr_io_lsu_exc_valid_r), + .io_mepc_trigger_hit_sel_pc_r(csr_io_mepc_trigger_hit_sel_pc_r), + .io_e4e5_int_clk(csr_io_e4e5_int_clk), + .io_lsu_i0_exc_r(csr_io_lsu_i0_exc_r), + .io_inst_acc_r(csr_io_inst_acc_r), + .io_inst_acc_second_r(csr_io_inst_acc_second_r), + .io_take_nmi(csr_io_take_nmi), + .io_lsu_error_pkt_addr_r(csr_io_lsu_error_pkt_addr_r), + .io_exc_cause_r(csr_io_exc_cause_r), + .io_i0_valid_wb(csr_io_i0_valid_wb), + .io_exc_or_int_valid_r_d1(csr_io_exc_or_int_valid_r_d1), + .io_interrupt_valid_r_d1(csr_io_interrupt_valid_r_d1), + .io_clk_override(csr_io_clk_override), + .io_i0_exception_valid_r_d1(csr_io_i0_exception_valid_r_d1), + .io_lsu_i0_exc_r_d1(csr_io_lsu_i0_exc_r_d1), + .io_nmi_lsu_store_type(csr_io_nmi_lsu_store_type), + .io_nmi_lsu_load_type(csr_io_nmi_lsu_load_type), + .io_tlu_i0_commit_cmt(csr_io_tlu_i0_commit_cmt), + .io_ebreak_r(csr_io_ebreak_r), + .io_ecall_r(csr_io_ecall_r), + .io_illegal_r(csr_io_illegal_r), + .io_mdseac_locked_ns(csr_io_mdseac_locked_ns), + .io_mdseac_locked_f(csr_io_mdseac_locked_f), + .io_nmi_int_detected_f(csr_io_nmi_int_detected_f), + .io_internal_dbg_halt_mode_f2(csr_io_internal_dbg_halt_mode_f2), + .io_ext_int_freeze_d1(csr_io_ext_int_freeze_d1), + .io_ic_perr_r_d1(csr_io_ic_perr_r_d1), + .io_iccm_sbecc_r_d1(csr_io_iccm_sbecc_r_d1), + .io_lsu_single_ecc_error_r_d1(csr_io_lsu_single_ecc_error_r_d1), + .io_ifu_miss_state_idle_f(csr_io_ifu_miss_state_idle_f), + .io_lsu_idle_any_f(csr_io_lsu_idle_any_f), + .io_dbg_tlu_halted_f(csr_io_dbg_tlu_halted_f), + .io_dbg_tlu_halted(csr_io_dbg_tlu_halted), + .io_debug_halt_req_f(csr_io_debug_halt_req_f), + .io_force_halt(csr_io_force_halt), + .io_take_ext_int_start(csr_io_take_ext_int_start), + .io_trigger_hit_dmode_r_d1(csr_io_trigger_hit_dmode_r_d1), + .io_trigger_hit_r_d1(csr_io_trigger_hit_r_d1), + .io_dcsr_single_step_done_f(csr_io_dcsr_single_step_done_f), + .io_ebreak_to_debug_mode_r_d1(csr_io_ebreak_to_debug_mode_r_d1), + .io_debug_halt_req(csr_io_debug_halt_req), + .io_allow_dbg_halt_csr_write(csr_io_allow_dbg_halt_csr_write), + .io_internal_dbg_halt_mode_f(csr_io_internal_dbg_halt_mode_f), + .io_enter_debug_halt_req(csr_io_enter_debug_halt_req), + .io_internal_dbg_halt_mode(csr_io_internal_dbg_halt_mode), + .io_request_debug_mode_done(csr_io_request_debug_mode_done), + .io_request_debug_mode_r(csr_io_request_debug_mode_r), + .io_dpc(csr_io_dpc), + .io_update_hit_bit_r(csr_io_update_hit_bit_r), + .io_take_timer_int(csr_io_take_timer_int), + .io_take_int_timer0_int(csr_io_take_int_timer0_int), + .io_take_int_timer1_int(csr_io_take_int_timer1_int), + .io_take_ext_int(csr_io_take_ext_int), + .io_tlu_flush_lower_r(csr_io_tlu_flush_lower_r), + .io_dec_tlu_br0_error_r(csr_io_dec_tlu_br0_error_r), + .io_dec_tlu_br0_start_error_r(csr_io_dec_tlu_br0_start_error_r), + .io_lsu_pmu_load_external_r(csr_io_lsu_pmu_load_external_r), + .io_lsu_pmu_store_external_r(csr_io_lsu_pmu_store_external_r), + .io_csr_pkt_csr_misa(csr_io_csr_pkt_csr_misa), + .io_csr_pkt_csr_mvendorid(csr_io_csr_pkt_csr_mvendorid), + .io_csr_pkt_csr_marchid(csr_io_csr_pkt_csr_marchid), + .io_csr_pkt_csr_mimpid(csr_io_csr_pkt_csr_mimpid), + .io_csr_pkt_csr_mhartid(csr_io_csr_pkt_csr_mhartid), + .io_csr_pkt_csr_mstatus(csr_io_csr_pkt_csr_mstatus), + .io_csr_pkt_csr_mtvec(csr_io_csr_pkt_csr_mtvec), + .io_csr_pkt_csr_mip(csr_io_csr_pkt_csr_mip), + .io_csr_pkt_csr_mie(csr_io_csr_pkt_csr_mie), + .io_csr_pkt_csr_mcyclel(csr_io_csr_pkt_csr_mcyclel), + .io_csr_pkt_csr_mcycleh(csr_io_csr_pkt_csr_mcycleh), + .io_csr_pkt_csr_minstretl(csr_io_csr_pkt_csr_minstretl), + .io_csr_pkt_csr_minstreth(csr_io_csr_pkt_csr_minstreth), + .io_csr_pkt_csr_mscratch(csr_io_csr_pkt_csr_mscratch), + .io_csr_pkt_csr_mepc(csr_io_csr_pkt_csr_mepc), + .io_csr_pkt_csr_mcause(csr_io_csr_pkt_csr_mcause), + .io_csr_pkt_csr_mscause(csr_io_csr_pkt_csr_mscause), + .io_csr_pkt_csr_mtval(csr_io_csr_pkt_csr_mtval), + .io_csr_pkt_csr_mrac(csr_io_csr_pkt_csr_mrac), + .io_csr_pkt_csr_mdseac(csr_io_csr_pkt_csr_mdseac), + .io_csr_pkt_csr_meihap(csr_io_csr_pkt_csr_meihap), + .io_csr_pkt_csr_meivt(csr_io_csr_pkt_csr_meivt), + .io_csr_pkt_csr_meipt(csr_io_csr_pkt_csr_meipt), + .io_csr_pkt_csr_meicurpl(csr_io_csr_pkt_csr_meicurpl), + .io_csr_pkt_csr_meicidpl(csr_io_csr_pkt_csr_meicidpl), + .io_csr_pkt_csr_dcsr(csr_io_csr_pkt_csr_dcsr), + .io_csr_pkt_csr_mcgc(csr_io_csr_pkt_csr_mcgc), + .io_csr_pkt_csr_mfdc(csr_io_csr_pkt_csr_mfdc), + .io_csr_pkt_csr_dpc(csr_io_csr_pkt_csr_dpc), + .io_csr_pkt_csr_mtsel(csr_io_csr_pkt_csr_mtsel), + .io_csr_pkt_csr_mtdata1(csr_io_csr_pkt_csr_mtdata1), + .io_csr_pkt_csr_mtdata2(csr_io_csr_pkt_csr_mtdata2), + .io_csr_pkt_csr_mhpmc3(csr_io_csr_pkt_csr_mhpmc3), + .io_csr_pkt_csr_mhpmc4(csr_io_csr_pkt_csr_mhpmc4), + .io_csr_pkt_csr_mhpmc5(csr_io_csr_pkt_csr_mhpmc5), + .io_csr_pkt_csr_mhpmc6(csr_io_csr_pkt_csr_mhpmc6), + .io_csr_pkt_csr_mhpmc3h(csr_io_csr_pkt_csr_mhpmc3h), + .io_csr_pkt_csr_mhpmc4h(csr_io_csr_pkt_csr_mhpmc4h), + .io_csr_pkt_csr_mhpmc5h(csr_io_csr_pkt_csr_mhpmc5h), + .io_csr_pkt_csr_mhpmc6h(csr_io_csr_pkt_csr_mhpmc6h), + .io_csr_pkt_csr_mhpme3(csr_io_csr_pkt_csr_mhpme3), + .io_csr_pkt_csr_mhpme4(csr_io_csr_pkt_csr_mhpme4), + .io_csr_pkt_csr_mhpme5(csr_io_csr_pkt_csr_mhpme5), + .io_csr_pkt_csr_mhpme6(csr_io_csr_pkt_csr_mhpme6), + .io_csr_pkt_csr_mcountinhibit(csr_io_csr_pkt_csr_mcountinhibit), + .io_csr_pkt_csr_mpmc(csr_io_csr_pkt_csr_mpmc), + .io_csr_pkt_csr_micect(csr_io_csr_pkt_csr_micect), + .io_csr_pkt_csr_miccmect(csr_io_csr_pkt_csr_miccmect), + .io_csr_pkt_csr_mdccmect(csr_io_csr_pkt_csr_mdccmect), + .io_csr_pkt_csr_mfdht(csr_io_csr_pkt_csr_mfdht), + .io_csr_pkt_csr_mfdhs(csr_io_csr_pkt_csr_mfdhs), + .io_csr_pkt_csr_dicawics(csr_io_csr_pkt_csr_dicawics), + .io_csr_pkt_csr_dicad0h(csr_io_csr_pkt_csr_dicad0h), + .io_csr_pkt_csr_dicad0(csr_io_csr_pkt_csr_dicad0), + .io_csr_pkt_csr_dicad1(csr_io_csr_pkt_csr_dicad1), + .io_mtdata1_t_0(csr_io_mtdata1_t_0), + .io_mtdata1_t_1(csr_io_mtdata1_t_1), + .io_mtdata1_t_2(csr_io_mtdata1_t_2), + .io_mtdata1_t_3(csr_io_mtdata1_t_3) + ); + el2_dec_decode_csr_read csr_read ( // @[el2_dec_tlu_ctl.scala 1090:22] + .io_dec_csr_rdaddr_d(csr_read_io_dec_csr_rdaddr_d), + .io_csr_pkt_csr_misa(csr_read_io_csr_pkt_csr_misa), + .io_csr_pkt_csr_mvendorid(csr_read_io_csr_pkt_csr_mvendorid), + .io_csr_pkt_csr_marchid(csr_read_io_csr_pkt_csr_marchid), + .io_csr_pkt_csr_mimpid(csr_read_io_csr_pkt_csr_mimpid), + .io_csr_pkt_csr_mhartid(csr_read_io_csr_pkt_csr_mhartid), + .io_csr_pkt_csr_mstatus(csr_read_io_csr_pkt_csr_mstatus), + .io_csr_pkt_csr_mtvec(csr_read_io_csr_pkt_csr_mtvec), + .io_csr_pkt_csr_mip(csr_read_io_csr_pkt_csr_mip), + .io_csr_pkt_csr_mie(csr_read_io_csr_pkt_csr_mie), + .io_csr_pkt_csr_mcyclel(csr_read_io_csr_pkt_csr_mcyclel), + .io_csr_pkt_csr_mcycleh(csr_read_io_csr_pkt_csr_mcycleh), + .io_csr_pkt_csr_minstretl(csr_read_io_csr_pkt_csr_minstretl), + .io_csr_pkt_csr_minstreth(csr_read_io_csr_pkt_csr_minstreth), + .io_csr_pkt_csr_mscratch(csr_read_io_csr_pkt_csr_mscratch), + .io_csr_pkt_csr_mepc(csr_read_io_csr_pkt_csr_mepc), + .io_csr_pkt_csr_mcause(csr_read_io_csr_pkt_csr_mcause), + .io_csr_pkt_csr_mscause(csr_read_io_csr_pkt_csr_mscause), + .io_csr_pkt_csr_mtval(csr_read_io_csr_pkt_csr_mtval), + .io_csr_pkt_csr_mrac(csr_read_io_csr_pkt_csr_mrac), + .io_csr_pkt_csr_dmst(csr_read_io_csr_pkt_csr_dmst), + .io_csr_pkt_csr_mdseac(csr_read_io_csr_pkt_csr_mdseac), + .io_csr_pkt_csr_meihap(csr_read_io_csr_pkt_csr_meihap), + .io_csr_pkt_csr_meivt(csr_read_io_csr_pkt_csr_meivt), + .io_csr_pkt_csr_meipt(csr_read_io_csr_pkt_csr_meipt), + .io_csr_pkt_csr_meicurpl(csr_read_io_csr_pkt_csr_meicurpl), + .io_csr_pkt_csr_meicidpl(csr_read_io_csr_pkt_csr_meicidpl), + .io_csr_pkt_csr_dcsr(csr_read_io_csr_pkt_csr_dcsr), + .io_csr_pkt_csr_mcgc(csr_read_io_csr_pkt_csr_mcgc), + .io_csr_pkt_csr_mfdc(csr_read_io_csr_pkt_csr_mfdc), + .io_csr_pkt_csr_dpc(csr_read_io_csr_pkt_csr_dpc), + .io_csr_pkt_csr_mtsel(csr_read_io_csr_pkt_csr_mtsel), + .io_csr_pkt_csr_mtdata1(csr_read_io_csr_pkt_csr_mtdata1), + .io_csr_pkt_csr_mtdata2(csr_read_io_csr_pkt_csr_mtdata2), + .io_csr_pkt_csr_mhpmc3(csr_read_io_csr_pkt_csr_mhpmc3), + .io_csr_pkt_csr_mhpmc4(csr_read_io_csr_pkt_csr_mhpmc4), + .io_csr_pkt_csr_mhpmc5(csr_read_io_csr_pkt_csr_mhpmc5), + .io_csr_pkt_csr_mhpmc6(csr_read_io_csr_pkt_csr_mhpmc6), + .io_csr_pkt_csr_mhpmc3h(csr_read_io_csr_pkt_csr_mhpmc3h), + .io_csr_pkt_csr_mhpmc4h(csr_read_io_csr_pkt_csr_mhpmc4h), + .io_csr_pkt_csr_mhpmc5h(csr_read_io_csr_pkt_csr_mhpmc5h), + .io_csr_pkt_csr_mhpmc6h(csr_read_io_csr_pkt_csr_mhpmc6h), + .io_csr_pkt_csr_mhpme3(csr_read_io_csr_pkt_csr_mhpme3), + .io_csr_pkt_csr_mhpme4(csr_read_io_csr_pkt_csr_mhpme4), + .io_csr_pkt_csr_mhpme5(csr_read_io_csr_pkt_csr_mhpme5), + .io_csr_pkt_csr_mhpme6(csr_read_io_csr_pkt_csr_mhpme6), + .io_csr_pkt_csr_mcountinhibit(csr_read_io_csr_pkt_csr_mcountinhibit), + .io_csr_pkt_csr_mitctl0(csr_read_io_csr_pkt_csr_mitctl0), + .io_csr_pkt_csr_mitctl1(csr_read_io_csr_pkt_csr_mitctl1), + .io_csr_pkt_csr_mitb0(csr_read_io_csr_pkt_csr_mitb0), + .io_csr_pkt_csr_mitb1(csr_read_io_csr_pkt_csr_mitb1), + .io_csr_pkt_csr_mitcnt0(csr_read_io_csr_pkt_csr_mitcnt0), + .io_csr_pkt_csr_mitcnt1(csr_read_io_csr_pkt_csr_mitcnt1), + .io_csr_pkt_csr_mpmc(csr_read_io_csr_pkt_csr_mpmc), + .io_csr_pkt_csr_meicpct(csr_read_io_csr_pkt_csr_meicpct), + .io_csr_pkt_csr_micect(csr_read_io_csr_pkt_csr_micect), + .io_csr_pkt_csr_miccmect(csr_read_io_csr_pkt_csr_miccmect), + .io_csr_pkt_csr_mdccmect(csr_read_io_csr_pkt_csr_mdccmect), + .io_csr_pkt_csr_mfdht(csr_read_io_csr_pkt_csr_mfdht), + .io_csr_pkt_csr_mfdhs(csr_read_io_csr_pkt_csr_mfdhs), + .io_csr_pkt_csr_dicawics(csr_read_io_csr_pkt_csr_dicawics), + .io_csr_pkt_csr_dicad0h(csr_read_io_csr_pkt_csr_dicad0h), + .io_csr_pkt_csr_dicad0(csr_read_io_csr_pkt_csr_dicad0), + .io_csr_pkt_csr_dicad1(csr_read_io_csr_pkt_csr_dicad1), + .io_csr_pkt_csr_dicago(csr_read_io_csr_pkt_csr_dicago), + .io_csr_pkt_presync(csr_read_io_csr_pkt_presync), + .io_csr_pkt_postsync(csr_read_io_csr_pkt_postsync), + .io_csr_pkt_legal(csr_read_io_csr_pkt_legal) + ); + assign io_dec_dbg_cmd_done = io_dec_tlu_i0_valid_r & io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 568:23] + assign io_dec_dbg_cmd_fail = illegal_r & io_dec_dbg_cmd_done; // @[el2_dec_tlu_ctl.scala 569:23] + assign io_dec_tlu_dbg_halted = dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 550:41] + assign io_dec_tlu_debug_mode = debug_mode_status; // @[el2_dec_tlu_ctl.scala 551:41] + assign io_dec_tlu_resume_ack = _T_190; // @[el2_dec_tlu_ctl.scala 534:41] + assign io_dec_tlu_debug_stall = debug_halt_req_f; // @[el2_dec_tlu_ctl.scala 549:41] + assign io_dec_tlu_flush_noredir_r = _T_205 | take_ext_int_start; // @[el2_dec_tlu_ctl.scala 555:30] + assign io_dec_tlu_mpc_halted_only = _T_65; // @[el2_dec_tlu_ctl.scala 449:41] + assign io_dec_tlu_flush_leak_one_r = _T_233 & _T_234; // @[el2_dec_tlu_ctl.scala 564:31] + assign io_dec_tlu_flush_err_r = io_dec_tlu_flush_lower_r & _T_433; // @[el2_dec_tlu_ctl.scala 565:26] + assign io_dec_tlu_flush_extint = ext_int_ready & _T_704; // @[el2_dec_tlu_ctl.scala 557:27] + assign io_dec_tlu_meihap = csr_io_dec_tlu_meihap; // @[el2_dec_tlu_ctl.scala 956:44] + assign io_trigger_pkt_any_0_select = csr_io_trigger_pkt_any_0_select; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_0_match_ = csr_io_trigger_pkt_any_0_match_; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_0_store = csr_io_trigger_pkt_any_0_store; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_0_load = csr_io_trigger_pkt_any_0_load; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_0_execute = csr_io_trigger_pkt_any_0_execute; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_0_m = csr_io_trigger_pkt_any_0_m; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_0_tdata2 = csr_io_trigger_pkt_any_0_tdata2; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_select = csr_io_trigger_pkt_any_1_select; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_match_ = csr_io_trigger_pkt_any_1_match_; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_store = csr_io_trigger_pkt_any_1_store; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_load = csr_io_trigger_pkt_any_1_load; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_execute = csr_io_trigger_pkt_any_1_execute; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_m = csr_io_trigger_pkt_any_1_m; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_tdata2 = csr_io_trigger_pkt_any_1_tdata2; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_select = csr_io_trigger_pkt_any_2_select; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_match_ = csr_io_trigger_pkt_any_2_match_; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_store = csr_io_trigger_pkt_any_2_store; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_load = csr_io_trigger_pkt_any_2_load; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_execute = csr_io_trigger_pkt_any_2_execute; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_m = csr_io_trigger_pkt_any_2_m; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_tdata2 = csr_io_trigger_pkt_any_2_tdata2; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_select = csr_io_trigger_pkt_any_3_select; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_match_ = csr_io_trigger_pkt_any_3_match_; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_store = csr_io_trigger_pkt_any_3_store; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_load = csr_io_trigger_pkt_any_3_load; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_execute = csr_io_trigger_pkt_any_3_execute; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_m = csr_io_trigger_pkt_any_3_m; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_tdata2 = csr_io_trigger_pkt_any_3_tdata2; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_dec_tlu_ic_diag_pkt_icache_wrdata = csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_dec_tlu_ctl.scala 961:44] + assign io_dec_tlu_ic_diag_pkt_icache_dicawics = csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[el2_dec_tlu_ctl.scala 961:44] + assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_dec_tlu_ctl.scala 961:44] + assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_dec_tlu_ctl.scala 961:44] + assign io_o_cpu_halt_status = _T_353; // @[el2_dec_tlu_ctl.scala 654:41] + assign io_o_cpu_halt_ack = _T_354; // @[el2_dec_tlu_ctl.scala 655:49] + assign io_o_cpu_run_ack = _T_355; // @[el2_dec_tlu_ctl.scala 656:49] + assign io_o_debug_mode_status = debug_mode_status; // @[el2_dec_tlu_ctl.scala 677:26] + assign io_mpc_debug_halt_ack = mpc_debug_halt_ack_f; // @[el2_dec_tlu_ctl.scala 474:25] + assign io_mpc_debug_run_ack = mpc_debug_run_ack_f; // @[el2_dec_tlu_ctl.scala 475:25] + assign io_debug_brkpt_status = debug_brkpt_status_f; // @[el2_dec_tlu_ctl.scala 476:25] + assign io_dec_tlu_meicurpl = csr_io_dec_tlu_meicurpl; // @[el2_dec_tlu_ctl.scala 955:44] + assign io_dec_tlu_meipt = csr_io_dec_tlu_meipt; // @[el2_dec_tlu_ctl.scala 957:44] + assign io_dec_csr_rddata_d = csr_io_dec_csr_rddata_d; // @[el2_dec_tlu_ctl.scala 977:40] + assign io_dec_csr_legal_d = _T_887 & _T_894; // @[el2_dec_tlu_ctl.scala 1101:22] + assign io_dec_tlu_br0_r_pkt_valid = _T_459 & _T_462; // @[el2_dec_tlu_ctl.scala 733:49] + assign io_dec_tlu_br0_r_pkt_hist = io_exu_i0_br_hist_r; // @[el2_dec_tlu_ctl.scala 730:49] + assign io_dec_tlu_br0_r_pkt_br_error = _T_453 & _T_429; // @[el2_dec_tlu_ctl.scala 731:49] + assign io_dec_tlu_br0_r_pkt_br_start_error = _T_455 & _T_429; // @[el2_dec_tlu_ctl.scala 732:41] + assign io_dec_tlu_br0_r_pkt_way = io_exu_i0_br_way_r; // @[el2_dec_tlu_ctl.scala 734:49] + assign io_dec_tlu_br0_r_pkt_middle = io_exu_i0_br_middle_r; // @[el2_dec_tlu_ctl.scala 735:49] + assign io_dec_tlu_i0_kill_writeb_wb = _T_32; // @[el2_dec_tlu_ctl.scala 409:33] + assign io_dec_tlu_flush_lower_wb = tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 881:33] + assign io_dec_tlu_i0_commit_cmt = _T_422 & _T_465; // @[el2_dec_tlu_ctl.scala 708:28] + assign io_dec_tlu_i0_kill_writeb_r = _T_427 | i0_trigger_hit_raw_r; // @[el2_dec_tlu_ctl.scala 415:33] + assign io_dec_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[el2_dec_tlu_ctl.scala 882:33] + assign io_dec_tlu_flush_path_r = take_reset ? io_rst_vec : _T_852; // @[el2_dec_tlu_ctl.scala 883:33] + assign io_dec_tlu_fence_i_r = _T_492 & _T_470; // @[el2_dec_tlu_ctl.scala 753:24] + assign io_dec_tlu_wr_pause_r = csr_io_dec_tlu_wr_pause_r; // @[el2_dec_tlu_ctl.scala 979:40] + assign io_dec_tlu_flush_pause_r = _T_208 & _T_209; // @[el2_dec_tlu_ctl.scala 560:28] + assign io_dec_tlu_presync_d = _T_864 & _T_865; // @[el2_dec_tlu_ctl.scala 1094:25] + assign io_dec_tlu_postsync_d = csr_pkt_postsync & io_dec_csr_any_unq_d; // @[el2_dec_tlu_ctl.scala 1095:25] + assign io_dec_tlu_mrac_ff = csr_io_dec_tlu_mrac_ff; // @[el2_dec_tlu_ctl.scala 980:40] + assign io_dec_tlu_force_halt = _T_33; // @[el2_dec_tlu_ctl.scala 411:41] + assign io_dec_tlu_perfcnt0 = csr_io_dec_tlu_perfcnt0; // @[el2_dec_tlu_ctl.scala 965:40] + assign io_dec_tlu_perfcnt1 = csr_io_dec_tlu_perfcnt1; // @[el2_dec_tlu_ctl.scala 966:40] + assign io_dec_tlu_perfcnt2 = csr_io_dec_tlu_perfcnt2; // @[el2_dec_tlu_ctl.scala 967:40] + assign io_dec_tlu_perfcnt3 = csr_io_dec_tlu_perfcnt3; // @[el2_dec_tlu_ctl.scala 968:40] + assign io_dec_tlu_external_ldfwd_disable = csr_io_dec_tlu_external_ldfwd_disable; // @[el2_dec_tlu_ctl.scala 985:40] + assign io_dec_tlu_sideeffect_posted_disable = csr_io_dec_tlu_sideeffect_posted_disable; // @[el2_dec_tlu_ctl.scala 983:40] + assign io_dec_tlu_core_ecc_disable = csr_io_dec_tlu_core_ecc_disable; // @[el2_dec_tlu_ctl.scala 984:40] + assign io_dec_tlu_bpred_disable = csr_io_dec_tlu_bpred_disable; // @[el2_dec_tlu_ctl.scala 982:40] + assign io_dec_tlu_wb_coalescing_disable = csr_io_dec_tlu_wb_coalescing_disable; // @[el2_dec_tlu_ctl.scala 981:40] + assign io_dec_tlu_pipelining_disable = csr_io_dec_tlu_pipelining_disable; // @[el2_dec_tlu_ctl.scala 978:40] + assign io_dec_tlu_dma_qos_prty = csr_io_dec_tlu_dma_qos_prty; // @[el2_dec_tlu_ctl.scala 986:40] + assign io_dec_tlu_misc_clk_override = csr_io_dec_tlu_misc_clk_override; // @[el2_dec_tlu_ctl.scala 969:40] + assign io_dec_tlu_dec_clk_override = csr_io_dec_tlu_dec_clk_override; // @[el2_dec_tlu_ctl.scala 970:40] + assign io_dec_tlu_ifu_clk_override = csr_io_dec_tlu_ifu_clk_override; // @[el2_dec_tlu_ctl.scala 971:40] + assign io_dec_tlu_lsu_clk_override = csr_io_dec_tlu_lsu_clk_override; // @[el2_dec_tlu_ctl.scala 972:40] + assign io_dec_tlu_bus_clk_override = csr_io_dec_tlu_bus_clk_override; // @[el2_dec_tlu_ctl.scala 973:40] + assign io_dec_tlu_pic_clk_override = csr_io_dec_tlu_pic_clk_override; // @[el2_dec_tlu_ctl.scala 974:40] + assign io_dec_tlu_dccm_clk_override = csr_io_dec_tlu_dccm_clk_override; // @[el2_dec_tlu_ctl.scala 975:40] + assign io_dec_tlu_icm_clk_override = csr_io_dec_tlu_icm_clk_override; // @[el2_dec_tlu_ctl.scala 976:40] + assign int_timers_clock = clock; + assign int_timers_reset = reset; + assign int_timers_io_free_clk = io_free_clk; // @[el2_dec_tlu_ctl.scala 356:57] + assign int_timers_io_scan_mode = io_scan_mode; // @[el2_dec_tlu_ctl.scala 357:57] + assign int_timers_io_dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[el2_dec_tlu_ctl.scala 358:49] + assign int_timers_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[el2_dec_tlu_ctl.scala 360:49] + assign int_timers_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[el2_dec_tlu_ctl.scala 361:49] + assign int_timers_io_csr_mitctl0 = csr_read_io_csr_pkt_csr_mitctl0; // @[el2_dec_tlu_ctl.scala 362:49] + assign int_timers_io_csr_mitctl1 = csr_read_io_csr_pkt_csr_mitctl1; // @[el2_dec_tlu_ctl.scala 363:49] + assign int_timers_io_csr_mitb0 = csr_read_io_csr_pkt_csr_mitb0; // @[el2_dec_tlu_ctl.scala 364:57] + assign int_timers_io_csr_mitb1 = csr_read_io_csr_pkt_csr_mitb1; // @[el2_dec_tlu_ctl.scala 365:57] + assign int_timers_io_csr_mitcnt0 = csr_read_io_csr_pkt_csr_mitcnt0; // @[el2_dec_tlu_ctl.scala 366:49] + assign int_timers_io_csr_mitcnt1 = csr_read_io_csr_pkt_csr_mitcnt1; // @[el2_dec_tlu_ctl.scala 367:49] + assign int_timers_io_dec_pause_state = io_dec_pause_state; // @[el2_dec_tlu_ctl.scala 368:41] + assign int_timers_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 369:41] + assign int_timers_io_internal_dbg_halt_timers = debug_mode_status & _T_665; // @[el2_dec_tlu_ctl.scala 370:41] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 475:17] + assign rvclkhdr_io_en = dec_csr_wen_r_mod | io_dec_tlu_dec_clk_override; // @[el2_lib.scala 476:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 477:23] + assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 475:17] + assign rvclkhdr_1_io_en = _T_11 | io_dec_tlu_dec_clk_override; // @[el2_lib.scala 476:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 477:23] + assign rvclkhdr_2_io_clk = clock; // @[el2_lib.scala 475:17] + assign rvclkhdr_2_io_en = e4e5_valid | io_dec_tlu_dec_clk_override; // @[el2_lib.scala 476:16] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 477:23] + assign rvclkhdr_3_io_clk = clock; // @[el2_lib.scala 475:17] + assign rvclkhdr_3_io_en = e4e5_valid | flush_clkvalid; // @[el2_lib.scala 476:16] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 477:23] + assign csr_clock = clock; + assign csr_reset = reset; + assign csr_io_free_clk = io_free_clk; // @[el2_dec_tlu_ctl.scala 898:44] + assign csr_io_active_clk = io_active_clk; // @[el2_dec_tlu_ctl.scala 899:44] + assign csr_io_scan_mode = io_scan_mode; // @[el2_dec_tlu_ctl.scala 900:44] + assign csr_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[el2_dec_tlu_ctl.scala 901:44] + assign csr_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[el2_dec_tlu_ctl.scala 902:44] + assign csr_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[el2_dec_tlu_ctl.scala 903:44] + assign csr_io_dec_csr_wen_unq_d = io_dec_csr_wen_unq_d; // @[el2_dec_tlu_ctl.scala 904:44] + assign csr_io_dec_i0_decode_d = io_dec_i0_decode_d; // @[el2_dec_tlu_ctl.scala 905:44] + assign csr_io_ifu_ic_debug_rd_data_valid = io_ifu_ic_debug_rd_data_valid; // @[el2_dec_tlu_ctl.scala 906:44] + assign csr_io_ifu_pmu_bus_trxn = io_ifu_pmu_bus_trxn; // @[el2_dec_tlu_ctl.scala 907:44] + assign csr_io_dma_iccm_stall_any = io_dma_iccm_stall_any; // @[el2_dec_tlu_ctl.scala 908:44] + assign csr_io_dma_dccm_stall_any = io_dma_dccm_stall_any; // @[el2_dec_tlu_ctl.scala 909:44] + assign csr_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[el2_dec_tlu_ctl.scala 910:44] + assign csr_io_dec_pmu_presync_stall = io_dec_pmu_presync_stall; // @[el2_dec_tlu_ctl.scala 911:44] + assign csr_io_dec_pmu_postsync_stall = io_dec_pmu_postsync_stall; // @[el2_dec_tlu_ctl.scala 912:44] + assign csr_io_dec_pmu_decode_stall = io_dec_pmu_decode_stall; // @[el2_dec_tlu_ctl.scala 913:44] + assign csr_io_ifu_pmu_fetch_stall = io_ifu_pmu_fetch_stall; // @[el2_dec_tlu_ctl.scala 914:44] + assign csr_io_dec_tlu_packet_r_icaf_type = io_dec_tlu_packet_r_icaf_type; // @[el2_dec_tlu_ctl.scala 915:44] + assign csr_io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_packet_r_pmu_i0_itype; // @[el2_dec_tlu_ctl.scala 915:44] + assign csr_io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[el2_dec_tlu_ctl.scala 915:44] + assign csr_io_dec_tlu_packet_r_pmu_divide = io_dec_tlu_packet_r_pmu_divide; // @[el2_dec_tlu_ctl.scala 915:44] + assign csr_io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec_tlu_ctl.scala 915:44] + assign csr_io_exu_pmu_i0_br_ataken = io_exu_pmu_i0_br_ataken; // @[el2_dec_tlu_ctl.scala 916:44] + assign csr_io_exu_pmu_i0_br_misp = io_exu_pmu_i0_br_misp; // @[el2_dec_tlu_ctl.scala 917:44] + assign csr_io_dec_pmu_instr_decoded = io_dec_pmu_instr_decoded; // @[el2_dec_tlu_ctl.scala 918:44] + assign csr_io_ifu_pmu_instr_aligned = io_ifu_pmu_instr_aligned; // @[el2_dec_tlu_ctl.scala 919:44] + assign csr_io_exu_pmu_i0_pc4 = io_exu_pmu_i0_pc4; // @[el2_dec_tlu_ctl.scala 920:44] + assign csr_io_ifu_pmu_ic_miss = io_ifu_pmu_ic_miss; // @[el2_dec_tlu_ctl.scala 921:44] + assign csr_io_ifu_pmu_ic_hit = io_ifu_pmu_ic_hit; // @[el2_dec_tlu_ctl.scala 922:44] + assign csr_io_dec_csr_wen_r = io_dec_csr_wen_r; // @[el2_dec_tlu_ctl.scala 923:44] + assign csr_io_dec_tlu_dbg_halted = io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 924:44] + assign csr_io_dma_pmu_dccm_write = io_dma_pmu_dccm_write; // @[el2_dec_tlu_ctl.scala 925:44] + assign csr_io_dma_pmu_dccm_read = io_dma_pmu_dccm_read; // @[el2_dec_tlu_ctl.scala 926:44] + assign csr_io_dma_pmu_any_write = io_dma_pmu_any_write; // @[el2_dec_tlu_ctl.scala 927:44] + assign csr_io_dma_pmu_any_read = io_dma_pmu_any_read; // @[el2_dec_tlu_ctl.scala 928:44] + assign csr_io_lsu_pmu_bus_busy = io_lsu_pmu_bus_busy; // @[el2_dec_tlu_ctl.scala 929:44] + assign csr_io_dec_tlu_i0_pc_r = io_dec_tlu_i0_pc_r; // @[el2_dec_tlu_ctl.scala 930:44] + assign csr_io_dec_tlu_i0_valid_r = io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 931:44] + assign csr_io_dec_csr_any_unq_d = io_dec_csr_any_unq_d; // @[el2_dec_tlu_ctl.scala 933:44] + assign csr_io_ifu_pmu_bus_busy = io_ifu_pmu_bus_busy; // @[el2_dec_tlu_ctl.scala 934:44] + assign csr_io_lsu_pmu_bus_error = io_lsu_pmu_bus_error; // @[el2_dec_tlu_ctl.scala 935:44] + assign csr_io_ifu_pmu_bus_error = io_ifu_pmu_bus_error; // @[el2_dec_tlu_ctl.scala 936:44] + assign csr_io_lsu_pmu_bus_misaligned = io_lsu_pmu_bus_misaligned; // @[el2_dec_tlu_ctl.scala 937:44] + assign csr_io_lsu_pmu_bus_trxn = io_lsu_pmu_bus_trxn; // @[el2_dec_tlu_ctl.scala 938:44] + assign csr_io_ifu_ic_debug_rd_data = io_ifu_ic_debug_rd_data; // @[el2_dec_tlu_ctl.scala 939:44] + assign csr_io_pic_pl = io_pic_pl; // @[el2_dec_tlu_ctl.scala 940:44] + assign csr_io_pic_claimid = io_pic_claimid; // @[el2_dec_tlu_ctl.scala 941:44] + assign csr_io_iccm_dma_sb_error = io_iccm_dma_sb_error; // @[el2_dec_tlu_ctl.scala 942:44] + assign csr_io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_addr_any; // @[el2_dec_tlu_ctl.scala 943:44] + assign csr_io_lsu_imprecise_error_load_any = io_lsu_imprecise_error_load_any; // @[el2_dec_tlu_ctl.scala 944:44] + assign csr_io_lsu_imprecise_error_store_any = io_lsu_imprecise_error_store_any; // @[el2_dec_tlu_ctl.scala 945:44] + assign csr_io_dec_illegal_inst = io_dec_illegal_inst; // @[el2_dec_tlu_ctl.scala 946:44 el2_dec_tlu_ctl.scala 987:44] + assign csr_io_lsu_error_pkt_r_mscause = io_lsu_error_pkt_r_mscause; // @[el2_dec_tlu_ctl.scala 947:44 el2_dec_tlu_ctl.scala 988:44] + assign csr_io_mexintpend = io_mexintpend; // @[el2_dec_tlu_ctl.scala 948:44 el2_dec_tlu_ctl.scala 989:44] + assign csr_io_exu_npc_r = io_exu_npc_r; // @[el2_dec_tlu_ctl.scala 949:44 el2_dec_tlu_ctl.scala 990:44] + assign csr_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[el2_dec_tlu_ctl.scala 950:44 el2_dec_tlu_ctl.scala 991:44] + assign csr_io_rst_vec = io_rst_vec; // @[el2_dec_tlu_ctl.scala 951:44 el2_dec_tlu_ctl.scala 992:44] + assign csr_io_core_id = io_core_id; // @[el2_dec_tlu_ctl.scala 952:44 el2_dec_tlu_ctl.scala 993:44] + assign csr_io_dec_timer_rddata_d = int_timers_io_dec_timer_rddata_d; // @[el2_dec_tlu_ctl.scala 953:44 el2_dec_tlu_ctl.scala 994:44] + assign csr_io_dec_timer_read_d = int_timers_io_dec_timer_read_d; // @[el2_dec_tlu_ctl.scala 954:44 el2_dec_tlu_ctl.scala 995:44] + assign csr_io_rfpc_i0_r = _T_438 & _T_439; // @[el2_dec_tlu_ctl.scala 998:39] + assign csr_io_i0_trigger_hit_r = |i0_trigger_chain_masked_r; // @[el2_dec_tlu_ctl.scala 999:39] + assign csr_io_exc_or_int_valid_r = _T_855 | mepc_trigger_hit_sel_pc_r; // @[el2_dec_tlu_ctl.scala 1000:39] + assign csr_io_mret_r = _T_487 & _T_470; // @[el2_dec_tlu_ctl.scala 1001:39] + assign csr_io_dcsr_single_step_running_f = dcsr_single_step_running_f; // @[el2_dec_tlu_ctl.scala 1002:39] + assign csr_io_dec_timer_t0_pulse = int_timers_io_dec_timer_t0_pulse; // @[el2_dec_tlu_ctl.scala 1003:39] + assign csr_io_dec_timer_t1_pulse = int_timers_io_dec_timer_t1_pulse; // @[el2_dec_tlu_ctl.scala 1004:39] + assign csr_io_timer_int_sync = syncro_ff[5]; // @[el2_dec_tlu_ctl.scala 1005:39] + assign csr_io_soft_int_sync = syncro_ff[4]; // @[el2_dec_tlu_ctl.scala 1006:39] + assign csr_io_csr_wr_clk = rvclkhdr_io_l1clk; // @[el2_dec_tlu_ctl.scala 1007:39] + assign csr_io_ebreak_to_debug_mode_r = _T_519 & _T_470; // @[el2_dec_tlu_ctl.scala 1008:39] + assign csr_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 1009:39] + assign csr_io_lsu_fir_error = io_lsu_fir_error; // @[el2_dec_tlu_ctl.scala 1010:39] + assign csr_io_tlu_flush_lower_r_d1 = tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 1011:39] + assign csr_io_dec_tlu_flush_noredir_r_d1 = dec_tlu_flush_noredir_r_d1; // @[el2_dec_tlu_ctl.scala 1012:39] + assign csr_io_tlu_flush_path_r_d1 = tlu_flush_path_r_d1; // @[el2_dec_tlu_ctl.scala 1013:39] + assign csr_io_reset_delayed = reset_detect ^ reset_detected; // @[el2_dec_tlu_ctl.scala 1014:39] + assign csr_io_interrupt_valid_r = _T_766 | take_int_timer1_int; // @[el2_dec_tlu_ctl.scala 1015:39] + assign csr_io_i0_exception_valid_r = _T_527 & _T_528; // @[el2_dec_tlu_ctl.scala 1016:39] + assign csr_io_lsu_exc_valid_r = _T_405 & _T_470; // @[el2_dec_tlu_ctl.scala 1017:39] + assign csr_io_mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[el2_dec_tlu_ctl.scala 1018:39] + assign csr_io_e4e5_int_clk = rvclkhdr_3_io_l1clk; // @[el2_dec_tlu_ctl.scala 1019:39] + assign csr_io_lsu_i0_exc_r = _T_405 & _T_470; // @[el2_dec_tlu_ctl.scala 1020:39] + assign csr_io_inst_acc_r = _T_511 & _T_465; // @[el2_dec_tlu_ctl.scala 1021:39] + assign csr_io_inst_acc_second_r = io_dec_tlu_packet_r_icaf_f1; // @[el2_dec_tlu_ctl.scala 1022:39] + assign csr_io_take_nmi = _T_756 & _T_760; // @[el2_dec_tlu_ctl.scala 1023:39] + assign csr_io_lsu_error_pkt_addr_r = {{31'd0}, io_lsu_error_pkt_r_addr}; // @[el2_dec_tlu_ctl.scala 1024:39] + assign csr_io_exc_cause_r = _T_603 | _T_591; // @[el2_dec_tlu_ctl.scala 1025:39] + assign csr_io_i0_valid_wb = i0_valid_wb; // @[el2_dec_tlu_ctl.scala 1026:39] + assign csr_io_exc_or_int_valid_r_d1 = exc_or_int_valid_r_d1; // @[el2_dec_tlu_ctl.scala 1027:39] + assign csr_io_interrupt_valid_r_d1 = interrupt_valid_r_d1; // @[el2_dec_tlu_ctl.scala 1028:39] + assign csr_io_clk_override = io_dec_tlu_dec_clk_override; // @[el2_dec_tlu_ctl.scala 1029:39] + assign csr_io_i0_exception_valid_r_d1 = i0_exception_valid_r_d1; // @[el2_dec_tlu_ctl.scala 1030:39] + assign csr_io_lsu_i0_exc_r_d1 = lsu_i0_exc_r_d1; // @[el2_dec_tlu_ctl.scala 1031:39] + assign csr_io_nmi_lsu_store_type = _T_58 | _T_60; // @[el2_dec_tlu_ctl.scala 1033:39] + assign csr_io_nmi_lsu_load_type = _T_50 | _T_52; // @[el2_dec_tlu_ctl.scala 1034:39] + assign csr_io_tlu_i0_commit_cmt = _T_422 & _T_465; // @[el2_dec_tlu_ctl.scala 1035:39] + assign csr_io_ebreak_r = _T_469 & _T_470; // @[el2_dec_tlu_ctl.scala 1036:39] + assign csr_io_ecall_r = _T_475 & _T_470; // @[el2_dec_tlu_ctl.scala 1037:39] + assign csr_io_illegal_r = _T_481 & _T_470; // @[el2_dec_tlu_ctl.scala 1038:39] + assign csr_io_mdseac_locked_f = mdseac_locked_f; // @[el2_dec_tlu_ctl.scala 1039:39] + assign csr_io_nmi_int_detected_f = nmi_int_detected_f; // @[el2_dec_tlu_ctl.scala 1040:39] + assign csr_io_internal_dbg_halt_mode_f2 = internal_dbg_halt_mode_f2; // @[el2_dec_tlu_ctl.scala 1041:39] + assign csr_io_ext_int_freeze_d1 = ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 1042:39] + assign csr_io_ic_perr_r_d1 = ic_perr_r_d1; // @[el2_dec_tlu_ctl.scala 1043:39] + assign csr_io_iccm_sbecc_r_d1 = iccm_sbecc_r_d1; // @[el2_dec_tlu_ctl.scala 1044:39] + assign csr_io_lsu_single_ecc_error_r_d1 = lsu_single_ecc_error_r_d1; // @[el2_dec_tlu_ctl.scala 1045:39] + assign csr_io_ifu_miss_state_idle_f = ifu_miss_state_idle_f; // @[el2_dec_tlu_ctl.scala 1046:39] + assign csr_io_lsu_idle_any_f = lsu_idle_any_f; // @[el2_dec_tlu_ctl.scala 1047:39] + assign csr_io_dbg_tlu_halted_f = dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 1048:39] + assign csr_io_dbg_tlu_halted = _T_164 | _T_166; // @[el2_dec_tlu_ctl.scala 1049:39] + assign csr_io_debug_halt_req_f = debug_halt_req_f; // @[el2_dec_tlu_ctl.scala 1050:51] + assign csr_io_take_ext_int_start = ext_int_ready & _T_704; // @[el2_dec_tlu_ctl.scala 1051:47] + assign csr_io_trigger_hit_dmode_r_d1 = trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 1052:43] + assign csr_io_trigger_hit_r_d1 = trigger_hit_r_d1; // @[el2_dec_tlu_ctl.scala 1053:43] + assign csr_io_dcsr_single_step_done_f = dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 1054:43] + assign csr_io_ebreak_to_debug_mode_r_d1 = ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 1055:39] + assign csr_io_debug_halt_req = _T_114 & _T_107; // @[el2_dec_tlu_ctl.scala 1056:51] + assign csr_io_allow_dbg_halt_csr_write = debug_mode_status & _T_77; // @[el2_dec_tlu_ctl.scala 1057:39] + assign csr_io_internal_dbg_halt_mode_f = debug_mode_status; // @[el2_dec_tlu_ctl.scala 1058:39] + assign csr_io_enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 1059:39] + assign csr_io_internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[el2_dec_tlu_ctl.scala 1060:39] + assign csr_io_request_debug_mode_done = _T_183 & _T_136; // @[el2_dec_tlu_ctl.scala 1061:39] + assign csr_io_request_debug_mode_r = _T_180 | _T_182; // @[el2_dec_tlu_ctl.scala 1062:39] + assign csr_io_update_hit_bit_r = _T_342 & i0_trigger_chain_masked_r; // @[el2_dec_tlu_ctl.scala 1063:39] + assign csr_io_take_timer_int = _T_703 & _T_704; // @[el2_dec_tlu_ctl.scala 1064:39] + assign csr_io_take_int_timer0_int = _T_717 & _T_704; // @[el2_dec_tlu_ctl.scala 1065:39] + assign csr_io_take_int_timer1_int = _T_734 & _T_704; // @[el2_dec_tlu_ctl.scala 1066:39] + assign csr_io_take_ext_int = take_ext_int_start_d3 & _T_685; // @[el2_dec_tlu_ctl.scala 1067:39] + assign csr_io_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[el2_dec_tlu_ctl.scala 1068:39] + assign csr_io_dec_tlu_br0_error_r = _T_453 & _T_429; // @[el2_dec_tlu_ctl.scala 1069:39] + assign csr_io_dec_tlu_br0_start_error_r = _T_455 & _T_429; // @[el2_dec_tlu_ctl.scala 1070:39] + assign csr_io_lsu_pmu_load_external_r = lsu_pmu_load_external_r; // @[el2_dec_tlu_ctl.scala 1071:39] + assign csr_io_lsu_pmu_store_external_r = lsu_pmu_store_external_r; // @[el2_dec_tlu_ctl.scala 1072:39] + assign csr_io_csr_pkt_csr_misa = csr_read_io_csr_pkt_csr_misa; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mstatus = csr_read_io_csr_pkt_csr_mstatus; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mtvec = csr_read_io_csr_pkt_csr_mtvec; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mip = csr_read_io_csr_pkt_csr_mip; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mie = csr_read_io_csr_pkt_csr_mie; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mcyclel = csr_read_io_csr_pkt_csr_mcyclel; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mcycleh = csr_read_io_csr_pkt_csr_mcycleh; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_minstretl = csr_read_io_csr_pkt_csr_minstretl; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_minstreth = csr_read_io_csr_pkt_csr_minstreth; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mscratch = csr_read_io_csr_pkt_csr_mscratch; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mepc = csr_read_io_csr_pkt_csr_mepc; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mcause = csr_read_io_csr_pkt_csr_mcause; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mscause = csr_read_io_csr_pkt_csr_mscause; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mtval = csr_read_io_csr_pkt_csr_mtval; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mrac = csr_read_io_csr_pkt_csr_mrac; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_meivt = csr_read_io_csr_pkt_csr_meivt; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_meipt = csr_read_io_csr_pkt_csr_meipt; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_meicurpl = csr_read_io_csr_pkt_csr_meicurpl; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_meicidpl = csr_read_io_csr_pkt_csr_meicidpl; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mcgc = csr_read_io_csr_pkt_csr_mcgc; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mfdc = csr_read_io_csr_pkt_csr_mfdc; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mtsel = csr_read_io_csr_pkt_csr_mtsel; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mtdata1 = csr_read_io_csr_pkt_csr_mtdata1; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mtdata2 = csr_read_io_csr_pkt_csr_mtdata2; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc3 = csr_read_io_csr_pkt_csr_mhpmc3; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc4 = csr_read_io_csr_pkt_csr_mhpmc4; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc5 = csr_read_io_csr_pkt_csr_mhpmc5; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc6 = csr_read_io_csr_pkt_csr_mhpmc6; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc3h = csr_read_io_csr_pkt_csr_mhpmc3h; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc4h = csr_read_io_csr_pkt_csr_mhpmc4h; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc5h = csr_read_io_csr_pkt_csr_mhpmc5h; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc6h = csr_read_io_csr_pkt_csr_mhpmc6h; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpme3 = csr_read_io_csr_pkt_csr_mhpme3; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpme4 = csr_read_io_csr_pkt_csr_mhpme4; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpme5 = csr_read_io_csr_pkt_csr_mhpme5; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpme6 = csr_read_io_csr_pkt_csr_mhpme6; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mcountinhibit = csr_read_io_csr_pkt_csr_mcountinhibit; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mpmc = csr_read_io_csr_pkt_csr_mpmc; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_micect = csr_read_io_csr_pkt_csr_micect; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_miccmect = csr_read_io_csr_pkt_csr_miccmect; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mdccmect = csr_read_io_csr_pkt_csr_mdccmect; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mfdht = csr_read_io_csr_pkt_csr_mfdht; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mfdhs = csr_read_io_csr_pkt_csr_mfdhs; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_read_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[el2_dec_tlu_ctl.scala 1091:31] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + dbg_halt_state_f = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + mpc_halt_state_f = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_8 = _RAND_2[6:0]; + _RAND_3 = {1{`RANDOM}}; + syncro_ff = _RAND_3[6:0]; + _RAND_4 = {1{`RANDOM}}; + lsu_exc_valid_r_d1 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + e5_valid = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + debug_mode_status = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + i_cpu_run_req_d1_raw = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + nmi_int_delayed = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + mdseac_locked_f = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + nmi_int_detected_f = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + take_nmi_r_d1 = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + take_ext_int_start_d3 = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + int_timer0_int_hold_f = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + int_timer1_int_hold_f = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + i_cpu_halt_req_d1 = _RAND_15[0:0]; + _RAND_16 = {1{`RANDOM}}; + dbg_halt_req_held = _RAND_16[0:0]; + _RAND_17 = {1{`RANDOM}}; + ext_int_freeze_d1 = _RAND_17[0:0]; + _RAND_18 = {1{`RANDOM}}; + reset_detect = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + reset_detected = _RAND_19[0:0]; + _RAND_20 = {1{`RANDOM}}; + dcsr_single_step_done_f = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + trigger_hit_dmode_r_d1 = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + ebreak_to_debug_mode_r_d1 = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + debug_halt_req_f = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + lsu_idle_any_f = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + ifu_miss_state_idle_f = _RAND_25[0:0]; + _RAND_26 = {1{`RANDOM}}; + debug_halt_req_d1 = _RAND_26[0:0]; + _RAND_27 = {1{`RANDOM}}; + dec_tlu_flush_noredir_r_d1 = _RAND_27[0:0]; + _RAND_28 = {1{`RANDOM}}; + dec_tlu_flush_pause_r_d1 = _RAND_28[0:0]; + _RAND_29 = {1{`RANDOM}}; + take_ext_int_start_d1 = _RAND_29[0:0]; + _RAND_30 = {1{`RANDOM}}; + halt_taken_f = _RAND_30[0:0]; + _RAND_31 = {1{`RANDOM}}; + dbg_tlu_halted_f = _RAND_31[0:0]; + _RAND_32 = {1{`RANDOM}}; + pmu_fw_tlu_halted_f = _RAND_32[0:0]; + _RAND_33 = {1{`RANDOM}}; + interrupt_valid_r_d1 = _RAND_33[0:0]; + _RAND_34 = {1{`RANDOM}}; + debug_resume_req_f = _RAND_34[0:0]; + _RAND_35 = {1{`RANDOM}}; + dcsr_single_step_running_f = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + pmu_fw_halt_req_f = _RAND_36[0:0]; + _RAND_37 = {1{`RANDOM}}; + internal_pmu_fw_halt_mode_f = _RAND_37[0:0]; + _RAND_38 = {1{`RANDOM}}; + tlu_flush_lower_r_d1 = _RAND_38[0:0]; + _RAND_39 = {1{`RANDOM}}; + ic_perr_r_d1 = _RAND_39[0:0]; + _RAND_40 = {1{`RANDOM}}; + iccm_sbecc_r_d1 = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + request_debug_mode_r_d1 = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + iccm_repair_state_d1 = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + dec_pause_state_f = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + dec_tlu_wr_pause_r_d1 = _RAND_44[0:0]; + _RAND_45 = {1{`RANDOM}}; + exc_or_int_valid_r_d1 = _RAND_45[0:0]; + _RAND_46 = {1{`RANDOM}}; + pause_expired_wb = _RAND_46[0:0]; + _RAND_47 = {1{`RANDOM}}; + lsu_pmu_load_external_r = _RAND_47[0:0]; + _RAND_48 = {1{`RANDOM}}; + lsu_pmu_store_external_r = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + _T_32 = _RAND_49[0:0]; + _RAND_50 = {1{`RANDOM}}; + internal_dbg_halt_mode_f2 = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + _T_33 = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + nmi_lsu_load_type_f = _RAND_52[0:0]; + _RAND_53 = {1{`RANDOM}}; + nmi_lsu_store_type_f = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + mpc_debug_halt_req_sync_f = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + mpc_debug_run_req_sync_f = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + mpc_run_state_f = _RAND_56[0:0]; + _RAND_57 = {1{`RANDOM}}; + debug_brkpt_status_f = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + mpc_debug_halt_ack_f = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + mpc_debug_run_ack_f = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + dbg_run_state_f = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + _T_65 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + request_debug_mode_done_f = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + _T_190 = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + _T_353 = _RAND_64[0:0]; + _RAND_65 = {1{`RANDOM}}; + _T_354 = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + _T_355 = _RAND_66[0:0]; + _RAND_67 = {1{`RANDOM}}; + lsu_single_ecc_error_r_d1 = _RAND_67[0:0]; + _RAND_68 = {1{`RANDOM}}; + lsu_i0_exc_r_d1 = _RAND_68[0:0]; + _RAND_69 = {1{`RANDOM}}; + take_ext_int_start_d2 = _RAND_69[0:0]; + _RAND_70 = {1{`RANDOM}}; + tlu_flush_path_r_d1 = _RAND_70[30:0]; + _RAND_71 = {1{`RANDOM}}; + i0_exception_valid_r_d1 = _RAND_71[0:0]; + _RAND_72 = {1{`RANDOM}}; + i0_valid_wb = _RAND_72[0:0]; + _RAND_73 = {1{`RANDOM}}; + trigger_hit_r_d1 = _RAND_73[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + dbg_halt_state_f = 1'h0; + end + if (reset) begin + mpc_halt_state_f = 1'h0; + end + if (reset) begin + _T_8 = 7'h0; + end + if (reset) begin + syncro_ff = 7'h0; + end + if (reset) begin + lsu_exc_valid_r_d1 = 1'h0; + end + if (reset) begin + e5_valid = 1'h0; + end + if (reset) begin + debug_mode_status = 1'h0; + end + if (reset) begin + i_cpu_run_req_d1_raw = 1'h0; + end + if (reset) begin + nmi_int_delayed = 1'h0; + end + if (reset) begin + mdseac_locked_f = 1'h0; + end + if (reset) begin + nmi_int_detected_f = 1'h0; + end + if (reset) begin + take_nmi_r_d1 = 1'h0; + end + if (reset) begin + take_ext_int_start_d3 = 1'h0; + end + if (reset) begin + int_timer0_int_hold_f = 1'h0; + end + if (reset) begin + int_timer1_int_hold_f = 1'h0; + end + if (reset) begin + i_cpu_halt_req_d1 = 1'h0; + end + if (reset) begin + dbg_halt_req_held = 1'h0; + end + if (reset) begin + ext_int_freeze_d1 = 1'h0; + end + if (reset) begin + reset_detect = 1'h0; + end + if (reset) begin + reset_detected = 1'h0; + end + if (reset) begin + dcsr_single_step_done_f = 1'h0; + end + if (reset) begin + trigger_hit_dmode_r_d1 = 1'h0; + end + if (reset) begin + ebreak_to_debug_mode_r_d1 = 1'h0; + end + if (reset) begin + debug_halt_req_f = 1'h0; + end + if (reset) begin + lsu_idle_any_f = 1'h0; + end + if (reset) begin + ifu_miss_state_idle_f = 1'h0; + end + if (reset) begin + debug_halt_req_d1 = 1'h0; + end + if (reset) begin + dec_tlu_flush_noredir_r_d1 = 1'h0; + end + if (reset) begin + dec_tlu_flush_pause_r_d1 = 1'h0; + end + if (reset) begin + take_ext_int_start_d1 = 1'h0; + end + if (reset) begin + halt_taken_f = 1'h0; + end + if (reset) begin + dbg_tlu_halted_f = 1'h0; + end + if (reset) begin + pmu_fw_tlu_halted_f = 1'h0; + end + if (reset) begin + interrupt_valid_r_d1 = 1'h0; + end + if (reset) begin + debug_resume_req_f = 1'h0; + end + if (reset) begin + dcsr_single_step_running_f = 1'h0; + end + if (reset) begin + pmu_fw_halt_req_f = 1'h0; + end + if (reset) begin + internal_pmu_fw_halt_mode_f = 1'h0; + end + if (reset) begin + tlu_flush_lower_r_d1 = 1'h0; + end + if (reset) begin + ic_perr_r_d1 = 1'h0; + end + if (reset) begin + iccm_sbecc_r_d1 = 1'h0; + end + if (reset) begin + request_debug_mode_r_d1 = 1'h0; + end + if (reset) begin + iccm_repair_state_d1 = 1'h0; + end + if (reset) begin + dec_pause_state_f = 1'h0; + end + if (reset) begin + dec_tlu_wr_pause_r_d1 = 1'h0; + end + if (reset) begin + exc_or_int_valid_r_d1 = 1'h0; + end + if (reset) begin + pause_expired_wb = 1'h0; + end + if (reset) begin + lsu_pmu_load_external_r = 1'h0; + end + if (reset) begin + lsu_pmu_store_external_r = 1'h0; + end + if (reset) begin + _T_32 = 1'h0; + end + if (reset) begin + internal_dbg_halt_mode_f2 = 1'h0; + end + if (reset) begin + _T_33 = 1'h0; + end + if (reset) begin + nmi_lsu_load_type_f = 1'h0; + end + if (reset) begin + nmi_lsu_store_type_f = 1'h0; + end + if (reset) begin + mpc_debug_halt_req_sync_f = 1'h0; + end + if (reset) begin + mpc_debug_run_req_sync_f = 1'h0; + end + if (reset) begin + mpc_run_state_f = 1'h0; + end + if (reset) begin + debug_brkpt_status_f = 1'h0; + end + if (reset) begin + mpc_debug_halt_ack_f = 1'h0; + end + if (reset) begin + mpc_debug_run_ack_f = 1'h0; + end + if (reset) begin + dbg_run_state_f = 1'h0; + end + if (reset) begin + _T_65 = 1'h0; + end + if (reset) begin + request_debug_mode_done_f = 1'h0; + end + if (reset) begin + _T_190 = 1'h0; + end + if (reset) begin + _T_353 = 1'h0; + end + if (reset) begin + _T_354 = 1'h0; + end + if (reset) begin + _T_355 = 1'h0; + end + if (reset) begin + lsu_single_ecc_error_r_d1 = 1'h0; + end + if (reset) begin + lsu_i0_exc_r_d1 = 1'h0; + end + if (reset) begin + take_ext_int_start_d2 = 1'h0; + end + if (reset) begin + tlu_flush_path_r_d1 = 31'h0; + end + if (reset) begin + i0_exception_valid_r_d1 = 1'h0; + end + if (reset) begin + i0_valid_wb = 1'h0; + end + if (reset) begin + trigger_hit_r_d1 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dbg_halt_state_f <= 1'h0; + end else begin + dbg_halt_state_f <= _T_83 & _T_84; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_halt_state_f <= 1'h0; + end else begin + mpc_halt_state_f <= _T_71 & _T_72; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_8 <= 7'h0; + end else begin + _T_8 <= {_T_6,_T_3}; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + syncro_ff <= 7'h0; + end else begin + syncro_ff <= _T_8; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + lsu_exc_valid_r_d1 <= 1'h0; + end else begin + lsu_exc_valid_r_d1 <= _T_405 & _T_470; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + e5_valid <= 1'h0; + end else begin + e5_valid <= io_dec_tlu_i0_valid_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_mode_status <= 1'h0; + end else begin + debug_mode_status <= debug_halt_req_ns | _T_160; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + i_cpu_run_req_d1_raw <= 1'h0; + end else begin + i_cpu_run_req_d1_raw <= _T_351 & _T_107; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + nmi_int_delayed <= 1'h0; + end else begin + nmi_int_delayed <= syncro_ff[6]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mdseac_locked_f <= 1'h0; + end else begin + mdseac_locked_f <= csr_io_mdseac_locked_ns; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + nmi_int_detected_f <= 1'h0; + end else begin + nmi_int_detected_f <= _T_42 | _T_44; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + take_nmi_r_d1 <= 1'h0; + end else begin + take_nmi_r_d1 <= _T_756 & _T_760; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + take_ext_int_start_d3 <= 1'h0; + end else begin + take_ext_int_start_d3 <= take_ext_int_start_d2; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + int_timer0_int_hold_f <= 1'h0; + end else begin + int_timer0_int_hold_f <= _T_644 | _T_651; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + int_timer1_int_hold_f <= 1'h0; + end else begin + int_timer1_int_hold_f <= _T_654 | _T_661; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + i_cpu_halt_req_d1 <= 1'h0; + end else begin + i_cpu_halt_req_d1 <= _T_347 & _T_107; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dbg_halt_req_held <= 1'h0; + end else begin + dbg_halt_req_held <= _T_106 & ext_int_freeze_d1; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ext_int_freeze_d1 <= 1'h0; + end else begin + ext_int_freeze_d1 <= _T_682 | take_ext_int_start_d3; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + reset_detect <= 1'h0; + end else begin + reset_detect <= 1'h1; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + reset_detected <= 1'h0; + end else begin + reset_detected <= reset_detect; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dcsr_single_step_done_f <= 1'h0; + end else begin + dcsr_single_step_done_f <= _T_174 & _T_470; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + trigger_hit_dmode_r_d1 <= 1'h0; + end else begin + trigger_hit_dmode_r_d1 <= i0_trigger_hit_raw_r & i0_trigger_action_r; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + ebreak_to_debug_mode_r_d1 <= 1'h0; + end else begin + ebreak_to_debug_mode_r_d1 <= _T_519 & _T_470; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_halt_req_f <= 1'h0; + end else begin + debug_halt_req_f <= enter_debug_halt_req | _T_168; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_idle_any_f <= 1'h0; + end else begin + lsu_idle_any_f <= io_lsu_idle_any; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ifu_miss_state_idle_f <= 1'h0; + end else begin + ifu_miss_state_idle_f <= io_ifu_miss_state_idle; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_halt_req_d1 <= 1'h0; + end else begin + debug_halt_req_d1 <= _T_114 & _T_107; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dec_tlu_flush_noredir_r_d1 <= 1'h0; + end else begin + dec_tlu_flush_noredir_r_d1 <= io_dec_tlu_flush_noredir_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dec_tlu_flush_pause_r_d1 <= 1'h0; + end else begin + dec_tlu_flush_pause_r_d1 <= io_dec_tlu_flush_pause_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + take_ext_int_start_d1 <= 1'h0; + end else begin + take_ext_int_start_d1 <= ext_int_ready & _T_704; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + halt_taken_f <= 1'h0; + end else begin + halt_taken_f <= _T_135 | _T_141; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dbg_tlu_halted_f <= 1'h0; + end else begin + dbg_tlu_halted_f <= _T_164 | _T_166; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + pmu_fw_tlu_halted_f <= 1'h0; + end else begin + pmu_fw_tlu_halted_f <= _T_377 & _T_378; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + interrupt_valid_r_d1 <= 1'h0; + end else begin + interrupt_valid_r_d1 <= _T_766 | take_int_timer1_int; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_resume_req_f <= 1'h0; + end else begin + debug_resume_req_f <= _T_165 & _T_121; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dcsr_single_step_running_f <= 1'h0; + end else begin + dcsr_single_step_running_f <= _T_177 | _T_179; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + pmu_fw_halt_req_f <= 1'h0; + end else begin + pmu_fw_halt_req_f <= _T_363 & _T_378; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + internal_pmu_fw_halt_mode_f <= 1'h0; + end else begin + internal_pmu_fw_halt_mode_f <= pmu_fw_halt_req_ns | _T_369; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + tlu_flush_lower_r_d1 <= 1'h0; + end else begin + tlu_flush_lower_r_d1 <= _T_801 | take_ext_int_start; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ic_perr_r_d1 <= 1'h0; + end else begin + ic_perr_r_d1 <= _T_499 & _T_500; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_sbecc_r_d1 <= 1'h0; + end else begin + iccm_sbecc_r_d1 <= _T_506 & _T_500; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + request_debug_mode_r_d1 <= 1'h0; + end else begin + request_debug_mode_r_d1 <= _T_180 | _T_182; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_repair_state_d1 <= 1'h0; + end else begin + iccm_repair_state_d1 <= iccm_sbecc_r_d1 | _T_442; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dec_pause_state_f <= 1'h0; + end else begin + dec_pause_state_f <= io_dec_pause_state; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dec_tlu_wr_pause_r_d1 <= 1'h0; + end else begin + dec_tlu_wr_pause_r_d1 <= io_dec_tlu_wr_pause_r; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + exc_or_int_valid_r_d1 <= 1'h0; + end else begin + exc_or_int_valid_r_d1 <= _T_855 | mepc_trigger_hit_sel_pc_r; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + pause_expired_wb <= 1'h0; + end else begin + pause_expired_wb <= _T_227 & _T_228; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_pmu_load_external_r <= 1'h0; + end else begin + lsu_pmu_load_external_r <= io_lsu_pmu_load_external_m; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_pmu_store_external_r <= 1'h0; + end else begin + lsu_pmu_store_external_r <= io_lsu_pmu_store_external_m; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_32 <= 1'h0; + end else begin + _T_32 <= _T_427 | i0_trigger_hit_raw_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + internal_dbg_halt_mode_f2 <= 1'h0; + end else begin + internal_dbg_halt_mode_f2 <= debug_mode_status; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_33 <= 1'h0; + end else begin + _T_33 <= csr_io_force_halt; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + nmi_lsu_load_type_f <= 1'h0; + end else begin + nmi_lsu_load_type_f <= _T_50 | _T_52; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + nmi_lsu_store_type_f <= 1'h0; + end else begin + nmi_lsu_store_type_f <= _T_58 | _T_60; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_debug_halt_req_sync_f <= 1'h0; + end else begin + mpc_debug_halt_req_sync_f <= mpc_debug_halt_req_sync_raw & _T_107; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_debug_run_req_sync_f <= 1'h0; + end else begin + mpc_debug_run_req_sync_f <= syncro_ff[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_run_state_f <= 1'h0; + end else begin + mpc_run_state_f <= _T_76 & _T_78; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_brkpt_status_f <= 1'h0; + end else begin + debug_brkpt_status_f <= _T_92 & _T_94; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_debug_halt_ack_f <= 1'h0; + end else begin + mpc_debug_halt_ack_f <= _T_97 & core_empty; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_debug_run_ack_f <= 1'h0; + end else begin + mpc_debug_run_ack_f <= _T_102 | _T_103; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dbg_run_state_f <= 1'h0; + end else begin + dbg_run_state_f <= _T_86 & _T_78; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_65 <= 1'h0; + end else begin + _T_65 <= _T & mpc_halt_state_f; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + request_debug_mode_done_f <= 1'h0; + end else begin + request_debug_mode_done_f <= _T_183 & _T_136; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_190 <= 1'h0; + end else begin + _T_190 <= _T_170 & dbg_run_state_ns; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_353 <= 1'h0; + end else begin + _T_353 <= _T_376 | _T_386; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_354 <= 1'h0; + end else begin + _T_354 <= i_cpu_halt_req_d1 & pmu_fw_tlu_halted_f; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_355 <= 1'h0; + end else begin + _T_355 <= _T_388 | _T_389; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_single_ecc_error_r_d1 <= 1'h0; + end else begin + lsu_single_ecc_error_r_d1 <= io_lsu_single_ecc_error_incr; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + lsu_i0_exc_r_d1 <= 1'h0; + end else begin + lsu_i0_exc_r_d1 <= _T_405 & _T_470; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + take_ext_int_start_d2 <= 1'h0; + end else begin + take_ext_int_start_d2 <= take_ext_int_start_d1; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + tlu_flush_path_r_d1 <= 31'h0; + end else if (take_reset) begin + tlu_flush_path_r_d1 <= io_rst_vec; + end else begin + tlu_flush_path_r_d1 <= _T_852; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + i0_exception_valid_r_d1 <= 1'h0; + end else begin + i0_exception_valid_r_d1 <= _T_527 & _T_528; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + i0_valid_wb <= 1'h0; + end else begin + i0_valid_wb <= tlu_i0_commit_cmt & _T_860; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + trigger_hit_r_d1 <= 1'h0; + end else begin + trigger_hit_r_d1 <= |i0_trigger_chain_masked_r; + end + end +endmodule +module el2_dec_trigger( + input io_trigger_pkt_any_0_select, + input io_trigger_pkt_any_0_match_, + input io_trigger_pkt_any_0_execute, + input io_trigger_pkt_any_0_m, + input [31:0] io_trigger_pkt_any_0_tdata2, + input io_trigger_pkt_any_1_select, + input io_trigger_pkt_any_1_match_, + input io_trigger_pkt_any_1_execute, + input io_trigger_pkt_any_1_m, + input [31:0] io_trigger_pkt_any_1_tdata2, + input io_trigger_pkt_any_2_select, + input io_trigger_pkt_any_2_match_, + input io_trigger_pkt_any_2_execute, + input io_trigger_pkt_any_2_m, + input [31:0] io_trigger_pkt_any_2_tdata2, + input io_trigger_pkt_any_3_select, + input io_trigger_pkt_any_3_match_, + input io_trigger_pkt_any_3_execute, + input io_trigger_pkt_any_3_m, + input [31:0] io_trigger_pkt_any_3_tdata2, + input [30:0] io_dec_i0_pc_d, + output [3:0] io_dec_i0_trigger_match_d +); + wire _T = ~io_trigger_pkt_any_0_select; // @[el2_dec_trigger.scala 14:63] + wire _T_1 = _T & io_trigger_pkt_any_0_execute; // @[el2_dec_trigger.scala 14:93] + wire [9:0] _T_11 = {_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1}; // @[Cat.scala 29:58] + wire [18:0] _T_20 = {_T_11,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1}; // @[Cat.scala 29:58] + wire [27:0] _T_29 = {_T_20,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1}; // @[Cat.scala 29:58] + wire [31:0] _T_33 = {_T_29,_T_1,_T_1,_T_1,_T_1}; // @[Cat.scala 29:58] + wire [31:0] _T_35 = {io_dec_i0_pc_d,io_trigger_pkt_any_0_tdata2[0]}; // @[Cat.scala 29:58] + wire [31:0] dec_i0_match_data_0 = _T_33 & _T_35; // @[el2_dec_trigger.scala 14:127] + wire _T_37 = ~io_trigger_pkt_any_1_select; // @[el2_dec_trigger.scala 14:63] + wire _T_38 = _T_37 & io_trigger_pkt_any_1_execute; // @[el2_dec_trigger.scala 14:93] + wire [9:0] _T_48 = {_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38}; // @[Cat.scala 29:58] + wire [18:0] _T_57 = {_T_48,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38}; // @[Cat.scala 29:58] + wire [27:0] _T_66 = {_T_57,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38}; // @[Cat.scala 29:58] + wire [31:0] _T_70 = {_T_66,_T_38,_T_38,_T_38,_T_38}; // @[Cat.scala 29:58] + wire [31:0] _T_72 = {io_dec_i0_pc_d,io_trigger_pkt_any_1_tdata2[0]}; // @[Cat.scala 29:58] + wire [31:0] dec_i0_match_data_1 = _T_70 & _T_72; // @[el2_dec_trigger.scala 14:127] + wire _T_74 = ~io_trigger_pkt_any_2_select; // @[el2_dec_trigger.scala 14:63] + wire _T_75 = _T_74 & io_trigger_pkt_any_2_execute; // @[el2_dec_trigger.scala 14:93] + wire [9:0] _T_85 = {_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75}; // @[Cat.scala 29:58] + wire [18:0] _T_94 = {_T_85,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75}; // @[Cat.scala 29:58] + wire [27:0] _T_103 = {_T_94,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75}; // @[Cat.scala 29:58] + wire [31:0] _T_107 = {_T_103,_T_75,_T_75,_T_75,_T_75}; // @[Cat.scala 29:58] + wire [31:0] _T_109 = {io_dec_i0_pc_d,io_trigger_pkt_any_2_tdata2[0]}; // @[Cat.scala 29:58] + wire [31:0] dec_i0_match_data_2 = _T_107 & _T_109; // @[el2_dec_trigger.scala 14:127] + wire _T_111 = ~io_trigger_pkt_any_3_select; // @[el2_dec_trigger.scala 14:63] + wire _T_112 = _T_111 & io_trigger_pkt_any_3_execute; // @[el2_dec_trigger.scala 14:93] + wire [9:0] _T_122 = {_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112}; // @[Cat.scala 29:58] + wire [18:0] _T_131 = {_T_122,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112}; // @[Cat.scala 29:58] + wire [27:0] _T_140 = {_T_131,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112}; // @[Cat.scala 29:58] + wire [31:0] _T_144 = {_T_140,_T_112,_T_112,_T_112,_T_112}; // @[Cat.scala 29:58] + wire [31:0] _T_146 = {io_dec_i0_pc_d,io_trigger_pkt_any_3_tdata2[0]}; // @[Cat.scala 29:58] + wire [31:0] dec_i0_match_data_3 = _T_144 & _T_146; // @[el2_dec_trigger.scala 14:127] + wire _T_148 = io_trigger_pkt_any_0_execute & io_trigger_pkt_any_0_m; // @[el2_dec_trigger.scala 15:83] + wire _T_151 = &io_trigger_pkt_any_0_tdata2; // @[el2_lib.scala 232:45] + wire _T_152 = ~_T_151; // @[el2_lib.scala 232:39] + wire _T_153 = io_trigger_pkt_any_0_match_ & _T_152; // @[el2_lib.scala 232:37] + wire _T_156 = io_trigger_pkt_any_0_tdata2[0] == dec_i0_match_data_0[0]; // @[el2_lib.scala 233:52] + wire _T_157 = _T_153 | _T_156; // @[el2_lib.scala 233:41] + wire _T_159 = &io_trigger_pkt_any_0_tdata2[0]; // @[el2_lib.scala 235:36] + wire _T_160 = _T_159 & _T_153; // @[el2_lib.scala 235:41] + wire _T_163 = io_trigger_pkt_any_0_tdata2[1] == dec_i0_match_data_0[1]; // @[el2_lib.scala 235:78] + wire _T_164 = _T_160 | _T_163; // @[el2_lib.scala 235:23] + wire _T_166 = &io_trigger_pkt_any_0_tdata2[1:0]; // @[el2_lib.scala 235:36] + wire _T_167 = _T_166 & _T_153; // @[el2_lib.scala 235:41] + wire _T_170 = io_trigger_pkt_any_0_tdata2[2] == dec_i0_match_data_0[2]; // @[el2_lib.scala 235:78] + wire _T_171 = _T_167 | _T_170; // @[el2_lib.scala 235:23] + wire _T_173 = &io_trigger_pkt_any_0_tdata2[2:0]; // @[el2_lib.scala 235:36] + wire _T_174 = _T_173 & _T_153; // @[el2_lib.scala 235:41] + wire _T_177 = io_trigger_pkt_any_0_tdata2[3] == dec_i0_match_data_0[3]; // @[el2_lib.scala 235:78] + wire _T_178 = _T_174 | _T_177; // @[el2_lib.scala 235:23] + wire _T_180 = &io_trigger_pkt_any_0_tdata2[3:0]; // @[el2_lib.scala 235:36] + wire _T_181 = _T_180 & _T_153; // @[el2_lib.scala 235:41] + wire _T_184 = io_trigger_pkt_any_0_tdata2[4] == dec_i0_match_data_0[4]; // @[el2_lib.scala 235:78] + wire _T_185 = _T_181 | _T_184; // @[el2_lib.scala 235:23] + wire _T_187 = &io_trigger_pkt_any_0_tdata2[4:0]; // @[el2_lib.scala 235:36] + wire _T_188 = _T_187 & _T_153; // @[el2_lib.scala 235:41] + wire _T_191 = io_trigger_pkt_any_0_tdata2[5] == dec_i0_match_data_0[5]; // @[el2_lib.scala 235:78] + wire _T_192 = _T_188 | _T_191; // @[el2_lib.scala 235:23] + wire _T_194 = &io_trigger_pkt_any_0_tdata2[5:0]; // @[el2_lib.scala 235:36] + wire _T_195 = _T_194 & _T_153; // @[el2_lib.scala 235:41] + wire _T_198 = io_trigger_pkt_any_0_tdata2[6] == dec_i0_match_data_0[6]; // @[el2_lib.scala 235:78] + wire _T_199 = _T_195 | _T_198; // @[el2_lib.scala 235:23] + wire _T_201 = &io_trigger_pkt_any_0_tdata2[6:0]; // @[el2_lib.scala 235:36] + wire _T_202 = _T_201 & _T_153; // @[el2_lib.scala 235:41] + wire _T_205 = io_trigger_pkt_any_0_tdata2[7] == dec_i0_match_data_0[7]; // @[el2_lib.scala 235:78] + wire _T_206 = _T_202 | _T_205; // @[el2_lib.scala 235:23] + wire _T_208 = &io_trigger_pkt_any_0_tdata2[7:0]; // @[el2_lib.scala 235:36] + wire _T_209 = _T_208 & _T_153; // @[el2_lib.scala 235:41] + wire _T_212 = io_trigger_pkt_any_0_tdata2[8] == dec_i0_match_data_0[8]; // @[el2_lib.scala 235:78] + wire _T_213 = _T_209 | _T_212; // @[el2_lib.scala 235:23] + wire _T_215 = &io_trigger_pkt_any_0_tdata2[8:0]; // @[el2_lib.scala 235:36] + wire _T_216 = _T_215 & _T_153; // @[el2_lib.scala 235:41] + wire _T_219 = io_trigger_pkt_any_0_tdata2[9] == dec_i0_match_data_0[9]; // @[el2_lib.scala 235:78] + wire _T_220 = _T_216 | _T_219; // @[el2_lib.scala 235:23] + wire _T_222 = &io_trigger_pkt_any_0_tdata2[9:0]; // @[el2_lib.scala 235:36] + wire _T_223 = _T_222 & _T_153; // @[el2_lib.scala 235:41] + wire _T_226 = io_trigger_pkt_any_0_tdata2[10] == dec_i0_match_data_0[10]; // @[el2_lib.scala 235:78] + wire _T_227 = _T_223 | _T_226; // @[el2_lib.scala 235:23] + wire _T_229 = &io_trigger_pkt_any_0_tdata2[10:0]; // @[el2_lib.scala 235:36] + wire _T_230 = _T_229 & _T_153; // @[el2_lib.scala 235:41] + wire _T_233 = io_trigger_pkt_any_0_tdata2[11] == dec_i0_match_data_0[11]; // @[el2_lib.scala 235:78] + wire _T_234 = _T_230 | _T_233; // @[el2_lib.scala 235:23] + wire _T_236 = &io_trigger_pkt_any_0_tdata2[11:0]; // @[el2_lib.scala 235:36] + wire _T_237 = _T_236 & _T_153; // @[el2_lib.scala 235:41] + wire _T_240 = io_trigger_pkt_any_0_tdata2[12] == dec_i0_match_data_0[12]; // @[el2_lib.scala 235:78] + wire _T_241 = _T_237 | _T_240; // @[el2_lib.scala 235:23] + wire _T_243 = &io_trigger_pkt_any_0_tdata2[12:0]; // @[el2_lib.scala 235:36] + wire _T_244 = _T_243 & _T_153; // @[el2_lib.scala 235:41] + wire _T_247 = io_trigger_pkt_any_0_tdata2[13] == dec_i0_match_data_0[13]; // @[el2_lib.scala 235:78] + wire _T_248 = _T_244 | _T_247; // @[el2_lib.scala 235:23] + wire _T_250 = &io_trigger_pkt_any_0_tdata2[13:0]; // @[el2_lib.scala 235:36] + wire _T_251 = _T_250 & _T_153; // @[el2_lib.scala 235:41] + wire _T_254 = io_trigger_pkt_any_0_tdata2[14] == dec_i0_match_data_0[14]; // @[el2_lib.scala 235:78] + wire _T_255 = _T_251 | _T_254; // @[el2_lib.scala 235:23] + wire _T_257 = &io_trigger_pkt_any_0_tdata2[14:0]; // @[el2_lib.scala 235:36] + wire _T_258 = _T_257 & _T_153; // @[el2_lib.scala 235:41] + wire _T_261 = io_trigger_pkt_any_0_tdata2[15] == dec_i0_match_data_0[15]; // @[el2_lib.scala 235:78] + wire _T_262 = _T_258 | _T_261; // @[el2_lib.scala 235:23] + wire _T_264 = &io_trigger_pkt_any_0_tdata2[15:0]; // @[el2_lib.scala 235:36] + wire _T_265 = _T_264 & _T_153; // @[el2_lib.scala 235:41] + wire _T_268 = io_trigger_pkt_any_0_tdata2[16] == dec_i0_match_data_0[16]; // @[el2_lib.scala 235:78] + wire _T_269 = _T_265 | _T_268; // @[el2_lib.scala 235:23] + wire _T_271 = &io_trigger_pkt_any_0_tdata2[16:0]; // @[el2_lib.scala 235:36] + wire _T_272 = _T_271 & _T_153; // @[el2_lib.scala 235:41] + wire _T_275 = io_trigger_pkt_any_0_tdata2[17] == dec_i0_match_data_0[17]; // @[el2_lib.scala 235:78] + wire _T_276 = _T_272 | _T_275; // @[el2_lib.scala 235:23] + wire _T_278 = &io_trigger_pkt_any_0_tdata2[17:0]; // @[el2_lib.scala 235:36] + wire _T_279 = _T_278 & _T_153; // @[el2_lib.scala 235:41] + wire _T_282 = io_trigger_pkt_any_0_tdata2[18] == dec_i0_match_data_0[18]; // @[el2_lib.scala 235:78] + wire _T_283 = _T_279 | _T_282; // @[el2_lib.scala 235:23] + wire _T_285 = &io_trigger_pkt_any_0_tdata2[18:0]; // @[el2_lib.scala 235:36] + wire _T_286 = _T_285 & _T_153; // @[el2_lib.scala 235:41] + wire _T_289 = io_trigger_pkt_any_0_tdata2[19] == dec_i0_match_data_0[19]; // @[el2_lib.scala 235:78] + wire _T_290 = _T_286 | _T_289; // @[el2_lib.scala 235:23] + wire _T_292 = &io_trigger_pkt_any_0_tdata2[19:0]; // @[el2_lib.scala 235:36] + wire _T_293 = _T_292 & _T_153; // @[el2_lib.scala 235:41] + wire _T_296 = io_trigger_pkt_any_0_tdata2[20] == dec_i0_match_data_0[20]; // @[el2_lib.scala 235:78] + wire _T_297 = _T_293 | _T_296; // @[el2_lib.scala 235:23] + wire _T_299 = &io_trigger_pkt_any_0_tdata2[20:0]; // @[el2_lib.scala 235:36] + wire _T_300 = _T_299 & _T_153; // @[el2_lib.scala 235:41] + wire _T_303 = io_trigger_pkt_any_0_tdata2[21] == dec_i0_match_data_0[21]; // @[el2_lib.scala 235:78] + wire _T_304 = _T_300 | _T_303; // @[el2_lib.scala 235:23] + wire _T_306 = &io_trigger_pkt_any_0_tdata2[21:0]; // @[el2_lib.scala 235:36] + wire _T_307 = _T_306 & _T_153; // @[el2_lib.scala 235:41] + wire _T_310 = io_trigger_pkt_any_0_tdata2[22] == dec_i0_match_data_0[22]; // @[el2_lib.scala 235:78] + wire _T_311 = _T_307 | _T_310; // @[el2_lib.scala 235:23] + wire _T_313 = &io_trigger_pkt_any_0_tdata2[22:0]; // @[el2_lib.scala 235:36] + wire _T_314 = _T_313 & _T_153; // @[el2_lib.scala 235:41] + wire _T_317 = io_trigger_pkt_any_0_tdata2[23] == dec_i0_match_data_0[23]; // @[el2_lib.scala 235:78] + wire _T_318 = _T_314 | _T_317; // @[el2_lib.scala 235:23] + wire _T_320 = &io_trigger_pkt_any_0_tdata2[23:0]; // @[el2_lib.scala 235:36] + wire _T_321 = _T_320 & _T_153; // @[el2_lib.scala 235:41] + wire _T_324 = io_trigger_pkt_any_0_tdata2[24] == dec_i0_match_data_0[24]; // @[el2_lib.scala 235:78] + wire _T_325 = _T_321 | _T_324; // @[el2_lib.scala 235:23] + wire _T_327 = &io_trigger_pkt_any_0_tdata2[24:0]; // @[el2_lib.scala 235:36] + wire _T_328 = _T_327 & _T_153; // @[el2_lib.scala 235:41] + wire _T_331 = io_trigger_pkt_any_0_tdata2[25] == dec_i0_match_data_0[25]; // @[el2_lib.scala 235:78] + wire _T_332 = _T_328 | _T_331; // @[el2_lib.scala 235:23] + wire _T_334 = &io_trigger_pkt_any_0_tdata2[25:0]; // @[el2_lib.scala 235:36] + wire _T_335 = _T_334 & _T_153; // @[el2_lib.scala 235:41] + wire _T_338 = io_trigger_pkt_any_0_tdata2[26] == dec_i0_match_data_0[26]; // @[el2_lib.scala 235:78] + wire _T_339 = _T_335 | _T_338; // @[el2_lib.scala 235:23] + wire _T_341 = &io_trigger_pkt_any_0_tdata2[26:0]; // @[el2_lib.scala 235:36] + wire _T_342 = _T_341 & _T_153; // @[el2_lib.scala 235:41] + wire _T_345 = io_trigger_pkt_any_0_tdata2[27] == dec_i0_match_data_0[27]; // @[el2_lib.scala 235:78] + wire _T_346 = _T_342 | _T_345; // @[el2_lib.scala 235:23] + wire _T_348 = &io_trigger_pkt_any_0_tdata2[27:0]; // @[el2_lib.scala 235:36] + wire _T_349 = _T_348 & _T_153; // @[el2_lib.scala 235:41] + wire _T_352 = io_trigger_pkt_any_0_tdata2[28] == dec_i0_match_data_0[28]; // @[el2_lib.scala 235:78] + wire _T_353 = _T_349 | _T_352; // @[el2_lib.scala 235:23] + wire _T_355 = &io_trigger_pkt_any_0_tdata2[28:0]; // @[el2_lib.scala 235:36] + wire _T_356 = _T_355 & _T_153; // @[el2_lib.scala 235:41] + wire _T_359 = io_trigger_pkt_any_0_tdata2[29] == dec_i0_match_data_0[29]; // @[el2_lib.scala 235:78] + wire _T_360 = _T_356 | _T_359; // @[el2_lib.scala 235:23] + wire _T_362 = &io_trigger_pkt_any_0_tdata2[29:0]; // @[el2_lib.scala 235:36] + wire _T_363 = _T_362 & _T_153; // @[el2_lib.scala 235:41] + wire _T_366 = io_trigger_pkt_any_0_tdata2[30] == dec_i0_match_data_0[30]; // @[el2_lib.scala 235:78] + wire _T_367 = _T_363 | _T_366; // @[el2_lib.scala 235:23] + wire _T_369 = &io_trigger_pkt_any_0_tdata2[30:0]; // @[el2_lib.scala 235:36] + wire _T_370 = _T_369 & _T_153; // @[el2_lib.scala 235:41] + wire _T_373 = io_trigger_pkt_any_0_tdata2[31] == dec_i0_match_data_0[31]; // @[el2_lib.scala 235:78] + wire _T_374 = _T_370 | _T_373; // @[el2_lib.scala 235:23] + wire [7:0] _T_381 = {_T_206,_T_199,_T_192,_T_185,_T_178,_T_171,_T_164,_T_157}; // @[el2_lib.scala 236:14] + wire [15:0] _T_389 = {_T_262,_T_255,_T_248,_T_241,_T_234,_T_227,_T_220,_T_213,_T_381}; // @[el2_lib.scala 236:14] + wire [7:0] _T_396 = {_T_318,_T_311,_T_304,_T_297,_T_290,_T_283,_T_276,_T_269}; // @[el2_lib.scala 236:14] + wire [31:0] _T_405 = {_T_374,_T_367,_T_360,_T_353,_T_346,_T_339,_T_332,_T_325,_T_396,_T_389}; // @[el2_lib.scala 236:14] + wire [31:0] _GEN_0 = {{31'd0}, _T_148}; // @[el2_dec_trigger.scala 15:109] + wire [31:0] _T_406 = _GEN_0 & _T_405; // @[el2_dec_trigger.scala 15:109] + wire _T_407 = io_trigger_pkt_any_1_execute & io_trigger_pkt_any_1_m; // @[el2_dec_trigger.scala 15:83] + wire _T_410 = &io_trigger_pkt_any_1_tdata2; // @[el2_lib.scala 232:45] + wire _T_411 = ~_T_410; // @[el2_lib.scala 232:39] + wire _T_412 = io_trigger_pkt_any_1_match_ & _T_411; // @[el2_lib.scala 232:37] + wire _T_415 = io_trigger_pkt_any_1_tdata2[0] == dec_i0_match_data_1[0]; // @[el2_lib.scala 233:52] + wire _T_416 = _T_412 | _T_415; // @[el2_lib.scala 233:41] + wire _T_418 = &io_trigger_pkt_any_1_tdata2[0]; // @[el2_lib.scala 235:36] + wire _T_419 = _T_418 & _T_412; // @[el2_lib.scala 235:41] + wire _T_422 = io_trigger_pkt_any_1_tdata2[1] == dec_i0_match_data_1[1]; // @[el2_lib.scala 235:78] + wire _T_423 = _T_419 | _T_422; // @[el2_lib.scala 235:23] + wire _T_425 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[el2_lib.scala 235:36] + wire _T_426 = _T_425 & _T_412; // @[el2_lib.scala 235:41] + wire _T_429 = io_trigger_pkt_any_1_tdata2[2] == dec_i0_match_data_1[2]; // @[el2_lib.scala 235:78] + wire _T_430 = _T_426 | _T_429; // @[el2_lib.scala 235:23] + wire _T_432 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[el2_lib.scala 235:36] + wire _T_433 = _T_432 & _T_412; // @[el2_lib.scala 235:41] + wire _T_436 = io_trigger_pkt_any_1_tdata2[3] == dec_i0_match_data_1[3]; // @[el2_lib.scala 235:78] + wire _T_437 = _T_433 | _T_436; // @[el2_lib.scala 235:23] + wire _T_439 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[el2_lib.scala 235:36] + wire _T_440 = _T_439 & _T_412; // @[el2_lib.scala 235:41] + wire _T_443 = io_trigger_pkt_any_1_tdata2[4] == dec_i0_match_data_1[4]; // @[el2_lib.scala 235:78] + wire _T_444 = _T_440 | _T_443; // @[el2_lib.scala 235:23] + wire _T_446 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[el2_lib.scala 235:36] + wire _T_447 = _T_446 & _T_412; // @[el2_lib.scala 235:41] + wire _T_450 = io_trigger_pkt_any_1_tdata2[5] == dec_i0_match_data_1[5]; // @[el2_lib.scala 235:78] + wire _T_451 = _T_447 | _T_450; // @[el2_lib.scala 235:23] + wire _T_453 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[el2_lib.scala 235:36] + wire _T_454 = _T_453 & _T_412; // @[el2_lib.scala 235:41] + wire _T_457 = io_trigger_pkt_any_1_tdata2[6] == dec_i0_match_data_1[6]; // @[el2_lib.scala 235:78] + wire _T_458 = _T_454 | _T_457; // @[el2_lib.scala 235:23] + wire _T_460 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[el2_lib.scala 235:36] + wire _T_461 = _T_460 & _T_412; // @[el2_lib.scala 235:41] + wire _T_464 = io_trigger_pkt_any_1_tdata2[7] == dec_i0_match_data_1[7]; // @[el2_lib.scala 235:78] + wire _T_465 = _T_461 | _T_464; // @[el2_lib.scala 235:23] + wire _T_467 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[el2_lib.scala 235:36] + wire _T_468 = _T_467 & _T_412; // @[el2_lib.scala 235:41] + wire _T_471 = io_trigger_pkt_any_1_tdata2[8] == dec_i0_match_data_1[8]; // @[el2_lib.scala 235:78] + wire _T_472 = _T_468 | _T_471; // @[el2_lib.scala 235:23] + wire _T_474 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[el2_lib.scala 235:36] + wire _T_475 = _T_474 & _T_412; // @[el2_lib.scala 235:41] + wire _T_478 = io_trigger_pkt_any_1_tdata2[9] == dec_i0_match_data_1[9]; // @[el2_lib.scala 235:78] + wire _T_479 = _T_475 | _T_478; // @[el2_lib.scala 235:23] + wire _T_481 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[el2_lib.scala 235:36] + wire _T_482 = _T_481 & _T_412; // @[el2_lib.scala 235:41] + wire _T_485 = io_trigger_pkt_any_1_tdata2[10] == dec_i0_match_data_1[10]; // @[el2_lib.scala 235:78] + wire _T_486 = _T_482 | _T_485; // @[el2_lib.scala 235:23] + wire _T_488 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[el2_lib.scala 235:36] + wire _T_489 = _T_488 & _T_412; // @[el2_lib.scala 235:41] + wire _T_492 = io_trigger_pkt_any_1_tdata2[11] == dec_i0_match_data_1[11]; // @[el2_lib.scala 235:78] + wire _T_493 = _T_489 | _T_492; // @[el2_lib.scala 235:23] + wire _T_495 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[el2_lib.scala 235:36] + wire _T_496 = _T_495 & _T_412; // @[el2_lib.scala 235:41] + wire _T_499 = io_trigger_pkt_any_1_tdata2[12] == dec_i0_match_data_1[12]; // @[el2_lib.scala 235:78] + wire _T_500 = _T_496 | _T_499; // @[el2_lib.scala 235:23] + wire _T_502 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[el2_lib.scala 235:36] + wire _T_503 = _T_502 & _T_412; // @[el2_lib.scala 235:41] + wire _T_506 = io_trigger_pkt_any_1_tdata2[13] == dec_i0_match_data_1[13]; // @[el2_lib.scala 235:78] + wire _T_507 = _T_503 | _T_506; // @[el2_lib.scala 235:23] + wire _T_509 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[el2_lib.scala 235:36] + wire _T_510 = _T_509 & _T_412; // @[el2_lib.scala 235:41] + wire _T_513 = io_trigger_pkt_any_1_tdata2[14] == dec_i0_match_data_1[14]; // @[el2_lib.scala 235:78] + wire _T_514 = _T_510 | _T_513; // @[el2_lib.scala 235:23] + wire _T_516 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[el2_lib.scala 235:36] + wire _T_517 = _T_516 & _T_412; // @[el2_lib.scala 235:41] + wire _T_520 = io_trigger_pkt_any_1_tdata2[15] == dec_i0_match_data_1[15]; // @[el2_lib.scala 235:78] + wire _T_521 = _T_517 | _T_520; // @[el2_lib.scala 235:23] + wire _T_523 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[el2_lib.scala 235:36] + wire _T_524 = _T_523 & _T_412; // @[el2_lib.scala 235:41] + wire _T_527 = io_trigger_pkt_any_1_tdata2[16] == dec_i0_match_data_1[16]; // @[el2_lib.scala 235:78] + wire _T_528 = _T_524 | _T_527; // @[el2_lib.scala 235:23] + wire _T_530 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[el2_lib.scala 235:36] + wire _T_531 = _T_530 & _T_412; // @[el2_lib.scala 235:41] + wire _T_534 = io_trigger_pkt_any_1_tdata2[17] == dec_i0_match_data_1[17]; // @[el2_lib.scala 235:78] + wire _T_535 = _T_531 | _T_534; // @[el2_lib.scala 235:23] + wire _T_537 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[el2_lib.scala 235:36] + wire _T_538 = _T_537 & _T_412; // @[el2_lib.scala 235:41] + wire _T_541 = io_trigger_pkt_any_1_tdata2[18] == dec_i0_match_data_1[18]; // @[el2_lib.scala 235:78] + wire _T_542 = _T_538 | _T_541; // @[el2_lib.scala 235:23] + wire _T_544 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[el2_lib.scala 235:36] + wire _T_545 = _T_544 & _T_412; // @[el2_lib.scala 235:41] + wire _T_548 = io_trigger_pkt_any_1_tdata2[19] == dec_i0_match_data_1[19]; // @[el2_lib.scala 235:78] + wire _T_549 = _T_545 | _T_548; // @[el2_lib.scala 235:23] + wire _T_551 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[el2_lib.scala 235:36] + wire _T_552 = _T_551 & _T_412; // @[el2_lib.scala 235:41] + wire _T_555 = io_trigger_pkt_any_1_tdata2[20] == dec_i0_match_data_1[20]; // @[el2_lib.scala 235:78] + wire _T_556 = _T_552 | _T_555; // @[el2_lib.scala 235:23] + wire _T_558 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[el2_lib.scala 235:36] + wire _T_559 = _T_558 & _T_412; // @[el2_lib.scala 235:41] + wire _T_562 = io_trigger_pkt_any_1_tdata2[21] == dec_i0_match_data_1[21]; // @[el2_lib.scala 235:78] + wire _T_563 = _T_559 | _T_562; // @[el2_lib.scala 235:23] + wire _T_565 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[el2_lib.scala 235:36] + wire _T_566 = _T_565 & _T_412; // @[el2_lib.scala 235:41] + wire _T_569 = io_trigger_pkt_any_1_tdata2[22] == dec_i0_match_data_1[22]; // @[el2_lib.scala 235:78] + wire _T_570 = _T_566 | _T_569; // @[el2_lib.scala 235:23] + wire _T_572 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[el2_lib.scala 235:36] + wire _T_573 = _T_572 & _T_412; // @[el2_lib.scala 235:41] + wire _T_576 = io_trigger_pkt_any_1_tdata2[23] == dec_i0_match_data_1[23]; // @[el2_lib.scala 235:78] + wire _T_577 = _T_573 | _T_576; // @[el2_lib.scala 235:23] + wire _T_579 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[el2_lib.scala 235:36] + wire _T_580 = _T_579 & _T_412; // @[el2_lib.scala 235:41] + wire _T_583 = io_trigger_pkt_any_1_tdata2[24] == dec_i0_match_data_1[24]; // @[el2_lib.scala 235:78] + wire _T_584 = _T_580 | _T_583; // @[el2_lib.scala 235:23] + wire _T_586 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[el2_lib.scala 235:36] + wire _T_587 = _T_586 & _T_412; // @[el2_lib.scala 235:41] + wire _T_590 = io_trigger_pkt_any_1_tdata2[25] == dec_i0_match_data_1[25]; // @[el2_lib.scala 235:78] + wire _T_591 = _T_587 | _T_590; // @[el2_lib.scala 235:23] + wire _T_593 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[el2_lib.scala 235:36] + wire _T_594 = _T_593 & _T_412; // @[el2_lib.scala 235:41] + wire _T_597 = io_trigger_pkt_any_1_tdata2[26] == dec_i0_match_data_1[26]; // @[el2_lib.scala 235:78] + wire _T_598 = _T_594 | _T_597; // @[el2_lib.scala 235:23] + wire _T_600 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[el2_lib.scala 235:36] + wire _T_601 = _T_600 & _T_412; // @[el2_lib.scala 235:41] + wire _T_604 = io_trigger_pkt_any_1_tdata2[27] == dec_i0_match_data_1[27]; // @[el2_lib.scala 235:78] + wire _T_605 = _T_601 | _T_604; // @[el2_lib.scala 235:23] + wire _T_607 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[el2_lib.scala 235:36] + wire _T_608 = _T_607 & _T_412; // @[el2_lib.scala 235:41] + wire _T_611 = io_trigger_pkt_any_1_tdata2[28] == dec_i0_match_data_1[28]; // @[el2_lib.scala 235:78] + wire _T_612 = _T_608 | _T_611; // @[el2_lib.scala 235:23] + wire _T_614 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[el2_lib.scala 235:36] + wire _T_615 = _T_614 & _T_412; // @[el2_lib.scala 235:41] + wire _T_618 = io_trigger_pkt_any_1_tdata2[29] == dec_i0_match_data_1[29]; // @[el2_lib.scala 235:78] + wire _T_619 = _T_615 | _T_618; // @[el2_lib.scala 235:23] + wire _T_621 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[el2_lib.scala 235:36] + wire _T_622 = _T_621 & _T_412; // @[el2_lib.scala 235:41] + wire _T_625 = io_trigger_pkt_any_1_tdata2[30] == dec_i0_match_data_1[30]; // @[el2_lib.scala 235:78] + wire _T_626 = _T_622 | _T_625; // @[el2_lib.scala 235:23] + wire _T_628 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[el2_lib.scala 235:36] + wire _T_629 = _T_628 & _T_412; // @[el2_lib.scala 235:41] + wire _T_632 = io_trigger_pkt_any_1_tdata2[31] == dec_i0_match_data_1[31]; // @[el2_lib.scala 235:78] + wire _T_633 = _T_629 | _T_632; // @[el2_lib.scala 235:23] + wire [7:0] _T_640 = {_T_465,_T_458,_T_451,_T_444,_T_437,_T_430,_T_423,_T_416}; // @[el2_lib.scala 236:14] + wire [15:0] _T_648 = {_T_521,_T_514,_T_507,_T_500,_T_493,_T_486,_T_479,_T_472,_T_640}; // @[el2_lib.scala 236:14] + wire [7:0] _T_655 = {_T_577,_T_570,_T_563,_T_556,_T_549,_T_542,_T_535,_T_528}; // @[el2_lib.scala 236:14] + wire [31:0] _T_664 = {_T_633,_T_626,_T_619,_T_612,_T_605,_T_598,_T_591,_T_584,_T_655,_T_648}; // @[el2_lib.scala 236:14] + wire [31:0] _GEN_1 = {{31'd0}, _T_407}; // @[el2_dec_trigger.scala 15:109] + wire [31:0] _T_665 = _GEN_1 & _T_664; // @[el2_dec_trigger.scala 15:109] + wire _T_666 = io_trigger_pkt_any_2_execute & io_trigger_pkt_any_2_m; // @[el2_dec_trigger.scala 15:83] + wire _T_669 = &io_trigger_pkt_any_2_tdata2; // @[el2_lib.scala 232:45] + wire _T_670 = ~_T_669; // @[el2_lib.scala 232:39] + wire _T_671 = io_trigger_pkt_any_2_match_ & _T_670; // @[el2_lib.scala 232:37] + wire _T_674 = io_trigger_pkt_any_2_tdata2[0] == dec_i0_match_data_2[0]; // @[el2_lib.scala 233:52] + wire _T_675 = _T_671 | _T_674; // @[el2_lib.scala 233:41] + wire _T_677 = &io_trigger_pkt_any_2_tdata2[0]; // @[el2_lib.scala 235:36] + wire _T_678 = _T_677 & _T_671; // @[el2_lib.scala 235:41] + wire _T_681 = io_trigger_pkt_any_2_tdata2[1] == dec_i0_match_data_2[1]; // @[el2_lib.scala 235:78] + wire _T_682 = _T_678 | _T_681; // @[el2_lib.scala 235:23] + wire _T_684 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[el2_lib.scala 235:36] + wire _T_685 = _T_684 & _T_671; // @[el2_lib.scala 235:41] + wire _T_688 = io_trigger_pkt_any_2_tdata2[2] == dec_i0_match_data_2[2]; // @[el2_lib.scala 235:78] + wire _T_689 = _T_685 | _T_688; // @[el2_lib.scala 235:23] + wire _T_691 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[el2_lib.scala 235:36] + wire _T_692 = _T_691 & _T_671; // @[el2_lib.scala 235:41] + wire _T_695 = io_trigger_pkt_any_2_tdata2[3] == dec_i0_match_data_2[3]; // @[el2_lib.scala 235:78] + wire _T_696 = _T_692 | _T_695; // @[el2_lib.scala 235:23] + wire _T_698 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[el2_lib.scala 235:36] + wire _T_699 = _T_698 & _T_671; // @[el2_lib.scala 235:41] + wire _T_702 = io_trigger_pkt_any_2_tdata2[4] == dec_i0_match_data_2[4]; // @[el2_lib.scala 235:78] + wire _T_703 = _T_699 | _T_702; // @[el2_lib.scala 235:23] + wire _T_705 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[el2_lib.scala 235:36] + wire _T_706 = _T_705 & _T_671; // @[el2_lib.scala 235:41] + wire _T_709 = io_trigger_pkt_any_2_tdata2[5] == dec_i0_match_data_2[5]; // @[el2_lib.scala 235:78] + wire _T_710 = _T_706 | _T_709; // @[el2_lib.scala 235:23] + wire _T_712 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[el2_lib.scala 235:36] + wire _T_713 = _T_712 & _T_671; // @[el2_lib.scala 235:41] + wire _T_716 = io_trigger_pkt_any_2_tdata2[6] == dec_i0_match_data_2[6]; // @[el2_lib.scala 235:78] + wire _T_717 = _T_713 | _T_716; // @[el2_lib.scala 235:23] + wire _T_719 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[el2_lib.scala 235:36] + wire _T_720 = _T_719 & _T_671; // @[el2_lib.scala 235:41] + wire _T_723 = io_trigger_pkt_any_2_tdata2[7] == dec_i0_match_data_2[7]; // @[el2_lib.scala 235:78] + wire _T_724 = _T_720 | _T_723; // @[el2_lib.scala 235:23] + wire _T_726 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[el2_lib.scala 235:36] + wire _T_727 = _T_726 & _T_671; // @[el2_lib.scala 235:41] + wire _T_730 = io_trigger_pkt_any_2_tdata2[8] == dec_i0_match_data_2[8]; // @[el2_lib.scala 235:78] + wire _T_731 = _T_727 | _T_730; // @[el2_lib.scala 235:23] + wire _T_733 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[el2_lib.scala 235:36] + wire _T_734 = _T_733 & _T_671; // @[el2_lib.scala 235:41] + wire _T_737 = io_trigger_pkt_any_2_tdata2[9] == dec_i0_match_data_2[9]; // @[el2_lib.scala 235:78] + wire _T_738 = _T_734 | _T_737; // @[el2_lib.scala 235:23] + wire _T_740 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[el2_lib.scala 235:36] + wire _T_741 = _T_740 & _T_671; // @[el2_lib.scala 235:41] + wire _T_744 = io_trigger_pkt_any_2_tdata2[10] == dec_i0_match_data_2[10]; // @[el2_lib.scala 235:78] + wire _T_745 = _T_741 | _T_744; // @[el2_lib.scala 235:23] + wire _T_747 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[el2_lib.scala 235:36] + wire _T_748 = _T_747 & _T_671; // @[el2_lib.scala 235:41] + wire _T_751 = io_trigger_pkt_any_2_tdata2[11] == dec_i0_match_data_2[11]; // @[el2_lib.scala 235:78] + wire _T_752 = _T_748 | _T_751; // @[el2_lib.scala 235:23] + wire _T_754 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[el2_lib.scala 235:36] + wire _T_755 = _T_754 & _T_671; // @[el2_lib.scala 235:41] + wire _T_758 = io_trigger_pkt_any_2_tdata2[12] == dec_i0_match_data_2[12]; // @[el2_lib.scala 235:78] + wire _T_759 = _T_755 | _T_758; // @[el2_lib.scala 235:23] + wire _T_761 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[el2_lib.scala 235:36] + wire _T_762 = _T_761 & _T_671; // @[el2_lib.scala 235:41] + wire _T_765 = io_trigger_pkt_any_2_tdata2[13] == dec_i0_match_data_2[13]; // @[el2_lib.scala 235:78] + wire _T_766 = _T_762 | _T_765; // @[el2_lib.scala 235:23] + wire _T_768 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[el2_lib.scala 235:36] + wire _T_769 = _T_768 & _T_671; // @[el2_lib.scala 235:41] + wire _T_772 = io_trigger_pkt_any_2_tdata2[14] == dec_i0_match_data_2[14]; // @[el2_lib.scala 235:78] + wire _T_773 = _T_769 | _T_772; // @[el2_lib.scala 235:23] + wire _T_775 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[el2_lib.scala 235:36] + wire _T_776 = _T_775 & _T_671; // @[el2_lib.scala 235:41] + wire _T_779 = io_trigger_pkt_any_2_tdata2[15] == dec_i0_match_data_2[15]; // @[el2_lib.scala 235:78] + wire _T_780 = _T_776 | _T_779; // @[el2_lib.scala 235:23] + wire _T_782 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[el2_lib.scala 235:36] + wire _T_783 = _T_782 & _T_671; // @[el2_lib.scala 235:41] + wire _T_786 = io_trigger_pkt_any_2_tdata2[16] == dec_i0_match_data_2[16]; // @[el2_lib.scala 235:78] + wire _T_787 = _T_783 | _T_786; // @[el2_lib.scala 235:23] + wire _T_789 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[el2_lib.scala 235:36] + wire _T_790 = _T_789 & _T_671; // @[el2_lib.scala 235:41] + wire _T_793 = io_trigger_pkt_any_2_tdata2[17] == dec_i0_match_data_2[17]; // @[el2_lib.scala 235:78] + wire _T_794 = _T_790 | _T_793; // @[el2_lib.scala 235:23] + wire _T_796 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[el2_lib.scala 235:36] + wire _T_797 = _T_796 & _T_671; // @[el2_lib.scala 235:41] + wire _T_800 = io_trigger_pkt_any_2_tdata2[18] == dec_i0_match_data_2[18]; // @[el2_lib.scala 235:78] + wire _T_801 = _T_797 | _T_800; // @[el2_lib.scala 235:23] + wire _T_803 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[el2_lib.scala 235:36] + wire _T_804 = _T_803 & _T_671; // @[el2_lib.scala 235:41] + wire _T_807 = io_trigger_pkt_any_2_tdata2[19] == dec_i0_match_data_2[19]; // @[el2_lib.scala 235:78] + wire _T_808 = _T_804 | _T_807; // @[el2_lib.scala 235:23] + wire _T_810 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[el2_lib.scala 235:36] + wire _T_811 = _T_810 & _T_671; // @[el2_lib.scala 235:41] + wire _T_814 = io_trigger_pkt_any_2_tdata2[20] == dec_i0_match_data_2[20]; // @[el2_lib.scala 235:78] + wire _T_815 = _T_811 | _T_814; // @[el2_lib.scala 235:23] + wire _T_817 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[el2_lib.scala 235:36] + wire _T_818 = _T_817 & _T_671; // @[el2_lib.scala 235:41] + wire _T_821 = io_trigger_pkt_any_2_tdata2[21] == dec_i0_match_data_2[21]; // @[el2_lib.scala 235:78] + wire _T_822 = _T_818 | _T_821; // @[el2_lib.scala 235:23] + wire _T_824 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[el2_lib.scala 235:36] + wire _T_825 = _T_824 & _T_671; // @[el2_lib.scala 235:41] + wire _T_828 = io_trigger_pkt_any_2_tdata2[22] == dec_i0_match_data_2[22]; // @[el2_lib.scala 235:78] + wire _T_829 = _T_825 | _T_828; // @[el2_lib.scala 235:23] + wire _T_831 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[el2_lib.scala 235:36] + wire _T_832 = _T_831 & _T_671; // @[el2_lib.scala 235:41] + wire _T_835 = io_trigger_pkt_any_2_tdata2[23] == dec_i0_match_data_2[23]; // @[el2_lib.scala 235:78] + wire _T_836 = _T_832 | _T_835; // @[el2_lib.scala 235:23] + wire _T_838 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[el2_lib.scala 235:36] + wire _T_839 = _T_838 & _T_671; // @[el2_lib.scala 235:41] + wire _T_842 = io_trigger_pkt_any_2_tdata2[24] == dec_i0_match_data_2[24]; // @[el2_lib.scala 235:78] + wire _T_843 = _T_839 | _T_842; // @[el2_lib.scala 235:23] + wire _T_845 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[el2_lib.scala 235:36] + wire _T_846 = _T_845 & _T_671; // @[el2_lib.scala 235:41] + wire _T_849 = io_trigger_pkt_any_2_tdata2[25] == dec_i0_match_data_2[25]; // @[el2_lib.scala 235:78] + wire _T_850 = _T_846 | _T_849; // @[el2_lib.scala 235:23] + wire _T_852 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[el2_lib.scala 235:36] + wire _T_853 = _T_852 & _T_671; // @[el2_lib.scala 235:41] + wire _T_856 = io_trigger_pkt_any_2_tdata2[26] == dec_i0_match_data_2[26]; // @[el2_lib.scala 235:78] + wire _T_857 = _T_853 | _T_856; // @[el2_lib.scala 235:23] + wire _T_859 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[el2_lib.scala 235:36] + wire _T_860 = _T_859 & _T_671; // @[el2_lib.scala 235:41] + wire _T_863 = io_trigger_pkt_any_2_tdata2[27] == dec_i0_match_data_2[27]; // @[el2_lib.scala 235:78] + wire _T_864 = _T_860 | _T_863; // @[el2_lib.scala 235:23] + wire _T_866 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[el2_lib.scala 235:36] + wire _T_867 = _T_866 & _T_671; // @[el2_lib.scala 235:41] + wire _T_870 = io_trigger_pkt_any_2_tdata2[28] == dec_i0_match_data_2[28]; // @[el2_lib.scala 235:78] + wire _T_871 = _T_867 | _T_870; // @[el2_lib.scala 235:23] + wire _T_873 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[el2_lib.scala 235:36] + wire _T_874 = _T_873 & _T_671; // @[el2_lib.scala 235:41] + wire _T_877 = io_trigger_pkt_any_2_tdata2[29] == dec_i0_match_data_2[29]; // @[el2_lib.scala 235:78] + wire _T_878 = _T_874 | _T_877; // @[el2_lib.scala 235:23] + wire _T_880 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[el2_lib.scala 235:36] + wire _T_881 = _T_880 & _T_671; // @[el2_lib.scala 235:41] + wire _T_884 = io_trigger_pkt_any_2_tdata2[30] == dec_i0_match_data_2[30]; // @[el2_lib.scala 235:78] + wire _T_885 = _T_881 | _T_884; // @[el2_lib.scala 235:23] + wire _T_887 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[el2_lib.scala 235:36] + wire _T_888 = _T_887 & _T_671; // @[el2_lib.scala 235:41] + wire _T_891 = io_trigger_pkt_any_2_tdata2[31] == dec_i0_match_data_2[31]; // @[el2_lib.scala 235:78] + wire _T_892 = _T_888 | _T_891; // @[el2_lib.scala 235:23] + wire [7:0] _T_899 = {_T_724,_T_717,_T_710,_T_703,_T_696,_T_689,_T_682,_T_675}; // @[el2_lib.scala 236:14] + wire [15:0] _T_907 = {_T_780,_T_773,_T_766,_T_759,_T_752,_T_745,_T_738,_T_731,_T_899}; // @[el2_lib.scala 236:14] + wire [7:0] _T_914 = {_T_836,_T_829,_T_822,_T_815,_T_808,_T_801,_T_794,_T_787}; // @[el2_lib.scala 236:14] + wire [31:0] _T_923 = {_T_892,_T_885,_T_878,_T_871,_T_864,_T_857,_T_850,_T_843,_T_914,_T_907}; // @[el2_lib.scala 236:14] + wire [31:0] _GEN_2 = {{31'd0}, _T_666}; // @[el2_dec_trigger.scala 15:109] + wire [31:0] _T_924 = _GEN_2 & _T_923; // @[el2_dec_trigger.scala 15:109] + wire _T_925 = io_trigger_pkt_any_3_execute & io_trigger_pkt_any_3_m; // @[el2_dec_trigger.scala 15:83] + wire _T_928 = &io_trigger_pkt_any_3_tdata2; // @[el2_lib.scala 232:45] + wire _T_929 = ~_T_928; // @[el2_lib.scala 232:39] + wire _T_930 = io_trigger_pkt_any_3_match_ & _T_929; // @[el2_lib.scala 232:37] + wire _T_933 = io_trigger_pkt_any_3_tdata2[0] == dec_i0_match_data_3[0]; // @[el2_lib.scala 233:52] + wire _T_934 = _T_930 | _T_933; // @[el2_lib.scala 233:41] + wire _T_936 = &io_trigger_pkt_any_3_tdata2[0]; // @[el2_lib.scala 235:36] + wire _T_937 = _T_936 & _T_930; // @[el2_lib.scala 235:41] + wire _T_940 = io_trigger_pkt_any_3_tdata2[1] == dec_i0_match_data_3[1]; // @[el2_lib.scala 235:78] + wire _T_941 = _T_937 | _T_940; // @[el2_lib.scala 235:23] + wire _T_943 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[el2_lib.scala 235:36] + wire _T_944 = _T_943 & _T_930; // @[el2_lib.scala 235:41] + wire _T_947 = io_trigger_pkt_any_3_tdata2[2] == dec_i0_match_data_3[2]; // @[el2_lib.scala 235:78] + wire _T_948 = _T_944 | _T_947; // @[el2_lib.scala 235:23] + wire _T_950 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[el2_lib.scala 235:36] + wire _T_951 = _T_950 & _T_930; // @[el2_lib.scala 235:41] + wire _T_954 = io_trigger_pkt_any_3_tdata2[3] == dec_i0_match_data_3[3]; // @[el2_lib.scala 235:78] + wire _T_955 = _T_951 | _T_954; // @[el2_lib.scala 235:23] + wire _T_957 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[el2_lib.scala 235:36] + wire _T_958 = _T_957 & _T_930; // @[el2_lib.scala 235:41] + wire _T_961 = io_trigger_pkt_any_3_tdata2[4] == dec_i0_match_data_3[4]; // @[el2_lib.scala 235:78] + wire _T_962 = _T_958 | _T_961; // @[el2_lib.scala 235:23] + wire _T_964 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[el2_lib.scala 235:36] + wire _T_965 = _T_964 & _T_930; // @[el2_lib.scala 235:41] + wire _T_968 = io_trigger_pkt_any_3_tdata2[5] == dec_i0_match_data_3[5]; // @[el2_lib.scala 235:78] + wire _T_969 = _T_965 | _T_968; // @[el2_lib.scala 235:23] + wire _T_971 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[el2_lib.scala 235:36] + wire _T_972 = _T_971 & _T_930; // @[el2_lib.scala 235:41] + wire _T_975 = io_trigger_pkt_any_3_tdata2[6] == dec_i0_match_data_3[6]; // @[el2_lib.scala 235:78] + wire _T_976 = _T_972 | _T_975; // @[el2_lib.scala 235:23] + wire _T_978 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[el2_lib.scala 235:36] + wire _T_979 = _T_978 & _T_930; // @[el2_lib.scala 235:41] + wire _T_982 = io_trigger_pkt_any_3_tdata2[7] == dec_i0_match_data_3[7]; // @[el2_lib.scala 235:78] + wire _T_983 = _T_979 | _T_982; // @[el2_lib.scala 235:23] + wire _T_985 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[el2_lib.scala 235:36] + wire _T_986 = _T_985 & _T_930; // @[el2_lib.scala 235:41] + wire _T_989 = io_trigger_pkt_any_3_tdata2[8] == dec_i0_match_data_3[8]; // @[el2_lib.scala 235:78] + wire _T_990 = _T_986 | _T_989; // @[el2_lib.scala 235:23] + wire _T_992 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[el2_lib.scala 235:36] + wire _T_993 = _T_992 & _T_930; // @[el2_lib.scala 235:41] + wire _T_996 = io_trigger_pkt_any_3_tdata2[9] == dec_i0_match_data_3[9]; // @[el2_lib.scala 235:78] + wire _T_997 = _T_993 | _T_996; // @[el2_lib.scala 235:23] + wire _T_999 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[el2_lib.scala 235:36] + wire _T_1000 = _T_999 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1003 = io_trigger_pkt_any_3_tdata2[10] == dec_i0_match_data_3[10]; // @[el2_lib.scala 235:78] + wire _T_1004 = _T_1000 | _T_1003; // @[el2_lib.scala 235:23] + wire _T_1006 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[el2_lib.scala 235:36] + wire _T_1007 = _T_1006 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1010 = io_trigger_pkt_any_3_tdata2[11] == dec_i0_match_data_3[11]; // @[el2_lib.scala 235:78] + wire _T_1011 = _T_1007 | _T_1010; // @[el2_lib.scala 235:23] + wire _T_1013 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[el2_lib.scala 235:36] + wire _T_1014 = _T_1013 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1017 = io_trigger_pkt_any_3_tdata2[12] == dec_i0_match_data_3[12]; // @[el2_lib.scala 235:78] + wire _T_1018 = _T_1014 | _T_1017; // @[el2_lib.scala 235:23] + wire _T_1020 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[el2_lib.scala 235:36] + wire _T_1021 = _T_1020 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1024 = io_trigger_pkt_any_3_tdata2[13] == dec_i0_match_data_3[13]; // @[el2_lib.scala 235:78] + wire _T_1025 = _T_1021 | _T_1024; // @[el2_lib.scala 235:23] + wire _T_1027 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[el2_lib.scala 235:36] + wire _T_1028 = _T_1027 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1031 = io_trigger_pkt_any_3_tdata2[14] == dec_i0_match_data_3[14]; // @[el2_lib.scala 235:78] + wire _T_1032 = _T_1028 | _T_1031; // @[el2_lib.scala 235:23] + wire _T_1034 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[el2_lib.scala 235:36] + wire _T_1035 = _T_1034 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1038 = io_trigger_pkt_any_3_tdata2[15] == dec_i0_match_data_3[15]; // @[el2_lib.scala 235:78] + wire _T_1039 = _T_1035 | _T_1038; // @[el2_lib.scala 235:23] + wire _T_1041 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[el2_lib.scala 235:36] + wire _T_1042 = _T_1041 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1045 = io_trigger_pkt_any_3_tdata2[16] == dec_i0_match_data_3[16]; // @[el2_lib.scala 235:78] + wire _T_1046 = _T_1042 | _T_1045; // @[el2_lib.scala 235:23] + wire _T_1048 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[el2_lib.scala 235:36] + wire _T_1049 = _T_1048 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1052 = io_trigger_pkt_any_3_tdata2[17] == dec_i0_match_data_3[17]; // @[el2_lib.scala 235:78] + wire _T_1053 = _T_1049 | _T_1052; // @[el2_lib.scala 235:23] + wire _T_1055 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[el2_lib.scala 235:36] + wire _T_1056 = _T_1055 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1059 = io_trigger_pkt_any_3_tdata2[18] == dec_i0_match_data_3[18]; // @[el2_lib.scala 235:78] + wire _T_1060 = _T_1056 | _T_1059; // @[el2_lib.scala 235:23] + wire _T_1062 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[el2_lib.scala 235:36] + wire _T_1063 = _T_1062 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1066 = io_trigger_pkt_any_3_tdata2[19] == dec_i0_match_data_3[19]; // @[el2_lib.scala 235:78] + wire _T_1067 = _T_1063 | _T_1066; // @[el2_lib.scala 235:23] + wire _T_1069 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[el2_lib.scala 235:36] + wire _T_1070 = _T_1069 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1073 = io_trigger_pkt_any_3_tdata2[20] == dec_i0_match_data_3[20]; // @[el2_lib.scala 235:78] + wire _T_1074 = _T_1070 | _T_1073; // @[el2_lib.scala 235:23] + wire _T_1076 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[el2_lib.scala 235:36] + wire _T_1077 = _T_1076 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1080 = io_trigger_pkt_any_3_tdata2[21] == dec_i0_match_data_3[21]; // @[el2_lib.scala 235:78] + wire _T_1081 = _T_1077 | _T_1080; // @[el2_lib.scala 235:23] + wire _T_1083 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[el2_lib.scala 235:36] + wire _T_1084 = _T_1083 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1087 = io_trigger_pkt_any_3_tdata2[22] == dec_i0_match_data_3[22]; // @[el2_lib.scala 235:78] + wire _T_1088 = _T_1084 | _T_1087; // @[el2_lib.scala 235:23] + wire _T_1090 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[el2_lib.scala 235:36] + wire _T_1091 = _T_1090 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1094 = io_trigger_pkt_any_3_tdata2[23] == dec_i0_match_data_3[23]; // @[el2_lib.scala 235:78] + wire _T_1095 = _T_1091 | _T_1094; // @[el2_lib.scala 235:23] + wire _T_1097 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[el2_lib.scala 235:36] + wire _T_1098 = _T_1097 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1101 = io_trigger_pkt_any_3_tdata2[24] == dec_i0_match_data_3[24]; // @[el2_lib.scala 235:78] + wire _T_1102 = _T_1098 | _T_1101; // @[el2_lib.scala 235:23] + wire _T_1104 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[el2_lib.scala 235:36] + wire _T_1105 = _T_1104 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1108 = io_trigger_pkt_any_3_tdata2[25] == dec_i0_match_data_3[25]; // @[el2_lib.scala 235:78] + wire _T_1109 = _T_1105 | _T_1108; // @[el2_lib.scala 235:23] + wire _T_1111 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[el2_lib.scala 235:36] + wire _T_1112 = _T_1111 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1115 = io_trigger_pkt_any_3_tdata2[26] == dec_i0_match_data_3[26]; // @[el2_lib.scala 235:78] + wire _T_1116 = _T_1112 | _T_1115; // @[el2_lib.scala 235:23] + wire _T_1118 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[el2_lib.scala 235:36] + wire _T_1119 = _T_1118 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1122 = io_trigger_pkt_any_3_tdata2[27] == dec_i0_match_data_3[27]; // @[el2_lib.scala 235:78] + wire _T_1123 = _T_1119 | _T_1122; // @[el2_lib.scala 235:23] + wire _T_1125 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[el2_lib.scala 235:36] + wire _T_1126 = _T_1125 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1129 = io_trigger_pkt_any_3_tdata2[28] == dec_i0_match_data_3[28]; // @[el2_lib.scala 235:78] + wire _T_1130 = _T_1126 | _T_1129; // @[el2_lib.scala 235:23] + wire _T_1132 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[el2_lib.scala 235:36] + wire _T_1133 = _T_1132 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1136 = io_trigger_pkt_any_3_tdata2[29] == dec_i0_match_data_3[29]; // @[el2_lib.scala 235:78] + wire _T_1137 = _T_1133 | _T_1136; // @[el2_lib.scala 235:23] + wire _T_1139 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[el2_lib.scala 235:36] + wire _T_1140 = _T_1139 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1143 = io_trigger_pkt_any_3_tdata2[30] == dec_i0_match_data_3[30]; // @[el2_lib.scala 235:78] + wire _T_1144 = _T_1140 | _T_1143; // @[el2_lib.scala 235:23] + wire _T_1146 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[el2_lib.scala 235:36] + wire _T_1147 = _T_1146 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1150 = io_trigger_pkt_any_3_tdata2[31] == dec_i0_match_data_3[31]; // @[el2_lib.scala 235:78] + wire _T_1151 = _T_1147 | _T_1150; // @[el2_lib.scala 235:23] + wire [7:0] _T_1158 = {_T_983,_T_976,_T_969,_T_962,_T_955,_T_948,_T_941,_T_934}; // @[el2_lib.scala 236:14] + wire [15:0] _T_1166 = {_T_1039,_T_1032,_T_1025,_T_1018,_T_1011,_T_1004,_T_997,_T_990,_T_1158}; // @[el2_lib.scala 236:14] + wire [7:0] _T_1173 = {_T_1095,_T_1088,_T_1081,_T_1074,_T_1067,_T_1060,_T_1053,_T_1046}; // @[el2_lib.scala 236:14] + wire [31:0] _T_1182 = {_T_1151,_T_1144,_T_1137,_T_1130,_T_1123,_T_1116,_T_1109,_T_1102,_T_1173,_T_1166}; // @[el2_lib.scala 236:14] + wire [31:0] _GEN_3 = {{31'd0}, _T_925}; // @[el2_dec_trigger.scala 15:109] + wire [31:0] _T_1183 = _GEN_3 & _T_1182; // @[el2_dec_trigger.scala 15:109] + wire [127:0] _T_1186 = {_T_1183,_T_924,_T_665,_T_406}; // @[Cat.scala 29:58] + assign io_dec_i0_trigger_match_d = _T_1186[3:0]; // @[el2_dec_trigger.scala 15:29] +endmodule +module el2_dec( + input clock, + input reset, + input io_free_clk, + input io_active_clk, + input io_lsu_fastint_stall_any, + output io_dec_extint_stall, + output io_dec_i0_decode_d, + output io_dec_pause_state_cg, + input [31:0] io_rst_vec, + input io_nmi_int, + input [31:0] io_nmi_vec, + input io_i_cpu_halt_req, + input io_i_cpu_run_req, + output io_o_cpu_halt_status, + output io_o_cpu_halt_ack, + output io_o_cpu_run_ack, + output io_o_debug_mode_status, + input [31:0] io_core_id, + input io_mpc_debug_halt_req, + input io_mpc_debug_run_req, + input io_mpc_reset_run_req, + output io_mpc_debug_halt_ack, + output io_mpc_debug_run_ack, + output io_debug_brkpt_status, + input io_exu_pmu_i0_br_misp, + input io_exu_pmu_i0_br_ataken, + input io_exu_pmu_i0_pc4, + input io_lsu_nonblock_load_valid_m, + input [1:0] io_lsu_nonblock_load_tag_m, + input io_lsu_nonblock_load_inv_r, + input [1:0] io_lsu_nonblock_load_inv_tag_r, + input io_lsu_nonblock_load_data_valid, + input io_lsu_nonblock_load_data_error, + input [1:0] io_lsu_nonblock_load_data_tag, + input [31:0] io_lsu_nonblock_load_data, + input io_lsu_pmu_bus_trxn, + input io_lsu_pmu_bus_misaligned, + input io_lsu_pmu_bus_error, + input io_lsu_pmu_bus_busy, + input io_lsu_pmu_misaligned_m, + input io_lsu_pmu_load_external_m, + input io_lsu_pmu_store_external_m, + input io_dma_pmu_dccm_read, + input io_dma_pmu_dccm_write, + input io_dma_pmu_any_read, + input io_dma_pmu_any_write, + input [31:0] io_lsu_fir_addr, + input [1:0] io_lsu_fir_error, + input io_ifu_pmu_instr_aligned, + input io_ifu_pmu_fetch_stall, + input io_ifu_pmu_ic_miss, + input io_ifu_pmu_ic_hit, + input io_ifu_pmu_bus_error, + input io_ifu_pmu_bus_busy, + input io_ifu_pmu_bus_trxn, + input io_ifu_ic_error_start, + input io_ifu_iccm_rd_ecc_single_err, + input [3:0] io_lsu_trigger_match_m, + input io_dbg_cmd_valid, + input io_dbg_cmd_write, + input [1:0] io_dbg_cmd_type, + input [31:0] io_dbg_cmd_addr, + input [1:0] io_dbg_cmd_wrdata, + input io_ifu_i0_icaf, + input [1:0] io_ifu_i0_icaf_type, + input io_ifu_i0_icaf_f1, + input io_ifu_i0_dbecc, + input io_lsu_idle_any, + input io_i0_brp_valid, + input [11:0] io_i0_brp_toffset, + input [1:0] io_i0_brp_hist, + input io_i0_brp_br_error, + input io_i0_brp_br_start_error, + input io_i0_brp_bank, + input [30:0] io_i0_brp_prett, + input io_i0_brp_way, + input io_i0_brp_ret, + input [8:0] io_ifu_i0_bp_index, + input [7:0] io_ifu_i0_bp_fghr, + input [4:0] io_ifu_i0_bp_btag, + input io_lsu_error_pkt_r_exc_valid, + input io_lsu_error_pkt_r_single_ecc_error, + input io_lsu_error_pkt_r_inst_type, + input io_lsu_error_pkt_r_exc_type, + input io_lsu_error_pkt_r_mscause, + input io_lsu_error_pkt_r_addr, + input io_lsu_single_ecc_error_incr, + input io_lsu_imprecise_error_load_any, + input io_lsu_imprecise_error_store_any, + input [31:0] io_lsu_imprecise_error_addr_any, + input [31:0] io_exu_div_result, + input io_exu_div_wren, + input [31:0] io_exu_csr_rs1_x, + input [31:0] io_lsu_result_m, + input [31:0] io_lsu_result_corr_r, + input io_lsu_load_stall_any, + input io_lsu_store_stall_any, + input io_dma_dccm_stall_any, + input io_dma_iccm_stall_any, + input io_iccm_dma_sb_error, + input io_exu_flush_final, + input [31:0] io_exu_npc_r, + input [31:0] io_exu_i0_result_x, + input io_ifu_i0_valid, + input [31:0] io_ifu_i0_instr, + input [31:0] io_ifu_i0_pc, + input io_ifu_i0_pc4, + input [31:0] io_exu_i0_pc_x, + input io_mexintpend, + input io_timer_int, + input io_soft_int, + input [7:0] io_pic_claimid, + input [3:0] io_pic_pl, + input io_mhwakeup, + output [3:0] io_dec_tlu_meicurpl, + output [3:0] io_dec_tlu_meipt, + input [69:0] io_ifu_ic_debug_rd_data, + input io_ifu_ic_debug_rd_data_valid, + output [70:0] io_dec_tlu_ic_diag_pkt_icache_wrdata, + output [16:0] io_dec_tlu_ic_diag_pkt_icache_dicawics, + output io_dec_tlu_ic_diag_pkt_icache_rd_valid, + output io_dec_tlu_ic_diag_pkt_icache_wr_valid, + input io_dbg_halt_req, + input io_dbg_resume_req, + input io_ifu_miss_state_idle, + output io_dec_tlu_dbg_halted, + output io_dec_tlu_debug_mode, + output io_dec_tlu_resume_ack, + output io_dec_tlu_flush_noredir_r, + output io_dec_tlu_mpc_halted_only, + output io_dec_tlu_flush_leak_one_r, + output io_dec_tlu_flush_err_r, + output [31:0] io_dec_tlu_meihap, + output io_dec_debug_wdata_rs1_d, + output [31:0] io_dec_dbg_rddata, + output io_dec_dbg_cmd_done, + output io_dec_dbg_cmd_fail, + output io_trigger_pkt_any_0_select, + output io_trigger_pkt_any_0_match_, + output io_trigger_pkt_any_0_store, + output io_trigger_pkt_any_0_load, + output io_trigger_pkt_any_0_execute, + output io_trigger_pkt_any_0_m, + output [31:0] io_trigger_pkt_any_0_tdata2, + output io_trigger_pkt_any_1_select, + output io_trigger_pkt_any_1_match_, + output io_trigger_pkt_any_1_store, + output io_trigger_pkt_any_1_load, + output io_trigger_pkt_any_1_execute, + output io_trigger_pkt_any_1_m, + output [31:0] io_trigger_pkt_any_1_tdata2, + output io_trigger_pkt_any_2_select, + output io_trigger_pkt_any_2_match_, + output io_trigger_pkt_any_2_store, + output io_trigger_pkt_any_2_load, + output io_trigger_pkt_any_2_execute, + output io_trigger_pkt_any_2_m, + output [31:0] io_trigger_pkt_any_2_tdata2, + output io_trigger_pkt_any_3_select, + output io_trigger_pkt_any_3_match_, + output io_trigger_pkt_any_3_store, + output io_trigger_pkt_any_3_load, + output io_trigger_pkt_any_3_execute, + output io_trigger_pkt_any_3_m, + output [31:0] io_trigger_pkt_any_3_tdata2, + output io_dec_tlu_force_halt, + input [1:0] io_exu_i0_br_hist_r, + input io_exu_i0_br_error_r, + input io_exu_i0_br_start_error_r, + input io_exu_i0_br_valid_r, + input io_exu_i0_br_mp_r, + input io_exu_i0_br_middle_r, + input io_exu_i0_br_way_r, + output io_dec_i0_rs1_en_d, + output io_dec_i0_rs2_en_d, + output [31:0] io_gpr_i0_rs1_d, + output [31:0] io_gpr_i0_rs2_d, + output [31:0] io_dec_i0_immed_d, + output [12:0] io_dec_i0_br_immed_d, + output io_i0_ap_land, + output io_i0_ap_lor, + output io_i0_ap_lxor, + output io_i0_ap_sll, + output io_i0_ap_srl, + output io_i0_ap_sra, + output io_i0_ap_beq, + output io_i0_ap_bne, + output io_i0_ap_blt, + output io_i0_ap_bge, + output io_i0_ap_add, + output io_i0_ap_sub, + output io_i0_ap_slt, + output io_i0_ap_unsign, + output io_i0_ap_jal, + output io_i0_ap_predict_t, + output io_i0_ap_predict_nt, + output io_i0_ap_csr_write, + output io_i0_ap_csr_imm, + output io_dec_i0_alu_decode_d, + output io_dec_i0_select_pc_d, + output [31:0] io_dec_i0_pc_d, + output [1:0] io_dec_i0_rs1_bypass_en_d, + output [1:0] io_dec_i0_rs2_bypass_en_d, + output [31:0] io_dec_i0_rs1_bypass_data_d, + output [31:0] io_dec_i0_rs2_bypass_data_d, + output io_lsu_p_fast_int, + output io_lsu_p_by, + output io_lsu_p_half, + output io_lsu_p_word, + output io_lsu_p_dword, + output io_lsu_p_load, + output io_lsu_p_store, + output io_lsu_p_unsign, + output io_lsu_p_dma, + output io_lsu_p_store_data_bypass_d, + output io_lsu_p_load_ldst_bypass_d, + output io_lsu_p_store_data_bypass_m, + output io_lsu_p_valid, + output io_mul_p_valid, + output io_mul_p_rs1_sign, + output io_mul_p_rs2_sign, + output io_mul_p_low, + output io_mul_p_bext, + output io_mul_p_bdep, + output io_mul_p_clmul, + output io_mul_p_clmulh, + output io_mul_p_clmulr, + output io_mul_p_grev, + output io_mul_p_shfl, + output io_mul_p_unshfl, + output io_mul_p_crc32_b, + output io_mul_p_crc32_h, + output io_mul_p_crc32_w, + output io_mul_p_crc32c_b, + output io_mul_p_crc32c_h, + output io_mul_p_crc32c_w, + output io_mul_p_bfp, + output io_div_p_valid, + output io_div_p_unsign, + output io_div_p_rem, + output io_dec_div_cancel, + output [11:0] io_dec_lsu_offset_d, + output io_dec_csr_ren_d, + output io_dec_tlu_flush_lower_r, + output [31:0] io_dec_tlu_flush_path_r, + output io_dec_tlu_i0_kill_writeb_r, + output io_dec_tlu_fence_i_r, + output [31:0] io_pred_correct_npc_x, + output io_dec_tlu_br0_r_pkt_valid, + output [1:0] io_dec_tlu_br0_r_pkt_hist, + output io_dec_tlu_br0_r_pkt_br_error, + output io_dec_tlu_br0_r_pkt_br_start_error, + output io_dec_tlu_br0_r_pkt_way, + output io_dec_tlu_br0_r_pkt_middle, + output io_dec_tlu_perfcnt0, + output io_dec_tlu_perfcnt1, + output io_dec_tlu_perfcnt2, + output io_dec_tlu_perfcnt3, + output io_dec_i0_predict_p_d_misp, + output io_dec_i0_predict_p_d_ataken, + output io_dec_i0_predict_p_d_boffset, + output io_dec_i0_predict_p_d_pc4, + output [1:0] io_dec_i0_predict_p_d_hist, + output [11:0] io_dec_i0_predict_p_d_toffset, + output io_dec_i0_predict_p_d_valid, + output io_dec_i0_predict_p_d_br_error, + output io_dec_i0_predict_p_d_br_start_error, + output [30:0] io_dec_i0_predict_p_d_prett, + output io_dec_i0_predict_p_d_pcall, + output io_dec_i0_predict_p_d_pret, + output io_dec_i0_predict_p_d_pja, + output io_dec_i0_predict_p_d_way, + output [7:0] io_i0_predict_fghr_d, + output [8:0] io_i0_predict_index_d, + output [4:0] io_i0_predict_btag_d, + output io_dec_lsu_valid_raw_d, + output [31:0] io_dec_tlu_mrac_ff, + output [1:0] io_dec_data_en, + output [1:0] io_dec_ctl_en, + input [15:0] io_ifu_i0_cinst, + output io_dec_tlu_external_ldfwd_disable, + output io_dec_tlu_sideeffect_posted_disable, + output io_dec_tlu_core_ecc_disable, + output io_dec_tlu_bpred_disable, + output io_dec_tlu_wb_coalescing_disable, + output [2:0] io_dec_tlu_dma_qos_prty, + output io_dec_tlu_misc_clk_override, + output io_dec_tlu_ifu_clk_override, + output io_dec_tlu_lsu_clk_override, + output io_dec_tlu_bus_clk_override, + output io_dec_tlu_pic_clk_override, + output io_dec_tlu_dccm_clk_override, + output io_dec_tlu_icm_clk_override, + output io_dec_tlu_i0_commit_cmt, + input io_scan_mode +); + wire instbuff_io_dbg_cmd_valid; // @[el2_dec.scala 352:24] + wire instbuff_io_dbg_cmd_write; // @[el2_dec.scala 352:24] + wire [1:0] instbuff_io_dbg_cmd_type; // @[el2_dec.scala 352:24] + wire [31:0] instbuff_io_dbg_cmd_addr; // @[el2_dec.scala 352:24] + wire instbuff_io_i0_brp_valid; // @[el2_dec.scala 352:24] + wire [11:0] instbuff_io_i0_brp_toffset; // @[el2_dec.scala 352:24] + wire [1:0] instbuff_io_i0_brp_hist; // @[el2_dec.scala 352:24] + wire instbuff_io_i0_brp_br_error; // @[el2_dec.scala 352:24] + wire instbuff_io_i0_brp_br_start_error; // @[el2_dec.scala 352:24] + wire [30:0] instbuff_io_i0_brp_prett; // @[el2_dec.scala 352:24] + wire instbuff_io_i0_brp_way; // @[el2_dec.scala 352:24] + wire instbuff_io_i0_brp_ret; // @[el2_dec.scala 352:24] + wire [7:0] instbuff_io_ifu_i0_bp_index; // @[el2_dec.scala 352:24] + wire [7:0] instbuff_io_ifu_i0_bp_fghr; // @[el2_dec.scala 352:24] + wire [4:0] instbuff_io_ifu_i0_bp_btag; // @[el2_dec.scala 352:24] + wire instbuff_io_ifu_i0_valid; // @[el2_dec.scala 352:24] + wire instbuff_io_ifu_i0_icaf; // @[el2_dec.scala 352:24] + wire [1:0] instbuff_io_ifu_i0_icaf_type; // @[el2_dec.scala 352:24] + wire instbuff_io_ifu_i0_icaf_f1; // @[el2_dec.scala 352:24] + wire instbuff_io_ifu_i0_dbecc; // @[el2_dec.scala 352:24] + wire [31:0] instbuff_io_ifu_i0_instr; // @[el2_dec.scala 352:24] + wire [30:0] instbuff_io_ifu_i0_pc; // @[el2_dec.scala 352:24] + wire instbuff_io_dec_ib0_valid_d; // @[el2_dec.scala 352:24] + wire [1:0] instbuff_io_dec_i0_icaf_type_d; // @[el2_dec.scala 352:24] + wire [31:0] instbuff_io_dec_i0_instr_d; // @[el2_dec.scala 352:24] + wire [30:0] instbuff_io_dec_i0_pc_d; // @[el2_dec.scala 352:24] + wire instbuff_io_dec_i0_brp_valid; // @[el2_dec.scala 352:24] + wire [11:0] instbuff_io_dec_i0_brp_toffset; // @[el2_dec.scala 352:24] + wire [1:0] instbuff_io_dec_i0_brp_hist; // @[el2_dec.scala 352:24] + wire instbuff_io_dec_i0_brp_br_error; // @[el2_dec.scala 352:24] + wire instbuff_io_dec_i0_brp_br_start_error; // @[el2_dec.scala 352:24] + wire [30:0] instbuff_io_dec_i0_brp_prett; // @[el2_dec.scala 352:24] + wire instbuff_io_dec_i0_brp_way; // @[el2_dec.scala 352:24] + wire instbuff_io_dec_i0_brp_ret; // @[el2_dec.scala 352:24] + wire [7:0] instbuff_io_dec_i0_bp_index; // @[el2_dec.scala 352:24] + wire [7:0] instbuff_io_dec_i0_bp_fghr; // @[el2_dec.scala 352:24] + wire [4:0] instbuff_io_dec_i0_bp_btag; // @[el2_dec.scala 352:24] + wire instbuff_io_dec_i0_icaf_d; // @[el2_dec.scala 352:24] + wire instbuff_io_dec_i0_icaf_f1_d; // @[el2_dec.scala 352:24] + wire instbuff_io_dec_i0_dbecc_d; // @[el2_dec.scala 352:24] + wire instbuff_io_dec_debug_wdata_rs1_d; // @[el2_dec.scala 352:24] + wire instbuff_io_dec_debug_fence_d; // @[el2_dec.scala 352:24] + wire decode_clock; // @[el2_dec.scala 353:22] + wire decode_reset; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_flush_extint; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_force_halt; // @[el2_dec.scala 353:22] + wire decode_io_dec_extint_stall; // @[el2_dec.scala 353:22] + wire [15:0] decode_io_ifu_i0_cinst; // @[el2_dec.scala 353:22] + wire decode_io_lsu_nonblock_load_valid_m; // @[el2_dec.scala 353:22] + wire [1:0] decode_io_lsu_nonblock_load_tag_m; // @[el2_dec.scala 353:22] + wire decode_io_lsu_nonblock_load_inv_r; // @[el2_dec.scala 353:22] + wire [1:0] decode_io_lsu_nonblock_load_inv_tag_r; // @[el2_dec.scala 353:22] + wire decode_io_lsu_nonblock_load_data_valid; // @[el2_dec.scala 353:22] + wire decode_io_lsu_nonblock_load_data_error; // @[el2_dec.scala 353:22] + wire [1:0] decode_io_lsu_nonblock_load_data_tag; // @[el2_dec.scala 353:22] + wire [31:0] decode_io_lsu_nonblock_load_data; // @[el2_dec.scala 353:22] + wire [3:0] decode_io_dec_i0_trigger_match_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_wr_pause_r; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_pipelining_disable; // @[el2_dec.scala 353:22] + wire [3:0] decode_io_lsu_trigger_match_m; // @[el2_dec.scala 353:22] + wire decode_io_lsu_pmu_misaligned_m; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_debug_stall; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_flush_leak_one_r; // @[el2_dec.scala 353:22] + wire decode_io_dec_debug_fence_d; // @[el2_dec.scala 353:22] + wire [1:0] decode_io_dbg_cmd_wrdata; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_icaf_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_icaf_f1_d; // @[el2_dec.scala 353:22] + wire [1:0] decode_io_dec_i0_icaf_type_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_dbecc_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_brp_valid; // @[el2_dec.scala 353:22] + wire [11:0] decode_io_dec_i0_brp_toffset; // @[el2_dec.scala 353:22] + wire [1:0] decode_io_dec_i0_brp_hist; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_brp_br_error; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_brp_br_start_error; // @[el2_dec.scala 353:22] + wire [30:0] decode_io_dec_i0_brp_prett; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_brp_way; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_brp_ret; // @[el2_dec.scala 353:22] + wire [7:0] decode_io_dec_i0_bp_index; // @[el2_dec.scala 353:22] + wire [7:0] decode_io_dec_i0_bp_fghr; // @[el2_dec.scala 353:22] + wire [4:0] decode_io_dec_i0_bp_btag; // @[el2_dec.scala 353:22] + wire decode_io_lsu_idle_any; // @[el2_dec.scala 353:22] + wire decode_io_lsu_load_stall_any; // @[el2_dec.scala 353:22] + wire decode_io_lsu_store_stall_any; // @[el2_dec.scala 353:22] + wire decode_io_dma_dccm_stall_any; // @[el2_dec.scala 353:22] + wire decode_io_exu_div_wren; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_flush_lower_wb; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_i0_kill_writeb_r; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_flush_lower_r; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_flush_pause_r; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_presync_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_postsync_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_pc4_d; // @[el2_dec.scala 353:22] + wire [31:0] decode_io_dec_csr_rddata_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_csr_legal_d; // @[el2_dec.scala 353:22] + wire [31:0] decode_io_exu_csr_rs1_x; // @[el2_dec.scala 353:22] + wire [31:0] decode_io_lsu_result_m; // @[el2_dec.scala 353:22] + wire [31:0] decode_io_lsu_result_corr_r; // @[el2_dec.scala 353:22] + wire decode_io_exu_flush_final; // @[el2_dec.scala 353:22] + wire [30:0] decode_io_exu_i0_pc_x; // @[el2_dec.scala 353:22] + wire [31:0] decode_io_dec_i0_instr_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_ib0_valid_d; // @[el2_dec.scala 353:22] + wire [31:0] decode_io_exu_i0_result_x; // @[el2_dec.scala 353:22] + wire decode_io_free_clk; // @[el2_dec.scala 353:22] + wire decode_io_active_clk; // @[el2_dec.scala 353:22] + wire decode_io_clk_override; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_rs1_en_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_rs2_en_d; // @[el2_dec.scala 353:22] + wire [4:0] decode_io_dec_i0_rs1_d; // @[el2_dec.scala 353:22] + wire [4:0] decode_io_dec_i0_rs2_d; // @[el2_dec.scala 353:22] + wire [31:0] decode_io_dec_i0_immed_d; // @[el2_dec.scala 353:22] + wire [11:0] decode_io_dec_i0_br_immed_d; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_land; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_lor; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_lxor; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_sll; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_srl; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_sra; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_beq; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_bne; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_blt; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_bge; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_add; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_sub; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_slt; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_unsign; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_jal; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_predict_t; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_predict_nt; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_csr_write; // @[el2_dec.scala 353:22] + wire decode_io_i0_ap_csr_imm; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_decode_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_alu_decode_d; // @[el2_dec.scala 353:22] + wire [31:0] decode_io_dec_i0_rs1_bypass_data_d; // @[el2_dec.scala 353:22] + wire [31:0] decode_io_dec_i0_rs2_bypass_data_d; // @[el2_dec.scala 353:22] + wire [4:0] decode_io_dec_i0_waddr_r; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_wen_r; // @[el2_dec.scala 353:22] + wire [31:0] decode_io_dec_i0_wdata_r; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_select_pc_d; // @[el2_dec.scala 353:22] + wire [1:0] decode_io_dec_i0_rs1_bypass_en_d; // @[el2_dec.scala 353:22] + wire [1:0] decode_io_dec_i0_rs2_bypass_en_d; // @[el2_dec.scala 353:22] + wire decode_io_lsu_p_fast_int; // @[el2_dec.scala 353:22] + wire decode_io_lsu_p_by; // @[el2_dec.scala 353:22] + wire decode_io_lsu_p_half; // @[el2_dec.scala 353:22] + wire decode_io_lsu_p_word; // @[el2_dec.scala 353:22] + wire decode_io_lsu_p_load; // @[el2_dec.scala 353:22] + wire decode_io_lsu_p_store; // @[el2_dec.scala 353:22] + wire decode_io_lsu_p_unsign; // @[el2_dec.scala 353:22] + wire decode_io_lsu_p_store_data_bypass_d; // @[el2_dec.scala 353:22] + wire decode_io_lsu_p_load_ldst_bypass_d; // @[el2_dec.scala 353:22] + wire decode_io_lsu_p_valid; // @[el2_dec.scala 353:22] + wire decode_io_mul_p_valid; // @[el2_dec.scala 353:22] + wire decode_io_mul_p_rs1_sign; // @[el2_dec.scala 353:22] + wire decode_io_mul_p_rs2_sign; // @[el2_dec.scala 353:22] + wire decode_io_mul_p_low; // @[el2_dec.scala 353:22] + wire decode_io_div_p_valid; // @[el2_dec.scala 353:22] + wire decode_io_div_p_unsign; // @[el2_dec.scala 353:22] + wire decode_io_div_p_rem; // @[el2_dec.scala 353:22] + wire [4:0] decode_io_div_waddr_wb; // @[el2_dec.scala 353:22] + wire decode_io_dec_div_cancel; // @[el2_dec.scala 353:22] + wire decode_io_dec_lsu_valid_raw_d; // @[el2_dec.scala 353:22] + wire [11:0] decode_io_dec_lsu_offset_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_csr_ren_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_csr_wen_unq_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_csr_any_unq_d; // @[el2_dec.scala 353:22] + wire [11:0] decode_io_dec_csr_rdaddr_d; // @[el2_dec.scala 353:22] + wire decode_io_dec_csr_wen_r; // @[el2_dec.scala 353:22] + wire [11:0] decode_io_dec_csr_wraddr_r; // @[el2_dec.scala 353:22] + wire [31:0] decode_io_dec_csr_wrdata_r; // @[el2_dec.scala 353:22] + wire decode_io_dec_csr_stall_int_ff; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_i0_valid_r; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_packet_r_legal; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_packet_r_icaf; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_packet_r_icaf_f1; // @[el2_dec.scala 353:22] + wire [1:0] decode_io_dec_tlu_packet_r_icaf_type; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_packet_r_fence_i; // @[el2_dec.scala 353:22] + wire [3:0] decode_io_dec_tlu_packet_r_i0trigger; // @[el2_dec.scala 353:22] + wire [3:0] decode_io_dec_tlu_packet_r_pmu_i0_itype; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_packet_r_pmu_divide; // @[el2_dec.scala 353:22] + wire decode_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec.scala 353:22] + wire [30:0] decode_io_dec_tlu_i0_pc_r; // @[el2_dec.scala 353:22] + wire [31:0] decode_io_dec_illegal_inst; // @[el2_dec.scala 353:22] + wire [30:0] decode_io_pred_correct_npc_x; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_predict_p_d_pc4; // @[el2_dec.scala 353:22] + wire [1:0] decode_io_dec_i0_predict_p_d_hist; // @[el2_dec.scala 353:22] + wire [11:0] decode_io_dec_i0_predict_p_d_toffset; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_predict_p_d_valid; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_predict_p_d_br_error; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_predict_p_d_br_start_error; // @[el2_dec.scala 353:22] + wire [30:0] decode_io_dec_i0_predict_p_d_prett; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_predict_p_d_pcall; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_predict_p_d_pret; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_predict_p_d_pja; // @[el2_dec.scala 353:22] + wire decode_io_dec_i0_predict_p_d_way; // @[el2_dec.scala 353:22] + wire [7:0] decode_io_i0_predict_fghr_d; // @[el2_dec.scala 353:22] + wire [7:0] decode_io_i0_predict_index_d; // @[el2_dec.scala 353:22] + wire [4:0] decode_io_i0_predict_btag_d; // @[el2_dec.scala 353:22] + wire [1:0] decode_io_dec_data_en; // @[el2_dec.scala 353:22] + wire [1:0] decode_io_dec_ctl_en; // @[el2_dec.scala 353:22] + wire decode_io_dec_pmu_instr_decoded; // @[el2_dec.scala 353:22] + wire decode_io_dec_pmu_decode_stall; // @[el2_dec.scala 353:22] + wire decode_io_dec_pmu_presync_stall; // @[el2_dec.scala 353:22] + wire decode_io_dec_pmu_postsync_stall; // @[el2_dec.scala 353:22] + wire decode_io_dec_nonblock_load_wen; // @[el2_dec.scala 353:22] + wire [4:0] decode_io_dec_nonblock_load_waddr; // @[el2_dec.scala 353:22] + wire decode_io_dec_pause_state; // @[el2_dec.scala 353:22] + wire decode_io_dec_pause_state_cg; // @[el2_dec.scala 353:22] + wire decode_io_dec_div_active; // @[el2_dec.scala 353:22] + wire decode_io_scan_mode; // @[el2_dec.scala 353:22] + wire gpr_clock; // @[el2_dec.scala 354:19] + wire gpr_reset; // @[el2_dec.scala 354:19] + wire [4:0] gpr_io_raddr0; // @[el2_dec.scala 354:19] + wire [4:0] gpr_io_raddr1; // @[el2_dec.scala 354:19] + wire gpr_io_wen0; // @[el2_dec.scala 354:19] + wire [4:0] gpr_io_waddr0; // @[el2_dec.scala 354:19] + wire [31:0] gpr_io_wd0; // @[el2_dec.scala 354:19] + wire gpr_io_wen1; // @[el2_dec.scala 354:19] + wire [4:0] gpr_io_waddr1; // @[el2_dec.scala 354:19] + wire [31:0] gpr_io_wd1; // @[el2_dec.scala 354:19] + wire gpr_io_wen2; // @[el2_dec.scala 354:19] + wire [4:0] gpr_io_waddr2; // @[el2_dec.scala 354:19] + wire [31:0] gpr_io_wd2; // @[el2_dec.scala 354:19] + wire [31:0] gpr_io_rd0; // @[el2_dec.scala 354:19] + wire [31:0] gpr_io_rd1; // @[el2_dec.scala 354:19] + wire gpr_io_scan_mode; // @[el2_dec.scala 354:19] + wire tlu_clock; // @[el2_dec.scala 355:19] + wire tlu_reset; // @[el2_dec.scala 355:19] + wire tlu_io_active_clk; // @[el2_dec.scala 355:19] + wire tlu_io_free_clk; // @[el2_dec.scala 355:19] + wire tlu_io_scan_mode; // @[el2_dec.scala 355:19] + wire [30:0] tlu_io_rst_vec; // @[el2_dec.scala 355:19] + wire tlu_io_nmi_int; // @[el2_dec.scala 355:19] + wire [30:0] tlu_io_nmi_vec; // @[el2_dec.scala 355:19] + wire tlu_io_i_cpu_halt_req; // @[el2_dec.scala 355:19] + wire tlu_io_i_cpu_run_req; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_fastint_stall_any; // @[el2_dec.scala 355:19] + wire tlu_io_ifu_pmu_instr_aligned; // @[el2_dec.scala 355:19] + wire tlu_io_ifu_pmu_fetch_stall; // @[el2_dec.scala 355:19] + wire tlu_io_ifu_pmu_ic_miss; // @[el2_dec.scala 355:19] + wire tlu_io_ifu_pmu_ic_hit; // @[el2_dec.scala 355:19] + wire tlu_io_ifu_pmu_bus_error; // @[el2_dec.scala 355:19] + wire tlu_io_ifu_pmu_bus_busy; // @[el2_dec.scala 355:19] + wire tlu_io_ifu_pmu_bus_trxn; // @[el2_dec.scala 355:19] + wire tlu_io_dec_pmu_instr_decoded; // @[el2_dec.scala 355:19] + wire tlu_io_dec_pmu_decode_stall; // @[el2_dec.scala 355:19] + wire tlu_io_dec_pmu_presync_stall; // @[el2_dec.scala 355:19] + wire tlu_io_dec_pmu_postsync_stall; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_store_stall_any; // @[el2_dec.scala 355:19] + wire tlu_io_dma_dccm_stall_any; // @[el2_dec.scala 355:19] + wire tlu_io_dma_iccm_stall_any; // @[el2_dec.scala 355:19] + wire tlu_io_exu_pmu_i0_br_misp; // @[el2_dec.scala 355:19] + wire tlu_io_exu_pmu_i0_br_ataken; // @[el2_dec.scala 355:19] + wire tlu_io_exu_pmu_i0_pc4; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_pmu_bus_trxn; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_pmu_bus_misaligned; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_pmu_bus_error; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_pmu_bus_busy; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_pmu_load_external_m; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_pmu_store_external_m; // @[el2_dec.scala 355:19] + wire tlu_io_dma_pmu_dccm_read; // @[el2_dec.scala 355:19] + wire tlu_io_dma_pmu_dccm_write; // @[el2_dec.scala 355:19] + wire tlu_io_dma_pmu_any_read; // @[el2_dec.scala 355:19] + wire tlu_io_dma_pmu_any_write; // @[el2_dec.scala 355:19] + wire [30:0] tlu_io_lsu_fir_addr; // @[el2_dec.scala 355:19] + wire [1:0] tlu_io_lsu_fir_error; // @[el2_dec.scala 355:19] + wire tlu_io_iccm_dma_sb_error; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_error_pkt_r_exc_valid; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_error_pkt_r_single_ecc_error; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_error_pkt_r_inst_type; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_error_pkt_r_exc_type; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_error_pkt_r_mscause; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_error_pkt_r_addr; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_single_ecc_error_incr; // @[el2_dec.scala 355:19] + wire tlu_io_dec_pause_state; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_imprecise_error_store_any; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_imprecise_error_load_any; // @[el2_dec.scala 355:19] + wire [31:0] tlu_io_lsu_imprecise_error_addr_any; // @[el2_dec.scala 355:19] + wire tlu_io_dec_csr_wen_unq_d; // @[el2_dec.scala 355:19] + wire tlu_io_dec_csr_any_unq_d; // @[el2_dec.scala 355:19] + wire [11:0] tlu_io_dec_csr_rdaddr_d; // @[el2_dec.scala 355:19] + wire tlu_io_dec_csr_wen_r; // @[el2_dec.scala 355:19] + wire [11:0] tlu_io_dec_csr_wraddr_r; // @[el2_dec.scala 355:19] + wire [31:0] tlu_io_dec_csr_wrdata_r; // @[el2_dec.scala 355:19] + wire tlu_io_dec_csr_stall_int_ff; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_i0_valid_r; // @[el2_dec.scala 355:19] + wire [30:0] tlu_io_exu_npc_r; // @[el2_dec.scala 355:19] + wire [30:0] tlu_io_dec_tlu_i0_pc_r; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_packet_r_legal; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_packet_r_icaf; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_packet_r_icaf_f1; // @[el2_dec.scala 355:19] + wire [1:0] tlu_io_dec_tlu_packet_r_icaf_type; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_packet_r_fence_i; // @[el2_dec.scala 355:19] + wire [3:0] tlu_io_dec_tlu_packet_r_i0trigger; // @[el2_dec.scala 355:19] + wire [3:0] tlu_io_dec_tlu_packet_r_pmu_i0_itype; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_packet_r_pmu_divide; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec.scala 355:19] + wire [31:0] tlu_io_dec_illegal_inst; // @[el2_dec.scala 355:19] + wire tlu_io_dec_i0_decode_d; // @[el2_dec.scala 355:19] + wire [1:0] tlu_io_exu_i0_br_hist_r; // @[el2_dec.scala 355:19] + wire tlu_io_exu_i0_br_error_r; // @[el2_dec.scala 355:19] + wire tlu_io_exu_i0_br_start_error_r; // @[el2_dec.scala 355:19] + wire tlu_io_exu_i0_br_valid_r; // @[el2_dec.scala 355:19] + wire tlu_io_exu_i0_br_mp_r; // @[el2_dec.scala 355:19] + wire tlu_io_exu_i0_br_middle_r; // @[el2_dec.scala 355:19] + wire tlu_io_exu_i0_br_way_r; // @[el2_dec.scala 355:19] + wire tlu_io_dec_dbg_cmd_done; // @[el2_dec.scala 355:19] + wire tlu_io_dec_dbg_cmd_fail; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_dbg_halted; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_debug_mode; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_resume_ack; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_debug_stall; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_flush_noredir_r; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_mpc_halted_only; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_flush_leak_one_r; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_flush_err_r; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_flush_extint; // @[el2_dec.scala 355:19] + wire [29:0] tlu_io_dec_tlu_meihap; // @[el2_dec.scala 355:19] + wire tlu_io_dbg_halt_req; // @[el2_dec.scala 355:19] + wire tlu_io_dbg_resume_req; // @[el2_dec.scala 355:19] + wire tlu_io_ifu_miss_state_idle; // @[el2_dec.scala 355:19] + wire tlu_io_lsu_idle_any; // @[el2_dec.scala 355:19] + wire tlu_io_dec_div_active; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_0_select; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_0_match_; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_0_store; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_0_load; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_0_execute; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_0_m; // @[el2_dec.scala 355:19] + wire [31:0] tlu_io_trigger_pkt_any_0_tdata2; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_1_select; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_1_match_; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_1_store; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_1_load; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_1_execute; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_1_m; // @[el2_dec.scala 355:19] + wire [31:0] tlu_io_trigger_pkt_any_1_tdata2; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_2_select; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_2_match_; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_2_store; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_2_load; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_2_execute; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_2_m; // @[el2_dec.scala 355:19] + wire [31:0] tlu_io_trigger_pkt_any_2_tdata2; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_3_select; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_3_match_; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_3_store; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_3_load; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_3_execute; // @[el2_dec.scala 355:19] + wire tlu_io_trigger_pkt_any_3_m; // @[el2_dec.scala 355:19] + wire [31:0] tlu_io_trigger_pkt_any_3_tdata2; // @[el2_dec.scala 355:19] + wire tlu_io_ifu_ic_error_start; // @[el2_dec.scala 355:19] + wire tlu_io_ifu_iccm_rd_ecc_single_err; // @[el2_dec.scala 355:19] + wire [70:0] tlu_io_ifu_ic_debug_rd_data; // @[el2_dec.scala 355:19] + wire tlu_io_ifu_ic_debug_rd_data_valid; // @[el2_dec.scala 355:19] + wire [70:0] tlu_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_dec.scala 355:19] + wire [16:0] tlu_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_dec.scala 355:19] + wire [7:0] tlu_io_pic_claimid; // @[el2_dec.scala 355:19] + wire [3:0] tlu_io_pic_pl; // @[el2_dec.scala 355:19] + wire tlu_io_mhwakeup; // @[el2_dec.scala 355:19] + wire tlu_io_mexintpend; // @[el2_dec.scala 355:19] + wire tlu_io_timer_int; // @[el2_dec.scala 355:19] + wire tlu_io_soft_int; // @[el2_dec.scala 355:19] + wire tlu_io_o_cpu_halt_status; // @[el2_dec.scala 355:19] + wire tlu_io_o_cpu_halt_ack; // @[el2_dec.scala 355:19] + wire tlu_io_o_cpu_run_ack; // @[el2_dec.scala 355:19] + wire tlu_io_o_debug_mode_status; // @[el2_dec.scala 355:19] + wire [27:0] tlu_io_core_id; // @[el2_dec.scala 355:19] + wire tlu_io_mpc_debug_halt_req; // @[el2_dec.scala 355:19] + wire tlu_io_mpc_debug_run_req; // @[el2_dec.scala 355:19] + wire tlu_io_mpc_reset_run_req; // @[el2_dec.scala 355:19] + wire tlu_io_mpc_debug_halt_ack; // @[el2_dec.scala 355:19] + wire tlu_io_mpc_debug_run_ack; // @[el2_dec.scala 355:19] + wire tlu_io_debug_brkpt_status; // @[el2_dec.scala 355:19] + wire [3:0] tlu_io_dec_tlu_meicurpl; // @[el2_dec.scala 355:19] + wire [3:0] tlu_io_dec_tlu_meipt; // @[el2_dec.scala 355:19] + wire [31:0] tlu_io_dec_csr_rddata_d; // @[el2_dec.scala 355:19] + wire tlu_io_dec_csr_legal_d; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_br0_r_pkt_valid; // @[el2_dec.scala 355:19] + wire [1:0] tlu_io_dec_tlu_br0_r_pkt_hist; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_br0_r_pkt_br_error; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_br0_r_pkt_br_start_error; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_br0_r_pkt_way; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_br0_r_pkt_middle; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_flush_lower_wb; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_i0_commit_cmt; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_i0_kill_writeb_r; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_flush_lower_r; // @[el2_dec.scala 355:19] + wire [30:0] tlu_io_dec_tlu_flush_path_r; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_fence_i_r; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_wr_pause_r; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_flush_pause_r; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_presync_d; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_postsync_d; // @[el2_dec.scala 355:19] + wire [31:0] tlu_io_dec_tlu_mrac_ff; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_force_halt; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_perfcnt0; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_perfcnt1; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_perfcnt2; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_perfcnt3; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_external_ldfwd_disable; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_sideeffect_posted_disable; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_core_ecc_disable; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_bpred_disable; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_wb_coalescing_disable; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_pipelining_disable; // @[el2_dec.scala 355:19] + wire [2:0] tlu_io_dec_tlu_dma_qos_prty; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_misc_clk_override; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_dec_clk_override; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_ifu_clk_override; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_lsu_clk_override; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_bus_clk_override; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_pic_clk_override; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_dccm_clk_override; // @[el2_dec.scala 355:19] + wire tlu_io_dec_tlu_icm_clk_override; // @[el2_dec.scala 355:19] + wire dec_trigger_io_trigger_pkt_any_0_select; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_0_match_; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_0_execute; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_0_m; // @[el2_dec.scala 356:27] + wire [31:0] dec_trigger_io_trigger_pkt_any_0_tdata2; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_1_select; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_1_match_; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_1_execute; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_1_m; // @[el2_dec.scala 356:27] + wire [31:0] dec_trigger_io_trigger_pkt_any_1_tdata2; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_2_select; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_2_match_; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_2_execute; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_2_m; // @[el2_dec.scala 356:27] + wire [31:0] dec_trigger_io_trigger_pkt_any_2_tdata2; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_3_select; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_3_match_; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_3_execute; // @[el2_dec.scala 356:27] + wire dec_trigger_io_trigger_pkt_any_3_m; // @[el2_dec.scala 356:27] + wire [31:0] dec_trigger_io_trigger_pkt_any_3_tdata2; // @[el2_dec.scala 356:27] + wire [30:0] dec_trigger_io_dec_i0_pc_d; // @[el2_dec.scala 356:27] + wire [3:0] dec_trigger_io_dec_i0_trigger_match_d; // @[el2_dec.scala 356:27] + el2_dec_ib_ctl instbuff ( // @[el2_dec.scala 352:24] + .io_dbg_cmd_valid(instbuff_io_dbg_cmd_valid), + .io_dbg_cmd_write(instbuff_io_dbg_cmd_write), + .io_dbg_cmd_type(instbuff_io_dbg_cmd_type), + .io_dbg_cmd_addr(instbuff_io_dbg_cmd_addr), + .io_i0_brp_valid(instbuff_io_i0_brp_valid), + .io_i0_brp_toffset(instbuff_io_i0_brp_toffset), + .io_i0_brp_hist(instbuff_io_i0_brp_hist), + .io_i0_brp_br_error(instbuff_io_i0_brp_br_error), + .io_i0_brp_br_start_error(instbuff_io_i0_brp_br_start_error), + .io_i0_brp_prett(instbuff_io_i0_brp_prett), + .io_i0_brp_way(instbuff_io_i0_brp_way), + .io_i0_brp_ret(instbuff_io_i0_brp_ret), + .io_ifu_i0_bp_index(instbuff_io_ifu_i0_bp_index), + .io_ifu_i0_bp_fghr(instbuff_io_ifu_i0_bp_fghr), + .io_ifu_i0_bp_btag(instbuff_io_ifu_i0_bp_btag), + .io_ifu_i0_valid(instbuff_io_ifu_i0_valid), + .io_ifu_i0_icaf(instbuff_io_ifu_i0_icaf), + .io_ifu_i0_icaf_type(instbuff_io_ifu_i0_icaf_type), + .io_ifu_i0_icaf_f1(instbuff_io_ifu_i0_icaf_f1), + .io_ifu_i0_dbecc(instbuff_io_ifu_i0_dbecc), + .io_ifu_i0_instr(instbuff_io_ifu_i0_instr), + .io_ifu_i0_pc(instbuff_io_ifu_i0_pc), + .io_dec_ib0_valid_d(instbuff_io_dec_ib0_valid_d), + .io_dec_i0_icaf_type_d(instbuff_io_dec_i0_icaf_type_d), + .io_dec_i0_instr_d(instbuff_io_dec_i0_instr_d), + .io_dec_i0_pc_d(instbuff_io_dec_i0_pc_d), + .io_dec_i0_brp_valid(instbuff_io_dec_i0_brp_valid), + .io_dec_i0_brp_toffset(instbuff_io_dec_i0_brp_toffset), + .io_dec_i0_brp_hist(instbuff_io_dec_i0_brp_hist), + .io_dec_i0_brp_br_error(instbuff_io_dec_i0_brp_br_error), + .io_dec_i0_brp_br_start_error(instbuff_io_dec_i0_brp_br_start_error), + .io_dec_i0_brp_prett(instbuff_io_dec_i0_brp_prett), + .io_dec_i0_brp_way(instbuff_io_dec_i0_brp_way), + .io_dec_i0_brp_ret(instbuff_io_dec_i0_brp_ret), + .io_dec_i0_bp_index(instbuff_io_dec_i0_bp_index), + .io_dec_i0_bp_fghr(instbuff_io_dec_i0_bp_fghr), + .io_dec_i0_bp_btag(instbuff_io_dec_i0_bp_btag), + .io_dec_i0_icaf_d(instbuff_io_dec_i0_icaf_d), + .io_dec_i0_icaf_f1_d(instbuff_io_dec_i0_icaf_f1_d), + .io_dec_i0_dbecc_d(instbuff_io_dec_i0_dbecc_d), + .io_dec_debug_wdata_rs1_d(instbuff_io_dec_debug_wdata_rs1_d), + .io_dec_debug_fence_d(instbuff_io_dec_debug_fence_d) + ); + el2_dec_decode_ctl decode ( // @[el2_dec.scala 353:22] + .clock(decode_clock), + .reset(decode_reset), + .io_dec_tlu_flush_extint(decode_io_dec_tlu_flush_extint), + .io_dec_tlu_force_halt(decode_io_dec_tlu_force_halt), + .io_dec_extint_stall(decode_io_dec_extint_stall), + .io_ifu_i0_cinst(decode_io_ifu_i0_cinst), + .io_lsu_nonblock_load_valid_m(decode_io_lsu_nonblock_load_valid_m), + .io_lsu_nonblock_load_tag_m(decode_io_lsu_nonblock_load_tag_m), + .io_lsu_nonblock_load_inv_r(decode_io_lsu_nonblock_load_inv_r), + .io_lsu_nonblock_load_inv_tag_r(decode_io_lsu_nonblock_load_inv_tag_r), + .io_lsu_nonblock_load_data_valid(decode_io_lsu_nonblock_load_data_valid), + .io_lsu_nonblock_load_data_error(decode_io_lsu_nonblock_load_data_error), + .io_lsu_nonblock_load_data_tag(decode_io_lsu_nonblock_load_data_tag), + .io_lsu_nonblock_load_data(decode_io_lsu_nonblock_load_data), + .io_dec_i0_trigger_match_d(decode_io_dec_i0_trigger_match_d), + .io_dec_tlu_wr_pause_r(decode_io_dec_tlu_wr_pause_r), + .io_dec_tlu_pipelining_disable(decode_io_dec_tlu_pipelining_disable), + .io_lsu_trigger_match_m(decode_io_lsu_trigger_match_m), + .io_lsu_pmu_misaligned_m(decode_io_lsu_pmu_misaligned_m), + .io_dec_tlu_debug_stall(decode_io_dec_tlu_debug_stall), + .io_dec_tlu_flush_leak_one_r(decode_io_dec_tlu_flush_leak_one_r), + .io_dec_debug_fence_d(decode_io_dec_debug_fence_d), + .io_dbg_cmd_wrdata(decode_io_dbg_cmd_wrdata), + .io_dec_i0_icaf_d(decode_io_dec_i0_icaf_d), + .io_dec_i0_icaf_f1_d(decode_io_dec_i0_icaf_f1_d), + .io_dec_i0_icaf_type_d(decode_io_dec_i0_icaf_type_d), + .io_dec_i0_dbecc_d(decode_io_dec_i0_dbecc_d), + .io_dec_i0_brp_valid(decode_io_dec_i0_brp_valid), + .io_dec_i0_brp_toffset(decode_io_dec_i0_brp_toffset), + .io_dec_i0_brp_hist(decode_io_dec_i0_brp_hist), + .io_dec_i0_brp_br_error(decode_io_dec_i0_brp_br_error), + .io_dec_i0_brp_br_start_error(decode_io_dec_i0_brp_br_start_error), + .io_dec_i0_brp_prett(decode_io_dec_i0_brp_prett), + .io_dec_i0_brp_way(decode_io_dec_i0_brp_way), + .io_dec_i0_brp_ret(decode_io_dec_i0_brp_ret), + .io_dec_i0_bp_index(decode_io_dec_i0_bp_index), + .io_dec_i0_bp_fghr(decode_io_dec_i0_bp_fghr), + .io_dec_i0_bp_btag(decode_io_dec_i0_bp_btag), + .io_lsu_idle_any(decode_io_lsu_idle_any), + .io_lsu_load_stall_any(decode_io_lsu_load_stall_any), + .io_lsu_store_stall_any(decode_io_lsu_store_stall_any), + .io_dma_dccm_stall_any(decode_io_dma_dccm_stall_any), + .io_exu_div_wren(decode_io_exu_div_wren), + .io_dec_tlu_i0_kill_writeb_wb(decode_io_dec_tlu_i0_kill_writeb_wb), + .io_dec_tlu_flush_lower_wb(decode_io_dec_tlu_flush_lower_wb), + .io_dec_tlu_i0_kill_writeb_r(decode_io_dec_tlu_i0_kill_writeb_r), + .io_dec_tlu_flush_lower_r(decode_io_dec_tlu_flush_lower_r), + .io_dec_tlu_flush_pause_r(decode_io_dec_tlu_flush_pause_r), + .io_dec_tlu_presync_d(decode_io_dec_tlu_presync_d), + .io_dec_tlu_postsync_d(decode_io_dec_tlu_postsync_d), + .io_dec_i0_pc4_d(decode_io_dec_i0_pc4_d), + .io_dec_csr_rddata_d(decode_io_dec_csr_rddata_d), + .io_dec_csr_legal_d(decode_io_dec_csr_legal_d), + .io_exu_csr_rs1_x(decode_io_exu_csr_rs1_x), + .io_lsu_result_m(decode_io_lsu_result_m), + .io_lsu_result_corr_r(decode_io_lsu_result_corr_r), + .io_exu_flush_final(decode_io_exu_flush_final), + .io_exu_i0_pc_x(decode_io_exu_i0_pc_x), + .io_dec_i0_instr_d(decode_io_dec_i0_instr_d), + .io_dec_ib0_valid_d(decode_io_dec_ib0_valid_d), + .io_exu_i0_result_x(decode_io_exu_i0_result_x), + .io_free_clk(decode_io_free_clk), + .io_active_clk(decode_io_active_clk), + .io_clk_override(decode_io_clk_override), + .io_dec_i0_rs1_en_d(decode_io_dec_i0_rs1_en_d), + .io_dec_i0_rs2_en_d(decode_io_dec_i0_rs2_en_d), + .io_dec_i0_rs1_d(decode_io_dec_i0_rs1_d), + .io_dec_i0_rs2_d(decode_io_dec_i0_rs2_d), + .io_dec_i0_immed_d(decode_io_dec_i0_immed_d), + .io_dec_i0_br_immed_d(decode_io_dec_i0_br_immed_d), + .io_i0_ap_land(decode_io_i0_ap_land), + .io_i0_ap_lor(decode_io_i0_ap_lor), + .io_i0_ap_lxor(decode_io_i0_ap_lxor), + .io_i0_ap_sll(decode_io_i0_ap_sll), + .io_i0_ap_srl(decode_io_i0_ap_srl), + .io_i0_ap_sra(decode_io_i0_ap_sra), + .io_i0_ap_beq(decode_io_i0_ap_beq), + .io_i0_ap_bne(decode_io_i0_ap_bne), + .io_i0_ap_blt(decode_io_i0_ap_blt), + .io_i0_ap_bge(decode_io_i0_ap_bge), + .io_i0_ap_add(decode_io_i0_ap_add), + .io_i0_ap_sub(decode_io_i0_ap_sub), + .io_i0_ap_slt(decode_io_i0_ap_slt), + .io_i0_ap_unsign(decode_io_i0_ap_unsign), + .io_i0_ap_jal(decode_io_i0_ap_jal), + .io_i0_ap_predict_t(decode_io_i0_ap_predict_t), + .io_i0_ap_predict_nt(decode_io_i0_ap_predict_nt), + .io_i0_ap_csr_write(decode_io_i0_ap_csr_write), + .io_i0_ap_csr_imm(decode_io_i0_ap_csr_imm), + .io_dec_i0_decode_d(decode_io_dec_i0_decode_d), + .io_dec_i0_alu_decode_d(decode_io_dec_i0_alu_decode_d), + .io_dec_i0_rs1_bypass_data_d(decode_io_dec_i0_rs1_bypass_data_d), + .io_dec_i0_rs2_bypass_data_d(decode_io_dec_i0_rs2_bypass_data_d), + .io_dec_i0_waddr_r(decode_io_dec_i0_waddr_r), + .io_dec_i0_wen_r(decode_io_dec_i0_wen_r), + .io_dec_i0_wdata_r(decode_io_dec_i0_wdata_r), + .io_dec_i0_select_pc_d(decode_io_dec_i0_select_pc_d), + .io_dec_i0_rs1_bypass_en_d(decode_io_dec_i0_rs1_bypass_en_d), + .io_dec_i0_rs2_bypass_en_d(decode_io_dec_i0_rs2_bypass_en_d), + .io_lsu_p_fast_int(decode_io_lsu_p_fast_int), + .io_lsu_p_by(decode_io_lsu_p_by), + .io_lsu_p_half(decode_io_lsu_p_half), + .io_lsu_p_word(decode_io_lsu_p_word), + .io_lsu_p_load(decode_io_lsu_p_load), + .io_lsu_p_store(decode_io_lsu_p_store), + .io_lsu_p_unsign(decode_io_lsu_p_unsign), + .io_lsu_p_store_data_bypass_d(decode_io_lsu_p_store_data_bypass_d), + .io_lsu_p_load_ldst_bypass_d(decode_io_lsu_p_load_ldst_bypass_d), + .io_lsu_p_valid(decode_io_lsu_p_valid), + .io_mul_p_valid(decode_io_mul_p_valid), + .io_mul_p_rs1_sign(decode_io_mul_p_rs1_sign), + .io_mul_p_rs2_sign(decode_io_mul_p_rs2_sign), + .io_mul_p_low(decode_io_mul_p_low), + .io_div_p_valid(decode_io_div_p_valid), + .io_div_p_unsign(decode_io_div_p_unsign), + .io_div_p_rem(decode_io_div_p_rem), + .io_div_waddr_wb(decode_io_div_waddr_wb), + .io_dec_div_cancel(decode_io_dec_div_cancel), + .io_dec_lsu_valid_raw_d(decode_io_dec_lsu_valid_raw_d), + .io_dec_lsu_offset_d(decode_io_dec_lsu_offset_d), + .io_dec_csr_ren_d(decode_io_dec_csr_ren_d), + .io_dec_csr_wen_unq_d(decode_io_dec_csr_wen_unq_d), + .io_dec_csr_any_unq_d(decode_io_dec_csr_any_unq_d), + .io_dec_csr_rdaddr_d(decode_io_dec_csr_rdaddr_d), + .io_dec_csr_wen_r(decode_io_dec_csr_wen_r), + .io_dec_csr_wraddr_r(decode_io_dec_csr_wraddr_r), + .io_dec_csr_wrdata_r(decode_io_dec_csr_wrdata_r), + .io_dec_csr_stall_int_ff(decode_io_dec_csr_stall_int_ff), + .io_dec_tlu_i0_valid_r(decode_io_dec_tlu_i0_valid_r), + .io_dec_tlu_packet_r_legal(decode_io_dec_tlu_packet_r_legal), + .io_dec_tlu_packet_r_icaf(decode_io_dec_tlu_packet_r_icaf), + .io_dec_tlu_packet_r_icaf_f1(decode_io_dec_tlu_packet_r_icaf_f1), + .io_dec_tlu_packet_r_icaf_type(decode_io_dec_tlu_packet_r_icaf_type), + .io_dec_tlu_packet_r_fence_i(decode_io_dec_tlu_packet_r_fence_i), + .io_dec_tlu_packet_r_i0trigger(decode_io_dec_tlu_packet_r_i0trigger), + .io_dec_tlu_packet_r_pmu_i0_itype(decode_io_dec_tlu_packet_r_pmu_i0_itype), + .io_dec_tlu_packet_r_pmu_i0_br_unpred(decode_io_dec_tlu_packet_r_pmu_i0_br_unpred), + .io_dec_tlu_packet_r_pmu_divide(decode_io_dec_tlu_packet_r_pmu_divide), + .io_dec_tlu_packet_r_pmu_lsu_misaligned(decode_io_dec_tlu_packet_r_pmu_lsu_misaligned), + .io_dec_tlu_i0_pc_r(decode_io_dec_tlu_i0_pc_r), + .io_dec_illegal_inst(decode_io_dec_illegal_inst), + .io_pred_correct_npc_x(decode_io_pred_correct_npc_x), + .io_dec_i0_predict_p_d_pc4(decode_io_dec_i0_predict_p_d_pc4), + .io_dec_i0_predict_p_d_hist(decode_io_dec_i0_predict_p_d_hist), + .io_dec_i0_predict_p_d_toffset(decode_io_dec_i0_predict_p_d_toffset), + .io_dec_i0_predict_p_d_valid(decode_io_dec_i0_predict_p_d_valid), + .io_dec_i0_predict_p_d_br_error(decode_io_dec_i0_predict_p_d_br_error), + .io_dec_i0_predict_p_d_br_start_error(decode_io_dec_i0_predict_p_d_br_start_error), + .io_dec_i0_predict_p_d_prett(decode_io_dec_i0_predict_p_d_prett), + .io_dec_i0_predict_p_d_pcall(decode_io_dec_i0_predict_p_d_pcall), + .io_dec_i0_predict_p_d_pret(decode_io_dec_i0_predict_p_d_pret), + .io_dec_i0_predict_p_d_pja(decode_io_dec_i0_predict_p_d_pja), + .io_dec_i0_predict_p_d_way(decode_io_dec_i0_predict_p_d_way), + .io_i0_predict_fghr_d(decode_io_i0_predict_fghr_d), + .io_i0_predict_index_d(decode_io_i0_predict_index_d), + .io_i0_predict_btag_d(decode_io_i0_predict_btag_d), + .io_dec_data_en(decode_io_dec_data_en), + .io_dec_ctl_en(decode_io_dec_ctl_en), + .io_dec_pmu_instr_decoded(decode_io_dec_pmu_instr_decoded), + .io_dec_pmu_decode_stall(decode_io_dec_pmu_decode_stall), + .io_dec_pmu_presync_stall(decode_io_dec_pmu_presync_stall), + .io_dec_pmu_postsync_stall(decode_io_dec_pmu_postsync_stall), + .io_dec_nonblock_load_wen(decode_io_dec_nonblock_load_wen), + .io_dec_nonblock_load_waddr(decode_io_dec_nonblock_load_waddr), + .io_dec_pause_state(decode_io_dec_pause_state), + .io_dec_pause_state_cg(decode_io_dec_pause_state_cg), + .io_dec_div_active(decode_io_dec_div_active), + .io_scan_mode(decode_io_scan_mode) + ); + el2_dec_gpr_ctl gpr ( // @[el2_dec.scala 354:19] + .clock(gpr_clock), + .reset(gpr_reset), + .io_raddr0(gpr_io_raddr0), + .io_raddr1(gpr_io_raddr1), + .io_wen0(gpr_io_wen0), + .io_waddr0(gpr_io_waddr0), + .io_wd0(gpr_io_wd0), + .io_wen1(gpr_io_wen1), + .io_waddr1(gpr_io_waddr1), + .io_wd1(gpr_io_wd1), + .io_wen2(gpr_io_wen2), + .io_waddr2(gpr_io_waddr2), + .io_wd2(gpr_io_wd2), + .io_rd0(gpr_io_rd0), + .io_rd1(gpr_io_rd1), + .io_scan_mode(gpr_io_scan_mode) + ); + el2_dec_tlu_ctl tlu ( // @[el2_dec.scala 355:19] + .clock(tlu_clock), + .reset(tlu_reset), + .io_active_clk(tlu_io_active_clk), + .io_free_clk(tlu_io_free_clk), + .io_scan_mode(tlu_io_scan_mode), + .io_rst_vec(tlu_io_rst_vec), + .io_nmi_int(tlu_io_nmi_int), + .io_nmi_vec(tlu_io_nmi_vec), + .io_i_cpu_halt_req(tlu_io_i_cpu_halt_req), + .io_i_cpu_run_req(tlu_io_i_cpu_run_req), + .io_lsu_fastint_stall_any(tlu_io_lsu_fastint_stall_any), + .io_ifu_pmu_instr_aligned(tlu_io_ifu_pmu_instr_aligned), + .io_ifu_pmu_fetch_stall(tlu_io_ifu_pmu_fetch_stall), + .io_ifu_pmu_ic_miss(tlu_io_ifu_pmu_ic_miss), + .io_ifu_pmu_ic_hit(tlu_io_ifu_pmu_ic_hit), + .io_ifu_pmu_bus_error(tlu_io_ifu_pmu_bus_error), + .io_ifu_pmu_bus_busy(tlu_io_ifu_pmu_bus_busy), + .io_ifu_pmu_bus_trxn(tlu_io_ifu_pmu_bus_trxn), + .io_dec_pmu_instr_decoded(tlu_io_dec_pmu_instr_decoded), + .io_dec_pmu_decode_stall(tlu_io_dec_pmu_decode_stall), + .io_dec_pmu_presync_stall(tlu_io_dec_pmu_presync_stall), + .io_dec_pmu_postsync_stall(tlu_io_dec_pmu_postsync_stall), + .io_lsu_store_stall_any(tlu_io_lsu_store_stall_any), + .io_dma_dccm_stall_any(tlu_io_dma_dccm_stall_any), + .io_dma_iccm_stall_any(tlu_io_dma_iccm_stall_any), + .io_exu_pmu_i0_br_misp(tlu_io_exu_pmu_i0_br_misp), + .io_exu_pmu_i0_br_ataken(tlu_io_exu_pmu_i0_br_ataken), + .io_exu_pmu_i0_pc4(tlu_io_exu_pmu_i0_pc4), + .io_lsu_pmu_bus_trxn(tlu_io_lsu_pmu_bus_trxn), + .io_lsu_pmu_bus_misaligned(tlu_io_lsu_pmu_bus_misaligned), + .io_lsu_pmu_bus_error(tlu_io_lsu_pmu_bus_error), + .io_lsu_pmu_bus_busy(tlu_io_lsu_pmu_bus_busy), + .io_lsu_pmu_load_external_m(tlu_io_lsu_pmu_load_external_m), + .io_lsu_pmu_store_external_m(tlu_io_lsu_pmu_store_external_m), + .io_dma_pmu_dccm_read(tlu_io_dma_pmu_dccm_read), + .io_dma_pmu_dccm_write(tlu_io_dma_pmu_dccm_write), + .io_dma_pmu_any_read(tlu_io_dma_pmu_any_read), + .io_dma_pmu_any_write(tlu_io_dma_pmu_any_write), + .io_lsu_fir_addr(tlu_io_lsu_fir_addr), + .io_lsu_fir_error(tlu_io_lsu_fir_error), + .io_iccm_dma_sb_error(tlu_io_iccm_dma_sb_error), + .io_lsu_error_pkt_r_exc_valid(tlu_io_lsu_error_pkt_r_exc_valid), + .io_lsu_error_pkt_r_single_ecc_error(tlu_io_lsu_error_pkt_r_single_ecc_error), + .io_lsu_error_pkt_r_inst_type(tlu_io_lsu_error_pkt_r_inst_type), + .io_lsu_error_pkt_r_exc_type(tlu_io_lsu_error_pkt_r_exc_type), + .io_lsu_error_pkt_r_mscause(tlu_io_lsu_error_pkt_r_mscause), + .io_lsu_error_pkt_r_addr(tlu_io_lsu_error_pkt_r_addr), + .io_lsu_single_ecc_error_incr(tlu_io_lsu_single_ecc_error_incr), + .io_dec_pause_state(tlu_io_dec_pause_state), + .io_lsu_imprecise_error_store_any(tlu_io_lsu_imprecise_error_store_any), + .io_lsu_imprecise_error_load_any(tlu_io_lsu_imprecise_error_load_any), + .io_lsu_imprecise_error_addr_any(tlu_io_lsu_imprecise_error_addr_any), + .io_dec_csr_wen_unq_d(tlu_io_dec_csr_wen_unq_d), + .io_dec_csr_any_unq_d(tlu_io_dec_csr_any_unq_d), + .io_dec_csr_rdaddr_d(tlu_io_dec_csr_rdaddr_d), + .io_dec_csr_wen_r(tlu_io_dec_csr_wen_r), + .io_dec_csr_wraddr_r(tlu_io_dec_csr_wraddr_r), + .io_dec_csr_wrdata_r(tlu_io_dec_csr_wrdata_r), + .io_dec_csr_stall_int_ff(tlu_io_dec_csr_stall_int_ff), + .io_dec_tlu_i0_valid_r(tlu_io_dec_tlu_i0_valid_r), + .io_exu_npc_r(tlu_io_exu_npc_r), + .io_dec_tlu_i0_pc_r(tlu_io_dec_tlu_i0_pc_r), + .io_dec_tlu_packet_r_legal(tlu_io_dec_tlu_packet_r_legal), + .io_dec_tlu_packet_r_icaf(tlu_io_dec_tlu_packet_r_icaf), + .io_dec_tlu_packet_r_icaf_f1(tlu_io_dec_tlu_packet_r_icaf_f1), + .io_dec_tlu_packet_r_icaf_type(tlu_io_dec_tlu_packet_r_icaf_type), + .io_dec_tlu_packet_r_fence_i(tlu_io_dec_tlu_packet_r_fence_i), + .io_dec_tlu_packet_r_i0trigger(tlu_io_dec_tlu_packet_r_i0trigger), + .io_dec_tlu_packet_r_pmu_i0_itype(tlu_io_dec_tlu_packet_r_pmu_i0_itype), + .io_dec_tlu_packet_r_pmu_i0_br_unpred(tlu_io_dec_tlu_packet_r_pmu_i0_br_unpred), + .io_dec_tlu_packet_r_pmu_divide(tlu_io_dec_tlu_packet_r_pmu_divide), + .io_dec_tlu_packet_r_pmu_lsu_misaligned(tlu_io_dec_tlu_packet_r_pmu_lsu_misaligned), + .io_dec_illegal_inst(tlu_io_dec_illegal_inst), + .io_dec_i0_decode_d(tlu_io_dec_i0_decode_d), + .io_exu_i0_br_hist_r(tlu_io_exu_i0_br_hist_r), + .io_exu_i0_br_error_r(tlu_io_exu_i0_br_error_r), + .io_exu_i0_br_start_error_r(tlu_io_exu_i0_br_start_error_r), + .io_exu_i0_br_valid_r(tlu_io_exu_i0_br_valid_r), + .io_exu_i0_br_mp_r(tlu_io_exu_i0_br_mp_r), + .io_exu_i0_br_middle_r(tlu_io_exu_i0_br_middle_r), + .io_exu_i0_br_way_r(tlu_io_exu_i0_br_way_r), + .io_dec_dbg_cmd_done(tlu_io_dec_dbg_cmd_done), + .io_dec_dbg_cmd_fail(tlu_io_dec_dbg_cmd_fail), + .io_dec_tlu_dbg_halted(tlu_io_dec_tlu_dbg_halted), + .io_dec_tlu_debug_mode(tlu_io_dec_tlu_debug_mode), + .io_dec_tlu_resume_ack(tlu_io_dec_tlu_resume_ack), + .io_dec_tlu_debug_stall(tlu_io_dec_tlu_debug_stall), + .io_dec_tlu_flush_noredir_r(tlu_io_dec_tlu_flush_noredir_r), + .io_dec_tlu_mpc_halted_only(tlu_io_dec_tlu_mpc_halted_only), + .io_dec_tlu_flush_leak_one_r(tlu_io_dec_tlu_flush_leak_one_r), + .io_dec_tlu_flush_err_r(tlu_io_dec_tlu_flush_err_r), + .io_dec_tlu_flush_extint(tlu_io_dec_tlu_flush_extint), + .io_dec_tlu_meihap(tlu_io_dec_tlu_meihap), + .io_dbg_halt_req(tlu_io_dbg_halt_req), + .io_dbg_resume_req(tlu_io_dbg_resume_req), + .io_ifu_miss_state_idle(tlu_io_ifu_miss_state_idle), + .io_lsu_idle_any(tlu_io_lsu_idle_any), + .io_dec_div_active(tlu_io_dec_div_active), + .io_trigger_pkt_any_0_select(tlu_io_trigger_pkt_any_0_select), + .io_trigger_pkt_any_0_match_(tlu_io_trigger_pkt_any_0_match_), + .io_trigger_pkt_any_0_store(tlu_io_trigger_pkt_any_0_store), + .io_trigger_pkt_any_0_load(tlu_io_trigger_pkt_any_0_load), + .io_trigger_pkt_any_0_execute(tlu_io_trigger_pkt_any_0_execute), + .io_trigger_pkt_any_0_m(tlu_io_trigger_pkt_any_0_m), + .io_trigger_pkt_any_0_tdata2(tlu_io_trigger_pkt_any_0_tdata2), + .io_trigger_pkt_any_1_select(tlu_io_trigger_pkt_any_1_select), + .io_trigger_pkt_any_1_match_(tlu_io_trigger_pkt_any_1_match_), + .io_trigger_pkt_any_1_store(tlu_io_trigger_pkt_any_1_store), + .io_trigger_pkt_any_1_load(tlu_io_trigger_pkt_any_1_load), + .io_trigger_pkt_any_1_execute(tlu_io_trigger_pkt_any_1_execute), + .io_trigger_pkt_any_1_m(tlu_io_trigger_pkt_any_1_m), + .io_trigger_pkt_any_1_tdata2(tlu_io_trigger_pkt_any_1_tdata2), + .io_trigger_pkt_any_2_select(tlu_io_trigger_pkt_any_2_select), + .io_trigger_pkt_any_2_match_(tlu_io_trigger_pkt_any_2_match_), + .io_trigger_pkt_any_2_store(tlu_io_trigger_pkt_any_2_store), + .io_trigger_pkt_any_2_load(tlu_io_trigger_pkt_any_2_load), + .io_trigger_pkt_any_2_execute(tlu_io_trigger_pkt_any_2_execute), + .io_trigger_pkt_any_2_m(tlu_io_trigger_pkt_any_2_m), + .io_trigger_pkt_any_2_tdata2(tlu_io_trigger_pkt_any_2_tdata2), + .io_trigger_pkt_any_3_select(tlu_io_trigger_pkt_any_3_select), + .io_trigger_pkt_any_3_match_(tlu_io_trigger_pkt_any_3_match_), + .io_trigger_pkt_any_3_store(tlu_io_trigger_pkt_any_3_store), + .io_trigger_pkt_any_3_load(tlu_io_trigger_pkt_any_3_load), + .io_trigger_pkt_any_3_execute(tlu_io_trigger_pkt_any_3_execute), + .io_trigger_pkt_any_3_m(tlu_io_trigger_pkt_any_3_m), + .io_trigger_pkt_any_3_tdata2(tlu_io_trigger_pkt_any_3_tdata2), + .io_ifu_ic_error_start(tlu_io_ifu_ic_error_start), + .io_ifu_iccm_rd_ecc_single_err(tlu_io_ifu_iccm_rd_ecc_single_err), + .io_ifu_ic_debug_rd_data(tlu_io_ifu_ic_debug_rd_data), + .io_ifu_ic_debug_rd_data_valid(tlu_io_ifu_ic_debug_rd_data_valid), + .io_dec_tlu_ic_diag_pkt_icache_wrdata(tlu_io_dec_tlu_ic_diag_pkt_icache_wrdata), + .io_dec_tlu_ic_diag_pkt_icache_dicawics(tlu_io_dec_tlu_ic_diag_pkt_icache_dicawics), + .io_dec_tlu_ic_diag_pkt_icache_rd_valid(tlu_io_dec_tlu_ic_diag_pkt_icache_rd_valid), + .io_dec_tlu_ic_diag_pkt_icache_wr_valid(tlu_io_dec_tlu_ic_diag_pkt_icache_wr_valid), + .io_pic_claimid(tlu_io_pic_claimid), + .io_pic_pl(tlu_io_pic_pl), + .io_mhwakeup(tlu_io_mhwakeup), + .io_mexintpend(tlu_io_mexintpend), + .io_timer_int(tlu_io_timer_int), + .io_soft_int(tlu_io_soft_int), + .io_o_cpu_halt_status(tlu_io_o_cpu_halt_status), + .io_o_cpu_halt_ack(tlu_io_o_cpu_halt_ack), + .io_o_cpu_run_ack(tlu_io_o_cpu_run_ack), + .io_o_debug_mode_status(tlu_io_o_debug_mode_status), + .io_core_id(tlu_io_core_id), + .io_mpc_debug_halt_req(tlu_io_mpc_debug_halt_req), + .io_mpc_debug_run_req(tlu_io_mpc_debug_run_req), + .io_mpc_reset_run_req(tlu_io_mpc_reset_run_req), + .io_mpc_debug_halt_ack(tlu_io_mpc_debug_halt_ack), + .io_mpc_debug_run_ack(tlu_io_mpc_debug_run_ack), + .io_debug_brkpt_status(tlu_io_debug_brkpt_status), + .io_dec_tlu_meicurpl(tlu_io_dec_tlu_meicurpl), + .io_dec_tlu_meipt(tlu_io_dec_tlu_meipt), + .io_dec_csr_rddata_d(tlu_io_dec_csr_rddata_d), + .io_dec_csr_legal_d(tlu_io_dec_csr_legal_d), + .io_dec_tlu_br0_r_pkt_valid(tlu_io_dec_tlu_br0_r_pkt_valid), + .io_dec_tlu_br0_r_pkt_hist(tlu_io_dec_tlu_br0_r_pkt_hist), + .io_dec_tlu_br0_r_pkt_br_error(tlu_io_dec_tlu_br0_r_pkt_br_error), + .io_dec_tlu_br0_r_pkt_br_start_error(tlu_io_dec_tlu_br0_r_pkt_br_start_error), + .io_dec_tlu_br0_r_pkt_way(tlu_io_dec_tlu_br0_r_pkt_way), + .io_dec_tlu_br0_r_pkt_middle(tlu_io_dec_tlu_br0_r_pkt_middle), + .io_dec_tlu_i0_kill_writeb_wb(tlu_io_dec_tlu_i0_kill_writeb_wb), + .io_dec_tlu_flush_lower_wb(tlu_io_dec_tlu_flush_lower_wb), + .io_dec_tlu_i0_commit_cmt(tlu_io_dec_tlu_i0_commit_cmt), + .io_dec_tlu_i0_kill_writeb_r(tlu_io_dec_tlu_i0_kill_writeb_r), + .io_dec_tlu_flush_lower_r(tlu_io_dec_tlu_flush_lower_r), + .io_dec_tlu_flush_path_r(tlu_io_dec_tlu_flush_path_r), + .io_dec_tlu_fence_i_r(tlu_io_dec_tlu_fence_i_r), + .io_dec_tlu_wr_pause_r(tlu_io_dec_tlu_wr_pause_r), + .io_dec_tlu_flush_pause_r(tlu_io_dec_tlu_flush_pause_r), + .io_dec_tlu_presync_d(tlu_io_dec_tlu_presync_d), + .io_dec_tlu_postsync_d(tlu_io_dec_tlu_postsync_d), + .io_dec_tlu_mrac_ff(tlu_io_dec_tlu_mrac_ff), + .io_dec_tlu_force_halt(tlu_io_dec_tlu_force_halt), + .io_dec_tlu_perfcnt0(tlu_io_dec_tlu_perfcnt0), + .io_dec_tlu_perfcnt1(tlu_io_dec_tlu_perfcnt1), + .io_dec_tlu_perfcnt2(tlu_io_dec_tlu_perfcnt2), + .io_dec_tlu_perfcnt3(tlu_io_dec_tlu_perfcnt3), + .io_dec_tlu_external_ldfwd_disable(tlu_io_dec_tlu_external_ldfwd_disable), + .io_dec_tlu_sideeffect_posted_disable(tlu_io_dec_tlu_sideeffect_posted_disable), + .io_dec_tlu_core_ecc_disable(tlu_io_dec_tlu_core_ecc_disable), + .io_dec_tlu_bpred_disable(tlu_io_dec_tlu_bpred_disable), + .io_dec_tlu_wb_coalescing_disable(tlu_io_dec_tlu_wb_coalescing_disable), + .io_dec_tlu_pipelining_disable(tlu_io_dec_tlu_pipelining_disable), + .io_dec_tlu_dma_qos_prty(tlu_io_dec_tlu_dma_qos_prty), + .io_dec_tlu_misc_clk_override(tlu_io_dec_tlu_misc_clk_override), + .io_dec_tlu_dec_clk_override(tlu_io_dec_tlu_dec_clk_override), + .io_dec_tlu_ifu_clk_override(tlu_io_dec_tlu_ifu_clk_override), + .io_dec_tlu_lsu_clk_override(tlu_io_dec_tlu_lsu_clk_override), + .io_dec_tlu_bus_clk_override(tlu_io_dec_tlu_bus_clk_override), + .io_dec_tlu_pic_clk_override(tlu_io_dec_tlu_pic_clk_override), + .io_dec_tlu_dccm_clk_override(tlu_io_dec_tlu_dccm_clk_override), + .io_dec_tlu_icm_clk_override(tlu_io_dec_tlu_icm_clk_override) + ); + el2_dec_trigger dec_trigger ( // @[el2_dec.scala 356:27] + .io_trigger_pkt_any_0_select(dec_trigger_io_trigger_pkt_any_0_select), + .io_trigger_pkt_any_0_match_(dec_trigger_io_trigger_pkt_any_0_match_), + .io_trigger_pkt_any_0_execute(dec_trigger_io_trigger_pkt_any_0_execute), + .io_trigger_pkt_any_0_m(dec_trigger_io_trigger_pkt_any_0_m), + .io_trigger_pkt_any_0_tdata2(dec_trigger_io_trigger_pkt_any_0_tdata2), + .io_trigger_pkt_any_1_select(dec_trigger_io_trigger_pkt_any_1_select), + .io_trigger_pkt_any_1_match_(dec_trigger_io_trigger_pkt_any_1_match_), + .io_trigger_pkt_any_1_execute(dec_trigger_io_trigger_pkt_any_1_execute), + .io_trigger_pkt_any_1_m(dec_trigger_io_trigger_pkt_any_1_m), + .io_trigger_pkt_any_1_tdata2(dec_trigger_io_trigger_pkt_any_1_tdata2), + .io_trigger_pkt_any_2_select(dec_trigger_io_trigger_pkt_any_2_select), + .io_trigger_pkt_any_2_match_(dec_trigger_io_trigger_pkt_any_2_match_), + .io_trigger_pkt_any_2_execute(dec_trigger_io_trigger_pkt_any_2_execute), + .io_trigger_pkt_any_2_m(dec_trigger_io_trigger_pkt_any_2_m), + .io_trigger_pkt_any_2_tdata2(dec_trigger_io_trigger_pkt_any_2_tdata2), + .io_trigger_pkt_any_3_select(dec_trigger_io_trigger_pkt_any_3_select), + .io_trigger_pkt_any_3_match_(dec_trigger_io_trigger_pkt_any_3_match_), + .io_trigger_pkt_any_3_execute(dec_trigger_io_trigger_pkt_any_3_execute), + .io_trigger_pkt_any_3_m(dec_trigger_io_trigger_pkt_any_3_m), + .io_trigger_pkt_any_3_tdata2(dec_trigger_io_trigger_pkt_any_3_tdata2), + .io_dec_i0_pc_d(dec_trigger_io_dec_i0_pc_d), + .io_dec_i0_trigger_match_d(dec_trigger_io_dec_i0_trigger_match_d) + ); + assign io_dec_extint_stall = decode_io_dec_extint_stall; // @[el2_dec.scala 468:40] + assign io_dec_i0_decode_d = decode_io_dec_i0_decode_d; // @[el2_dec.scala 478:40] + assign io_dec_pause_state_cg = decode_io_dec_pause_state_cg; // @[el2_dec.scala 521:40] + assign io_o_cpu_halt_status = tlu_io_o_cpu_halt_status; // @[el2_dec.scala 654:29] + assign io_o_cpu_halt_ack = tlu_io_o_cpu_halt_ack; // @[el2_dec.scala 655:29] + assign io_o_cpu_run_ack = tlu_io_o_cpu_run_ack; // @[el2_dec.scala 656:29] + assign io_o_debug_mode_status = tlu_io_o_debug_mode_status; // @[el2_dec.scala 657:29] + assign io_mpc_debug_halt_ack = tlu_io_mpc_debug_halt_ack; // @[el2_dec.scala 658:29] + assign io_mpc_debug_run_ack = tlu_io_mpc_debug_run_ack; // @[el2_dec.scala 659:29] + assign io_debug_brkpt_status = tlu_io_debug_brkpt_status; // @[el2_dec.scala 660:29] + assign io_dec_tlu_meicurpl = tlu_io_dec_tlu_meicurpl; // @[el2_dec.scala 661:29] + assign io_dec_tlu_meipt = tlu_io_dec_tlu_meipt; // @[el2_dec.scala 662:29] + assign io_dec_tlu_ic_diag_pkt_icache_wrdata = tlu_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_dec.scala 653:29] + assign io_dec_tlu_ic_diag_pkt_icache_dicawics = tlu_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[el2_dec.scala 653:29] + assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = tlu_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_dec.scala 653:29] + assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = tlu_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_dec.scala 653:29] + assign io_dec_tlu_dbg_halted = tlu_io_dec_tlu_dbg_halted; // @[el2_dec.scala 642:28] + assign io_dec_tlu_debug_mode = tlu_io_dec_tlu_debug_mode; // @[el2_dec.scala 643:28] + assign io_dec_tlu_resume_ack = tlu_io_dec_tlu_resume_ack; // @[el2_dec.scala 644:28] + assign io_dec_tlu_flush_noredir_r = tlu_io_dec_tlu_flush_noredir_r; // @[el2_dec.scala 646:34] + assign io_dec_tlu_mpc_halted_only = tlu_io_dec_tlu_mpc_halted_only; // @[el2_dec.scala 647:34] + assign io_dec_tlu_flush_leak_one_r = tlu_io_dec_tlu_flush_leak_one_r; // @[el2_dec.scala 648:34] + assign io_dec_tlu_flush_err_r = tlu_io_dec_tlu_flush_err_r; // @[el2_dec.scala 649:34] + assign io_dec_tlu_meihap = {{2'd0}, tlu_io_dec_tlu_meihap}; // @[el2_dec.scala 651:29] + assign io_dec_debug_wdata_rs1_d = instbuff_io_dec_debug_wdata_rs1_d; // @[el2_dec.scala 392:38] + assign io_dec_dbg_rddata = decode_io_dec_i0_wdata_r; // @[el2_dec.scala 709:21] + assign io_dec_dbg_cmd_done = tlu_io_dec_dbg_cmd_done; // @[el2_dec.scala 640:28] + assign io_dec_dbg_cmd_fail = tlu_io_dec_dbg_cmd_fail; // @[el2_dec.scala 641:28] + assign io_trigger_pkt_any_0_select = tlu_io_trigger_pkt_any_0_select; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_0_match_ = tlu_io_trigger_pkt_any_0_match_; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_0_store = tlu_io_trigger_pkt_any_0_store; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_0_load = tlu_io_trigger_pkt_any_0_load; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_0_execute = tlu_io_trigger_pkt_any_0_execute; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_0_m = tlu_io_trigger_pkt_any_0_m; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_0_tdata2 = tlu_io_trigger_pkt_any_0_tdata2; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_1_select = tlu_io_trigger_pkt_any_1_select; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_1_match_ = tlu_io_trigger_pkt_any_1_match_; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_1_store = tlu_io_trigger_pkt_any_1_store; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_1_load = tlu_io_trigger_pkt_any_1_load; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_1_execute = tlu_io_trigger_pkt_any_1_execute; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_1_m = tlu_io_trigger_pkt_any_1_m; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_1_tdata2 = tlu_io_trigger_pkt_any_1_tdata2; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_2_select = tlu_io_trigger_pkt_any_2_select; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_2_match_ = tlu_io_trigger_pkt_any_2_match_; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_2_store = tlu_io_trigger_pkt_any_2_store; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_2_load = tlu_io_trigger_pkt_any_2_load; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_2_execute = tlu_io_trigger_pkt_any_2_execute; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_2_m = tlu_io_trigger_pkt_any_2_m; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_2_tdata2 = tlu_io_trigger_pkt_any_2_tdata2; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_3_select = tlu_io_trigger_pkt_any_3_select; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_3_match_ = tlu_io_trigger_pkt_any_3_match_; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_3_store = tlu_io_trigger_pkt_any_3_store; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_3_load = tlu_io_trigger_pkt_any_3_load; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_3_execute = tlu_io_trigger_pkt_any_3_execute; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_3_m = tlu_io_trigger_pkt_any_3_m; // @[el2_dec.scala 652:29] + assign io_trigger_pkt_any_3_tdata2 = tlu_io_trigger_pkt_any_3_tdata2; // @[el2_dec.scala 652:29] + assign io_dec_tlu_force_halt = tlu_io_dec_tlu_force_halt; // @[el2_dec.scala 678:29] + assign io_dec_i0_rs1_en_d = decode_io_dec_i0_rs1_en_d; // @[el2_dec.scala 471:40] + assign io_dec_i0_rs2_en_d = decode_io_dec_i0_rs2_en_d; // @[el2_dec.scala 472:40] + assign io_gpr_i0_rs1_d = gpr_io_rd0; // @[el2_dec.scala 544:19] + assign io_gpr_i0_rs2_d = gpr_io_rd1; // @[el2_dec.scala 545:19] + assign io_dec_i0_immed_d = decode_io_dec_i0_immed_d; // @[el2_dec.scala 475:40] + assign io_dec_i0_br_immed_d = {{1'd0}, decode_io_dec_i0_br_immed_d}; // @[el2_dec.scala 476:40] + assign io_i0_ap_land = decode_io_i0_ap_land; // @[el2_dec.scala 477:40] + assign io_i0_ap_lor = decode_io_i0_ap_lor; // @[el2_dec.scala 477:40] + assign io_i0_ap_lxor = decode_io_i0_ap_lxor; // @[el2_dec.scala 477:40] + assign io_i0_ap_sll = decode_io_i0_ap_sll; // @[el2_dec.scala 477:40] + assign io_i0_ap_srl = decode_io_i0_ap_srl; // @[el2_dec.scala 477:40] + assign io_i0_ap_sra = decode_io_i0_ap_sra; // @[el2_dec.scala 477:40] + assign io_i0_ap_beq = decode_io_i0_ap_beq; // @[el2_dec.scala 477:40] + assign io_i0_ap_bne = decode_io_i0_ap_bne; // @[el2_dec.scala 477:40] + assign io_i0_ap_blt = decode_io_i0_ap_blt; // @[el2_dec.scala 477:40] + assign io_i0_ap_bge = decode_io_i0_ap_bge; // @[el2_dec.scala 477:40] + assign io_i0_ap_add = decode_io_i0_ap_add; // @[el2_dec.scala 477:40] + assign io_i0_ap_sub = decode_io_i0_ap_sub; // @[el2_dec.scala 477:40] + assign io_i0_ap_slt = decode_io_i0_ap_slt; // @[el2_dec.scala 477:40] + assign io_i0_ap_unsign = decode_io_i0_ap_unsign; // @[el2_dec.scala 477:40] + assign io_i0_ap_jal = decode_io_i0_ap_jal; // @[el2_dec.scala 477:40] + assign io_i0_ap_predict_t = decode_io_i0_ap_predict_t; // @[el2_dec.scala 477:40] + assign io_i0_ap_predict_nt = decode_io_i0_ap_predict_nt; // @[el2_dec.scala 477:40] + assign io_i0_ap_csr_write = decode_io_i0_ap_csr_write; // @[el2_dec.scala 477:40] + assign io_i0_ap_csr_imm = decode_io_i0_ap_csr_imm; // @[el2_dec.scala 477:40] + assign io_dec_i0_alu_decode_d = decode_io_dec_i0_alu_decode_d; // @[el2_dec.scala 479:40] + assign io_dec_i0_select_pc_d = decode_io_dec_i0_select_pc_d; // @[el2_dec.scala 485:40] + assign io_dec_i0_pc_d = 32'h0; // @[el2_dec.scala 272:18] + assign io_dec_i0_rs1_bypass_en_d = decode_io_dec_i0_rs1_bypass_en_d; // @[el2_dec.scala 486:40] + assign io_dec_i0_rs2_bypass_en_d = decode_io_dec_i0_rs2_bypass_en_d; // @[el2_dec.scala 487:40] + assign io_dec_i0_rs1_bypass_data_d = decode_io_dec_i0_rs1_bypass_data_d; // @[el2_dec.scala 480:40] + assign io_dec_i0_rs2_bypass_data_d = decode_io_dec_i0_rs2_bypass_data_d; // @[el2_dec.scala 481:40] + assign io_lsu_p_fast_int = decode_io_lsu_p_fast_int; // @[el2_dec.scala 488:40] + assign io_lsu_p_by = decode_io_lsu_p_by; // @[el2_dec.scala 488:40] + assign io_lsu_p_half = decode_io_lsu_p_half; // @[el2_dec.scala 488:40] + assign io_lsu_p_word = decode_io_lsu_p_word; // @[el2_dec.scala 488:40] + assign io_lsu_p_dword = 1'h0; // @[el2_dec.scala 488:40] + assign io_lsu_p_load = decode_io_lsu_p_load; // @[el2_dec.scala 488:40] + assign io_lsu_p_store = decode_io_lsu_p_store; // @[el2_dec.scala 488:40] + assign io_lsu_p_unsign = decode_io_lsu_p_unsign; // @[el2_dec.scala 488:40] + assign io_lsu_p_dma = 1'h0; // @[el2_dec.scala 488:40] + assign io_lsu_p_store_data_bypass_d = decode_io_lsu_p_store_data_bypass_d; // @[el2_dec.scala 488:40] + assign io_lsu_p_load_ldst_bypass_d = decode_io_lsu_p_load_ldst_bypass_d; // @[el2_dec.scala 488:40] + assign io_lsu_p_store_data_bypass_m = 1'h0; // @[el2_dec.scala 488:40] + assign io_lsu_p_valid = decode_io_lsu_p_valid; // @[el2_dec.scala 488:40] + assign io_mul_p_valid = decode_io_mul_p_valid; // @[el2_dec.scala 489:40] + assign io_mul_p_rs1_sign = decode_io_mul_p_rs1_sign; // @[el2_dec.scala 489:40] + assign io_mul_p_rs2_sign = decode_io_mul_p_rs2_sign; // @[el2_dec.scala 489:40] + assign io_mul_p_low = decode_io_mul_p_low; // @[el2_dec.scala 489:40] + assign io_mul_p_bext = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_bdep = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_clmul = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_clmulh = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_clmulr = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_grev = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_shfl = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_unshfl = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_crc32_b = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_crc32_h = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_crc32_w = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_crc32c_b = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_crc32c_h = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_crc32c_w = 1'h0; // @[el2_dec.scala 489:40] + assign io_mul_p_bfp = 1'h0; // @[el2_dec.scala 489:40] + assign io_div_p_valid = decode_io_div_p_valid; // @[el2_dec.scala 490:40] + assign io_div_p_unsign = decode_io_div_p_unsign; // @[el2_dec.scala 490:40] + assign io_div_p_rem = decode_io_div_p_rem; // @[el2_dec.scala 490:40] + assign io_dec_div_cancel = decode_io_dec_div_cancel; // @[el2_dec.scala 492:40] + assign io_dec_lsu_offset_d = decode_io_dec_lsu_offset_d; // @[el2_dec.scala 494:40] + assign io_dec_csr_ren_d = decode_io_dec_csr_ren_d; // @[el2_dec.scala 495:40] + assign io_dec_tlu_flush_lower_r = tlu_io_dec_tlu_flush_lower_r; // @[el2_dec.scala 670:34] + assign io_dec_tlu_flush_path_r = {{1'd0}, tlu_io_dec_tlu_flush_path_r}; // @[el2_dec.scala 671:34] + assign io_dec_tlu_i0_kill_writeb_r = tlu_io_dec_tlu_i0_kill_writeb_r; // @[el2_dec.scala 669:34] + assign io_dec_tlu_fence_i_r = tlu_io_dec_tlu_fence_i_r; // @[el2_dec.scala 672:34] + assign io_pred_correct_npc_x = {{1'd0}, decode_io_pred_correct_npc_x}; // @[el2_dec.scala 507:40] + assign io_dec_tlu_br0_r_pkt_valid = tlu_io_dec_tlu_br0_r_pkt_valid; // @[el2_dec.scala 665:42] + assign io_dec_tlu_br0_r_pkt_hist = tlu_io_dec_tlu_br0_r_pkt_hist; // @[el2_dec.scala 665:42] + assign io_dec_tlu_br0_r_pkt_br_error = tlu_io_dec_tlu_br0_r_pkt_br_error; // @[el2_dec.scala 665:42] + assign io_dec_tlu_br0_r_pkt_br_start_error = tlu_io_dec_tlu_br0_r_pkt_br_start_error; // @[el2_dec.scala 665:42] + assign io_dec_tlu_br0_r_pkt_way = tlu_io_dec_tlu_br0_r_pkt_way; // @[el2_dec.scala 665:42] + assign io_dec_tlu_br0_r_pkt_middle = tlu_io_dec_tlu_br0_r_pkt_middle; // @[el2_dec.scala 665:42] + assign io_dec_tlu_perfcnt0 = tlu_io_dec_tlu_perfcnt0; // @[el2_dec.scala 679:29] + assign io_dec_tlu_perfcnt1 = tlu_io_dec_tlu_perfcnt1; // @[el2_dec.scala 680:29] + assign io_dec_tlu_perfcnt2 = tlu_io_dec_tlu_perfcnt2; // @[el2_dec.scala 681:29] + assign io_dec_tlu_perfcnt3 = tlu_io_dec_tlu_perfcnt3; // @[el2_dec.scala 682:29] + assign io_dec_i0_predict_p_d_misp = 1'h0; // @[el2_dec.scala 508:40] + assign io_dec_i0_predict_p_d_ataken = 1'h0; // @[el2_dec.scala 508:40] + assign io_dec_i0_predict_p_d_boffset = 1'h0; // @[el2_dec.scala 508:40] + assign io_dec_i0_predict_p_d_pc4 = decode_io_dec_i0_predict_p_d_pc4; // @[el2_dec.scala 508:40] + assign io_dec_i0_predict_p_d_hist = decode_io_dec_i0_predict_p_d_hist; // @[el2_dec.scala 508:40] + assign io_dec_i0_predict_p_d_toffset = decode_io_dec_i0_predict_p_d_toffset; // @[el2_dec.scala 508:40] + assign io_dec_i0_predict_p_d_valid = decode_io_dec_i0_predict_p_d_valid; // @[el2_dec.scala 508:40] + assign io_dec_i0_predict_p_d_br_error = decode_io_dec_i0_predict_p_d_br_error; // @[el2_dec.scala 508:40] + assign io_dec_i0_predict_p_d_br_start_error = decode_io_dec_i0_predict_p_d_br_start_error; // @[el2_dec.scala 508:40] + assign io_dec_i0_predict_p_d_prett = decode_io_dec_i0_predict_p_d_prett; // @[el2_dec.scala 508:40] + assign io_dec_i0_predict_p_d_pcall = decode_io_dec_i0_predict_p_d_pcall; // @[el2_dec.scala 508:40] + assign io_dec_i0_predict_p_d_pret = decode_io_dec_i0_predict_p_d_pret; // @[el2_dec.scala 508:40] + assign io_dec_i0_predict_p_d_pja = decode_io_dec_i0_predict_p_d_pja; // @[el2_dec.scala 508:40] + assign io_dec_i0_predict_p_d_way = decode_io_dec_i0_predict_p_d_way; // @[el2_dec.scala 508:40] + assign io_i0_predict_fghr_d = decode_io_i0_predict_fghr_d; // @[el2_dec.scala 509:40] + assign io_i0_predict_index_d = {{1'd0}, decode_io_i0_predict_index_d}; // @[el2_dec.scala 510:40] + assign io_i0_predict_btag_d = decode_io_i0_predict_btag_d; // @[el2_dec.scala 511:40] + assign io_dec_lsu_valid_raw_d = decode_io_dec_lsu_valid_raw_d; // @[el2_dec.scala 493:40] + assign io_dec_tlu_mrac_ff = tlu_io_dec_tlu_mrac_ff; // @[el2_dec.scala 677:29] + assign io_dec_data_en = decode_io_dec_data_en; // @[el2_dec.scala 512:40] + assign io_dec_ctl_en = decode_io_dec_ctl_en; // @[el2_dec.scala 513:40] + assign io_dec_tlu_external_ldfwd_disable = tlu_io_dec_tlu_external_ldfwd_disable; // @[el2_dec.scala 688:43] + assign io_dec_tlu_sideeffect_posted_disable = tlu_io_dec_tlu_sideeffect_posted_disable; // @[el2_dec.scala 689:43] + assign io_dec_tlu_core_ecc_disable = tlu_io_dec_tlu_core_ecc_disable; // @[el2_dec.scala 690:43] + assign io_dec_tlu_bpred_disable = tlu_io_dec_tlu_bpred_disable; // @[el2_dec.scala 691:43] + assign io_dec_tlu_wb_coalescing_disable = tlu_io_dec_tlu_wb_coalescing_disable; // @[el2_dec.scala 692:43] + assign io_dec_tlu_dma_qos_prty = tlu_io_dec_tlu_dma_qos_prty; // @[el2_dec.scala 694:35] + assign io_dec_tlu_misc_clk_override = tlu_io_dec_tlu_misc_clk_override; // @[el2_dec.scala 695:35] + assign io_dec_tlu_ifu_clk_override = tlu_io_dec_tlu_ifu_clk_override; // @[el2_dec.scala 697:36] + assign io_dec_tlu_lsu_clk_override = tlu_io_dec_tlu_lsu_clk_override; // @[el2_dec.scala 698:36] + assign io_dec_tlu_bus_clk_override = tlu_io_dec_tlu_bus_clk_override; // @[el2_dec.scala 699:36] + assign io_dec_tlu_pic_clk_override = tlu_io_dec_tlu_pic_clk_override; // @[el2_dec.scala 700:36] + assign io_dec_tlu_dccm_clk_override = tlu_io_dec_tlu_dccm_clk_override; // @[el2_dec.scala 701:36] + assign io_dec_tlu_icm_clk_override = tlu_io_dec_tlu_icm_clk_override; // @[el2_dec.scala 702:36] + assign io_dec_tlu_i0_commit_cmt = tlu_io_dec_tlu_i0_commit_cmt; // @[el2_dec.scala 668:34] + assign instbuff_io_dbg_cmd_valid = io_dbg_cmd_valid; // @[el2_dec.scala 363:45] + assign instbuff_io_dbg_cmd_write = io_dbg_cmd_write; // @[el2_dec.scala 364:45] + assign instbuff_io_dbg_cmd_type = io_dbg_cmd_type; // @[el2_dec.scala 365:45] + assign instbuff_io_dbg_cmd_addr = io_dbg_cmd_addr; // @[el2_dec.scala 366:45] + assign instbuff_io_i0_brp_valid = io_i0_brp_valid; // @[el2_dec.scala 367:55] + assign instbuff_io_i0_brp_toffset = io_i0_brp_toffset; // @[el2_dec.scala 367:55] + assign instbuff_io_i0_brp_hist = io_i0_brp_hist; // @[el2_dec.scala 367:55] + assign instbuff_io_i0_brp_br_error = io_i0_brp_br_error; // @[el2_dec.scala 367:55] + assign instbuff_io_i0_brp_br_start_error = io_i0_brp_br_start_error; // @[el2_dec.scala 367:55] + assign instbuff_io_i0_brp_prett = io_i0_brp_prett; // @[el2_dec.scala 367:55] + assign instbuff_io_i0_brp_way = io_i0_brp_way; // @[el2_dec.scala 367:55] + assign instbuff_io_i0_brp_ret = io_i0_brp_ret; // @[el2_dec.scala 367:55] + assign instbuff_io_ifu_i0_bp_index = io_ifu_i0_bp_index[7:0]; // @[el2_dec.scala 368:35] + assign instbuff_io_ifu_i0_bp_fghr = io_ifu_i0_bp_fghr; // @[el2_dec.scala 369:35] + assign instbuff_io_ifu_i0_bp_btag = io_ifu_i0_bp_btag; // @[el2_dec.scala 370:35] + assign instbuff_io_ifu_i0_valid = io_ifu_i0_valid; // @[el2_dec.scala 372:35] + assign instbuff_io_ifu_i0_icaf = io_ifu_i0_icaf; // @[el2_dec.scala 373:35] + assign instbuff_io_ifu_i0_icaf_type = io_ifu_i0_icaf_type; // @[el2_dec.scala 374:35] + assign instbuff_io_ifu_i0_icaf_f1 = io_ifu_i0_icaf_f1; // @[el2_dec.scala 375:35] + assign instbuff_io_ifu_i0_dbecc = io_ifu_i0_dbecc; // @[el2_dec.scala 376:35] + assign instbuff_io_ifu_i0_instr = io_ifu_i0_instr; // @[el2_dec.scala 377:35] + assign instbuff_io_ifu_i0_pc = io_ifu_i0_pc[30:0]; // @[el2_dec.scala 378:35] + assign decode_clock = clock; + assign decode_reset = reset; + assign decode_io_dec_tlu_flush_extint = tlu_io_dec_tlu_flush_extint; // @[el2_dec.scala 409:48 el2_dec.scala 650:37] + assign decode_io_dec_tlu_force_halt = tlu_io_dec_tlu_force_halt; // @[el2_dec.scala 410:48] + assign decode_io_ifu_i0_cinst = io_ifu_i0_cinst; // @[el2_dec.scala 411:48] + assign decode_io_lsu_nonblock_load_valid_m = io_lsu_nonblock_load_valid_m; // @[el2_dec.scala 412:48] + assign decode_io_lsu_nonblock_load_tag_m = io_lsu_nonblock_load_tag_m; // @[el2_dec.scala 413:48] + assign decode_io_lsu_nonblock_load_inv_r = io_lsu_nonblock_load_inv_r; // @[el2_dec.scala 414:48] + assign decode_io_lsu_nonblock_load_inv_tag_r = io_lsu_nonblock_load_inv_tag_r; // @[el2_dec.scala 415:48] + assign decode_io_lsu_nonblock_load_data_valid = io_lsu_nonblock_load_data_valid; // @[el2_dec.scala 416:48] + assign decode_io_lsu_nonblock_load_data_error = io_lsu_nonblock_load_data_error; // @[el2_dec.scala 417:48] + assign decode_io_lsu_nonblock_load_data_tag = io_lsu_nonblock_load_data_tag; // @[el2_dec.scala 418:48] + assign decode_io_lsu_nonblock_load_data = io_lsu_nonblock_load_data; // @[el2_dec.scala 419:48] + assign decode_io_dec_i0_trigger_match_d = dec_trigger_io_dec_i0_trigger_match_d; // @[el2_dec.scala 420:48] + assign decode_io_dec_tlu_wr_pause_r = tlu_io_dec_tlu_wr_pause_r; // @[el2_dec.scala 421:48 el2_dec.scala 673:35] + assign decode_io_dec_tlu_pipelining_disable = tlu_io_dec_tlu_pipelining_disable; // @[el2_dec.scala 422:48] + assign decode_io_lsu_trigger_match_m = io_lsu_trigger_match_m; // @[el2_dec.scala 423:48] + assign decode_io_lsu_pmu_misaligned_m = io_lsu_pmu_bus_misaligned; // @[el2_dec.scala 424:48] + assign decode_io_dec_tlu_debug_stall = tlu_io_dec_tlu_debug_stall; // @[el2_dec.scala 425:48 el2_dec.scala 645:36] + assign decode_io_dec_tlu_flush_leak_one_r = tlu_io_dec_tlu_flush_leak_one_r; // @[el2_dec.scala 426:48] + assign decode_io_dec_debug_fence_d = instbuff_io_dec_debug_fence_d; // @[el2_dec.scala 393:38 el2_dec.scala 427:48] + assign decode_io_dbg_cmd_wrdata = io_dbg_cmd_wrdata; // @[el2_dec.scala 428:48] + assign decode_io_dec_i0_icaf_d = instbuff_io_dec_i0_icaf_d; // @[el2_dec.scala 389:38 el2_dec.scala 429:48] + assign decode_io_dec_i0_icaf_f1_d = instbuff_io_dec_i0_icaf_f1_d; // @[el2_dec.scala 390:38 el2_dec.scala 430:48] + assign decode_io_dec_i0_icaf_type_d = instbuff_io_dec_i0_icaf_type_d; // @[el2_dec.scala 381:38 el2_dec.scala 431:48] + assign decode_io_dec_i0_dbecc_d = instbuff_io_dec_i0_dbecc_d; // @[el2_dec.scala 391:38 el2_dec.scala 432:48] + assign decode_io_dec_i0_brp_valid = instbuff_io_dec_i0_brp_valid; // @[el2_dec.scala 385:38 el2_dec.scala 433:48] + assign decode_io_dec_i0_brp_toffset = instbuff_io_dec_i0_brp_toffset; // @[el2_dec.scala 385:38 el2_dec.scala 433:48] + assign decode_io_dec_i0_brp_hist = instbuff_io_dec_i0_brp_hist; // @[el2_dec.scala 385:38 el2_dec.scala 433:48] + assign decode_io_dec_i0_brp_br_error = instbuff_io_dec_i0_brp_br_error; // @[el2_dec.scala 385:38 el2_dec.scala 433:48] + assign decode_io_dec_i0_brp_br_start_error = instbuff_io_dec_i0_brp_br_start_error; // @[el2_dec.scala 385:38 el2_dec.scala 433:48] + assign decode_io_dec_i0_brp_prett = instbuff_io_dec_i0_brp_prett; // @[el2_dec.scala 385:38 el2_dec.scala 433:48] + assign decode_io_dec_i0_brp_way = instbuff_io_dec_i0_brp_way; // @[el2_dec.scala 385:38 el2_dec.scala 433:48] + assign decode_io_dec_i0_brp_ret = instbuff_io_dec_i0_brp_ret; // @[el2_dec.scala 385:38 el2_dec.scala 433:48] + assign decode_io_dec_i0_bp_index = instbuff_io_dec_i0_bp_index; // @[el2_dec.scala 386:38 el2_dec.scala 434:48] + assign decode_io_dec_i0_bp_fghr = instbuff_io_dec_i0_bp_fghr; // @[el2_dec.scala 387:38 el2_dec.scala 435:48] + assign decode_io_dec_i0_bp_btag = instbuff_io_dec_i0_bp_btag; // @[el2_dec.scala 388:38 el2_dec.scala 436:48] + assign decode_io_lsu_idle_any = io_lsu_idle_any; // @[el2_dec.scala 438:48] + assign decode_io_lsu_load_stall_any = io_lsu_load_stall_any; // @[el2_dec.scala 439:48] + assign decode_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[el2_dec.scala 440:48] + assign decode_io_dma_dccm_stall_any = io_dma_dccm_stall_any; // @[el2_dec.scala 441:48] + assign decode_io_exu_div_wren = io_exu_div_wren; // @[el2_dec.scala 442:48] + assign decode_io_dec_tlu_i0_kill_writeb_wb = tlu_io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec.scala 443:48 el2_dec.scala 666:42] + assign decode_io_dec_tlu_flush_lower_wb = tlu_io_dec_tlu_flush_lower_wb; // @[el2_dec.scala 444:48 el2_dec.scala 667:42] + assign decode_io_dec_tlu_i0_kill_writeb_r = tlu_io_dec_tlu_i0_kill_writeb_r; // @[el2_dec.scala 445:48] + assign decode_io_dec_tlu_flush_lower_r = tlu_io_dec_tlu_flush_lower_r; // @[el2_dec.scala 446:48] + assign decode_io_dec_tlu_flush_pause_r = tlu_io_dec_tlu_flush_pause_r; // @[el2_dec.scala 447:48 el2_dec.scala 674:35] + assign decode_io_dec_tlu_presync_d = tlu_io_dec_tlu_presync_d; // @[el2_dec.scala 448:48 el2_dec.scala 675:35] + assign decode_io_dec_tlu_postsync_d = tlu_io_dec_tlu_postsync_d; // @[el2_dec.scala 449:48 el2_dec.scala 676:35] + assign decode_io_dec_i0_pc4_d = instbuff_io_dec_i0_pc_d[0]; // @[el2_dec.scala 384:38 el2_dec.scala 450:48] + assign decode_io_dec_csr_rddata_d = tlu_io_dec_csr_rddata_d; // @[el2_dec.scala 451:48 el2_dec.scala 663:33] + assign decode_io_dec_csr_legal_d = tlu_io_dec_csr_legal_d; // @[el2_dec.scala 452:48 el2_dec.scala 664:33] + assign decode_io_exu_csr_rs1_x = io_exu_csr_rs1_x; // @[el2_dec.scala 453:48] + assign decode_io_lsu_result_m = io_lsu_result_m; // @[el2_dec.scala 454:48] + assign decode_io_lsu_result_corr_r = io_lsu_result_corr_r; // @[el2_dec.scala 455:48] + assign decode_io_exu_flush_final = io_exu_flush_final; // @[el2_dec.scala 456:48] + assign decode_io_exu_i0_pc_x = io_exu_i0_pc_x[30:0]; // @[el2_dec.scala 457:48] + assign decode_io_dec_i0_instr_d = instbuff_io_dec_i0_instr_d; // @[el2_dec.scala 382:38 el2_dec.scala 458:48] + assign decode_io_dec_ib0_valid_d = instbuff_io_dec_ib0_valid_d; // @[el2_dec.scala 380:38 el2_dec.scala 459:48] + assign decode_io_exu_i0_result_x = io_exu_i0_result_x; // @[el2_dec.scala 460:48] + assign decode_io_free_clk = io_free_clk; // @[el2_dec.scala 462:48] + assign decode_io_active_clk = io_active_clk; // @[el2_dec.scala 463:48] + assign decode_io_clk_override = tlu_io_dec_tlu_dec_clk_override; // @[el2_dec.scala 464:48] + assign decode_io_scan_mode = io_scan_mode; // @[el2_dec.scala 466:48] + assign gpr_clock = clock; + assign gpr_reset = reset; + assign gpr_io_raddr0 = decode_io_dec_i0_rs1_d; // @[el2_dec.scala 473:40 el2_dec.scala 529:23] + assign gpr_io_raddr1 = decode_io_dec_i0_rs2_d; // @[el2_dec.scala 474:40 el2_dec.scala 530:23] + assign gpr_io_wen0 = decode_io_dec_i0_wen_r; // @[el2_dec.scala 483:40 el2_dec.scala 531:23] + assign gpr_io_waddr0 = decode_io_dec_i0_waddr_r; // @[el2_dec.scala 482:40 el2_dec.scala 532:23] + assign gpr_io_wd0 = decode_io_dec_i0_wdata_r; // @[el2_dec.scala 484:40 el2_dec.scala 533:23] + assign gpr_io_wen1 = decode_io_dec_nonblock_load_wen; // @[el2_dec.scala 534:23] + assign gpr_io_waddr1 = decode_io_dec_nonblock_load_waddr; // @[el2_dec.scala 535:23] + assign gpr_io_wd1 = io_lsu_nonblock_load_data; // @[el2_dec.scala 536:23] + assign gpr_io_wen2 = io_exu_div_wren; // @[el2_dec.scala 537:23] + assign gpr_io_waddr2 = decode_io_div_waddr_wb; // @[el2_dec.scala 491:40 el2_dec.scala 538:23] + assign gpr_io_wd2 = io_exu_div_result; // @[el2_dec.scala 539:23] + assign gpr_io_scan_mode = io_scan_mode; // @[el2_dec.scala 542:23] + assign tlu_clock = clock; + assign tlu_reset = reset; + assign tlu_io_active_clk = io_active_clk; // @[el2_dec.scala 554:45] + assign tlu_io_free_clk = io_free_clk; // @[el2_dec.scala 555:45] + assign tlu_io_scan_mode = io_scan_mode; // @[el2_dec.scala 557:45] + assign tlu_io_rst_vec = io_rst_vec[30:0]; // @[el2_dec.scala 558:45] + assign tlu_io_nmi_int = io_nmi_int; // @[el2_dec.scala 559:45] + assign tlu_io_nmi_vec = io_nmi_vec[30:0]; // @[el2_dec.scala 560:45] + assign tlu_io_i_cpu_halt_req = io_i_cpu_halt_req; // @[el2_dec.scala 561:45] + assign tlu_io_i_cpu_run_req = io_i_cpu_run_req; // @[el2_dec.scala 562:45] + assign tlu_io_lsu_fastint_stall_any = io_lsu_fastint_stall_any; // @[el2_dec.scala 563:45] + assign tlu_io_ifu_pmu_instr_aligned = io_ifu_pmu_instr_aligned; // @[el2_dec.scala 564:45] + assign tlu_io_ifu_pmu_fetch_stall = io_ifu_pmu_fetch_stall; // @[el2_dec.scala 565:45] + assign tlu_io_ifu_pmu_ic_miss = io_ifu_pmu_ic_miss; // @[el2_dec.scala 566:45] + assign tlu_io_ifu_pmu_ic_hit = io_ifu_pmu_ic_hit; // @[el2_dec.scala 567:45] + assign tlu_io_ifu_pmu_bus_error = io_ifu_pmu_bus_error; // @[el2_dec.scala 568:45] + assign tlu_io_ifu_pmu_bus_busy = io_ifu_pmu_bus_busy; // @[el2_dec.scala 569:45] + assign tlu_io_ifu_pmu_bus_trxn = io_ifu_pmu_bus_trxn; // @[el2_dec.scala 570:45] + assign tlu_io_dec_pmu_instr_decoded = decode_io_dec_pmu_instr_decoded; // @[el2_dec.scala 571:45] + assign tlu_io_dec_pmu_decode_stall = decode_io_dec_pmu_decode_stall; // @[el2_dec.scala 572:45] + assign tlu_io_dec_pmu_presync_stall = decode_io_dec_pmu_presync_stall; // @[el2_dec.scala 573:45] + assign tlu_io_dec_pmu_postsync_stall = decode_io_dec_pmu_postsync_stall; // @[el2_dec.scala 514:40 el2_dec.scala 515:40 el2_dec.scala 516:40 el2_dec.scala 517:40 el2_dec.scala 518:40 el2_dec.scala 519:40 el2_dec.scala 520:40 el2_dec.scala 574:45] + assign tlu_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[el2_dec.scala 575:45] + assign tlu_io_dma_dccm_stall_any = io_dma_dccm_stall_any; // @[el2_dec.scala 576:45] + assign tlu_io_dma_iccm_stall_any = io_dma_iccm_stall_any; // @[el2_dec.scala 577:45] + assign tlu_io_exu_pmu_i0_br_misp = io_exu_pmu_i0_br_misp; // @[el2_dec.scala 578:45] + assign tlu_io_exu_pmu_i0_br_ataken = io_exu_pmu_i0_br_ataken; // @[el2_dec.scala 579:45] + assign tlu_io_exu_pmu_i0_pc4 = io_exu_pmu_i0_pc4; // @[el2_dec.scala 580:45] + assign tlu_io_lsu_pmu_bus_trxn = io_lsu_pmu_bus_trxn; // @[el2_dec.scala 581:45] + assign tlu_io_lsu_pmu_bus_misaligned = io_lsu_pmu_bus_misaligned; // @[el2_dec.scala 582:45] + assign tlu_io_lsu_pmu_bus_error = io_lsu_pmu_bus_error; // @[el2_dec.scala 583:45] + assign tlu_io_lsu_pmu_bus_busy = io_lsu_pmu_bus_busy; // @[el2_dec.scala 584:45] + assign tlu_io_lsu_pmu_load_external_m = io_lsu_pmu_load_external_m; // @[el2_dec.scala 585:45] + assign tlu_io_lsu_pmu_store_external_m = io_lsu_pmu_store_external_m; // @[el2_dec.scala 586:45] + assign tlu_io_dma_pmu_dccm_read = io_dma_pmu_dccm_read; // @[el2_dec.scala 587:45] + assign tlu_io_dma_pmu_dccm_write = io_dma_pmu_dccm_write; // @[el2_dec.scala 588:45] + assign tlu_io_dma_pmu_any_read = io_dma_pmu_any_read; // @[el2_dec.scala 589:45] + assign tlu_io_dma_pmu_any_write = io_dma_pmu_any_write; // @[el2_dec.scala 590:45] + assign tlu_io_lsu_fir_addr = io_lsu_fir_addr[30:0]; // @[el2_dec.scala 591:45] + assign tlu_io_lsu_fir_error = io_lsu_fir_error; // @[el2_dec.scala 592:45] + assign tlu_io_iccm_dma_sb_error = io_iccm_dma_sb_error; // @[el2_dec.scala 593:45] + assign tlu_io_lsu_error_pkt_r_exc_valid = io_lsu_error_pkt_r_exc_valid; // @[el2_dec.scala 594:45] + assign tlu_io_lsu_error_pkt_r_single_ecc_error = io_lsu_error_pkt_r_single_ecc_error; // @[el2_dec.scala 594:45] + assign tlu_io_lsu_error_pkt_r_inst_type = io_lsu_error_pkt_r_inst_type; // @[el2_dec.scala 594:45] + assign tlu_io_lsu_error_pkt_r_exc_type = io_lsu_error_pkt_r_exc_type; // @[el2_dec.scala 594:45] + assign tlu_io_lsu_error_pkt_r_mscause = io_lsu_error_pkt_r_mscause; // @[el2_dec.scala 594:45] + assign tlu_io_lsu_error_pkt_r_addr = io_lsu_error_pkt_r_addr; // @[el2_dec.scala 594:45] + assign tlu_io_lsu_single_ecc_error_incr = io_lsu_single_ecc_error_incr; // @[el2_dec.scala 595:45] + assign tlu_io_dec_pause_state = decode_io_dec_pause_state; // @[el2_dec.scala 596:45] + assign tlu_io_lsu_imprecise_error_store_any = io_lsu_imprecise_error_store_any; // @[el2_dec.scala 597:45] + assign tlu_io_lsu_imprecise_error_load_any = io_lsu_imprecise_error_load_any; // @[el2_dec.scala 598:45] + assign tlu_io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_addr_any; // @[el2_dec.scala 599:45] + assign tlu_io_dec_csr_wen_unq_d = decode_io_dec_csr_wen_unq_d; // @[el2_dec.scala 496:40 el2_dec.scala 600:45] + assign tlu_io_dec_csr_any_unq_d = decode_io_dec_csr_any_unq_d; // @[el2_dec.scala 497:40 el2_dec.scala 601:45] + assign tlu_io_dec_csr_rdaddr_d = decode_io_dec_csr_rdaddr_d; // @[el2_dec.scala 498:40 el2_dec.scala 602:45] + assign tlu_io_dec_csr_wen_r = decode_io_dec_csr_wen_r; // @[el2_dec.scala 499:40 el2_dec.scala 603:45] + assign tlu_io_dec_csr_wraddr_r = decode_io_dec_csr_wraddr_r; // @[el2_dec.scala 500:40 el2_dec.scala 604:45] + assign tlu_io_dec_csr_wrdata_r = decode_io_dec_csr_wrdata_r; // @[el2_dec.scala 501:40 el2_dec.scala 605:45] + assign tlu_io_dec_csr_stall_int_ff = decode_io_dec_csr_stall_int_ff; // @[el2_dec.scala 502:40 el2_dec.scala 606:45] + assign tlu_io_dec_tlu_i0_valid_r = decode_io_dec_tlu_i0_valid_r; // @[el2_dec.scala 503:40 el2_dec.scala 607:45] + assign tlu_io_exu_npc_r = io_exu_npc_r[30:0]; // @[el2_dec.scala 608:45] + assign tlu_io_dec_tlu_i0_pc_r = decode_io_dec_tlu_i0_pc_r; // @[el2_dec.scala 505:40 el2_dec.scala 609:45] + assign tlu_io_dec_tlu_packet_r_legal = decode_io_dec_tlu_packet_r_legal; // @[el2_dec.scala 504:40 el2_dec.scala 610:45] + assign tlu_io_dec_tlu_packet_r_icaf = decode_io_dec_tlu_packet_r_icaf; // @[el2_dec.scala 504:40 el2_dec.scala 610:45] + assign tlu_io_dec_tlu_packet_r_icaf_f1 = decode_io_dec_tlu_packet_r_icaf_f1; // @[el2_dec.scala 504:40 el2_dec.scala 610:45] + assign tlu_io_dec_tlu_packet_r_icaf_type = decode_io_dec_tlu_packet_r_icaf_type; // @[el2_dec.scala 504:40 el2_dec.scala 610:45] + assign tlu_io_dec_tlu_packet_r_fence_i = decode_io_dec_tlu_packet_r_fence_i; // @[el2_dec.scala 504:40 el2_dec.scala 610:45] + assign tlu_io_dec_tlu_packet_r_i0trigger = decode_io_dec_tlu_packet_r_i0trigger; // @[el2_dec.scala 504:40 el2_dec.scala 610:45] + assign tlu_io_dec_tlu_packet_r_pmu_i0_itype = decode_io_dec_tlu_packet_r_pmu_i0_itype; // @[el2_dec.scala 504:40 el2_dec.scala 610:45] + assign tlu_io_dec_tlu_packet_r_pmu_i0_br_unpred = decode_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[el2_dec.scala 504:40 el2_dec.scala 610:45] + assign tlu_io_dec_tlu_packet_r_pmu_divide = decode_io_dec_tlu_packet_r_pmu_divide; // @[el2_dec.scala 504:40 el2_dec.scala 610:45] + assign tlu_io_dec_tlu_packet_r_pmu_lsu_misaligned = decode_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec.scala 504:40 el2_dec.scala 610:45] + assign tlu_io_dec_illegal_inst = decode_io_dec_illegal_inst; // @[el2_dec.scala 506:40 el2_dec.scala 611:45] + assign tlu_io_dec_i0_decode_d = decode_io_dec_i0_decode_d; // @[el2_dec.scala 612:45] + assign tlu_io_exu_i0_br_hist_r = io_exu_i0_br_hist_r; // @[el2_dec.scala 613:45] + assign tlu_io_exu_i0_br_error_r = io_exu_i0_br_error_r; // @[el2_dec.scala 614:45] + assign tlu_io_exu_i0_br_start_error_r = io_exu_i0_br_start_error_r; // @[el2_dec.scala 615:45] + assign tlu_io_exu_i0_br_valid_r = io_exu_i0_br_valid_r; // @[el2_dec.scala 616:45] + assign tlu_io_exu_i0_br_mp_r = io_exu_i0_br_mp_r; // @[el2_dec.scala 617:45] + assign tlu_io_exu_i0_br_middle_r = io_exu_i0_br_middle_r; // @[el2_dec.scala 618:45] + assign tlu_io_exu_i0_br_way_r = io_exu_i0_br_way_r; // @[el2_dec.scala 619:45] + assign tlu_io_dbg_halt_req = io_dbg_halt_req; // @[el2_dec.scala 620:45] + assign tlu_io_dbg_resume_req = io_dbg_resume_req; // @[el2_dec.scala 621:45] + assign tlu_io_ifu_miss_state_idle = io_ifu_miss_state_idle; // @[el2_dec.scala 622:45] + assign tlu_io_lsu_idle_any = io_lsu_idle_any; // @[el2_dec.scala 623:45] + assign tlu_io_dec_div_active = decode_io_dec_div_active; // @[el2_dec.scala 522:40 el2_dec.scala 624:45] + assign tlu_io_ifu_ic_error_start = io_ifu_ic_error_start; // @[el2_dec.scala 625:45] + assign tlu_io_ifu_iccm_rd_ecc_single_err = io_ifu_iccm_rd_ecc_single_err; // @[el2_dec.scala 626:45] + assign tlu_io_ifu_ic_debug_rd_data = {{1'd0}, io_ifu_ic_debug_rd_data}; // @[el2_dec.scala 627:45] + assign tlu_io_ifu_ic_debug_rd_data_valid = io_ifu_ic_debug_rd_data_valid; // @[el2_dec.scala 628:45] + assign tlu_io_pic_claimid = io_pic_claimid; // @[el2_dec.scala 629:45] + assign tlu_io_pic_pl = io_pic_pl; // @[el2_dec.scala 630:45] + assign tlu_io_mhwakeup = io_mhwakeup; // @[el2_dec.scala 631:45] + assign tlu_io_mexintpend = io_mexintpend; // @[el2_dec.scala 632:45] + assign tlu_io_timer_int = io_timer_int; // @[el2_dec.scala 633:45] + assign tlu_io_soft_int = io_soft_int; // @[el2_dec.scala 634:45] + assign tlu_io_core_id = io_core_id[27:0]; // @[el2_dec.scala 635:45] + assign tlu_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[el2_dec.scala 636:45] + assign tlu_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[el2_dec.scala 637:45] + assign tlu_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[el2_dec.scala 638:45] + assign dec_trigger_io_trigger_pkt_any_0_select = tlu_io_trigger_pkt_any_0_select; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_0_match_ = tlu_io_trigger_pkt_any_0_match_; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_0_execute = tlu_io_trigger_pkt_any_0_execute; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_0_m = tlu_io_trigger_pkt_any_0_m; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_0_tdata2 = tlu_io_trigger_pkt_any_0_tdata2; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_1_select = tlu_io_trigger_pkt_any_1_select; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_1_match_ = tlu_io_trigger_pkt_any_1_match_; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_1_execute = tlu_io_trigger_pkt_any_1_execute; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_1_m = tlu_io_trigger_pkt_any_1_m; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_1_tdata2 = tlu_io_trigger_pkt_any_1_tdata2; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_2_select = tlu_io_trigger_pkt_any_2_select; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_2_match_ = tlu_io_trigger_pkt_any_2_match_; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_2_execute = tlu_io_trigger_pkt_any_2_execute; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_2_m = tlu_io_trigger_pkt_any_2_m; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_2_tdata2 = tlu_io_trigger_pkt_any_2_tdata2; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_3_select = tlu_io_trigger_pkt_any_3_select; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_3_match_ = tlu_io_trigger_pkt_any_3_match_; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_3_execute = tlu_io_trigger_pkt_any_3_execute; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_3_m = tlu_io_trigger_pkt_any_3_m; // @[el2_dec.scala 400:34] + assign dec_trigger_io_trigger_pkt_any_3_tdata2 = tlu_io_trigger_pkt_any_3_tdata2; // @[el2_dec.scala 400:34] + assign dec_trigger_io_dec_i0_pc_d = instbuff_io_dec_i0_pc_d; // @[el2_dec.scala 399:30] +endmodule diff --git a/el2_dec_decode_ctl.anno.json b/el2_dec_decode_ctl.anno.json new file mode 100644 index 00000000..516262af --- /dev/null +++ b/el2_dec_decode_ctl.anno.json @@ -0,0 +1,1492 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_pmu_decode_stall", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ib0_valid_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_decode_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_load_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dma_dccm_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_store_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_debug_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_valid_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_any_unq_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_alu_decode_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_legal_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ib0_valid_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_debug_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_valid_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_predict_p_d_valid", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_decode_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_legal_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ib0_valid_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_load_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dma_dccm_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_store_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_debug_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_valid_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_pred_correct_npc_x", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_exu_i0_pc_x" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_csr_write", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_add", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_predict_p_d_pja", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_lsu_valid_raw_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ib0_valid_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dma_dccm_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_debug_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_valid_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_p_valid", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_legal_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ib0_valid_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_debug_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_valid_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_p_load_ldst_bypass_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_predict_btag_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_bp_btag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_packet_r_icaf_type", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_mul_p_rs2_sign", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_unsign", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_predict_index_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_bp_index" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_wen_r", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_br_immed_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_predict_nt", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_pc4_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_i0_valid_r", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_predict_nt", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_p_half", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_packet_r_pmu_i0_itype", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_p_valid", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_decode_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_legal_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ib0_valid_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_load_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dma_dccm_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_store_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_debug_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_valid_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_p_unsign", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_p_store_data_bypass_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_predict_p_d_br_error", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_decode_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_legal_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ib0_valid_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_load_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dma_dccm_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_store_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_debug_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_valid_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_predict_p_d_toffset", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_p_store_data_bypass_m", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_blt", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_p_word", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_pmu_presync_stall", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_p_load", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_bne", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_predict_fghr_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_bp_fghr" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_predict_p_d_pcall", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_decode_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ib0_valid_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_load_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dma_dccm_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_store_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_debug_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_valid_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_mul_p_low", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_lsu_offset_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_predict_p_d_pc4", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_pc4_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_immed_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_rddata_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_packet_r_pmu_i0_br_unpred", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_sub", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_nonblock_load_waddr", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_select_pc_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_wdata_r", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_bypass_en_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_nonblock_load_wen", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_nonblock_load_waddr", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_i0_kill_writeb_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_predict_p_d_way", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_way" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_mul_p_rs1_sign", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_slt", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_bypass_data_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_result_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_nonblock_load_wen", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_nonblock_load_waddr", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_i0_kill_writeb_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_land", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_packet_r_fence_i", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_cancel", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_i0_kill_writeb_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_p_by", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_pmu_instr_decoded", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_decode_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ib0_valid_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_load_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dma_dccm_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_store_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_debug_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_valid_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_packet_r_icaf", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_data_en", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_clk_override", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_decode_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ib0_valid_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_load_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dma_dccm_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_store_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_debug_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_valid_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_wen_unq_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_predict_p_d_prett", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_prett" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_p_rem", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_wrdata_r", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_result_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_predict_p_d_hist", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_lxor", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_packet_r_icaf_f1", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_sll", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_bypass_data_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_result_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_nonblock_load_wen", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_nonblock_load_waddr", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_i0_kill_writeb_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_packet_r_i0trigger", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_jal", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_p_unsign", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_packet_r_pmu_lsu_misaligned", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_beq", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_p_store", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_csr_imm", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_ren_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_wen_r", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_i0_kill_writeb_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_packet_r_legal", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_bge", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_bypass_en_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_nonblock_load_wen", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_nonblock_load_waddr", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_i0_kill_writeb_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_p_fast_int", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_srl", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_predict_p_d_br_start_error", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_decode_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_legal_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ib0_valid_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_load_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dma_dccm_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_store_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_debug_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_valid_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_rdaddr_d", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_lor", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_sra", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_stall_int_ff", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_i0_kill_writeb_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_mul_p_valid", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_csr_legal_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ib0_valid_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_debug_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_valid_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_nonblock_load_wen", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_nonblock_load_waddr", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_i0_kill_writeb_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_wb" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_predict_p_d_pret", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_i0_ap_predict_t", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ctl_en", + "sources":[ + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_clk_override", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_decode_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_ib0_valid_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_load_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dma_dccm_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_div_active", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_store_stall_any", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_icaf_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_dbecc_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_instr_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs1_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_div_waddr_wb", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_rs2_en_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_start_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_debug_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_pipelining_disable", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_valid_m", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_br_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_ret", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_debug_fence_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_extint_stall", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dbg_cmd_wrdata", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_toffset", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_tlu_presync_d", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_dec_i0_brp_hist", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_valid", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_error", + "~el2_dec_decode_ctl|el2_dec_decode_ctl>io_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"el2_dec_decode_ctl.TEC_RV_ICG", + "resourceId":"/vsrc/TEC_RV_ICG.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"el2_dec_decode_ctl" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/el2_dec_decode_ctl.fir b/el2_dec_decode_ctl.fir new file mode 100644 index 00000000..99e07259 --- /dev/null +++ b/el2_dec_decode_ctl.fir @@ -0,0 +1,5032 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit el2_dec_decode_ctl : + extmodule TEC_RV_ICG : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + module el2_dec_dec_ctl : + input clock : Clock + input reset : Reset + output io : {flip ins : UInt<32>, out : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>}} + + node _T = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 72:23] + node _T_1 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 72:35] + node _T_2 = or(_T, _T_1) @[el2_dec_dec_ctl.scala 72:27] + node _T_3 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 72:49] + node _T_4 = eq(_T_3, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 72:42] + node _T_5 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 72:60] + node _T_6 = and(_T_4, _T_5) @[el2_dec_dec_ctl.scala 72:53] + node _T_7 = or(_T_2, _T_6) @[el2_dec_dec_ctl.scala 72:39] + node _T_8 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 72:75] + node _T_9 = eq(_T_8, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 72:68] + node _T_10 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 72:85] + node _T_11 = and(_T_9, _T_10) @[el2_dec_dec_ctl.scala 72:78] + node _T_12 = or(_T_7, _T_11) @[el2_dec_dec_ctl.scala 72:65] + io.out.alu <= _T_12 @[el2_dec_dec_ctl.scala 72:14] + node _T_13 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_14 = eq(_T_13, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_15 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_16 = eq(_T_15, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_17 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_18 = eq(_T_17, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_19 = and(_T_14, _T_16) @[el2_dec_dec_ctl.scala 73:51] + node _T_20 = and(_T_19, _T_18) @[el2_dec_dec_ctl.scala 73:51] + node _T_21 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_22 = eq(_T_21, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_23 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:33] + node _T_24 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_25 = eq(_T_24, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_26 = and(_T_22, _T_23) @[el2_dec_dec_ctl.scala 73:90] + node _T_27 = and(_T_26, _T_25) @[el2_dec_dec_ctl.scala 73:90] + node _T_28 = or(_T_20, _T_27) @[el2_dec_dec_ctl.scala 73:55] + node _T_29 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] + node _T_30 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_31 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_32 = eq(_T_31, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_33 = and(_T_29, _T_30) @[el2_dec_dec_ctl.scala 74:37] + node _T_34 = and(_T_33, _T_32) @[el2_dec_dec_ctl.scala 74:37] + node _T_35 = or(_T_28, _T_34) @[el2_dec_dec_ctl.scala 73:94] + node _T_36 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_37 = eq(_T_36, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_38 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:33] + node _T_39 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_40 = eq(_T_39, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_41 = and(_T_37, _T_38) @[el2_dec_dec_ctl.scala 74:76] + node _T_42 = and(_T_41, _T_40) @[el2_dec_dec_ctl.scala 74:76] + node _T_43 = or(_T_35, _T_42) @[el2_dec_dec_ctl.scala 74:41] + node _T_44 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] + node _T_45 = eq(_T_44, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_46 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_47 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_49 = and(_T_45, _T_46) @[el2_dec_dec_ctl.scala 75:38] + node _T_50 = and(_T_49, _T_48) @[el2_dec_dec_ctl.scala 75:38] + node _T_51 = or(_T_43, _T_50) @[el2_dec_dec_ctl.scala 74:80] + node _T_52 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_53 = eq(_T_52, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_54 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] + node _T_55 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_56 = eq(_T_55, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_57 = and(_T_53, _T_54) @[el2_dec_dec_ctl.scala 75:76] + node _T_58 = and(_T_57, _T_56) @[el2_dec_dec_ctl.scala 75:76] + node _T_59 = or(_T_51, _T_58) @[el2_dec_dec_ctl.scala 75:42] + node _T_60 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] + node _T_61 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_62 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_63 = eq(_T_62, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_64 = and(_T_60, _T_61) @[el2_dec_dec_ctl.scala 76:37] + node _T_65 = and(_T_64, _T_63) @[el2_dec_dec_ctl.scala 76:37] + node _T_66 = or(_T_59, _T_65) @[el2_dec_dec_ctl.scala 75:80] + node _T_67 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_68 = eq(_T_67, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_69 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:33] + node _T_70 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_71 = eq(_T_70, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_72 = and(_T_68, _T_69) @[el2_dec_dec_ctl.scala 76:75] + node _T_73 = and(_T_72, _T_71) @[el2_dec_dec_ctl.scala 76:75] + node _T_74 = or(_T_66, _T_73) @[el2_dec_dec_ctl.scala 76:41] + node _T_75 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] + node _T_76 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_77 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_78 = eq(_T_77, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_79 = and(_T_75, _T_76) @[el2_dec_dec_ctl.scala 77:37] + node _T_80 = and(_T_79, _T_78) @[el2_dec_dec_ctl.scala 77:37] + node _T_81 = or(_T_74, _T_80) @[el2_dec_dec_ctl.scala 76:79] + node _T_82 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_83 = eq(_T_82, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_84 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:33] + node _T_85 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_86 = eq(_T_85, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_87 = and(_T_83, _T_84) @[el2_dec_dec_ctl.scala 77:75] + node _T_88 = and(_T_87, _T_86) @[el2_dec_dec_ctl.scala 77:75] + node _T_89 = or(_T_81, _T_88) @[el2_dec_dec_ctl.scala 77:41] + node _T_90 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] + node _T_91 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_92 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_93 = eq(_T_92, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_94 = and(_T_90, _T_91) @[el2_dec_dec_ctl.scala 78:37] + node _T_95 = and(_T_94, _T_93) @[el2_dec_dec_ctl.scala 78:37] + node _T_96 = or(_T_89, _T_95) @[el2_dec_dec_ctl.scala 77:79] + node _T_97 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_98 = eq(_T_97, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_99 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_100 = eq(_T_99, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_101 = and(_T_98, _T_100) @[el2_dec_dec_ctl.scala 78:71] + node _T_102 = or(_T_96, _T_101) @[el2_dec_dec_ctl.scala 78:41] + node _T_103 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_104 = eq(_T_103, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_105 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_106 = eq(_T_105, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_107 = and(_T_104, _T_106) @[el2_dec_dec_ctl.scala 78:106] + node _T_108 = or(_T_102, _T_107) @[el2_dec_dec_ctl.scala 78:75] + io.out.rs1 <= _T_108 @[el2_dec_dec_ctl.scala 73:14] + node _T_109 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_110 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_111 = eq(_T_110, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_112 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_113 = eq(_T_112, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_114 = and(_T_109, _T_111) @[el2_dec_dec_ctl.scala 79:48] + node _T_115 = and(_T_114, _T_113) @[el2_dec_dec_ctl.scala 79:48] + node _T_116 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_117 = eq(_T_116, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_118 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_119 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_120 = eq(_T_119, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_121 = and(_T_117, _T_118) @[el2_dec_dec_ctl.scala 79:85] + node _T_122 = and(_T_121, _T_120) @[el2_dec_dec_ctl.scala 79:85] + node _T_123 = or(_T_115, _T_122) @[el2_dec_dec_ctl.scala 79:52] + io.out.rs2 <= _T_123 @[el2_dec_dec_ctl.scala 79:14] + node _T_124 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_125 = eq(_T_124, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_126 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_127 = eq(_T_126, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_128 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_129 = and(_T_125, _T_127) @[el2_dec_dec_ctl.scala 80:50] + node _T_130 = and(_T_129, _T_128) @[el2_dec_dec_ctl.scala 80:50] + node _T_131 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_132 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_133 = eq(_T_132, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_134 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_135 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_136 = eq(_T_135, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_137 = and(_T_131, _T_133) @[el2_dec_dec_ctl.scala 80:90] + node _T_138 = and(_T_137, _T_134) @[el2_dec_dec_ctl.scala 80:90] + node _T_139 = and(_T_138, _T_136) @[el2_dec_dec_ctl.scala 80:90] + node _T_140 = or(_T_130, _T_139) @[el2_dec_dec_ctl.scala 80:54] + node _T_141 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_142 = eq(_T_141, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_143 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_144 = eq(_T_143, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_145 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_146 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_147 = and(_T_142, _T_144) @[el2_dec_dec_ctl.scala 81:40] + node _T_148 = and(_T_147, _T_145) @[el2_dec_dec_ctl.scala 81:40] + node _T_149 = and(_T_148, _T_146) @[el2_dec_dec_ctl.scala 81:40] + node _T_150 = or(_T_140, _T_149) @[el2_dec_dec_ctl.scala 80:94] + node _T_151 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_152 = eq(_T_151, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_153 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_154 = eq(_T_153, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_155 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_156 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_157 = eq(_T_156, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_158 = and(_T_152, _T_154) @[el2_dec_dec_ctl.scala 81:81] + node _T_159 = and(_T_158, _T_155) @[el2_dec_dec_ctl.scala 81:81] + node _T_160 = and(_T_159, _T_157) @[el2_dec_dec_ctl.scala 81:81] + node _T_161 = or(_T_150, _T_160) @[el2_dec_dec_ctl.scala 81:44] + io.out.imm12 <= _T_161 @[el2_dec_dec_ctl.scala 80:16] + node _T_162 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 82:24] + node _T_163 = eq(_T_162, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 82:17] + node _T_164 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 82:37] + node _T_165 = eq(_T_164, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 82:30] + node _T_166 = and(_T_163, _T_165) @[el2_dec_dec_ctl.scala 82:28] + node _T_167 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 82:51] + node _T_168 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 82:63] + node _T_169 = and(_T_167, _T_168) @[el2_dec_dec_ctl.scala 82:55] + node _T_170 = or(_T_166, _T_169) @[el2_dec_dec_ctl.scala 82:42] + node _T_171 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 82:76] + node _T_172 = or(_T_170, _T_171) @[el2_dec_dec_ctl.scala 82:68] + io.out.rd <= _T_172 @[el2_dec_dec_ctl.scala 82:13] + node _T_173 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_174 = eq(_T_173, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_175 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_176 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_177 = eq(_T_176, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_178 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_179 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_180 = eq(_T_179, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_181 = and(_T_174, _T_175) @[el2_dec_dec_ctl.scala 83:58] + node _T_182 = and(_T_181, _T_177) @[el2_dec_dec_ctl.scala 83:58] + node _T_183 = and(_T_182, _T_178) @[el2_dec_dec_ctl.scala 83:58] + node _T_184 = and(_T_183, _T_180) @[el2_dec_dec_ctl.scala 83:58] + io.out.shimm5 <= _T_184 @[el2_dec_dec_ctl.scala 83:17] + node _T_185 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 84:26] + node _T_186 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 84:36] + node _T_187 = and(_T_185, _T_186) @[el2_dec_dec_ctl.scala 84:29] + node _T_188 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 84:50] + node _T_189 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 84:60] + node _T_190 = and(_T_188, _T_189) @[el2_dec_dec_ctl.scala 84:53] + node _T_191 = or(_T_187, _T_190) @[el2_dec_dec_ctl.scala 84:41] + io.out.imm20 <= _T_191 @[el2_dec_dec_ctl.scala 84:16] + node _T_192 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 85:24] + node _T_193 = eq(_T_192, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 85:17] + node _T_194 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 85:37] + node _T_195 = eq(_T_194, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 85:30] + node _T_196 = and(_T_193, _T_195) @[el2_dec_dec_ctl.scala 85:28] + node _T_197 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 85:49] + node _T_198 = and(_T_196, _T_197) @[el2_dec_dec_ctl.scala 85:41] + node _T_199 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 85:63] + node _T_200 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 85:75] + node _T_201 = and(_T_199, _T_200) @[el2_dec_dec_ctl.scala 85:67] + node _T_202 = or(_T_198, _T_201) @[el2_dec_dec_ctl.scala 85:54] + io.out.pc <= _T_202 @[el2_dec_dec_ctl.scala 85:13] + node _T_203 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_204 = eq(_T_203, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_205 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_206 = eq(_T_205, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_207 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_208 = eq(_T_207, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_209 = and(_T_204, _T_206) @[el2_dec_dec_ctl.scala 86:50] + node _T_210 = and(_T_209, _T_208) @[el2_dec_dec_ctl.scala 86:50] + io.out.load <= _T_210 @[el2_dec_dec_ctl.scala 86:15] + node _T_211 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_212 = eq(_T_211, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_213 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_214 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_215 = eq(_T_214, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_216 = and(_T_212, _T_213) @[el2_dec_dec_ctl.scala 87:50] + node _T_217 = and(_T_216, _T_215) @[el2_dec_dec_ctl.scala 87:50] + io.out.store <= _T_217 @[el2_dec_dec_ctl.scala 87:16] + node _T_218 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_219 = eq(_T_218, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_220 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_221 = eq(_T_220, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_222 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_223 = eq(_T_222, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_224 = and(_T_219, _T_221) @[el2_dec_dec_ctl.scala 88:49] + node _T_225 = and(_T_224, _T_223) @[el2_dec_dec_ctl.scala 88:49] + io.out.lsu <= _T_225 @[el2_dec_dec_ctl.scala 88:14] + node _T_226 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_227 = eq(_T_226, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_228 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_229 = eq(_T_228, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_230 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_231 = eq(_T_230, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_232 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_234 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_235 = and(_T_227, _T_229) @[el2_dec_dec_ctl.scala 89:57] + node _T_236 = and(_T_235, _T_231) @[el2_dec_dec_ctl.scala 89:57] + node _T_237 = and(_T_236, _T_233) @[el2_dec_dec_ctl.scala 89:57] + node _T_238 = and(_T_237, _T_234) @[el2_dec_dec_ctl.scala 89:57] + node _T_239 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_240 = eq(_T_239, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_241 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_242 = eq(_T_241, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_243 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_244 = and(_T_240, _T_242) @[el2_dec_dec_ctl.scala 89:94] + node _T_245 = and(_T_244, _T_243) @[el2_dec_dec_ctl.scala 89:94] + node _T_246 = or(_T_238, _T_245) @[el2_dec_dec_ctl.scala 89:61] + node _T_247 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_248 = eq(_T_247, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_249 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_250 = eq(_T_249, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_251 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_253 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_254 = eq(_T_253, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_255 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_256 = eq(_T_255, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_257 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_258 = eq(_T_257, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_259 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_260 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_261 = eq(_T_260, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_262 = and(_T_248, _T_250) @[el2_dec_dec_ctl.scala 90:56] + node _T_263 = and(_T_262, _T_252) @[el2_dec_dec_ctl.scala 90:56] + node _T_264 = and(_T_263, _T_254) @[el2_dec_dec_ctl.scala 90:56] + node _T_265 = and(_T_264, _T_256) @[el2_dec_dec_ctl.scala 90:56] + node _T_266 = and(_T_265, _T_258) @[el2_dec_dec_ctl.scala 90:56] + node _T_267 = and(_T_266, _T_259) @[el2_dec_dec_ctl.scala 90:56] + node _T_268 = and(_T_267, _T_261) @[el2_dec_dec_ctl.scala 90:56] + node _T_269 = or(_T_246, _T_268) @[el2_dec_dec_ctl.scala 89:98] + io.out.add <= _T_269 @[el2_dec_dec_ctl.scala 89:14] + node _T_270 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:33] + node _T_271 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_272 = eq(_T_271, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_273 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_274 = eq(_T_273, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_275 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_276 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_277 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_278 = eq(_T_277, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_279 = and(_T_270, _T_272) @[el2_dec_dec_ctl.scala 91:57] + node _T_280 = and(_T_279, _T_274) @[el2_dec_dec_ctl.scala 91:57] + node _T_281 = and(_T_280, _T_275) @[el2_dec_dec_ctl.scala 91:57] + node _T_282 = and(_T_281, _T_276) @[el2_dec_dec_ctl.scala 91:57] + node _T_283 = and(_T_282, _T_278) @[el2_dec_dec_ctl.scala 91:57] + node _T_284 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_285 = eq(_T_284, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_286 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_288 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_289 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_290 = eq(_T_289, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_291 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_292 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_293 = eq(_T_292, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_294 = and(_T_285, _T_287) @[el2_dec_dec_ctl.scala 91:105] + node _T_295 = and(_T_294, _T_288) @[el2_dec_dec_ctl.scala 91:105] + node _T_296 = and(_T_295, _T_290) @[el2_dec_dec_ctl.scala 91:105] + node _T_297 = and(_T_296, _T_291) @[el2_dec_dec_ctl.scala 91:105] + node _T_298 = and(_T_297, _T_293) @[el2_dec_dec_ctl.scala 91:105] + node _T_299 = or(_T_283, _T_298) @[el2_dec_dec_ctl.scala 91:61] + node _T_300 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_301 = eq(_T_300, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_302 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_303 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_304 = eq(_T_303, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_305 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_306 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_307 = eq(_T_306, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_308 = and(_T_301, _T_302) @[el2_dec_dec_ctl.scala 92:43] + node _T_309 = and(_T_308, _T_304) @[el2_dec_dec_ctl.scala 92:43] + node _T_310 = and(_T_309, _T_305) @[el2_dec_dec_ctl.scala 92:43] + node _T_311 = and(_T_310, _T_307) @[el2_dec_dec_ctl.scala 92:43] + node _T_312 = or(_T_299, _T_311) @[el2_dec_dec_ctl.scala 91:109] + node _T_313 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_314 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_315 = eq(_T_314, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_316 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_317 = eq(_T_316, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_318 = and(_T_313, _T_315) @[el2_dec_dec_ctl.scala 92:80] + node _T_319 = and(_T_318, _T_317) @[el2_dec_dec_ctl.scala 92:80] + node _T_320 = or(_T_312, _T_319) @[el2_dec_dec_ctl.scala 92:47] + io.out.sub <= _T_320 @[el2_dec_dec_ctl.scala 91:14] + node _T_321 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_322 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_323 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_324 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_326 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_328 = and(_T_321, _T_322) @[el2_dec_dec_ctl.scala 93:56] + node _T_329 = and(_T_328, _T_323) @[el2_dec_dec_ctl.scala 93:56] + node _T_330 = and(_T_329, _T_325) @[el2_dec_dec_ctl.scala 93:56] + node _T_331 = and(_T_330, _T_327) @[el2_dec_dec_ctl.scala 93:56] + node _T_332 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_333 = eq(_T_332, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_334 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_335 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_336 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_337 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_339 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_340 = eq(_T_339, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_341 = and(_T_333, _T_334) @[el2_dec_dec_ctl.scala 93:104] + node _T_342 = and(_T_341, _T_335) @[el2_dec_dec_ctl.scala 93:104] + node _T_343 = and(_T_342, _T_336) @[el2_dec_dec_ctl.scala 93:104] + node _T_344 = and(_T_343, _T_338) @[el2_dec_dec_ctl.scala 93:104] + node _T_345 = and(_T_344, _T_340) @[el2_dec_dec_ctl.scala 93:104] + node _T_346 = or(_T_331, _T_345) @[el2_dec_dec_ctl.scala 93:60] + io.out.land <= _T_346 @[el2_dec_dec_ctl.scala 93:15] + node _T_347 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_348 = eq(_T_347, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_349 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_350 = and(_T_348, _T_349) @[el2_dec_dec_ctl.scala 94:45] + node _T_351 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_352 = eq(_T_351, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_353 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_354 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_355 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_356 = eq(_T_355, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_357 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_358 = eq(_T_357, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_359 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_360 = eq(_T_359, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_361 = and(_T_352, _T_353) @[el2_dec_dec_ctl.scala 94:94] + node _T_362 = and(_T_361, _T_354) @[el2_dec_dec_ctl.scala 94:94] + node _T_363 = and(_T_362, _T_356) @[el2_dec_dec_ctl.scala 94:94] + node _T_364 = and(_T_363, _T_358) @[el2_dec_dec_ctl.scala 94:94] + node _T_365 = and(_T_364, _T_360) @[el2_dec_dec_ctl.scala 94:94] + node _T_366 = or(_T_350, _T_365) @[el2_dec_dec_ctl.scala 94:49] + node _T_367 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_368 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_369 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_370 = and(_T_367, _T_368) @[el2_dec_dec_ctl.scala 95:34] + node _T_371 = and(_T_370, _T_369) @[el2_dec_dec_ctl.scala 95:34] + node _T_372 = or(_T_366, _T_371) @[el2_dec_dec_ctl.scala 94:98] + node _T_373 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_374 = eq(_T_373, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_375 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_377 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_378 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_379 = and(_T_374, _T_376) @[el2_dec_dec_ctl.scala 95:75] + node _T_380 = and(_T_379, _T_377) @[el2_dec_dec_ctl.scala 95:75] + node _T_381 = and(_T_380, _T_378) @[el2_dec_dec_ctl.scala 95:75] + node _T_382 = or(_T_372, _T_381) @[el2_dec_dec_ctl.scala 95:38] + node _T_383 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_384 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_385 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_386 = eq(_T_385, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_387 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_388 = eq(_T_387, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_389 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_390 = eq(_T_389, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_391 = and(_T_383, _T_384) @[el2_dec_dec_ctl.scala 96:44] + node _T_392 = and(_T_391, _T_386) @[el2_dec_dec_ctl.scala 96:44] + node _T_393 = and(_T_392, _T_388) @[el2_dec_dec_ctl.scala 96:44] + node _T_394 = and(_T_393, _T_390) @[el2_dec_dec_ctl.scala 96:44] + node _T_395 = or(_T_382, _T_394) @[el2_dec_dec_ctl.scala 95:79] + io.out.lor <= _T_395 @[el2_dec_dec_ctl.scala 94:14] + node _T_396 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_398 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_399 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_401 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_402 = eq(_T_401, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_403 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_404 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_405 = eq(_T_404, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_406 = and(_T_397, _T_398) @[el2_dec_dec_ctl.scala 97:61] + node _T_407 = and(_T_406, _T_400) @[el2_dec_dec_ctl.scala 97:61] + node _T_408 = and(_T_407, _T_402) @[el2_dec_dec_ctl.scala 97:61] + node _T_409 = and(_T_408, _T_403) @[el2_dec_dec_ctl.scala 97:61] + node _T_410 = and(_T_409, _T_405) @[el2_dec_dec_ctl.scala 97:61] + node _T_411 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_412 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_413 = eq(_T_412, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_414 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_415 = eq(_T_414, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_416 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_417 = eq(_T_416, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_418 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_419 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_420 = eq(_T_419, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_421 = and(_T_411, _T_413) @[el2_dec_dec_ctl.scala 97:109] + node _T_422 = and(_T_421, _T_415) @[el2_dec_dec_ctl.scala 97:109] + node _T_423 = and(_T_422, _T_417) @[el2_dec_dec_ctl.scala 97:109] + node _T_424 = and(_T_423, _T_418) @[el2_dec_dec_ctl.scala 97:109] + node _T_425 = and(_T_424, _T_420) @[el2_dec_dec_ctl.scala 97:109] + node _T_426 = or(_T_410, _T_425) @[el2_dec_dec_ctl.scala 97:65] + io.out.lxor <= _T_426 @[el2_dec_dec_ctl.scala 97:15] + node _T_427 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_428 = eq(_T_427, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_429 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_430 = eq(_T_429, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_431 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_433 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_434 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_436 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_437 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_438 = eq(_T_437, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_439 = and(_T_428, _T_430) @[el2_dec_dec_ctl.scala 98:63] + node _T_440 = and(_T_439, _T_432) @[el2_dec_dec_ctl.scala 98:63] + node _T_441 = and(_T_440, _T_433) @[el2_dec_dec_ctl.scala 98:63] + node _T_442 = and(_T_441, _T_435) @[el2_dec_dec_ctl.scala 98:63] + node _T_443 = and(_T_442, _T_436) @[el2_dec_dec_ctl.scala 98:63] + node _T_444 = and(_T_443, _T_438) @[el2_dec_dec_ctl.scala 98:63] + io.out.sll <= _T_444 @[el2_dec_dec_ctl.scala 98:14] + node _T_445 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:33] + node _T_446 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_447 = eq(_T_446, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_448 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_449 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_450 = eq(_T_449, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_451 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_452 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_454 = and(_T_445, _T_447) @[el2_dec_dec_ctl.scala 99:58] + node _T_455 = and(_T_454, _T_448) @[el2_dec_dec_ctl.scala 99:58] + node _T_456 = and(_T_455, _T_450) @[el2_dec_dec_ctl.scala 99:58] + node _T_457 = and(_T_456, _T_451) @[el2_dec_dec_ctl.scala 99:58] + node _T_458 = and(_T_457, _T_453) @[el2_dec_dec_ctl.scala 99:58] + io.out.sra <= _T_458 @[el2_dec_dec_ctl.scala 99:14] + node _T_459 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_460 = eq(_T_459, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_461 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_463 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_464 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_465 = eq(_T_464, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_466 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_467 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_468 = eq(_T_467, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_469 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_470 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_471 = eq(_T_470, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_472 = and(_T_460, _T_462) @[el2_dec_dec_ctl.scala 100:66] + node _T_473 = and(_T_472, _T_463) @[el2_dec_dec_ctl.scala 100:66] + node _T_474 = and(_T_473, _T_465) @[el2_dec_dec_ctl.scala 100:66] + node _T_475 = and(_T_474, _T_466) @[el2_dec_dec_ctl.scala 100:66] + node _T_476 = and(_T_475, _T_468) @[el2_dec_dec_ctl.scala 100:66] + node _T_477 = and(_T_476, _T_469) @[el2_dec_dec_ctl.scala 100:66] + node _T_478 = and(_T_477, _T_471) @[el2_dec_dec_ctl.scala 100:66] + io.out.srl <= _T_478 @[el2_dec_dec_ctl.scala 100:14] + node _T_479 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_480 = eq(_T_479, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_481 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_482 = eq(_T_481, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_483 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_484 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_485 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_487 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_488 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_490 = and(_T_480, _T_482) @[el2_dec_dec_ctl.scala 101:62] + node _T_491 = and(_T_490, _T_483) @[el2_dec_dec_ctl.scala 101:62] + node _T_492 = and(_T_491, _T_484) @[el2_dec_dec_ctl.scala 101:62] + node _T_493 = and(_T_492, _T_486) @[el2_dec_dec_ctl.scala 101:62] + node _T_494 = and(_T_493, _T_487) @[el2_dec_dec_ctl.scala 101:62] + node _T_495 = and(_T_494, _T_489) @[el2_dec_dec_ctl.scala 101:62] + node _T_496 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_498 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_499 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_500 = eq(_T_499, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_501 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_502 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_503 = eq(_T_502, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_504 = and(_T_497, _T_498) @[el2_dec_dec_ctl.scala 101:106] + node _T_505 = and(_T_504, _T_500) @[el2_dec_dec_ctl.scala 101:106] + node _T_506 = and(_T_505, _T_501) @[el2_dec_dec_ctl.scala 101:106] + node _T_507 = and(_T_506, _T_503) @[el2_dec_dec_ctl.scala 101:106] + node _T_508 = or(_T_495, _T_507) @[el2_dec_dec_ctl.scala 101:66] + io.out.slt <= _T_508 @[el2_dec_dec_ctl.scala 101:14] + node _T_509 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_510 = eq(_T_509, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_511 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_512 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_513 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_515 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_516 = eq(_T_515, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_517 = and(_T_510, _T_511) @[el2_dec_dec_ctl.scala 102:59] + node _T_518 = and(_T_517, _T_512) @[el2_dec_dec_ctl.scala 102:59] + node _T_519 = and(_T_518, _T_514) @[el2_dec_dec_ctl.scala 102:59] + node _T_520 = and(_T_519, _T_516) @[el2_dec_dec_ctl.scala 102:59] + node _T_521 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_522 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_523 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_524 = eq(_T_523, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_525 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_526 = eq(_T_525, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_527 = and(_T_521, _T_522) @[el2_dec_dec_ctl.scala 102:99] + node _T_528 = and(_T_527, _T_524) @[el2_dec_dec_ctl.scala 102:99] + node _T_529 = and(_T_528, _T_526) @[el2_dec_dec_ctl.scala 102:99] + node _T_530 = or(_T_520, _T_529) @[el2_dec_dec_ctl.scala 102:63] + node _T_531 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_532 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_533 = eq(_T_532, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_534 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_535 = eq(_T_534, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_536 = and(_T_531, _T_533) @[el2_dec_dec_ctl.scala 103:37] + node _T_537 = and(_T_536, _T_535) @[el2_dec_dec_ctl.scala 103:37] + node _T_538 = or(_T_530, _T_537) @[el2_dec_dec_ctl.scala 102:103] + node _T_539 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_540 = eq(_T_539, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_541 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_542 = eq(_T_541, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_543 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_544 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_545 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_546 = eq(_T_545, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_547 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_548 = eq(_T_547, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_549 = and(_T_540, _T_542) @[el2_dec_dec_ctl.scala 103:86] + node _T_550 = and(_T_549, _T_543) @[el2_dec_dec_ctl.scala 103:86] + node _T_551 = and(_T_550, _T_544) @[el2_dec_dec_ctl.scala 103:86] + node _T_552 = and(_T_551, _T_546) @[el2_dec_dec_ctl.scala 103:86] + node _T_553 = and(_T_552, _T_548) @[el2_dec_dec_ctl.scala 103:86] + node _T_554 = or(_T_538, _T_553) @[el2_dec_dec_ctl.scala 103:41] + node _T_555 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_556 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_557 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_558 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_559 = eq(_T_558, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_560 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_561 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_562 = eq(_T_561, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_563 = and(_T_555, _T_556) @[el2_dec_dec_ctl.scala 104:45] + node _T_564 = and(_T_563, _T_557) @[el2_dec_dec_ctl.scala 104:45] + node _T_565 = and(_T_564, _T_559) @[el2_dec_dec_ctl.scala 104:45] + node _T_566 = and(_T_565, _T_560) @[el2_dec_dec_ctl.scala 104:45] + node _T_567 = and(_T_566, _T_562) @[el2_dec_dec_ctl.scala 104:45] + node _T_568 = or(_T_554, _T_567) @[el2_dec_dec_ctl.scala 103:90] + io.out.unsign <= _T_568 @[el2_dec_dec_ctl.scala 102:17] + node _T_569 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_570 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_571 = eq(_T_570, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_572 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_573 = eq(_T_572, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_574 = and(_T_569, _T_571) @[el2_dec_dec_ctl.scala 105:51] + node _T_575 = and(_T_574, _T_573) @[el2_dec_dec_ctl.scala 105:51] + io.out.condbr <= _T_575 @[el2_dec_dec_ctl.scala 105:17] + node _T_576 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_577 = eq(_T_576, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_578 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_579 = eq(_T_578, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_580 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_581 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_582 = eq(_T_581, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_583 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_584 = eq(_T_583, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_585 = and(_T_577, _T_579) @[el2_dec_dec_ctl.scala 106:56] + node _T_586 = and(_T_585, _T_580) @[el2_dec_dec_ctl.scala 106:56] + node _T_587 = and(_T_586, _T_582) @[el2_dec_dec_ctl.scala 106:56] + node _T_588 = and(_T_587, _T_584) @[el2_dec_dec_ctl.scala 106:56] + io.out.beq <= _T_588 @[el2_dec_dec_ctl.scala 106:14] + node _T_589 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_590 = eq(_T_589, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_591 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_592 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_593 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_594 = eq(_T_593, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_595 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_596 = eq(_T_595, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_597 = and(_T_590, _T_591) @[el2_dec_dec_ctl.scala 107:55] + node _T_598 = and(_T_597, _T_592) @[el2_dec_dec_ctl.scala 107:55] + node _T_599 = and(_T_598, _T_594) @[el2_dec_dec_ctl.scala 107:55] + node _T_600 = and(_T_599, _T_596) @[el2_dec_dec_ctl.scala 107:55] + io.out.bne <= _T_600 @[el2_dec_dec_ctl.scala 107:14] + node _T_601 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_602 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_603 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_604 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_605 = eq(_T_604, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_606 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_607 = eq(_T_606, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_608 = and(_T_601, _T_602) @[el2_dec_dec_ctl.scala 108:54] + node _T_609 = and(_T_608, _T_603) @[el2_dec_dec_ctl.scala 108:54] + node _T_610 = and(_T_609, _T_605) @[el2_dec_dec_ctl.scala 108:54] + node _T_611 = and(_T_610, _T_607) @[el2_dec_dec_ctl.scala 108:54] + io.out.bge <= _T_611 @[el2_dec_dec_ctl.scala 108:14] + node _T_612 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_613 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_614 = eq(_T_613, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_615 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_616 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_617 = eq(_T_616, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_618 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_619 = eq(_T_618, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_620 = and(_T_612, _T_614) @[el2_dec_dec_ctl.scala 109:55] + node _T_621 = and(_T_620, _T_615) @[el2_dec_dec_ctl.scala 109:55] + node _T_622 = and(_T_621, _T_617) @[el2_dec_dec_ctl.scala 109:55] + node _T_623 = and(_T_622, _T_619) @[el2_dec_dec_ctl.scala 109:55] + io.out.blt <= _T_623 @[el2_dec_dec_ctl.scala 109:14] + node _T_624 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_625 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_626 = and(_T_624, _T_625) @[el2_dec_dec_ctl.scala 110:44] + io.out.jal <= _T_626 @[el2_dec_dec_ctl.scala 110:14] + node _T_627 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_628 = eq(_T_627, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_629 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_630 = eq(_T_629, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_631 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_632 = eq(_T_631, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_633 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_634 = eq(_T_633, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_635 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_636 = eq(_T_635, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_637 = and(_T_628, _T_630) @[el2_dec_dec_ctl.scala 111:56] + node _T_638 = and(_T_637, _T_632) @[el2_dec_dec_ctl.scala 111:56] + node _T_639 = and(_T_638, _T_634) @[el2_dec_dec_ctl.scala 111:56] + node _T_640 = and(_T_639, _T_636) @[el2_dec_dec_ctl.scala 111:56] + io.out.by <= _T_640 @[el2_dec_dec_ctl.scala 111:13] + node _T_641 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_642 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_643 = eq(_T_642, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_644 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_645 = eq(_T_644, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_646 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_647 = eq(_T_646, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_648 = and(_T_641, _T_643) @[el2_dec_dec_ctl.scala 112:53] + node _T_649 = and(_T_648, _T_645) @[el2_dec_dec_ctl.scala 112:53] + node _T_650 = and(_T_649, _T_647) @[el2_dec_dec_ctl.scala 112:53] + io.out.half <= _T_650 @[el2_dec_dec_ctl.scala 112:15] + node _T_651 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_652 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_653 = eq(_T_652, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_654 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_655 = eq(_T_654, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_656 = and(_T_651, _T_653) @[el2_dec_dec_ctl.scala 113:50] + node _T_657 = and(_T_656, _T_655) @[el2_dec_dec_ctl.scala 113:50] + io.out.word <= _T_657 @[el2_dec_dec_ctl.scala 113:15] + node _T_658 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_659 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_660 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_661 = and(_T_658, _T_659) @[el2_dec_dec_ctl.scala 114:52] + node _T_662 = and(_T_661, _T_660) @[el2_dec_dec_ctl.scala 114:52] + node _T_663 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:33] + node _T_664 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_665 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_666 = and(_T_663, _T_664) @[el2_dec_dec_ctl.scala 114:87] + node _T_667 = and(_T_666, _T_665) @[el2_dec_dec_ctl.scala 114:87] + node _T_668 = or(_T_662, _T_667) @[el2_dec_dec_ctl.scala 114:56] + node _T_669 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:33] + node _T_670 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_671 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_672 = and(_T_669, _T_670) @[el2_dec_dec_ctl.scala 115:34] + node _T_673 = and(_T_672, _T_671) @[el2_dec_dec_ctl.scala 115:34] + node _T_674 = or(_T_668, _T_673) @[el2_dec_dec_ctl.scala 114:91] + node _T_675 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] + node _T_676 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_677 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_678 = and(_T_675, _T_676) @[el2_dec_dec_ctl.scala 115:69] + node _T_679 = and(_T_678, _T_677) @[el2_dec_dec_ctl.scala 115:69] + node _T_680 = or(_T_674, _T_679) @[el2_dec_dec_ctl.scala 115:38] + node _T_681 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:33] + node _T_682 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_683 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_684 = and(_T_681, _T_682) @[el2_dec_dec_ctl.scala 115:105] + node _T_685 = and(_T_684, _T_683) @[el2_dec_dec_ctl.scala 115:105] + node _T_686 = or(_T_680, _T_685) @[el2_dec_dec_ctl.scala 115:73] + node _T_687 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:33] + node _T_688 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_689 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_690 = and(_T_687, _T_688) @[el2_dec_dec_ctl.scala 116:35] + node _T_691 = and(_T_690, _T_689) @[el2_dec_dec_ctl.scala 116:35] + node _T_692 = or(_T_686, _T_691) @[el2_dec_dec_ctl.scala 115:109] + io.out.csr_read <= _T_692 @[el2_dec_dec_ctl.scala 114:19] + node _T_693 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] + node _T_694 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_695 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_696 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_697 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_698 = and(_T_693, _T_694) @[el2_dec_dec_ctl.scala 117:57] + node _T_699 = and(_T_698, _T_695) @[el2_dec_dec_ctl.scala 117:57] + node _T_700 = and(_T_699, _T_696) @[el2_dec_dec_ctl.scala 117:57] + node _T_701 = and(_T_700, _T_697) @[el2_dec_dec_ctl.scala 117:57] + node _T_702 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] + node _T_703 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_704 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_705 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_706 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_707 = and(_T_702, _T_703) @[el2_dec_dec_ctl.scala 117:99] + node _T_708 = and(_T_707, _T_704) @[el2_dec_dec_ctl.scala 117:99] + node _T_709 = and(_T_708, _T_705) @[el2_dec_dec_ctl.scala 117:99] + node _T_710 = and(_T_709, _T_706) @[el2_dec_dec_ctl.scala 117:99] + node _T_711 = or(_T_701, _T_710) @[el2_dec_dec_ctl.scala 117:61] + node _T_712 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] + node _T_713 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_714 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_715 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_716 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_717 = and(_T_712, _T_713) @[el2_dec_dec_ctl.scala 118:41] + node _T_718 = and(_T_717, _T_714) @[el2_dec_dec_ctl.scala 118:41] + node _T_719 = and(_T_718, _T_715) @[el2_dec_dec_ctl.scala 118:41] + node _T_720 = and(_T_719, _T_716) @[el2_dec_dec_ctl.scala 118:41] + node _T_721 = or(_T_711, _T_720) @[el2_dec_dec_ctl.scala 117:103] + node _T_722 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] + node _T_723 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_724 = eq(_T_723, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_725 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_726 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_727 = and(_T_722, _T_724) @[el2_dec_dec_ctl.scala 118:81] + node _T_728 = and(_T_727, _T_725) @[el2_dec_dec_ctl.scala 118:81] + node _T_729 = and(_T_728, _T_726) @[el2_dec_dec_ctl.scala 118:81] + node _T_730 = or(_T_721, _T_729) @[el2_dec_dec_ctl.scala 118:45] + node _T_731 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] + node _T_732 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_733 = eq(_T_732, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_734 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_735 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_736 = and(_T_731, _T_733) @[el2_dec_dec_ctl.scala 119:39] + node _T_737 = and(_T_736, _T_734) @[el2_dec_dec_ctl.scala 119:39] + node _T_738 = and(_T_737, _T_735) @[el2_dec_dec_ctl.scala 119:39] + node _T_739 = or(_T_730, _T_738) @[el2_dec_dec_ctl.scala 118:85] + io.out.csr_clr <= _T_739 @[el2_dec_dec_ctl.scala 117:18] + node _T_740 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_741 = eq(_T_740, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_742 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_743 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_744 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_745 = and(_T_741, _T_742) @[el2_dec_dec_ctl.scala 120:57] + node _T_746 = and(_T_745, _T_743) @[el2_dec_dec_ctl.scala 120:57] + node _T_747 = and(_T_746, _T_744) @[el2_dec_dec_ctl.scala 120:57] + io.out.csr_write <= _T_747 @[el2_dec_dec_ctl.scala 120:20] + node _T_748 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_749 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_750 = eq(_T_749, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_751 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_752 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_753 = and(_T_748, _T_750) @[el2_dec_dec_ctl.scala 121:55] + node _T_754 = and(_T_753, _T_751) @[el2_dec_dec_ctl.scala 121:55] + node _T_755 = and(_T_754, _T_752) @[el2_dec_dec_ctl.scala 121:55] + node _T_756 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] + node _T_757 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_758 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_759 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_760 = and(_T_756, _T_757) @[el2_dec_dec_ctl.scala 121:94] + node _T_761 = and(_T_760, _T_758) @[el2_dec_dec_ctl.scala 121:94] + node _T_762 = and(_T_761, _T_759) @[el2_dec_dec_ctl.scala 121:94] + node _T_763 = or(_T_755, _T_762) @[el2_dec_dec_ctl.scala 121:59] + node _T_764 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] + node _T_765 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_766 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_767 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_768 = and(_T_764, _T_765) @[el2_dec_dec_ctl.scala 122:38] + node _T_769 = and(_T_768, _T_766) @[el2_dec_dec_ctl.scala 122:38] + node _T_770 = and(_T_769, _T_767) @[el2_dec_dec_ctl.scala 122:38] + node _T_771 = or(_T_763, _T_770) @[el2_dec_dec_ctl.scala 121:98] + node _T_772 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] + node _T_773 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_774 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_775 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_776 = and(_T_772, _T_773) @[el2_dec_dec_ctl.scala 122:77] + node _T_777 = and(_T_776, _T_774) @[el2_dec_dec_ctl.scala 122:77] + node _T_778 = and(_T_777, _T_775) @[el2_dec_dec_ctl.scala 122:77] + node _T_779 = or(_T_771, _T_778) @[el2_dec_dec_ctl.scala 122:42] + node _T_780 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] + node _T_781 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_782 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_783 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_784 = and(_T_780, _T_781) @[el2_dec_dec_ctl.scala 123:38] + node _T_785 = and(_T_784, _T_782) @[el2_dec_dec_ctl.scala 123:38] + node _T_786 = and(_T_785, _T_783) @[el2_dec_dec_ctl.scala 123:38] + node _T_787 = or(_T_779, _T_786) @[el2_dec_dec_ctl.scala 122:81] + node _T_788 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] + node _T_789 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_790 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_791 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_792 = and(_T_788, _T_789) @[el2_dec_dec_ctl.scala 123:77] + node _T_793 = and(_T_792, _T_790) @[el2_dec_dec_ctl.scala 123:77] + node _T_794 = and(_T_793, _T_791) @[el2_dec_dec_ctl.scala 123:77] + node _T_795 = or(_T_787, _T_794) @[el2_dec_dec_ctl.scala 123:42] + io.out.csr_imm <= _T_795 @[el2_dec_dec_ctl.scala 121:18] + node _T_796 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] + node _T_797 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_799 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_800 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_801 = and(_T_796, _T_798) @[el2_dec_dec_ctl.scala 124:55] + node _T_802 = and(_T_801, _T_799) @[el2_dec_dec_ctl.scala 124:55] + node _T_803 = and(_T_802, _T_800) @[el2_dec_dec_ctl.scala 124:55] + node _T_804 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] + node _T_805 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_806 = eq(_T_805, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_807 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_808 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_809 = and(_T_804, _T_806) @[el2_dec_dec_ctl.scala 124:95] + node _T_810 = and(_T_809, _T_807) @[el2_dec_dec_ctl.scala 124:95] + node _T_811 = and(_T_810, _T_808) @[el2_dec_dec_ctl.scala 124:95] + node _T_812 = or(_T_803, _T_811) @[el2_dec_dec_ctl.scala 124:59] + node _T_813 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] + node _T_814 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_815 = eq(_T_814, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_816 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_817 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_818 = and(_T_813, _T_815) @[el2_dec_dec_ctl.scala 125:39] + node _T_819 = and(_T_818, _T_816) @[el2_dec_dec_ctl.scala 125:39] + node _T_820 = and(_T_819, _T_817) @[el2_dec_dec_ctl.scala 125:39] + node _T_821 = or(_T_812, _T_820) @[el2_dec_dec_ctl.scala 124:99] + node _T_822 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] + node _T_823 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_824 = eq(_T_823, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_825 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_826 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_827 = and(_T_822, _T_824) @[el2_dec_dec_ctl.scala 125:79] + node _T_828 = and(_T_827, _T_825) @[el2_dec_dec_ctl.scala 125:79] + node _T_829 = and(_T_828, _T_826) @[el2_dec_dec_ctl.scala 125:79] + node _T_830 = or(_T_821, _T_829) @[el2_dec_dec_ctl.scala 125:43] + node _T_831 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] + node _T_832 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_833 = eq(_T_832, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_834 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_835 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_836 = and(_T_831, _T_833) @[el2_dec_dec_ctl.scala 126:39] + node _T_837 = and(_T_836, _T_834) @[el2_dec_dec_ctl.scala 126:39] + node _T_838 = and(_T_837, _T_835) @[el2_dec_dec_ctl.scala 126:39] + node _T_839 = or(_T_830, _T_838) @[el2_dec_dec_ctl.scala 125:83] + io.out.csr_set <= _T_839 @[el2_dec_dec_ctl.scala 124:18] + node _T_840 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] + node _T_841 = eq(_T_840, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_842 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:33] + node _T_843 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_845 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_846 = eq(_T_845, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_847 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_848 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_849 = and(_T_841, _T_842) @[el2_dec_dec_ctl.scala 127:62] + node _T_850 = and(_T_849, _T_844) @[el2_dec_dec_ctl.scala 127:62] + node _T_851 = and(_T_850, _T_846) @[el2_dec_dec_ctl.scala 127:62] + node _T_852 = and(_T_851, _T_847) @[el2_dec_dec_ctl.scala 127:62] + node _T_853 = and(_T_852, _T_848) @[el2_dec_dec_ctl.scala 127:62] + io.out.ebreak <= _T_853 @[el2_dec_dec_ctl.scala 127:17] + node _T_854 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:52] + node _T_855 = eq(_T_854, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_856 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:52] + node _T_857 = eq(_T_856, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_858 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_859 = eq(_T_858, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_860 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_861 = eq(_T_860, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_862 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_863 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_864 = and(_T_855, _T_857) @[el2_dec_dec_ctl.scala 128:62] + node _T_865 = and(_T_864, _T_859) @[el2_dec_dec_ctl.scala 128:62] + node _T_866 = and(_T_865, _T_861) @[el2_dec_dec_ctl.scala 128:62] + node _T_867 = and(_T_866, _T_862) @[el2_dec_dec_ctl.scala 128:62] + node _T_868 = and(_T_867, _T_863) @[el2_dec_dec_ctl.scala 128:62] + io.out.ecall <= _T_868 @[el2_dec_dec_ctl.scala 128:16] + node _T_869 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:33] + node _T_870 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_871 = eq(_T_870, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_872 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_873 = eq(_T_872, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_874 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_875 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_876 = and(_T_869, _T_871) @[el2_dec_dec_ctl.scala 129:56] + node _T_877 = and(_T_876, _T_873) @[el2_dec_dec_ctl.scala 129:56] + node _T_878 = and(_T_877, _T_874) @[el2_dec_dec_ctl.scala 129:56] + node _T_879 = and(_T_878, _T_875) @[el2_dec_dec_ctl.scala 129:56] + io.out.mret <= _T_879 @[el2_dec_dec_ctl.scala 129:15] + node _T_880 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_881 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_882 = eq(_T_881, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_883 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_884 = eq(_T_883, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_885 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_886 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_887 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_888 = eq(_T_887, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_889 = and(_T_880, _T_882) @[el2_dec_dec_ctl.scala 130:57] + node _T_890 = and(_T_889, _T_884) @[el2_dec_dec_ctl.scala 130:57] + node _T_891 = and(_T_890, _T_885) @[el2_dec_dec_ctl.scala 130:57] + node _T_892 = and(_T_891, _T_886) @[el2_dec_dec_ctl.scala 130:57] + node _T_893 = and(_T_892, _T_888) @[el2_dec_dec_ctl.scala 130:57] + io.out.mul <= _T_893 @[el2_dec_dec_ctl.scala 130:14] + node _T_894 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_895 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_896 = eq(_T_895, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_897 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_898 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_899 = eq(_T_898, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_900 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_901 = eq(_T_900, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_902 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_903 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_904 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_905 = eq(_T_904, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_906 = and(_T_894, _T_896) @[el2_dec_dec_ctl.scala 131:69] + node _T_907 = and(_T_906, _T_897) @[el2_dec_dec_ctl.scala 131:69] + node _T_908 = and(_T_907, _T_899) @[el2_dec_dec_ctl.scala 131:69] + node _T_909 = and(_T_908, _T_901) @[el2_dec_dec_ctl.scala 131:69] + node _T_910 = and(_T_909, _T_902) @[el2_dec_dec_ctl.scala 131:69] + node _T_911 = and(_T_910, _T_903) @[el2_dec_dec_ctl.scala 131:69] + node _T_912 = and(_T_911, _T_905) @[el2_dec_dec_ctl.scala 131:69] + node _T_913 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_914 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_915 = eq(_T_914, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_916 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_917 = eq(_T_916, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_918 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_919 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_920 = eq(_T_919, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_921 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_922 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_923 = eq(_T_922, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_924 = and(_T_913, _T_915) @[el2_dec_dec_ctl.scala 132:50] + node _T_925 = and(_T_924, _T_917) @[el2_dec_dec_ctl.scala 132:50] + node _T_926 = and(_T_925, _T_918) @[el2_dec_dec_ctl.scala 132:50] + node _T_927 = and(_T_926, _T_920) @[el2_dec_dec_ctl.scala 132:50] + node _T_928 = and(_T_927, _T_921) @[el2_dec_dec_ctl.scala 132:50] + node _T_929 = and(_T_928, _T_923) @[el2_dec_dec_ctl.scala 132:50] + node _T_930 = or(_T_912, _T_929) @[el2_dec_dec_ctl.scala 131:73] + io.out.rs1_sign <= _T_930 @[el2_dec_dec_ctl.scala 131:19] + node _T_931 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_932 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_933 = eq(_T_932, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_934 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_935 = eq(_T_934, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_936 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_937 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_938 = eq(_T_937, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_939 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_940 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_941 = eq(_T_940, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_942 = and(_T_931, _T_933) @[el2_dec_dec_ctl.scala 133:67] + node _T_943 = and(_T_942, _T_935) @[el2_dec_dec_ctl.scala 133:67] + node _T_944 = and(_T_943, _T_936) @[el2_dec_dec_ctl.scala 133:67] + node _T_945 = and(_T_944, _T_938) @[el2_dec_dec_ctl.scala 133:67] + node _T_946 = and(_T_945, _T_939) @[el2_dec_dec_ctl.scala 133:67] + node _T_947 = and(_T_946, _T_941) @[el2_dec_dec_ctl.scala 133:67] + io.out.rs2_sign <= _T_947 @[el2_dec_dec_ctl.scala 133:19] + node _T_948 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_949 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_950 = eq(_T_949, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_951 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_952 = eq(_T_951, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_953 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_954 = eq(_T_953, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_955 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_956 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_957 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_958 = eq(_T_957, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_959 = and(_T_948, _T_950) @[el2_dec_dec_ctl.scala 134:62] + node _T_960 = and(_T_959, _T_952) @[el2_dec_dec_ctl.scala 134:62] + node _T_961 = and(_T_960, _T_954) @[el2_dec_dec_ctl.scala 134:62] + node _T_962 = and(_T_961, _T_955) @[el2_dec_dec_ctl.scala 134:62] + node _T_963 = and(_T_962, _T_956) @[el2_dec_dec_ctl.scala 134:62] + node _T_964 = and(_T_963, _T_958) @[el2_dec_dec_ctl.scala 134:62] + io.out.low <= _T_964 @[el2_dec_dec_ctl.scala 134:14] + node _T_965 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_966 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_967 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_968 = eq(_T_967, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_969 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_970 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_971 = eq(_T_970, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_972 = and(_T_965, _T_966) @[el2_dec_dec_ctl.scala 135:54] + node _T_973 = and(_T_972, _T_968) @[el2_dec_dec_ctl.scala 135:54] + node _T_974 = and(_T_973, _T_969) @[el2_dec_dec_ctl.scala 135:54] + node _T_975 = and(_T_974, _T_971) @[el2_dec_dec_ctl.scala 135:54] + io.out.div <= _T_975 @[el2_dec_dec_ctl.scala 135:14] + node _T_976 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:33] + node _T_977 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_978 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_979 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_981 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_982 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_983 = eq(_T_982, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_984 = and(_T_976, _T_977) @[el2_dec_dec_ctl.scala 136:57] + node _T_985 = and(_T_984, _T_978) @[el2_dec_dec_ctl.scala 136:57] + node _T_986 = and(_T_985, _T_980) @[el2_dec_dec_ctl.scala 136:57] + node _T_987 = and(_T_986, _T_981) @[el2_dec_dec_ctl.scala 136:57] + node _T_988 = and(_T_987, _T_983) @[el2_dec_dec_ctl.scala 136:57] + io.out.rem <= _T_988 @[el2_dec_dec_ctl.scala 136:14] + node _T_989 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_990 = eq(_T_989, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_991 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_992 = and(_T_990, _T_991) @[el2_dec_dec_ctl.scala 137:47] + io.out.fence <= _T_992 @[el2_dec_dec_ctl.scala 137:16] + node _T_993 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_994 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_995 = eq(_T_994, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_996 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_997 = and(_T_993, _T_995) @[el2_dec_dec_ctl.scala 138:52] + node _T_998 = and(_T_997, _T_996) @[el2_dec_dec_ctl.scala 138:52] + io.out.fence_i <= _T_998 @[el2_dec_dec_ctl.scala 138:18] + node _T_999 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:33] + node _T_1000 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:33] + node _T_1001 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1003 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1004 = eq(_T_1003, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1005 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1006 = and(_T_999, _T_1000) @[el2_dec_dec_ctl.scala 139:59] + node _T_1007 = and(_T_1006, _T_1002) @[el2_dec_dec_ctl.scala 139:59] + node _T_1008 = and(_T_1007, _T_1004) @[el2_dec_dec_ctl.scala 139:59] + node _T_1009 = and(_T_1008, _T_1005) @[el2_dec_dec_ctl.scala 139:59] + node _T_1010 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1011 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_1012 = and(_T_1010, _T_1011) @[el2_dec_dec_ctl.scala 139:92] + node _T_1013 = or(_T_1009, _T_1012) @[el2_dec_dec_ctl.scala 139:63] + node _T_1014 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1015 = eq(_T_1014, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1016 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1017 = eq(_T_1016, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1018 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1019 = and(_T_1015, _T_1017) @[el2_dec_dec_ctl.scala 140:37] + node _T_1020 = and(_T_1019, _T_1018) @[el2_dec_dec_ctl.scala 140:37] + node _T_1021 = or(_T_1013, _T_1020) @[el2_dec_dec_ctl.scala 139:96] + node _T_1022 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1023 = eq(_T_1022, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1024 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1025 = and(_T_1023, _T_1024) @[el2_dec_dec_ctl.scala 140:71] + node _T_1026 = or(_T_1021, _T_1025) @[el2_dec_dec_ctl.scala 140:41] + io.out.pm_alu <= _T_1026 @[el2_dec_dec_ctl.scala 139:17] + node _T_1027 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1028 = eq(_T_1027, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1029 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_1030 = and(_T_1028, _T_1029) @[el2_dec_dec_ctl.scala 141:49] + node _T_1031 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1032 = eq(_T_1031, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1033 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:33] + node _T_1034 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1035 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1036 = and(_T_1032, _T_1033) @[el2_dec_dec_ctl.scala 141:88] + node _T_1037 = and(_T_1036, _T_1034) @[el2_dec_dec_ctl.scala 141:88] + node _T_1038 = and(_T_1037, _T_1035) @[el2_dec_dec_ctl.scala 141:88] + node _T_1039 = or(_T_1030, _T_1038) @[el2_dec_dec_ctl.scala 141:53] + node _T_1040 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1041 = eq(_T_1040, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1042 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:33] + node _T_1043 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1044 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1045 = and(_T_1041, _T_1042) @[el2_dec_dec_ctl.scala 142:38] + node _T_1046 = and(_T_1045, _T_1043) @[el2_dec_dec_ctl.scala 142:38] + node _T_1047 = and(_T_1046, _T_1044) @[el2_dec_dec_ctl.scala 142:38] + node _T_1048 = or(_T_1039, _T_1047) @[el2_dec_dec_ctl.scala 141:92] + node _T_1049 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1050 = eq(_T_1049, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1051 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] + node _T_1052 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1053 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1054 = and(_T_1050, _T_1051) @[el2_dec_dec_ctl.scala 142:77] + node _T_1055 = and(_T_1054, _T_1052) @[el2_dec_dec_ctl.scala 142:77] + node _T_1056 = and(_T_1055, _T_1053) @[el2_dec_dec_ctl.scala 142:77] + node _T_1057 = or(_T_1048, _T_1056) @[el2_dec_dec_ctl.scala 142:42] + node _T_1058 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1059 = eq(_T_1058, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1060 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] + node _T_1061 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1062 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1063 = and(_T_1059, _T_1060) @[el2_dec_dec_ctl.scala 143:38] + node _T_1064 = and(_T_1063, _T_1061) @[el2_dec_dec_ctl.scala 143:38] + node _T_1065 = and(_T_1064, _T_1062) @[el2_dec_dec_ctl.scala 143:38] + node _T_1066 = or(_T_1057, _T_1065) @[el2_dec_dec_ctl.scala 142:81] + node _T_1067 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1068 = eq(_T_1067, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1069 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:33] + node _T_1070 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1071 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1072 = and(_T_1068, _T_1069) @[el2_dec_dec_ctl.scala 143:78] + node _T_1073 = and(_T_1072, _T_1070) @[el2_dec_dec_ctl.scala 143:78] + node _T_1074 = and(_T_1073, _T_1071) @[el2_dec_dec_ctl.scala 143:78] + node _T_1075 = or(_T_1066, _T_1074) @[el2_dec_dec_ctl.scala 143:42] + node _T_1076 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1077 = eq(_T_1076, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1078 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:33] + node _T_1079 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1080 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1081 = and(_T_1077, _T_1078) @[el2_dec_dec_ctl.scala 144:39] + node _T_1082 = and(_T_1081, _T_1079) @[el2_dec_dec_ctl.scala 144:39] + node _T_1083 = and(_T_1082, _T_1080) @[el2_dec_dec_ctl.scala 144:39] + node _T_1084 = or(_T_1075, _T_1083) @[el2_dec_dec_ctl.scala 143:82] + node _T_1085 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] + node _T_1086 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1087 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1088 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1089 = and(_T_1085, _T_1086) @[el2_dec_dec_ctl.scala 144:78] + node _T_1090 = and(_T_1089, _T_1087) @[el2_dec_dec_ctl.scala 144:78] + node _T_1091 = and(_T_1090, _T_1088) @[el2_dec_dec_ctl.scala 144:78] + node _T_1092 = or(_T_1084, _T_1091) @[el2_dec_dec_ctl.scala 144:43] + node _T_1093 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] + node _T_1094 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1095 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1096 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1097 = and(_T_1093, _T_1094) @[el2_dec_dec_ctl.scala 145:38] + node _T_1098 = and(_T_1097, _T_1095) @[el2_dec_dec_ctl.scala 145:38] + node _T_1099 = and(_T_1098, _T_1096) @[el2_dec_dec_ctl.scala 145:38] + node _T_1100 = or(_T_1092, _T_1099) @[el2_dec_dec_ctl.scala 144:82] + node _T_1101 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] + node _T_1102 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1103 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1104 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1105 = and(_T_1101, _T_1102) @[el2_dec_dec_ctl.scala 145:77] + node _T_1106 = and(_T_1105, _T_1103) @[el2_dec_dec_ctl.scala 145:77] + node _T_1107 = and(_T_1106, _T_1104) @[el2_dec_dec_ctl.scala 145:77] + node _T_1108 = or(_T_1100, _T_1107) @[el2_dec_dec_ctl.scala 145:42] + node _T_1109 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] + node _T_1110 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1111 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1112 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1113 = and(_T_1109, _T_1110) @[el2_dec_dec_ctl.scala 146:38] + node _T_1114 = and(_T_1113, _T_1111) @[el2_dec_dec_ctl.scala 146:38] + node _T_1115 = and(_T_1114, _T_1112) @[el2_dec_dec_ctl.scala 146:38] + node _T_1116 = or(_T_1108, _T_1115) @[el2_dec_dec_ctl.scala 145:81] + node _T_1117 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] + node _T_1118 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1119 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1120 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1121 = and(_T_1117, _T_1118) @[el2_dec_dec_ctl.scala 146:77] + node _T_1122 = and(_T_1121, _T_1119) @[el2_dec_dec_ctl.scala 146:77] + node _T_1123 = and(_T_1122, _T_1120) @[el2_dec_dec_ctl.scala 146:77] + node _T_1124 = or(_T_1116, _T_1123) @[el2_dec_dec_ctl.scala 146:42] + io.out.presync <= _T_1124 @[el2_dec_dec_ctl.scala 141:18] + node _T_1125 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_1126 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1127 = eq(_T_1126, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1128 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_1129 = and(_T_1125, _T_1127) @[el2_dec_dec_ctl.scala 147:53] + node _T_1130 = and(_T_1129, _T_1128) @[el2_dec_dec_ctl.scala 147:53] + node _T_1131 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] + node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1133 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1134 = eq(_T_1133, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1135 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1136 = eq(_T_1135, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1137 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1138 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1139 = and(_T_1132, _T_1134) @[el2_dec_dec_ctl.scala 147:98] + node _T_1140 = and(_T_1139, _T_1136) @[el2_dec_dec_ctl.scala 147:98] + node _T_1141 = and(_T_1140, _T_1137) @[el2_dec_dec_ctl.scala 147:98] + node _T_1142 = and(_T_1141, _T_1138) @[el2_dec_dec_ctl.scala 147:98] + node _T_1143 = or(_T_1130, _T_1142) @[el2_dec_dec_ctl.scala 147:57] + node _T_1144 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1145 = eq(_T_1144, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1146 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:33] + node _T_1147 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1148 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1149 = and(_T_1145, _T_1146) @[el2_dec_dec_ctl.scala 148:38] + node _T_1150 = and(_T_1149, _T_1147) @[el2_dec_dec_ctl.scala 148:38] + node _T_1151 = and(_T_1150, _T_1148) @[el2_dec_dec_ctl.scala 148:38] + node _T_1152 = or(_T_1143, _T_1151) @[el2_dec_dec_ctl.scala 147:102] + node _T_1153 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1154 = eq(_T_1153, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1155 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:33] + node _T_1156 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1157 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1158 = and(_T_1154, _T_1155) @[el2_dec_dec_ctl.scala 148:77] + node _T_1159 = and(_T_1158, _T_1156) @[el2_dec_dec_ctl.scala 148:77] + node _T_1160 = and(_T_1159, _T_1157) @[el2_dec_dec_ctl.scala 148:77] + node _T_1161 = or(_T_1152, _T_1160) @[el2_dec_dec_ctl.scala 148:42] + node _T_1162 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1163 = eq(_T_1162, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1164 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:33] + node _T_1165 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1166 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1167 = and(_T_1163, _T_1164) @[el2_dec_dec_ctl.scala 149:38] + node _T_1168 = and(_T_1167, _T_1165) @[el2_dec_dec_ctl.scala 149:38] + node _T_1169 = and(_T_1168, _T_1166) @[el2_dec_dec_ctl.scala 149:38] + node _T_1170 = or(_T_1161, _T_1169) @[el2_dec_dec_ctl.scala 148:81] + node _T_1171 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1172 = eq(_T_1171, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1173 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:33] + node _T_1174 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1175 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1176 = and(_T_1172, _T_1173) @[el2_dec_dec_ctl.scala 149:78] + node _T_1177 = and(_T_1176, _T_1174) @[el2_dec_dec_ctl.scala 149:78] + node _T_1178 = and(_T_1177, _T_1175) @[el2_dec_dec_ctl.scala 149:78] + node _T_1179 = or(_T_1170, _T_1178) @[el2_dec_dec_ctl.scala 149:42] + node _T_1180 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1181 = eq(_T_1180, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1182 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:33] + node _T_1183 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1184 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1185 = and(_T_1181, _T_1182) @[el2_dec_dec_ctl.scala 150:39] + node _T_1186 = and(_T_1185, _T_1183) @[el2_dec_dec_ctl.scala 150:39] + node _T_1187 = and(_T_1186, _T_1184) @[el2_dec_dec_ctl.scala 150:39] + node _T_1188 = or(_T_1179, _T_1187) @[el2_dec_dec_ctl.scala 149:82] + node _T_1189 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:33] + node _T_1190 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1191 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1192 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1193 = and(_T_1189, _T_1190) @[el2_dec_dec_ctl.scala 150:78] + node _T_1194 = and(_T_1193, _T_1191) @[el2_dec_dec_ctl.scala 150:78] + node _T_1195 = and(_T_1194, _T_1192) @[el2_dec_dec_ctl.scala 150:78] + node _T_1196 = or(_T_1188, _T_1195) @[el2_dec_dec_ctl.scala 150:43] + node _T_1197 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:33] + node _T_1198 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1199 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1200 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1201 = and(_T_1197, _T_1198) @[el2_dec_dec_ctl.scala 151:38] + node _T_1202 = and(_T_1201, _T_1199) @[el2_dec_dec_ctl.scala 151:38] + node _T_1203 = and(_T_1202, _T_1200) @[el2_dec_dec_ctl.scala 151:38] + node _T_1204 = or(_T_1196, _T_1203) @[el2_dec_dec_ctl.scala 150:82] + node _T_1205 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:33] + node _T_1206 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1207 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1208 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1209 = and(_T_1205, _T_1206) @[el2_dec_dec_ctl.scala 151:77] + node _T_1210 = and(_T_1209, _T_1207) @[el2_dec_dec_ctl.scala 151:77] + node _T_1211 = and(_T_1210, _T_1208) @[el2_dec_dec_ctl.scala 151:77] + node _T_1212 = or(_T_1204, _T_1211) @[el2_dec_dec_ctl.scala 151:42] + node _T_1213 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:33] + node _T_1214 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1215 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1216 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1217 = and(_T_1213, _T_1214) @[el2_dec_dec_ctl.scala 152:38] + node _T_1218 = and(_T_1217, _T_1215) @[el2_dec_dec_ctl.scala 152:38] + node _T_1219 = and(_T_1218, _T_1216) @[el2_dec_dec_ctl.scala 152:38] + node _T_1220 = or(_T_1212, _T_1219) @[el2_dec_dec_ctl.scala 151:81] + node _T_1221 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:33] + node _T_1222 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1223 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1224 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1225 = and(_T_1221, _T_1222) @[el2_dec_dec_ctl.scala 152:77] + node _T_1226 = and(_T_1225, _T_1223) @[el2_dec_dec_ctl.scala 152:77] + node _T_1227 = and(_T_1226, _T_1224) @[el2_dec_dec_ctl.scala 152:77] + node _T_1228 = or(_T_1220, _T_1227) @[el2_dec_dec_ctl.scala 152:42] + io.out.postsync <= _T_1228 @[el2_dec_dec_ctl.scala 147:19] + node _T_1229 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1230 = eq(_T_1229, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1231 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1232 = eq(_T_1231, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1233 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:33] + node _T_1234 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:33] + node _T_1235 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1236 = eq(_T_1235, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1237 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1238 = eq(_T_1237, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1239 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1241 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 67:52] + node _T_1242 = eq(_T_1241, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1243 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 67:52] + node _T_1244 = eq(_T_1243, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1245 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] + node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1247 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:33] + node _T_1248 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:52] + node _T_1249 = eq(_T_1248, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1250 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] + node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1252 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] + node _T_1253 = eq(_T_1252, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1254 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] + node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1256 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] + node _T_1257 = eq(_T_1256, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1258 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] + node _T_1259 = eq(_T_1258, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1260 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1261 = eq(_T_1260, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1262 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] + node _T_1263 = eq(_T_1262, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1264 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] + node _T_1265 = eq(_T_1264, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1266 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] + node _T_1267 = eq(_T_1266, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1268 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] + node _T_1269 = eq(_T_1268, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1270 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] + node _T_1271 = eq(_T_1270, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1272 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1273 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1274 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1275 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1276 = eq(_T_1275, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1277 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1278 = eq(_T_1277, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1279 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1280 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1281 = eq(_T_1280, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1282 = and(_T_1230, _T_1232) @[el2_dec_dec_ctl.scala 153:144] + node _T_1283 = and(_T_1282, _T_1233) @[el2_dec_dec_ctl.scala 153:144] + node _T_1284 = and(_T_1283, _T_1234) @[el2_dec_dec_ctl.scala 153:144] + node _T_1285 = and(_T_1284, _T_1236) @[el2_dec_dec_ctl.scala 153:144] + node _T_1286 = and(_T_1285, _T_1238) @[el2_dec_dec_ctl.scala 153:144] + node _T_1287 = and(_T_1286, _T_1240) @[el2_dec_dec_ctl.scala 153:144] + node _T_1288 = and(_T_1287, _T_1242) @[el2_dec_dec_ctl.scala 153:144] + node _T_1289 = and(_T_1288, _T_1244) @[el2_dec_dec_ctl.scala 153:144] + node _T_1290 = and(_T_1289, _T_1246) @[el2_dec_dec_ctl.scala 153:144] + node _T_1291 = and(_T_1290, _T_1247) @[el2_dec_dec_ctl.scala 153:144] + node _T_1292 = and(_T_1291, _T_1249) @[el2_dec_dec_ctl.scala 153:144] + node _T_1293 = and(_T_1292, _T_1251) @[el2_dec_dec_ctl.scala 153:144] + node _T_1294 = and(_T_1293, _T_1253) @[el2_dec_dec_ctl.scala 153:144] + node _T_1295 = and(_T_1294, _T_1255) @[el2_dec_dec_ctl.scala 153:144] + node _T_1296 = and(_T_1295, _T_1257) @[el2_dec_dec_ctl.scala 153:144] + node _T_1297 = and(_T_1296, _T_1259) @[el2_dec_dec_ctl.scala 153:144] + node _T_1298 = and(_T_1297, _T_1261) @[el2_dec_dec_ctl.scala 153:144] + node _T_1299 = and(_T_1298, _T_1263) @[el2_dec_dec_ctl.scala 153:144] + node _T_1300 = and(_T_1299, _T_1265) @[el2_dec_dec_ctl.scala 153:144] + node _T_1301 = and(_T_1300, _T_1267) @[el2_dec_dec_ctl.scala 153:144] + node _T_1302 = and(_T_1301, _T_1269) @[el2_dec_dec_ctl.scala 153:144] + node _T_1303 = and(_T_1302, _T_1271) @[el2_dec_dec_ctl.scala 153:144] + node _T_1304 = and(_T_1303, _T_1272) @[el2_dec_dec_ctl.scala 153:144] + node _T_1305 = and(_T_1304, _T_1273) @[el2_dec_dec_ctl.scala 153:144] + node _T_1306 = and(_T_1305, _T_1274) @[el2_dec_dec_ctl.scala 153:144] + node _T_1307 = and(_T_1306, _T_1276) @[el2_dec_dec_ctl.scala 153:144] + node _T_1308 = and(_T_1307, _T_1278) @[el2_dec_dec_ctl.scala 153:144] + node _T_1309 = and(_T_1308, _T_1279) @[el2_dec_dec_ctl.scala 153:144] + node _T_1310 = and(_T_1309, _T_1281) @[el2_dec_dec_ctl.scala 153:144] + node _T_1311 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1312 = eq(_T_1311, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1313 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1315 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1316 = eq(_T_1315, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1317 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:33] + node _T_1318 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1319 = eq(_T_1318, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1320 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1321 = eq(_T_1320, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1322 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1324 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 67:52] + node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1326 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 67:52] + node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1328 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:33] + node _T_1329 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:52] + node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1331 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:33] + node _T_1332 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] + node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1334 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] + node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1336 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] + node _T_1337 = eq(_T_1336, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1338 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] + node _T_1339 = eq(_T_1338, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1340 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] + node _T_1341 = eq(_T_1340, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1342 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1343 = eq(_T_1342, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1344 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] + node _T_1345 = eq(_T_1344, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1346 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] + node _T_1347 = eq(_T_1346, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1348 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] + node _T_1349 = eq(_T_1348, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1350 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] + node _T_1351 = eq(_T_1350, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1352 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] + node _T_1353 = eq(_T_1352, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1354 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1355 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1356 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1357 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1358 = eq(_T_1357, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1359 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1360 = eq(_T_1359, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1361 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1362 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1363 = eq(_T_1362, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1364 = and(_T_1312, _T_1314) @[el2_dec_dec_ctl.scala 154:130] + node _T_1365 = and(_T_1364, _T_1316) @[el2_dec_dec_ctl.scala 154:130] + node _T_1366 = and(_T_1365, _T_1317) @[el2_dec_dec_ctl.scala 154:130] + node _T_1367 = and(_T_1366, _T_1319) @[el2_dec_dec_ctl.scala 154:130] + node _T_1368 = and(_T_1367, _T_1321) @[el2_dec_dec_ctl.scala 154:130] + node _T_1369 = and(_T_1368, _T_1323) @[el2_dec_dec_ctl.scala 154:130] + node _T_1370 = and(_T_1369, _T_1325) @[el2_dec_dec_ctl.scala 154:130] + node _T_1371 = and(_T_1370, _T_1327) @[el2_dec_dec_ctl.scala 154:130] + node _T_1372 = and(_T_1371, _T_1328) @[el2_dec_dec_ctl.scala 154:130] + node _T_1373 = and(_T_1372, _T_1330) @[el2_dec_dec_ctl.scala 154:130] + node _T_1374 = and(_T_1373, _T_1331) @[el2_dec_dec_ctl.scala 154:130] + node _T_1375 = and(_T_1374, _T_1333) @[el2_dec_dec_ctl.scala 154:130] + node _T_1376 = and(_T_1375, _T_1335) @[el2_dec_dec_ctl.scala 154:130] + node _T_1377 = and(_T_1376, _T_1337) @[el2_dec_dec_ctl.scala 154:130] + node _T_1378 = and(_T_1377, _T_1339) @[el2_dec_dec_ctl.scala 154:130] + node _T_1379 = and(_T_1378, _T_1341) @[el2_dec_dec_ctl.scala 154:130] + node _T_1380 = and(_T_1379, _T_1343) @[el2_dec_dec_ctl.scala 154:130] + node _T_1381 = and(_T_1380, _T_1345) @[el2_dec_dec_ctl.scala 154:130] + node _T_1382 = and(_T_1381, _T_1347) @[el2_dec_dec_ctl.scala 154:130] + node _T_1383 = and(_T_1382, _T_1349) @[el2_dec_dec_ctl.scala 154:130] + node _T_1384 = and(_T_1383, _T_1351) @[el2_dec_dec_ctl.scala 154:130] + node _T_1385 = and(_T_1384, _T_1353) @[el2_dec_dec_ctl.scala 154:130] + node _T_1386 = and(_T_1385, _T_1354) @[el2_dec_dec_ctl.scala 154:130] + node _T_1387 = and(_T_1386, _T_1355) @[el2_dec_dec_ctl.scala 154:130] + node _T_1388 = and(_T_1387, _T_1356) @[el2_dec_dec_ctl.scala 154:130] + node _T_1389 = and(_T_1388, _T_1358) @[el2_dec_dec_ctl.scala 154:130] + node _T_1390 = and(_T_1389, _T_1360) @[el2_dec_dec_ctl.scala 154:130] + node _T_1391 = and(_T_1390, _T_1361) @[el2_dec_dec_ctl.scala 154:130] + node _T_1392 = and(_T_1391, _T_1363) @[el2_dec_dec_ctl.scala 154:130] + node _T_1393 = or(_T_1310, _T_1392) @[el2_dec_dec_ctl.scala 153:148] + node _T_1394 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1395 = eq(_T_1394, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1396 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1397 = eq(_T_1396, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1398 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1399 = eq(_T_1398, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1400 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1401 = eq(_T_1400, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1402 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1403 = eq(_T_1402, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1404 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1405 = eq(_T_1404, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1406 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1407 = eq(_T_1406, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1408 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 67:52] + node _T_1409 = eq(_T_1408, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1410 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 67:52] + node _T_1411 = eq(_T_1410, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1412 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] + node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1414 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:52] + node _T_1415 = eq(_T_1414, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1416 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] + node _T_1417 = eq(_T_1416, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1418 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] + node _T_1419 = eq(_T_1418, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1420 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] + node _T_1421 = eq(_T_1420, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1422 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] + node _T_1423 = eq(_T_1422, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1424 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] + node _T_1425 = eq(_T_1424, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1426 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1427 = eq(_T_1426, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1428 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] + node _T_1429 = eq(_T_1428, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1430 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] + node _T_1431 = eq(_T_1430, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1432 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] + node _T_1433 = eq(_T_1432, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1434 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] + node _T_1435 = eq(_T_1434, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1436 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] + node _T_1437 = eq(_T_1436, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1438 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1439 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1440 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1441 = eq(_T_1440, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1442 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1443 = eq(_T_1442, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1444 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1445 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1446 = eq(_T_1445, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1447 = and(_T_1395, _T_1397) @[el2_dec_dec_ctl.scala 155:127] + node _T_1448 = and(_T_1447, _T_1399) @[el2_dec_dec_ctl.scala 155:127] + node _T_1449 = and(_T_1448, _T_1401) @[el2_dec_dec_ctl.scala 155:127] + node _T_1450 = and(_T_1449, _T_1403) @[el2_dec_dec_ctl.scala 155:127] + node _T_1451 = and(_T_1450, _T_1405) @[el2_dec_dec_ctl.scala 155:127] + node _T_1452 = and(_T_1451, _T_1407) @[el2_dec_dec_ctl.scala 155:127] + node _T_1453 = and(_T_1452, _T_1409) @[el2_dec_dec_ctl.scala 155:127] + node _T_1454 = and(_T_1453, _T_1411) @[el2_dec_dec_ctl.scala 155:127] + node _T_1455 = and(_T_1454, _T_1413) @[el2_dec_dec_ctl.scala 155:127] + node _T_1456 = and(_T_1455, _T_1415) @[el2_dec_dec_ctl.scala 155:127] + node _T_1457 = and(_T_1456, _T_1417) @[el2_dec_dec_ctl.scala 155:127] + node _T_1458 = and(_T_1457, _T_1419) @[el2_dec_dec_ctl.scala 155:127] + node _T_1459 = and(_T_1458, _T_1421) @[el2_dec_dec_ctl.scala 155:127] + node _T_1460 = and(_T_1459, _T_1423) @[el2_dec_dec_ctl.scala 155:127] + node _T_1461 = and(_T_1460, _T_1425) @[el2_dec_dec_ctl.scala 155:127] + node _T_1462 = and(_T_1461, _T_1427) @[el2_dec_dec_ctl.scala 155:127] + node _T_1463 = and(_T_1462, _T_1429) @[el2_dec_dec_ctl.scala 155:127] + node _T_1464 = and(_T_1463, _T_1431) @[el2_dec_dec_ctl.scala 155:127] + node _T_1465 = and(_T_1464, _T_1433) @[el2_dec_dec_ctl.scala 155:127] + node _T_1466 = and(_T_1465, _T_1435) @[el2_dec_dec_ctl.scala 155:127] + node _T_1467 = and(_T_1466, _T_1437) @[el2_dec_dec_ctl.scala 155:127] + node _T_1468 = and(_T_1467, _T_1438) @[el2_dec_dec_ctl.scala 155:127] + node _T_1469 = and(_T_1468, _T_1439) @[el2_dec_dec_ctl.scala 155:127] + node _T_1470 = and(_T_1469, _T_1441) @[el2_dec_dec_ctl.scala 155:127] + node _T_1471 = and(_T_1470, _T_1443) @[el2_dec_dec_ctl.scala 155:127] + node _T_1472 = and(_T_1471, _T_1444) @[el2_dec_dec_ctl.scala 155:127] + node _T_1473 = and(_T_1472, _T_1446) @[el2_dec_dec_ctl.scala 155:127] + node _T_1474 = or(_T_1393, _T_1473) @[el2_dec_dec_ctl.scala 154:134] + node _T_1475 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1476 = eq(_T_1475, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1477 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1478 = eq(_T_1477, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1479 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1480 = eq(_T_1479, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1481 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1482 = eq(_T_1481, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1483 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1484 = eq(_T_1483, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1485 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1486 = eq(_T_1485, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1487 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1488 = eq(_T_1487, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1489 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1490 = eq(_T_1489, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1491 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1492 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1494 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1495 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1496 = eq(_T_1495, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1497 = and(_T_1476, _T_1478) @[el2_dec_dec_ctl.scala 156:68] + node _T_1498 = and(_T_1497, _T_1480) @[el2_dec_dec_ctl.scala 156:68] + node _T_1499 = and(_T_1498, _T_1482) @[el2_dec_dec_ctl.scala 156:68] + node _T_1500 = and(_T_1499, _T_1484) @[el2_dec_dec_ctl.scala 156:68] + node _T_1501 = and(_T_1500, _T_1486) @[el2_dec_dec_ctl.scala 156:68] + node _T_1502 = and(_T_1501, _T_1488) @[el2_dec_dec_ctl.scala 156:68] + node _T_1503 = and(_T_1502, _T_1490) @[el2_dec_dec_ctl.scala 156:68] + node _T_1504 = and(_T_1503, _T_1491) @[el2_dec_dec_ctl.scala 156:68] + node _T_1505 = and(_T_1504, _T_1493) @[el2_dec_dec_ctl.scala 156:68] + node _T_1506 = and(_T_1505, _T_1494) @[el2_dec_dec_ctl.scala 156:68] + node _T_1507 = and(_T_1506, _T_1496) @[el2_dec_dec_ctl.scala 156:68] + node _T_1508 = or(_T_1474, _T_1507) @[el2_dec_dec_ctl.scala 155:131] + node _T_1509 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1510 = eq(_T_1509, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1511 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1512 = eq(_T_1511, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1513 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1514 = eq(_T_1513, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1515 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1516 = eq(_T_1515, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1517 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1518 = eq(_T_1517, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1519 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1520 = eq(_T_1519, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1521 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1522 = eq(_T_1521, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1523 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1524 = eq(_T_1523, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1525 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1526 = eq(_T_1525, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1527 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1528 = eq(_T_1527, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1529 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1530 = eq(_T_1529, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1531 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1532 = eq(_T_1531, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1533 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1534 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1536 = and(_T_1510, _T_1512) @[el2_dec_dec_ctl.scala 157:77] + node _T_1537 = and(_T_1536, _T_1514) @[el2_dec_dec_ctl.scala 157:77] + node _T_1538 = and(_T_1537, _T_1516) @[el2_dec_dec_ctl.scala 157:77] + node _T_1539 = and(_T_1538, _T_1518) @[el2_dec_dec_ctl.scala 157:77] + node _T_1540 = and(_T_1539, _T_1520) @[el2_dec_dec_ctl.scala 157:77] + node _T_1541 = and(_T_1540, _T_1522) @[el2_dec_dec_ctl.scala 157:77] + node _T_1542 = and(_T_1541, _T_1524) @[el2_dec_dec_ctl.scala 157:77] + node _T_1543 = and(_T_1542, _T_1526) @[el2_dec_dec_ctl.scala 157:77] + node _T_1544 = and(_T_1543, _T_1528) @[el2_dec_dec_ctl.scala 157:77] + node _T_1545 = and(_T_1544, _T_1530) @[el2_dec_dec_ctl.scala 157:77] + node _T_1546 = and(_T_1545, _T_1532) @[el2_dec_dec_ctl.scala 157:77] + node _T_1547 = and(_T_1546, _T_1533) @[el2_dec_dec_ctl.scala 157:77] + node _T_1548 = and(_T_1547, _T_1535) @[el2_dec_dec_ctl.scala 157:77] + node _T_1549 = or(_T_1508, _T_1548) @[el2_dec_dec_ctl.scala 156:72] + node _T_1550 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1551 = eq(_T_1550, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1552 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1553 = eq(_T_1552, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1554 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1555 = eq(_T_1554, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1556 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1557 = eq(_T_1556, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1558 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1559 = eq(_T_1558, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1560 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1561 = eq(_T_1560, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1562 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_1563 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1564 = eq(_T_1563, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1565 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_1566 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1567 = eq(_T_1566, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1568 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1569 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1571 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1572 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1573 = eq(_T_1572, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1574 = and(_T_1551, _T_1553) @[el2_dec_dec_ctl.scala 158:74] + node _T_1575 = and(_T_1574, _T_1555) @[el2_dec_dec_ctl.scala 158:74] + node _T_1576 = and(_T_1575, _T_1557) @[el2_dec_dec_ctl.scala 158:74] + node _T_1577 = and(_T_1576, _T_1559) @[el2_dec_dec_ctl.scala 158:74] + node _T_1578 = and(_T_1577, _T_1561) @[el2_dec_dec_ctl.scala 158:74] + node _T_1579 = and(_T_1578, _T_1562) @[el2_dec_dec_ctl.scala 158:74] + node _T_1580 = and(_T_1579, _T_1564) @[el2_dec_dec_ctl.scala 158:74] + node _T_1581 = and(_T_1580, _T_1565) @[el2_dec_dec_ctl.scala 158:74] + node _T_1582 = and(_T_1581, _T_1567) @[el2_dec_dec_ctl.scala 158:74] + node _T_1583 = and(_T_1582, _T_1568) @[el2_dec_dec_ctl.scala 158:74] + node _T_1584 = and(_T_1583, _T_1570) @[el2_dec_dec_ctl.scala 158:74] + node _T_1585 = and(_T_1584, _T_1571) @[el2_dec_dec_ctl.scala 158:74] + node _T_1586 = and(_T_1585, _T_1573) @[el2_dec_dec_ctl.scala 158:74] + node _T_1587 = or(_T_1549, _T_1586) @[el2_dec_dec_ctl.scala 157:81] + node _T_1588 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1589 = eq(_T_1588, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1590 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1591 = eq(_T_1590, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1592 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1593 = eq(_T_1592, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1594 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1595 = eq(_T_1594, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1596 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1598 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1599 = eq(_T_1598, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1600 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1601 = eq(_T_1600, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1602 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1603 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1604 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1605 = eq(_T_1604, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1606 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1607 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1608 = eq(_T_1607, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1609 = and(_T_1589, _T_1591) @[el2_dec_dec_ctl.scala 159:66] + node _T_1610 = and(_T_1609, _T_1593) @[el2_dec_dec_ctl.scala 159:66] + node _T_1611 = and(_T_1610, _T_1595) @[el2_dec_dec_ctl.scala 159:66] + node _T_1612 = and(_T_1611, _T_1597) @[el2_dec_dec_ctl.scala 159:66] + node _T_1613 = and(_T_1612, _T_1599) @[el2_dec_dec_ctl.scala 159:66] + node _T_1614 = and(_T_1613, _T_1601) @[el2_dec_dec_ctl.scala 159:66] + node _T_1615 = and(_T_1614, _T_1602) @[el2_dec_dec_ctl.scala 159:66] + node _T_1616 = and(_T_1615, _T_1603) @[el2_dec_dec_ctl.scala 159:66] + node _T_1617 = and(_T_1616, _T_1605) @[el2_dec_dec_ctl.scala 159:66] + node _T_1618 = and(_T_1617, _T_1606) @[el2_dec_dec_ctl.scala 159:66] + node _T_1619 = and(_T_1618, _T_1608) @[el2_dec_dec_ctl.scala 159:66] + node _T_1620 = or(_T_1587, _T_1619) @[el2_dec_dec_ctl.scala 158:78] + node _T_1621 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1622 = eq(_T_1621, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1623 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1624 = eq(_T_1623, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1625 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1626 = eq(_T_1625, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1627 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1628 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1629 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1630 = eq(_T_1629, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1631 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1632 = eq(_T_1631, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1633 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1634 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1635 = eq(_T_1634, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1636 = and(_T_1622, _T_1624) @[el2_dec_dec_ctl.scala 160:54] + node _T_1637 = and(_T_1636, _T_1626) @[el2_dec_dec_ctl.scala 160:54] + node _T_1638 = and(_T_1637, _T_1627) @[el2_dec_dec_ctl.scala 160:54] + node _T_1639 = and(_T_1638, _T_1628) @[el2_dec_dec_ctl.scala 160:54] + node _T_1640 = and(_T_1639, _T_1630) @[el2_dec_dec_ctl.scala 160:54] + node _T_1641 = and(_T_1640, _T_1632) @[el2_dec_dec_ctl.scala 160:54] + node _T_1642 = and(_T_1641, _T_1633) @[el2_dec_dec_ctl.scala 160:54] + node _T_1643 = and(_T_1642, _T_1635) @[el2_dec_dec_ctl.scala 160:54] + node _T_1644 = or(_T_1620, _T_1643) @[el2_dec_dec_ctl.scala 159:70] + node _T_1645 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:33] + node _T_1646 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1647 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1648 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1649 = eq(_T_1648, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1650 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1651 = eq(_T_1650, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1652 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1653 = eq(_T_1652, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1654 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1655 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1656 = eq(_T_1655, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1657 = and(_T_1645, _T_1646) @[el2_dec_dec_ctl.scala 161:48] + node _T_1658 = and(_T_1657, _T_1647) @[el2_dec_dec_ctl.scala 161:48] + node _T_1659 = and(_T_1658, _T_1649) @[el2_dec_dec_ctl.scala 161:48] + node _T_1660 = and(_T_1659, _T_1651) @[el2_dec_dec_ctl.scala 161:48] + node _T_1661 = and(_T_1660, _T_1653) @[el2_dec_dec_ctl.scala 161:48] + node _T_1662 = and(_T_1661, _T_1654) @[el2_dec_dec_ctl.scala 161:48] + node _T_1663 = and(_T_1662, _T_1656) @[el2_dec_dec_ctl.scala 161:48] + node _T_1664 = or(_T_1644, _T_1663) @[el2_dec_dec_ctl.scala 160:58] + node _T_1665 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1666 = eq(_T_1665, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1667 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1668 = eq(_T_1667, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1669 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1670 = eq(_T_1669, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1671 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1672 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1673 = eq(_T_1672, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1674 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1675 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1676 = eq(_T_1675, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1677 = and(_T_1666, _T_1668) @[el2_dec_dec_ctl.scala 162:47] + node _T_1678 = and(_T_1677, _T_1670) @[el2_dec_dec_ctl.scala 162:47] + node _T_1679 = and(_T_1678, _T_1671) @[el2_dec_dec_ctl.scala 162:47] + node _T_1680 = and(_T_1679, _T_1673) @[el2_dec_dec_ctl.scala 162:47] + node _T_1681 = and(_T_1680, _T_1674) @[el2_dec_dec_ctl.scala 162:47] + node _T_1682 = and(_T_1681, _T_1676) @[el2_dec_dec_ctl.scala 162:47] + node _T_1683 = or(_T_1664, _T_1682) @[el2_dec_dec_ctl.scala 161:52] + node _T_1684 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1685 = eq(_T_1684, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1686 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1688 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1689 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1690 = eq(_T_1689, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1691 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1692 = eq(_T_1691, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1693 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1694 = eq(_T_1693, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1695 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1696 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1697 = eq(_T_1696, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1698 = and(_T_1685, _T_1687) @[el2_dec_dec_ctl.scala 162:99] + node _T_1699 = and(_T_1698, _T_1688) @[el2_dec_dec_ctl.scala 162:99] + node _T_1700 = and(_T_1699, _T_1690) @[el2_dec_dec_ctl.scala 162:99] + node _T_1701 = and(_T_1700, _T_1692) @[el2_dec_dec_ctl.scala 162:99] + node _T_1702 = and(_T_1701, _T_1694) @[el2_dec_dec_ctl.scala 162:99] + node _T_1703 = and(_T_1702, _T_1695) @[el2_dec_dec_ctl.scala 162:99] + node _T_1704 = and(_T_1703, _T_1697) @[el2_dec_dec_ctl.scala 162:99] + node _T_1705 = or(_T_1683, _T_1704) @[el2_dec_dec_ctl.scala 162:51] + node _T_1706 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:33] + node _T_1707 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1708 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1709 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1710 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1711 = eq(_T_1710, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1712 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1713 = eq(_T_1712, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1714 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1715 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1716 = eq(_T_1715, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1717 = and(_T_1706, _T_1707) @[el2_dec_dec_ctl.scala 163:47] + node _T_1718 = and(_T_1717, _T_1708) @[el2_dec_dec_ctl.scala 163:47] + node _T_1719 = and(_T_1718, _T_1709) @[el2_dec_dec_ctl.scala 163:47] + node _T_1720 = and(_T_1719, _T_1711) @[el2_dec_dec_ctl.scala 163:47] + node _T_1721 = and(_T_1720, _T_1713) @[el2_dec_dec_ctl.scala 163:47] + node _T_1722 = and(_T_1721, _T_1714) @[el2_dec_dec_ctl.scala 163:47] + node _T_1723 = and(_T_1722, _T_1716) @[el2_dec_dec_ctl.scala 163:47] + node _T_1724 = or(_T_1705, _T_1723) @[el2_dec_dec_ctl.scala 162:103] + node _T_1725 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1726 = eq(_T_1725, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1727 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1728 = eq(_T_1727, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1729 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1730 = eq(_T_1729, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1731 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1732 = eq(_T_1731, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1733 = bits(io.ins, 27, 27) @[el2_dec_dec_ctl.scala 67:52] + node _T_1734 = eq(_T_1733, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1735 = bits(io.ins, 26, 26) @[el2_dec_dec_ctl.scala 67:52] + node _T_1736 = eq(_T_1735, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1737 = bits(io.ins, 25, 25) @[el2_dec_dec_ctl.scala 67:52] + node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1739 = bits(io.ins, 24, 24) @[el2_dec_dec_ctl.scala 67:52] + node _T_1740 = eq(_T_1739, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1741 = bits(io.ins, 23, 23) @[el2_dec_dec_ctl.scala 67:52] + node _T_1742 = eq(_T_1741, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1743 = bits(io.ins, 22, 22) @[el2_dec_dec_ctl.scala 67:52] + node _T_1744 = eq(_T_1743, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1745 = bits(io.ins, 21, 21) @[el2_dec_dec_ctl.scala 67:52] + node _T_1746 = eq(_T_1745, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1747 = bits(io.ins, 20, 20) @[el2_dec_dec_ctl.scala 67:52] + node _T_1748 = eq(_T_1747, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1749 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] + node _T_1750 = eq(_T_1749, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1751 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] + node _T_1752 = eq(_T_1751, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1753 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] + node _T_1754 = eq(_T_1753, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1755 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] + node _T_1756 = eq(_T_1755, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1757 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] + node _T_1758 = eq(_T_1757, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1759 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1760 = eq(_T_1759, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1761 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1762 = eq(_T_1761, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1763 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1764 = eq(_T_1763, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1765 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] + node _T_1766 = eq(_T_1765, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1767 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] + node _T_1768 = eq(_T_1767, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1769 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] + node _T_1770 = eq(_T_1769, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1771 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] + node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1773 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] + node _T_1774 = eq(_T_1773, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1775 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1776 = eq(_T_1775, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1777 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1778 = eq(_T_1777, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1779 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1780 = eq(_T_1779, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1781 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_1782 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_1783 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1784 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1785 = eq(_T_1784, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1786 = and(_T_1726, _T_1728) @[el2_dec_dec_ctl.scala 164:142] + node _T_1787 = and(_T_1786, _T_1730) @[el2_dec_dec_ctl.scala 164:142] + node _T_1788 = and(_T_1787, _T_1732) @[el2_dec_dec_ctl.scala 164:142] + node _T_1789 = and(_T_1788, _T_1734) @[el2_dec_dec_ctl.scala 164:142] + node _T_1790 = and(_T_1789, _T_1736) @[el2_dec_dec_ctl.scala 164:142] + node _T_1791 = and(_T_1790, _T_1738) @[el2_dec_dec_ctl.scala 164:142] + node _T_1792 = and(_T_1791, _T_1740) @[el2_dec_dec_ctl.scala 164:142] + node _T_1793 = and(_T_1792, _T_1742) @[el2_dec_dec_ctl.scala 164:142] + node _T_1794 = and(_T_1793, _T_1744) @[el2_dec_dec_ctl.scala 164:142] + node _T_1795 = and(_T_1794, _T_1746) @[el2_dec_dec_ctl.scala 164:142] + node _T_1796 = and(_T_1795, _T_1748) @[el2_dec_dec_ctl.scala 164:142] + node _T_1797 = and(_T_1796, _T_1750) @[el2_dec_dec_ctl.scala 164:142] + node _T_1798 = and(_T_1797, _T_1752) @[el2_dec_dec_ctl.scala 164:142] + node _T_1799 = and(_T_1798, _T_1754) @[el2_dec_dec_ctl.scala 164:142] + node _T_1800 = and(_T_1799, _T_1756) @[el2_dec_dec_ctl.scala 164:142] + node _T_1801 = and(_T_1800, _T_1758) @[el2_dec_dec_ctl.scala 164:142] + node _T_1802 = and(_T_1801, _T_1760) @[el2_dec_dec_ctl.scala 164:142] + node _T_1803 = and(_T_1802, _T_1762) @[el2_dec_dec_ctl.scala 164:142] + node _T_1804 = and(_T_1803, _T_1764) @[el2_dec_dec_ctl.scala 164:142] + node _T_1805 = and(_T_1804, _T_1766) @[el2_dec_dec_ctl.scala 164:142] + node _T_1806 = and(_T_1805, _T_1768) @[el2_dec_dec_ctl.scala 164:142] + node _T_1807 = and(_T_1806, _T_1770) @[el2_dec_dec_ctl.scala 164:142] + node _T_1808 = and(_T_1807, _T_1772) @[el2_dec_dec_ctl.scala 164:142] + node _T_1809 = and(_T_1808, _T_1774) @[el2_dec_dec_ctl.scala 164:142] + node _T_1810 = and(_T_1809, _T_1776) @[el2_dec_dec_ctl.scala 164:142] + node _T_1811 = and(_T_1810, _T_1778) @[el2_dec_dec_ctl.scala 164:142] + node _T_1812 = and(_T_1811, _T_1780) @[el2_dec_dec_ctl.scala 164:142] + node _T_1813 = and(_T_1812, _T_1781) @[el2_dec_dec_ctl.scala 164:142] + node _T_1814 = and(_T_1813, _T_1782) @[el2_dec_dec_ctl.scala 164:142] + node _T_1815 = and(_T_1814, _T_1783) @[el2_dec_dec_ctl.scala 164:142] + node _T_1816 = and(_T_1815, _T_1785) @[el2_dec_dec_ctl.scala 164:142] + node _T_1817 = or(_T_1724, _T_1816) @[el2_dec_dec_ctl.scala 163:51] + node _T_1818 = bits(io.ins, 31, 31) @[el2_dec_dec_ctl.scala 67:52] + node _T_1819 = eq(_T_1818, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1820 = bits(io.ins, 30, 30) @[el2_dec_dec_ctl.scala 67:52] + node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1822 = bits(io.ins, 29, 29) @[el2_dec_dec_ctl.scala 67:52] + node _T_1823 = eq(_T_1822, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1824 = bits(io.ins, 28, 28) @[el2_dec_dec_ctl.scala 67:52] + node _T_1825 = eq(_T_1824, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1826 = bits(io.ins, 19, 19) @[el2_dec_dec_ctl.scala 67:52] + node _T_1827 = eq(_T_1826, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1828 = bits(io.ins, 18, 18) @[el2_dec_dec_ctl.scala 67:52] + node _T_1829 = eq(_T_1828, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1830 = bits(io.ins, 17, 17) @[el2_dec_dec_ctl.scala 67:52] + node _T_1831 = eq(_T_1830, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1832 = bits(io.ins, 16, 16) @[el2_dec_dec_ctl.scala 67:52] + node _T_1833 = eq(_T_1832, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1834 = bits(io.ins, 15, 15) @[el2_dec_dec_ctl.scala 67:52] + node _T_1835 = eq(_T_1834, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1836 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1837 = eq(_T_1836, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1838 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1840 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1841 = eq(_T_1840, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1842 = bits(io.ins, 11, 11) @[el2_dec_dec_ctl.scala 67:52] + node _T_1843 = eq(_T_1842, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1844 = bits(io.ins, 10, 10) @[el2_dec_dec_ctl.scala 67:52] + node _T_1845 = eq(_T_1844, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1846 = bits(io.ins, 9, 9) @[el2_dec_dec_ctl.scala 67:52] + node _T_1847 = eq(_T_1846, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1848 = bits(io.ins, 8, 8) @[el2_dec_dec_ctl.scala 67:52] + node _T_1849 = eq(_T_1848, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1850 = bits(io.ins, 7, 7) @[el2_dec_dec_ctl.scala 67:52] + node _T_1851 = eq(_T_1850, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1852 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1854 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1855 = eq(_T_1854, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1856 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1857 = eq(_T_1856, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1858 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_1859 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_1860 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1861 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1862 = eq(_T_1861, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1863 = and(_T_1819, _T_1821) @[el2_dec_dec_ctl.scala 165:110] + node _T_1864 = and(_T_1863, _T_1823) @[el2_dec_dec_ctl.scala 165:110] + node _T_1865 = and(_T_1864, _T_1825) @[el2_dec_dec_ctl.scala 165:110] + node _T_1866 = and(_T_1865, _T_1827) @[el2_dec_dec_ctl.scala 165:110] + node _T_1867 = and(_T_1866, _T_1829) @[el2_dec_dec_ctl.scala 165:110] + node _T_1868 = and(_T_1867, _T_1831) @[el2_dec_dec_ctl.scala 165:110] + node _T_1869 = and(_T_1868, _T_1833) @[el2_dec_dec_ctl.scala 165:110] + node _T_1870 = and(_T_1869, _T_1835) @[el2_dec_dec_ctl.scala 165:110] + node _T_1871 = and(_T_1870, _T_1837) @[el2_dec_dec_ctl.scala 165:110] + node _T_1872 = and(_T_1871, _T_1839) @[el2_dec_dec_ctl.scala 165:110] + node _T_1873 = and(_T_1872, _T_1841) @[el2_dec_dec_ctl.scala 165:110] + node _T_1874 = and(_T_1873, _T_1843) @[el2_dec_dec_ctl.scala 165:110] + node _T_1875 = and(_T_1874, _T_1845) @[el2_dec_dec_ctl.scala 165:110] + node _T_1876 = and(_T_1875, _T_1847) @[el2_dec_dec_ctl.scala 165:110] + node _T_1877 = and(_T_1876, _T_1849) @[el2_dec_dec_ctl.scala 165:110] + node _T_1878 = and(_T_1877, _T_1851) @[el2_dec_dec_ctl.scala 165:110] + node _T_1879 = and(_T_1878, _T_1853) @[el2_dec_dec_ctl.scala 165:110] + node _T_1880 = and(_T_1879, _T_1855) @[el2_dec_dec_ctl.scala 165:110] + node _T_1881 = and(_T_1880, _T_1857) @[el2_dec_dec_ctl.scala 165:110] + node _T_1882 = and(_T_1881, _T_1858) @[el2_dec_dec_ctl.scala 165:110] + node _T_1883 = and(_T_1882, _T_1859) @[el2_dec_dec_ctl.scala 165:110] + node _T_1884 = and(_T_1883, _T_1860) @[el2_dec_dec_ctl.scala 165:110] + node _T_1885 = and(_T_1884, _T_1862) @[el2_dec_dec_ctl.scala 165:110] + node _T_1886 = or(_T_1817, _T_1885) @[el2_dec_dec_ctl.scala 164:146] + node _T_1887 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:52] + node _T_1888 = eq(_T_1887, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1889 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1890 = eq(_T_1889, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1891 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1892 = eq(_T_1891, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1893 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1895 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1896 = eq(_T_1895, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1897 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1898 = eq(_T_1897, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1899 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1900 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1901 = eq(_T_1900, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1902 = and(_T_1888, _T_1890) @[el2_dec_dec_ctl.scala 166:51] + node _T_1903 = and(_T_1902, _T_1892) @[el2_dec_dec_ctl.scala 166:51] + node _T_1904 = and(_T_1903, _T_1894) @[el2_dec_dec_ctl.scala 166:51] + node _T_1905 = and(_T_1904, _T_1896) @[el2_dec_dec_ctl.scala 166:51] + node _T_1906 = and(_T_1905, _T_1898) @[el2_dec_dec_ctl.scala 166:51] + node _T_1907 = and(_T_1906, _T_1899) @[el2_dec_dec_ctl.scala 166:51] + node _T_1908 = and(_T_1907, _T_1901) @[el2_dec_dec_ctl.scala 166:51] + node _T_1909 = or(_T_1886, _T_1908) @[el2_dec_dec_ctl.scala 165:114] + node _T_1910 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:33] + node _T_1911 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:33] + node _T_1912 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1914 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:33] + node _T_1915 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:33] + node _T_1916 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1917 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1918 = eq(_T_1917, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1919 = and(_T_1910, _T_1911) @[el2_dec_dec_ctl.scala 166:95] + node _T_1920 = and(_T_1919, _T_1913) @[el2_dec_dec_ctl.scala 166:95] + node _T_1921 = and(_T_1920, _T_1914) @[el2_dec_dec_ctl.scala 166:95] + node _T_1922 = and(_T_1921, _T_1915) @[el2_dec_dec_ctl.scala 166:95] + node _T_1923 = and(_T_1922, _T_1916) @[el2_dec_dec_ctl.scala 166:95] + node _T_1924 = and(_T_1923, _T_1918) @[el2_dec_dec_ctl.scala 166:95] + node _T_1925 = or(_T_1909, _T_1924) @[el2_dec_dec_ctl.scala 166:55] + node _T_1926 = bits(io.ins, 13, 13) @[el2_dec_dec_ctl.scala 67:33] + node _T_1927 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1929 = bits(io.ins, 5, 5) @[el2_dec_dec_ctl.scala 67:52] + node _T_1930 = eq(_T_1929, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1931 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1932 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1933 = eq(_T_1932, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1934 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1935 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1936 = eq(_T_1935, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1937 = and(_T_1926, _T_1928) @[el2_dec_dec_ctl.scala 167:46] + node _T_1938 = and(_T_1937, _T_1930) @[el2_dec_dec_ctl.scala 167:46] + node _T_1939 = and(_T_1938, _T_1931) @[el2_dec_dec_ctl.scala 167:46] + node _T_1940 = and(_T_1939, _T_1933) @[el2_dec_dec_ctl.scala 167:46] + node _T_1941 = and(_T_1940, _T_1934) @[el2_dec_dec_ctl.scala 167:46] + node _T_1942 = and(_T_1941, _T_1936) @[el2_dec_dec_ctl.scala 167:46] + node _T_1943 = or(_T_1925, _T_1942) @[el2_dec_dec_ctl.scala 166:99] + node _T_1944 = bits(io.ins, 14, 14) @[el2_dec_dec_ctl.scala 67:52] + node _T_1945 = eq(_T_1944, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1946 = bits(io.ins, 12, 12) @[el2_dec_dec_ctl.scala 67:52] + node _T_1947 = eq(_T_1946, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1948 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1949 = eq(_T_1948, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1950 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:52] + node _T_1951 = eq(_T_1950, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1952 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1953 = eq(_T_1952, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1954 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1956 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1957 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1958 = eq(_T_1957, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1959 = and(_T_1945, _T_1947) @[el2_dec_dec_ctl.scala 167:99] + node _T_1960 = and(_T_1959, _T_1949) @[el2_dec_dec_ctl.scala 167:99] + node _T_1961 = and(_T_1960, _T_1951) @[el2_dec_dec_ctl.scala 167:99] + node _T_1962 = and(_T_1961, _T_1953) @[el2_dec_dec_ctl.scala 167:99] + node _T_1963 = and(_T_1962, _T_1955) @[el2_dec_dec_ctl.scala 167:99] + node _T_1964 = and(_T_1963, _T_1956) @[el2_dec_dec_ctl.scala 167:99] + node _T_1965 = and(_T_1964, _T_1958) @[el2_dec_dec_ctl.scala 167:99] + node _T_1966 = or(_T_1943, _T_1965) @[el2_dec_dec_ctl.scala 167:50] + node _T_1967 = bits(io.ins, 6, 6) @[el2_dec_dec_ctl.scala 67:52] + node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1969 = bits(io.ins, 4, 4) @[el2_dec_dec_ctl.scala 67:33] + node _T_1970 = bits(io.ins, 3, 3) @[el2_dec_dec_ctl.scala 67:52] + node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1972 = bits(io.ins, 2, 2) @[el2_dec_dec_ctl.scala 67:52] + node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1974 = bits(io.ins, 1, 1) @[el2_dec_dec_ctl.scala 67:33] + node _T_1975 = bits(io.ins, 0, 0) @[el2_dec_dec_ctl.scala 67:52] + node _T_1976 = eq(_T_1975, UInt<1>("h00")) @[el2_dec_dec_ctl.scala 67:45] + node _T_1977 = and(_T_1968, _T_1969) @[el2_dec_dec_ctl.scala 168:43] + node _T_1978 = and(_T_1977, _T_1971) @[el2_dec_dec_ctl.scala 168:43] + node _T_1979 = and(_T_1978, _T_1973) @[el2_dec_dec_ctl.scala 168:43] + node _T_1980 = and(_T_1979, _T_1974) @[el2_dec_dec_ctl.scala 168:43] + node _T_1981 = and(_T_1980, _T_1976) @[el2_dec_dec_ctl.scala 168:43] + node _T_1982 = or(_T_1966, _T_1981) @[el2_dec_dec_ctl.scala 167:103] + io.out.legal <= _T_1982 @[el2_dec_dec_ctl.scala 153:16] + + extmodule TEC_RV_ICG_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_1 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_2 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_3 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_4 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_5 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_6 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_7 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_8 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_9 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_10 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_11 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_12 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_13 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_14 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_15 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_15 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_15 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_16 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_16 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_16 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_17 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_17 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_17 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_18 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_18 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_18 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_19 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_19 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_19 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + module el2_dec_decode_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip dec_tlu_flush_extint : UInt<1>, flip dec_tlu_force_halt : UInt<1>, dec_extint_stall : UInt<1>, flip ifu_i0_cinst : UInt<16>, dec_i0_inst_wb1 : UInt<32>, dec_i0_pc_wb1 : UInt<31>, flip lsu_nonblock_load_valid_m : UInt<1>, flip lsu_nonblock_load_tag_m : UInt<2>, flip lsu_nonblock_load_inv_r : UInt<1>, flip lsu_nonblock_load_inv_tag_r : UInt<2>, flip lsu_nonblock_load_data_valid : UInt<1>, flip lsu_nonblock_load_data_error : UInt<1>, flip lsu_nonblock_load_data_tag : UInt<2>, flip lsu_nonblock_load_data : UInt<32>, flip dec_i0_trigger_match_d : UInt<4>, flip dec_tlu_wr_pause_r : UInt<1>, flip dec_tlu_pipelining_disable : UInt<1>, flip lsu_trigger_match_m : UInt<4>, flip lsu_pmu_misaligned_m : UInt<1>, flip dec_tlu_debug_stall : UInt<1>, flip dec_tlu_flush_leak_one_r : UInt<1>, flip dec_debug_fence_d : UInt<1>, flip dbg_cmd_wrdata : UInt<2>, flip dec_i0_icaf_d : UInt<1>, flip dec_i0_icaf_f1_d : UInt<1>, flip dec_i0_icaf_type_d : UInt<2>, flip dec_i0_dbecc_d : UInt<1>, flip dec_i0_brp : {valid : UInt<1>, toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}, flip dec_i0_bp_index : UInt<8>, flip dec_i0_bp_fghr : UInt<8>, flip dec_i0_bp_btag : UInt<5>, flip dec_i0_pc_d : UInt<31>, flip lsu_idle_any : UInt<1>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip dma_dccm_stall_any : UInt<1>, flip exu_div_wren : UInt<1>, flip dec_tlu_i0_kill_writeb_wb : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_i0_kill_writeb_r : UInt<1>, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_flush_pause_r : UInt<1>, flip dec_tlu_presync_d : UInt<1>, flip dec_tlu_postsync_d : UInt<1>, flip dec_i0_pc4_d : UInt<1>, flip dec_csr_rddata_d : UInt<32>, flip dec_csr_legal_d : UInt<1>, flip exu_csr_rs1_x : UInt<32>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip exu_flush_final : UInt<1>, flip exu_i0_pc_x : UInt<31>, flip dec_i0_instr_d : UInt<32>, flip dec_ib0_valid_d : UInt<1>, flip exu_i0_result_x : UInt<32>, flip free_clk : Clock, flip active_clk : Clock, flip clk_override : UInt<1>, dec_i0_rs1_en_d : UInt<1>, dec_i0_rs2_en_d : UInt<1>, dec_i0_rs1_d : UInt<5>, dec_i0_rs2_d : UInt<5>, dec_i0_immed_d : UInt<32>, dec_i0_br_immed_d : UInt<12>, i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, dec_i0_decode_d : UInt<1>, dec_i0_alu_decode_d : UInt<1>, dec_i0_rs1_bypass_data_d : UInt<32>, dec_i0_rs2_bypass_data_d : UInt<32>, dec_i0_waddr_r : UInt<5>, dec_i0_wen_r : UInt<1>, dec_i0_wdata_r : UInt<32>, dec_i0_select_pc_d : UInt<1>, dec_i0_rs1_bypass_en_d : UInt<2>, dec_i0_rs2_bypass_en_d : UInt<2>, lsu_p : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, mul_p : {valid : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}, div_p : {valid : UInt<1>, unsign : UInt<1>, rem : UInt<1>}, div_waddr_wb : UInt<5>, dec_div_cancel : UInt<1>, dec_lsu_valid_raw_d : UInt<1>, dec_lsu_offset_d : UInt<12>, dec_csr_ren_d : UInt<1>, dec_csr_wen_unq_d : UInt<1>, dec_csr_any_unq_d : UInt<1>, dec_csr_rdaddr_d : UInt<12>, dec_csr_wen_r : UInt<1>, dec_csr_wraddr_r : UInt<12>, dec_csr_wrdata_r : UInt<32>, dec_csr_stall_int_ff : UInt<1>, dec_tlu_i0_valid_r : UInt<1>, dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, dec_tlu_i0_pc_r : UInt<31>, dec_illegal_inst : UInt<32>, pred_correct_npc_x : UInt<31>, dec_i0_predict_p_d : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, valid : UInt<1>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}, i0_predict_fghr_d : UInt<8>, i0_predict_index_d : UInt<8>, i0_predict_btag_d : UInt<5>, dec_data_en : UInt<2>, dec_ctl_en : UInt<2>, dec_pmu_instr_decoded : UInt<1>, dec_pmu_decode_stall : UInt<1>, dec_pmu_presync_stall : UInt<1>, dec_pmu_postsync_stall : UInt<1>, dec_nonblock_load_wen : UInt<1>, dec_nonblock_load_waddr : UInt<5>, dec_pause_state : UInt<1>, dec_pause_state_cg : UInt<1>, dec_div_active : UInt<1>, flip scan_mode : UInt<1>} + + wire _T : {valid : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>} @[el2_dec_decode_ctl.scala 126:27] + _T.bfp <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.crc32c_w <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.crc32c_h <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.crc32c_b <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.crc32_w <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.crc32_h <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.crc32_b <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.unshfl <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.shfl <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.grev <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.clmulr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.clmulh <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.clmul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.bdep <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.bext <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.low <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.rs2_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.rs1_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + _T.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 126:27] + io.mul_p.bfp <= _T.bfp @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.crc32c_w <= _T.crc32c_w @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.crc32c_h <= _T.crc32c_h @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.crc32c_b <= _T.crc32c_b @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.crc32_w <= _T.crc32_w @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.crc32_h <= _T.crc32_h @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.crc32_b <= _T.crc32_b @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.unshfl <= _T.unshfl @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.shfl <= _T.shfl @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.grev <= _T.grev @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.clmulr <= _T.clmulr @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.clmulh <= _T.clmulh @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.clmul <= _T.clmul @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.bdep <= _T.bdep @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.bext <= _T.bext @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.low <= _T.low @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.rs2_sign <= _T.rs2_sign @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.rs1_sign <= _T.rs1_sign @[el2_dec_decode_ctl.scala 126:12] + io.mul_p.valid <= _T.valid @[el2_dec_decode_ctl.scala 126:12] + wire leak1_i1_stall_in : UInt<1> + leak1_i1_stall_in <= UInt<1>("h00") + wire leak1_i0_stall_in : UInt<1> + leak1_i0_stall_in <= UInt<1>("h00") + wire i0r : {rs1 : UInt<5>, rs2 : UInt<5>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 130:17] + wire d_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 131:17] + wire x_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 132:17] + wire x_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 133:20] + wire r_t : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 134:17] + wire r_t_in : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 135:23] + wire d_d : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 136:17] + wire x_d : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 137:17] + wire r_d : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 138:17] + wire r_d_in : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 139:20] + wire wbd : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 140:17] + wire i0_d_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 141:20] + wire i0_rs1_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 142:28] + wire i0_rs2_class_d : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 143:28] + wire i0_rs1_depth_d : UInt<2> + i0_rs1_depth_d <= UInt<1>("h00") + wire i0_rs2_depth_d : UInt<2> + i0_rs2_depth_d <= UInt<1>("h00") + wire cam_wen : UInt<4> + cam_wen <= UInt<1>("h00") + wire cam : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}[4] @[el2_dec_decode_ctl.scala 147:17] + wire cam_write : UInt<1> + cam_write <= UInt<1>("h00") + wire cam_inv_reset_val : UInt<1>[4] @[el2_dec_decode_ctl.scala 149:29] + wire cam_data_reset_val : UInt<1>[4] @[el2_dec_decode_ctl.scala 150:30] + wire nonblock_load_write : UInt<1>[4] @[el2_dec_decode_ctl.scala 151:31] + wire cam_raw : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}[4] @[el2_dec_decode_ctl.scala 152:20] + wire cam_in : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}[4] @[el2_dec_decode_ctl.scala 153:20] + wire i0_dp : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[el2_dec_decode_ctl.scala 155:18] + wire i0_dp_raw : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[el2_dec_decode_ctl.scala 156:22] + wire i0_rs1bypass : UInt<3> + i0_rs1bypass <= UInt<1>("h00") + wire i0_rs2bypass : UInt<3> + i0_rs2bypass <= UInt<1>("h00") + wire illegal_lockout : UInt<1> + illegal_lockout <= UInt<1>("h00") + wire postsync_stall : UInt<1> + postsync_stall <= UInt<1>("h00") + wire ps_stall_in : UInt<1> + ps_stall_in <= UInt<1>("h00") + wire i0_pipe_en : UInt<4> + i0_pipe_en <= UInt<1>("h00") + wire i0_load_block_d : UInt<1> + i0_load_block_d <= UInt<1>("h00") + wire load_ldst_bypass_d : UInt<1> + load_ldst_bypass_d <= UInt<1>("h00") + wire store_data_bypass_d : UInt<1> + store_data_bypass_d <= UInt<1>("h00") + wire store_data_bypass_m : UInt<1> + store_data_bypass_m <= UInt<1>("h00") + wire tlu_wr_pause_r1 : UInt<1> + tlu_wr_pause_r1 <= UInt<1>("h00") + wire tlu_wr_pause_r2 : UInt<1> + tlu_wr_pause_r2 <= UInt<1>("h00") + wire leak1_i1_stall : UInt<1> + leak1_i1_stall <= UInt<1>("h00") + wire leak1_i0_stall : UInt<1> + leak1_i0_stall <= UInt<1>("h00") + wire pause_stall : UInt<1> + pause_stall <= UInt<1>("h00") + wire flush_final_r : UInt<1> + flush_final_r <= UInt<1>("h00") + wire illegal_lockout_in : UInt<1> + illegal_lockout_in <= UInt<1>("h00") + wire lsu_idle : UInt<1> + lsu_idle <= UInt<1>("h00") + wire pause_state_in : UInt<1> + pause_state_in <= UInt<1>("h00") + wire leak1_mode : UInt<1> + leak1_mode <= UInt<1>("h00") + wire i0_pcall : UInt<1> + i0_pcall <= UInt<1>("h00") + wire i0_pja : UInt<1> + i0_pja <= UInt<1>("h00") + wire i0_pret : UInt<1> + i0_pret <= UInt<1>("h00") + wire i0_legal_decode_d : UInt<1> + i0_legal_decode_d <= UInt<1>("h00") + wire i0_pcall_raw : UInt<1> + i0_pcall_raw <= UInt<1>("h00") + wire i0_pja_raw : UInt<1> + i0_pja_raw <= UInt<1>("h00") + wire i0_pret_raw : UInt<1> + i0_pret_raw <= UInt<1>("h00") + wire i0_br_offset : UInt<12> + i0_br_offset <= UInt<1>("h00") + wire i0_csr_write_only_d : UInt<1> + i0_csr_write_only_d <= UInt<1>("h00") + wire i0_jal : UInt<1> + i0_jal <= UInt<1>("h00") + wire i0_wen_r : UInt<1> + i0_wen_r <= UInt<1>("h00") + wire i0_x_ctl_en : UInt<1> + i0_x_ctl_en <= UInt<1>("h00") + wire i0_r_ctl_en : UInt<1> + i0_r_ctl_en <= UInt<1>("h00") + wire i0_wb_ctl_en : UInt<1> + i0_wb_ctl_en <= UInt<1>("h00") + wire i0_x_data_en : UInt<1> + i0_x_data_en <= UInt<1>("h00") + wire i0_r_data_en : UInt<1> + i0_r_data_en <= UInt<1>("h00") + wire i0_wb_data_en : UInt<1> + i0_wb_data_en <= UInt<1>("h00") + wire i0_wb1_data_en : UInt<1> + i0_wb1_data_en <= UInt<1>("h00") + wire i0_nonblock_load_stall : UInt<1> + i0_nonblock_load_stall <= UInt<1>("h00") + wire csr_read : UInt<1> + csr_read <= UInt<1>("h00") + wire lsu_decode_d : UInt<1> + lsu_decode_d <= UInt<1>("h00") + wire mul_decode_d : UInt<1> + mul_decode_d <= UInt<1>("h00") + wire div_decode_d : UInt<1> + div_decode_d <= UInt<1>("h00") + wire write_csr_data : UInt<32> + write_csr_data <= UInt<1>("h00") + wire i0_result_corr_r : UInt<32> + i0_result_corr_r <= UInt<1>("h00") + wire presync_stall : UInt<1> + presync_stall <= UInt<1>("h00") + wire i0_nonblock_div_stall : UInt<1> + i0_nonblock_div_stall <= UInt<1>("h00") + wire debug_fence : UInt<1> + debug_fence <= UInt<1>("h00") + wire i0_immed_d : UInt<32> + i0_immed_d <= UInt<1>("h00") + wire i0_result_x : UInt<32> + i0_result_x <= UInt<1>("h00") + wire i0_result_r : UInt<32> + i0_result_r <= UInt<1>("h00") + node _T_1 = xor(io.dec_tlu_wr_pause_r, tlu_wr_pause_r1) @[el2_dec_decode_ctl.scala 211:51] + node _T_2 = xor(tlu_wr_pause_r1, tlu_wr_pause_r2) @[el2_dec_decode_ctl.scala 212:32] + node _T_3 = or(_T_1, _T_2) @[el2_dec_decode_ctl.scala 211:73] + node _T_4 = xor(io.dec_tlu_flush_extint, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 213:32] + node _T_5 = or(_T_3, _T_4) @[el2_dec_decode_ctl.scala 212:56] + node _T_6 = xor(leak1_i1_stall_in, leak1_i1_stall) @[el2_dec_decode_ctl.scala 214:32] + node _T_7 = or(_T_5, _T_6) @[el2_dec_decode_ctl.scala 213:56] + node _T_8 = xor(leak1_i0_stall_in, leak1_i0_stall) @[el2_dec_decode_ctl.scala 215:32] + node _T_9 = or(_T_7, _T_8) @[el2_dec_decode_ctl.scala 214:56] + node _T_10 = xor(pause_state_in, pause_stall) @[el2_dec_decode_ctl.scala 216:32] + node _T_11 = or(_T_9, _T_10) @[el2_dec_decode_ctl.scala 215:56] + node _T_12 = xor(ps_stall_in, postsync_stall) @[el2_dec_decode_ctl.scala 217:32] + node _T_13 = or(_T_11, _T_12) @[el2_dec_decode_ctl.scala 216:56] + node _T_14 = xor(io.exu_flush_final, flush_final_r) @[el2_dec_decode_ctl.scala 218:32] + node _T_15 = or(_T_13, _T_14) @[el2_dec_decode_ctl.scala 217:56] + node _T_16 = xor(illegal_lockout_in, illegal_lockout) @[el2_dec_decode_ctl.scala 219:32] + node data_gate_en = or(_T_15, _T_16) @[el2_dec_decode_ctl.scala 218:56] + inst data_gated_cgc of rvclkhdr @[el2_dec_decode_ctl.scala 221:29] + data_gated_cgc.clock <= clock + data_gated_cgc.reset <= reset + data_gated_cgc.io.en <= data_gate_en @[el2_dec_decode_ctl.scala 222:31] + data_gated_cgc.io.scan_mode <= io.scan_mode @[el2_dec_decode_ctl.scala 223:31] + data_gated_cgc.io.clk <= clock @[el2_dec_decode_ctl.scala 224:31] + node _T_17 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 229:62] + node i0_brp_valid = and(io.dec_i0_brp.valid, _T_17) @[el2_dec_decode_ctl.scala 229:60] + io.dec_i0_predict_p_d.misp <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 230:38] + io.dec_i0_predict_p_d.ataken <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 231:38] + io.dec_i0_predict_p_d.boffset <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 232:38] + io.dec_i0_predict_p_d.pcall <= i0_pcall @[el2_dec_decode_ctl.scala 233:38] + io.dec_i0_predict_p_d.pja <= i0_pja @[el2_dec_decode_ctl.scala 234:38] + io.dec_i0_predict_p_d.pret <= i0_pret @[el2_dec_decode_ctl.scala 235:38] + io.dec_i0_predict_p_d.prett <= io.dec_i0_brp.prett @[el2_dec_decode_ctl.scala 236:38] + io.dec_i0_predict_p_d.pc4 <= io.dec_i0_pc4_d @[el2_dec_decode_ctl.scala 237:38] + io.dec_i0_predict_p_d.hist <= io.dec_i0_brp.hist @[el2_dec_decode_ctl.scala 238:38] + node _T_18 = and(i0_brp_valid, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 239:55] + io.dec_i0_predict_p_d.valid <= _T_18 @[el2_dec_decode_ctl.scala 239:38] + node _T_19 = or(i0_dp_raw.condbr, i0_pcall_raw) @[el2_dec_decode_ctl.scala 240:75] + node _T_20 = or(_T_19, i0_pja_raw) @[el2_dec_decode_ctl.scala 240:90] + node _T_21 = or(_T_20, i0_pret_raw) @[el2_dec_decode_ctl.scala 240:103] + node _T_22 = eq(_T_21, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 240:56] + node i0_notbr_error = and(i0_brp_valid, _T_22) @[el2_dec_decode_ctl.scala 240:54] + node _T_23 = bits(io.dec_i0_brp.hist, 1, 1) @[el2_dec_decode_ctl.scala 243:67] + node _T_24 = and(i0_brp_valid, _T_23) @[el2_dec_decode_ctl.scala 243:47] + node _T_25 = neq(io.dec_i0_brp.toffset, i0_br_offset) @[el2_dec_decode_ctl.scala 243:96] + node _T_26 = and(_T_24, _T_25) @[el2_dec_decode_ctl.scala 243:71] + node _T_27 = eq(i0_pret_raw, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 243:116] + node i0_br_toffset_error = and(_T_26, _T_27) @[el2_dec_decode_ctl.scala 243:114] + node _T_28 = and(i0_brp_valid, io.dec_i0_brp.ret) @[el2_dec_decode_ctl.scala 244:47] + node _T_29 = eq(i0_pret_raw, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 244:69] + node i0_ret_error = and(_T_28, _T_29) @[el2_dec_decode_ctl.scala 244:67] + node _T_30 = or(io.dec_i0_brp.br_error, i0_notbr_error) @[el2_dec_decode_ctl.scala 245:57] + node _T_31 = or(_T_30, i0_br_toffset_error) @[el2_dec_decode_ctl.scala 245:74] + node i0_br_error = or(_T_31, i0_ret_error) @[el2_dec_decode_ctl.scala 245:96] + node _T_32 = and(i0_br_error, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 246:67] + node _T_33 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 246:89] + node _T_34 = and(_T_32, _T_33) @[el2_dec_decode_ctl.scala 246:87] + io.dec_i0_predict_p_d.br_error <= _T_34 @[el2_dec_decode_ctl.scala 246:51] + node _T_35 = and(io.dec_i0_brp.br_start_error, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 247:84] + node _T_36 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 247:106] + node _T_37 = and(_T_35, _T_36) @[el2_dec_decode_ctl.scala 247:104] + io.dec_i0_predict_p_d.br_start_error <= _T_37 @[el2_dec_decode_ctl.scala 247:51] + io.i0_predict_index_d <= io.dec_i0_bp_index @[el2_dec_decode_ctl.scala 248:32] + io.i0_predict_btag_d <= io.dec_i0_bp_btag @[el2_dec_decode_ctl.scala 249:32] + node _T_38 = or(i0_br_error, io.dec_i0_brp.br_start_error) @[el2_dec_decode_ctl.scala 250:47] + node _T_39 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 250:81] + node i0_br_error_all = and(_T_38, _T_39) @[el2_dec_decode_ctl.scala 250:79] + io.dec_i0_predict_p_d.toffset <= i0_br_offset @[el2_dec_decode_ctl.scala 251:44] + io.i0_predict_fghr_d <= io.dec_i0_bp_fghr @[el2_dec_decode_ctl.scala 252:32] + io.dec_i0_predict_p_d.way <= io.dec_i0_brp.way @[el2_dec_decode_ctl.scala 253:51] + node i0_icaf_d = or(io.dec_i0_icaf_d, io.dec_i0_dbecc_d) @[el2_dec_decode_ctl.scala 259:36] + i0_dp.legal <= i0_dp_raw.legal @[el2_dec_decode_ctl.scala 262:9] + i0_dp.pm_alu <= i0_dp_raw.pm_alu @[el2_dec_decode_ctl.scala 262:9] + i0_dp.fence_i <= i0_dp_raw.fence_i @[el2_dec_decode_ctl.scala 262:9] + i0_dp.fence <= i0_dp_raw.fence @[el2_dec_decode_ctl.scala 262:9] + i0_dp.rem <= i0_dp_raw.rem @[el2_dec_decode_ctl.scala 262:9] + i0_dp.div <= i0_dp_raw.div @[el2_dec_decode_ctl.scala 262:9] + i0_dp.low <= i0_dp_raw.low @[el2_dec_decode_ctl.scala 262:9] + i0_dp.rs2_sign <= i0_dp_raw.rs2_sign @[el2_dec_decode_ctl.scala 262:9] + i0_dp.rs1_sign <= i0_dp_raw.rs1_sign @[el2_dec_decode_ctl.scala 262:9] + i0_dp.mul <= i0_dp_raw.mul @[el2_dec_decode_ctl.scala 262:9] + i0_dp.mret <= i0_dp_raw.mret @[el2_dec_decode_ctl.scala 262:9] + i0_dp.ecall <= i0_dp_raw.ecall @[el2_dec_decode_ctl.scala 262:9] + i0_dp.ebreak <= i0_dp_raw.ebreak @[el2_dec_decode_ctl.scala 262:9] + i0_dp.postsync <= i0_dp_raw.postsync @[el2_dec_decode_ctl.scala 262:9] + i0_dp.presync <= i0_dp_raw.presync @[el2_dec_decode_ctl.scala 262:9] + i0_dp.csr_imm <= i0_dp_raw.csr_imm @[el2_dec_decode_ctl.scala 262:9] + i0_dp.csr_write <= i0_dp_raw.csr_write @[el2_dec_decode_ctl.scala 262:9] + i0_dp.csr_set <= i0_dp_raw.csr_set @[el2_dec_decode_ctl.scala 262:9] + i0_dp.csr_clr <= i0_dp_raw.csr_clr @[el2_dec_decode_ctl.scala 262:9] + i0_dp.csr_read <= i0_dp_raw.csr_read @[el2_dec_decode_ctl.scala 262:9] + i0_dp.word <= i0_dp_raw.word @[el2_dec_decode_ctl.scala 262:9] + i0_dp.half <= i0_dp_raw.half @[el2_dec_decode_ctl.scala 262:9] + i0_dp.by <= i0_dp_raw.by @[el2_dec_decode_ctl.scala 262:9] + i0_dp.jal <= i0_dp_raw.jal @[el2_dec_decode_ctl.scala 262:9] + i0_dp.blt <= i0_dp_raw.blt @[el2_dec_decode_ctl.scala 262:9] + i0_dp.bge <= i0_dp_raw.bge @[el2_dec_decode_ctl.scala 262:9] + i0_dp.bne <= i0_dp_raw.bne @[el2_dec_decode_ctl.scala 262:9] + i0_dp.beq <= i0_dp_raw.beq @[el2_dec_decode_ctl.scala 262:9] + i0_dp.condbr <= i0_dp_raw.condbr @[el2_dec_decode_ctl.scala 262:9] + i0_dp.unsign <= i0_dp_raw.unsign @[el2_dec_decode_ctl.scala 262:9] + i0_dp.slt <= i0_dp_raw.slt @[el2_dec_decode_ctl.scala 262:9] + i0_dp.srl <= i0_dp_raw.srl @[el2_dec_decode_ctl.scala 262:9] + i0_dp.sra <= i0_dp_raw.sra @[el2_dec_decode_ctl.scala 262:9] + i0_dp.sll <= i0_dp_raw.sll @[el2_dec_decode_ctl.scala 262:9] + i0_dp.lxor <= i0_dp_raw.lxor @[el2_dec_decode_ctl.scala 262:9] + i0_dp.lor <= i0_dp_raw.lor @[el2_dec_decode_ctl.scala 262:9] + i0_dp.land <= i0_dp_raw.land @[el2_dec_decode_ctl.scala 262:9] + i0_dp.sub <= i0_dp_raw.sub @[el2_dec_decode_ctl.scala 262:9] + i0_dp.add <= i0_dp_raw.add @[el2_dec_decode_ctl.scala 262:9] + i0_dp.lsu <= i0_dp_raw.lsu @[el2_dec_decode_ctl.scala 262:9] + i0_dp.store <= i0_dp_raw.store @[el2_dec_decode_ctl.scala 262:9] + i0_dp.load <= i0_dp_raw.load @[el2_dec_decode_ctl.scala 262:9] + i0_dp.pc <= i0_dp_raw.pc @[el2_dec_decode_ctl.scala 262:9] + i0_dp.imm20 <= i0_dp_raw.imm20 @[el2_dec_decode_ctl.scala 262:9] + i0_dp.shimm5 <= i0_dp_raw.shimm5 @[el2_dec_decode_ctl.scala 262:9] + i0_dp.rd <= i0_dp_raw.rd @[el2_dec_decode_ctl.scala 262:9] + i0_dp.imm12 <= i0_dp_raw.imm12 @[el2_dec_decode_ctl.scala 262:9] + i0_dp.rs2 <= i0_dp_raw.rs2 @[el2_dec_decode_ctl.scala 262:9] + i0_dp.rs1 <= i0_dp_raw.rs1 @[el2_dec_decode_ctl.scala 262:9] + i0_dp.alu <= i0_dp_raw.alu @[el2_dec_decode_ctl.scala 262:9] + node _T_40 = or(i0_br_error_all, i0_icaf_d) @[el2_dec_decode_ctl.scala 263:25] + node _T_41 = bits(_T_40, 0, 0) @[el2_dec_decode_ctl.scala 263:43] + when _T_41 : @[el2_dec_decode_ctl.scala 263:50] + wire _T_42 : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[el2_dec_decode_ctl.scala 264:35] + _T_42.legal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.pm_alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.fence_i <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.fence <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.rem <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.div <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.low <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.rs2_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.rs1_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.mret <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.ecall <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.ebreak <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.postsync <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.presync <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.csr_imm <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.csr_write <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.csr_set <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.csr_clr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.csr_read <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.word <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.half <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.by <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.jal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.blt <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.bge <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.bne <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.beq <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.condbr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.unsign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.slt <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.srl <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.sra <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.sll <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.lxor <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.lor <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.land <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.sub <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.add <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.lsu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.store <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.pc <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.imm20 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.shimm5 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.rd <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.imm12 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.rs2 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.rs1 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + _T_42.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 264:35] + i0_dp.legal <= _T_42.legal @[el2_dec_decode_ctl.scala 264:20] + i0_dp.pm_alu <= _T_42.pm_alu @[el2_dec_decode_ctl.scala 264:20] + i0_dp.fence_i <= _T_42.fence_i @[el2_dec_decode_ctl.scala 264:20] + i0_dp.fence <= _T_42.fence @[el2_dec_decode_ctl.scala 264:20] + i0_dp.rem <= _T_42.rem @[el2_dec_decode_ctl.scala 264:20] + i0_dp.div <= _T_42.div @[el2_dec_decode_ctl.scala 264:20] + i0_dp.low <= _T_42.low @[el2_dec_decode_ctl.scala 264:20] + i0_dp.rs2_sign <= _T_42.rs2_sign @[el2_dec_decode_ctl.scala 264:20] + i0_dp.rs1_sign <= _T_42.rs1_sign @[el2_dec_decode_ctl.scala 264:20] + i0_dp.mul <= _T_42.mul @[el2_dec_decode_ctl.scala 264:20] + i0_dp.mret <= _T_42.mret @[el2_dec_decode_ctl.scala 264:20] + i0_dp.ecall <= _T_42.ecall @[el2_dec_decode_ctl.scala 264:20] + i0_dp.ebreak <= _T_42.ebreak @[el2_dec_decode_ctl.scala 264:20] + i0_dp.postsync <= _T_42.postsync @[el2_dec_decode_ctl.scala 264:20] + i0_dp.presync <= _T_42.presync @[el2_dec_decode_ctl.scala 264:20] + i0_dp.csr_imm <= _T_42.csr_imm @[el2_dec_decode_ctl.scala 264:20] + i0_dp.csr_write <= _T_42.csr_write @[el2_dec_decode_ctl.scala 264:20] + i0_dp.csr_set <= _T_42.csr_set @[el2_dec_decode_ctl.scala 264:20] + i0_dp.csr_clr <= _T_42.csr_clr @[el2_dec_decode_ctl.scala 264:20] + i0_dp.csr_read <= _T_42.csr_read @[el2_dec_decode_ctl.scala 264:20] + i0_dp.word <= _T_42.word @[el2_dec_decode_ctl.scala 264:20] + i0_dp.half <= _T_42.half @[el2_dec_decode_ctl.scala 264:20] + i0_dp.by <= _T_42.by @[el2_dec_decode_ctl.scala 264:20] + i0_dp.jal <= _T_42.jal @[el2_dec_decode_ctl.scala 264:20] + i0_dp.blt <= _T_42.blt @[el2_dec_decode_ctl.scala 264:20] + i0_dp.bge <= _T_42.bge @[el2_dec_decode_ctl.scala 264:20] + i0_dp.bne <= _T_42.bne @[el2_dec_decode_ctl.scala 264:20] + i0_dp.beq <= _T_42.beq @[el2_dec_decode_ctl.scala 264:20] + i0_dp.condbr <= _T_42.condbr @[el2_dec_decode_ctl.scala 264:20] + i0_dp.unsign <= _T_42.unsign @[el2_dec_decode_ctl.scala 264:20] + i0_dp.slt <= _T_42.slt @[el2_dec_decode_ctl.scala 264:20] + i0_dp.srl <= _T_42.srl @[el2_dec_decode_ctl.scala 264:20] + i0_dp.sra <= _T_42.sra @[el2_dec_decode_ctl.scala 264:20] + i0_dp.sll <= _T_42.sll @[el2_dec_decode_ctl.scala 264:20] + i0_dp.lxor <= _T_42.lxor @[el2_dec_decode_ctl.scala 264:20] + i0_dp.lor <= _T_42.lor @[el2_dec_decode_ctl.scala 264:20] + i0_dp.land <= _T_42.land @[el2_dec_decode_ctl.scala 264:20] + i0_dp.sub <= _T_42.sub @[el2_dec_decode_ctl.scala 264:20] + i0_dp.add <= _T_42.add @[el2_dec_decode_ctl.scala 264:20] + i0_dp.lsu <= _T_42.lsu @[el2_dec_decode_ctl.scala 264:20] + i0_dp.store <= _T_42.store @[el2_dec_decode_ctl.scala 264:20] + i0_dp.load <= _T_42.load @[el2_dec_decode_ctl.scala 264:20] + i0_dp.pc <= _T_42.pc @[el2_dec_decode_ctl.scala 264:20] + i0_dp.imm20 <= _T_42.imm20 @[el2_dec_decode_ctl.scala 264:20] + i0_dp.shimm5 <= _T_42.shimm5 @[el2_dec_decode_ctl.scala 264:20] + i0_dp.rd <= _T_42.rd @[el2_dec_decode_ctl.scala 264:20] + i0_dp.imm12 <= _T_42.imm12 @[el2_dec_decode_ctl.scala 264:20] + i0_dp.rs2 <= _T_42.rs2 @[el2_dec_decode_ctl.scala 264:20] + i0_dp.rs1 <= _T_42.rs1 @[el2_dec_decode_ctl.scala 264:20] + i0_dp.alu <= _T_42.alu @[el2_dec_decode_ctl.scala 264:20] + i0_dp.alu <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 265:20] + i0_dp.rs1 <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 266:20] + i0_dp.rs2 <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 267:20] + i0_dp.lor <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 268:20] + i0_dp.legal <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 269:20] + i0_dp.postsync <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 270:20] + skip @[el2_dec_decode_ctl.scala 263:50] + io.dec_i0_select_pc_d <= i0_dp.pc @[el2_dec_decode_ctl.scala 274:25] + node _T_43 = or(i0_dp.condbr, i0_pcall) @[el2_dec_decode_ctl.scala 277:38] + node _T_44 = or(_T_43, i0_pja) @[el2_dec_decode_ctl.scala 277:49] + node i0_predict_br = or(_T_44, i0_pret) @[el2_dec_decode_ctl.scala 277:58] + node _T_45 = bits(io.dec_i0_brp.hist, 1, 1) @[el2_dec_decode_ctl.scala 279:46] + node _T_46 = and(_T_45, i0_brp_valid) @[el2_dec_decode_ctl.scala 279:50] + node _T_47 = eq(_T_46, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 279:26] + node i0_predict_nt = and(_T_47, i0_predict_br) @[el2_dec_decode_ctl.scala 279:66] + node _T_48 = bits(io.dec_i0_brp.hist, 1, 1) @[el2_dec_decode_ctl.scala 280:46] + node _T_49 = and(_T_48, i0_brp_valid) @[el2_dec_decode_ctl.scala 280:50] + node i0_predict_t = and(_T_49, i0_predict_br) @[el2_dec_decode_ctl.scala 280:66] + node i0_ap_pc2 = eq(io.dec_i0_pc4_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 281:20] + io.i0_ap.predict_nt <= i0_predict_nt @[el2_dec_decode_ctl.scala 283:26] + io.i0_ap.predict_t <= i0_predict_t @[el2_dec_decode_ctl.scala 284:26] + io.i0_ap.add <= i0_dp.add @[el2_dec_decode_ctl.scala 286:20] + io.i0_ap.sub <= i0_dp.sub @[el2_dec_decode_ctl.scala 287:20] + io.i0_ap.land <= i0_dp.land @[el2_dec_decode_ctl.scala 288:20] + io.i0_ap.lor <= i0_dp.lor @[el2_dec_decode_ctl.scala 289:20] + io.i0_ap.lxor <= i0_dp.lxor @[el2_dec_decode_ctl.scala 290:20] + io.i0_ap.sll <= i0_dp.sll @[el2_dec_decode_ctl.scala 291:20] + io.i0_ap.srl <= i0_dp.srl @[el2_dec_decode_ctl.scala 292:20] + io.i0_ap.sra <= i0_dp.sra @[el2_dec_decode_ctl.scala 293:20] + io.i0_ap.slt <= i0_dp.slt @[el2_dec_decode_ctl.scala 294:20] + io.i0_ap.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 295:20] + io.i0_ap.beq <= i0_dp.beq @[el2_dec_decode_ctl.scala 296:20] + io.i0_ap.bne <= i0_dp.bne @[el2_dec_decode_ctl.scala 297:20] + io.i0_ap.blt <= i0_dp.blt @[el2_dec_decode_ctl.scala 298:20] + io.i0_ap.bge <= i0_dp.bge @[el2_dec_decode_ctl.scala 299:20] + io.i0_ap.csr_write <= i0_csr_write_only_d @[el2_dec_decode_ctl.scala 300:22] + io.i0_ap.csr_imm <= i0_dp.csr_imm @[el2_dec_decode_ctl.scala 301:22] + io.i0_ap.jal <= i0_jal @[el2_dec_decode_ctl.scala 302:22] + node _T_50 = eq(cam[0].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 306:78] + node _T_51 = bits(_T_50, 0, 0) @[el2_dec_decode_ctl.scala 306:137] + node _T_52 = shl(cam_write, 0) @[el2_dec_decode_ctl.scala 306:158] + node _T_53 = eq(cam[1].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 306:78] + node _T_54 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 306:120] + node _T_55 = bits(_T_53, 0, 0) @[el2_dec_decode_ctl.scala 306:129] + node _T_56 = and(_T_54, _T_55) @[el2_dec_decode_ctl.scala 306:126] + node _T_57 = bits(_T_56, 0, 0) @[el2_dec_decode_ctl.scala 306:137] + node _T_58 = shl(cam_write, 1) @[el2_dec_decode_ctl.scala 306:158] + node _T_59 = eq(cam[2].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 306:78] + node _T_60 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 306:120] + node _T_61 = bits(cam[1].valid, 0, 0) @[el2_dec_decode_ctl.scala 306:129] + node _T_62 = and(_T_60, _T_61) @[el2_dec_decode_ctl.scala 306:126] + node _T_63 = bits(_T_62, 0, 0) @[el2_dec_decode_ctl.scala 306:120] + node _T_64 = bits(_T_59, 0, 0) @[el2_dec_decode_ctl.scala 306:129] + node _T_65 = and(_T_63, _T_64) @[el2_dec_decode_ctl.scala 306:126] + node _T_66 = bits(_T_65, 0, 0) @[el2_dec_decode_ctl.scala 306:137] + node _T_67 = shl(cam_write, 2) @[el2_dec_decode_ctl.scala 306:158] + node _T_68 = eq(cam[3].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 306:78] + node _T_69 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 306:120] + node _T_70 = bits(cam[1].valid, 0, 0) @[el2_dec_decode_ctl.scala 306:129] + node _T_71 = and(_T_69, _T_70) @[el2_dec_decode_ctl.scala 306:126] + node _T_72 = bits(_T_71, 0, 0) @[el2_dec_decode_ctl.scala 306:120] + node _T_73 = bits(cam[2].valid, 0, 0) @[el2_dec_decode_ctl.scala 306:129] + node _T_74 = and(_T_72, _T_73) @[el2_dec_decode_ctl.scala 306:126] + node _T_75 = bits(_T_74, 0, 0) @[el2_dec_decode_ctl.scala 306:120] + node _T_76 = bits(_T_68, 0, 0) @[el2_dec_decode_ctl.scala 306:129] + node _T_77 = and(_T_75, _T_76) @[el2_dec_decode_ctl.scala 306:126] + node _T_78 = bits(_T_77, 0, 0) @[el2_dec_decode_ctl.scala 306:137] + node _T_79 = shl(cam_write, 3) @[el2_dec_decode_ctl.scala 306:158] + node _T_80 = mux(_T_51, _T_52, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_81 = mux(_T_57, _T_58, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_66, _T_67, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_78, _T_79, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = or(_T_80, _T_81) @[Mux.scala 27:72] + node _T_85 = or(_T_84, _T_82) @[Mux.scala 27:72] + node _T_86 = or(_T_85, _T_83) @[Mux.scala 27:72] + wire _T_87 : UInt<4> @[Mux.scala 27:72] + _T_87 <= _T_86 @[Mux.scala 27:72] + cam_wen <= _T_87 @[el2_dec_decode_ctl.scala 306:11] + cam_write <= io.lsu_nonblock_load_valid_m @[el2_dec_decode_ctl.scala 308:25] + node cam_write_tag = bits(io.lsu_nonblock_load_tag_m, 1, 0) @[el2_dec_decode_ctl.scala 309:54] + node cam_inv_reset_tag = bits(io.lsu_nonblock_load_inv_tag_r, 1, 0) @[el2_dec_decode_ctl.scala 312:59] + node cam_data_reset = or(io.lsu_nonblock_load_data_valid, io.lsu_nonblock_load_data_error) @[el2_dec_decode_ctl.scala 314:63] + node cam_data_reset_tag = bits(io.lsu_nonblock_load_data_tag, 1, 0) @[el2_dec_decode_ctl.scala 315:60] + node _T_88 = bits(x_d.i0load, 0, 0) @[el2_dec_decode_ctl.scala 317:43] + node nonblock_load_rd = mux(_T_88, x_d.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 317:31] + node _T_89 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 321:116] + reg nonblock_load_valid_m_delay : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_89 : @[Reg.scala 28:19] + nonblock_load_valid_m_delay <= io.lsu_nonblock_load_valid_m @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.i0load) @[el2_dec_decode_ctl.scala 322:56] + node _T_90 = eq(cam_inv_reset_tag, cam[0].tag) @[el2_dec_decode_ctl.scala 324:66] + node _T_91 = and(io.lsu_nonblock_load_inv_r, _T_90) @[el2_dec_decode_ctl.scala 324:45] + node _T_92 = and(_T_91, cam[0].valid) @[el2_dec_decode_ctl.scala 324:82] + cam_inv_reset_val[0] <= _T_92 @[el2_dec_decode_ctl.scala 324:26] + node _T_93 = eq(cam_data_reset_tag, cam[0].tag) @[el2_dec_decode_ctl.scala 325:67] + node _T_94 = and(cam_data_reset, _T_93) @[el2_dec_decode_ctl.scala 325:45] + node _T_95 = and(_T_94, cam_raw[0].valid) @[el2_dec_decode_ctl.scala 325:83] + cam_data_reset_val[0] <= _T_95 @[el2_dec_decode_ctl.scala 325:27] + wire _T_96 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 326:28] + _T_96.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_96.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_96.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_96.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + cam_in[0].rd <= _T_96.rd @[el2_dec_decode_ctl.scala 326:14] + cam_in[0].tag <= _T_96.tag @[el2_dec_decode_ctl.scala 326:14] + cam_in[0].wb <= _T_96.wb @[el2_dec_decode_ctl.scala 326:14] + cam_in[0].valid <= _T_96.valid @[el2_dec_decode_ctl.scala 326:14] + cam[0].rd <= cam_raw[0].rd @[el2_dec_decode_ctl.scala 327:11] + cam[0].tag <= cam_raw[0].tag @[el2_dec_decode_ctl.scala 327:11] + cam[0].wb <= cam_raw[0].wb @[el2_dec_decode_ctl.scala 327:11] + cam[0].valid <= cam_raw[0].valid @[el2_dec_decode_ctl.scala 327:11] + node _T_97 = bits(cam_data_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 329:32] + when _T_97 : @[el2_dec_decode_ctl.scala 329:39] + cam[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 330:20] + skip @[el2_dec_decode_ctl.scala 329:39] + node _T_98 = bits(cam_wen, 0, 0) @[el2_dec_decode_ctl.scala 332:17] + node _T_99 = bits(_T_98, 0, 0) @[el2_dec_decode_ctl.scala 332:21] + when _T_99 : @[el2_dec_decode_ctl.scala 332:28] + cam_in[0].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 333:27] + cam_in[0].wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 334:27] + cam_in[0].tag <= cam_write_tag @[el2_dec_decode_ctl.scala 335:27] + cam_in[0].rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 336:27] + skip @[el2_dec_decode_ctl.scala 332:28] + else : @[el2_dec_decode_ctl.scala 337:116] + node _T_100 = bits(cam_inv_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 337:37] + node _T_101 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 337:57] + node _T_102 = eq(r_d_in.i0rd, cam[0].rd) @[el2_dec_decode_ctl.scala 337:80] + node _T_103 = and(_T_101, _T_102) @[el2_dec_decode_ctl.scala 337:64] + node _T_104 = bits(cam[0].wb, 0, 0) @[el2_dec_decode_ctl.scala 337:108] + node _T_105 = and(_T_103, _T_104) @[el2_dec_decode_ctl.scala 337:95] + node _T_106 = or(_T_100, _T_105) @[el2_dec_decode_ctl.scala 337:44] + when _T_106 : @[el2_dec_decode_ctl.scala 337:116] + cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 338:23] + skip @[el2_dec_decode_ctl.scala 337:116] + else : @[el2_dec_decode_ctl.scala 339:16] + cam_in[0].rd <= cam[0].rd @[el2_dec_decode_ctl.scala 340:22] + cam_in[0].tag <= cam[0].tag @[el2_dec_decode_ctl.scala 340:22] + cam_in[0].wb <= cam[0].wb @[el2_dec_decode_ctl.scala 340:22] + cam_in[0].valid <= cam[0].valid @[el2_dec_decode_ctl.scala 340:22] + skip @[el2_dec_decode_ctl.scala 339:16] + node _T_107 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:37] + node _T_108 = eq(io.lsu_nonblock_load_inv_tag_r, cam[0].tag) @[el2_dec_decode_ctl.scala 342:79] + node _T_109 = and(_T_107, _T_108) @[el2_dec_decode_ctl.scala 342:44] + node _T_110 = eq(cam[0].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:110] + node _T_111 = and(_T_109, _T_110) @[el2_dec_decode_ctl.scala 342:95] + when _T_111 : @[el2_dec_decode_ctl.scala 342:117] + cam_in[0].wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 343:20] + skip @[el2_dec_decode_ctl.scala 342:117] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 346:32] + cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:23] + skip @[el2_dec_decode_ctl.scala 346:32] + wire _T_112 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 350:70] + _T_112.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_112.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_112.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_112.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + reg _T_113 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}, io.free_clk with : (reset => (reset, _T_112)) @[el2_dec_decode_ctl.scala 350:47] + _T_113.rd <= cam_in[0].rd @[el2_dec_decode_ctl.scala 350:47] + _T_113.tag <= cam_in[0].tag @[el2_dec_decode_ctl.scala 350:47] + _T_113.wb <= cam_in[0].wb @[el2_dec_decode_ctl.scala 350:47] + _T_113.valid <= cam_in[0].valid @[el2_dec_decode_ctl.scala 350:47] + cam_raw[0].rd <= _T_113.rd @[el2_dec_decode_ctl.scala 350:15] + cam_raw[0].tag <= _T_113.tag @[el2_dec_decode_ctl.scala 350:15] + cam_raw[0].wb <= _T_113.wb @[el2_dec_decode_ctl.scala 350:15] + cam_raw[0].valid <= _T_113.valid @[el2_dec_decode_ctl.scala 350:15] + node _T_114 = eq(io.lsu_nonblock_load_data_tag, cam_raw[0].tag) @[el2_dec_decode_ctl.scala 351:46] + node _T_115 = and(_T_114, cam_raw[0].valid) @[el2_dec_decode_ctl.scala 351:66] + nonblock_load_write[0] <= _T_115 @[el2_dec_decode_ctl.scala 351:28] + node _T_116 = eq(cam_inv_reset_tag, cam[1].tag) @[el2_dec_decode_ctl.scala 324:66] + node _T_117 = and(io.lsu_nonblock_load_inv_r, _T_116) @[el2_dec_decode_ctl.scala 324:45] + node _T_118 = and(_T_117, cam[1].valid) @[el2_dec_decode_ctl.scala 324:82] + cam_inv_reset_val[1] <= _T_118 @[el2_dec_decode_ctl.scala 324:26] + node _T_119 = eq(cam_data_reset_tag, cam[1].tag) @[el2_dec_decode_ctl.scala 325:67] + node _T_120 = and(cam_data_reset, _T_119) @[el2_dec_decode_ctl.scala 325:45] + node _T_121 = and(_T_120, cam_raw[1].valid) @[el2_dec_decode_ctl.scala 325:83] + cam_data_reset_val[1] <= _T_121 @[el2_dec_decode_ctl.scala 325:27] + wire _T_122 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 326:28] + _T_122.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_122.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_122.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_122.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + cam_in[1].rd <= _T_122.rd @[el2_dec_decode_ctl.scala 326:14] + cam_in[1].tag <= _T_122.tag @[el2_dec_decode_ctl.scala 326:14] + cam_in[1].wb <= _T_122.wb @[el2_dec_decode_ctl.scala 326:14] + cam_in[1].valid <= _T_122.valid @[el2_dec_decode_ctl.scala 326:14] + cam[1].rd <= cam_raw[1].rd @[el2_dec_decode_ctl.scala 327:11] + cam[1].tag <= cam_raw[1].tag @[el2_dec_decode_ctl.scala 327:11] + cam[1].wb <= cam_raw[1].wb @[el2_dec_decode_ctl.scala 327:11] + cam[1].valid <= cam_raw[1].valid @[el2_dec_decode_ctl.scala 327:11] + node _T_123 = bits(cam_data_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 329:32] + when _T_123 : @[el2_dec_decode_ctl.scala 329:39] + cam[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 330:20] + skip @[el2_dec_decode_ctl.scala 329:39] + node _T_124 = bits(cam_wen, 1, 1) @[el2_dec_decode_ctl.scala 332:17] + node _T_125 = bits(_T_124, 0, 0) @[el2_dec_decode_ctl.scala 332:21] + when _T_125 : @[el2_dec_decode_ctl.scala 332:28] + cam_in[1].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 333:27] + cam_in[1].wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 334:27] + cam_in[1].tag <= cam_write_tag @[el2_dec_decode_ctl.scala 335:27] + cam_in[1].rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 336:27] + skip @[el2_dec_decode_ctl.scala 332:28] + else : @[el2_dec_decode_ctl.scala 337:116] + node _T_126 = bits(cam_inv_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 337:37] + node _T_127 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 337:57] + node _T_128 = eq(r_d_in.i0rd, cam[1].rd) @[el2_dec_decode_ctl.scala 337:80] + node _T_129 = and(_T_127, _T_128) @[el2_dec_decode_ctl.scala 337:64] + node _T_130 = bits(cam[1].wb, 0, 0) @[el2_dec_decode_ctl.scala 337:108] + node _T_131 = and(_T_129, _T_130) @[el2_dec_decode_ctl.scala 337:95] + node _T_132 = or(_T_126, _T_131) @[el2_dec_decode_ctl.scala 337:44] + when _T_132 : @[el2_dec_decode_ctl.scala 337:116] + cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 338:23] + skip @[el2_dec_decode_ctl.scala 337:116] + else : @[el2_dec_decode_ctl.scala 339:16] + cam_in[1].rd <= cam[1].rd @[el2_dec_decode_ctl.scala 340:22] + cam_in[1].tag <= cam[1].tag @[el2_dec_decode_ctl.scala 340:22] + cam_in[1].wb <= cam[1].wb @[el2_dec_decode_ctl.scala 340:22] + cam_in[1].valid <= cam[1].valid @[el2_dec_decode_ctl.scala 340:22] + skip @[el2_dec_decode_ctl.scala 339:16] + node _T_133 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:37] + node _T_134 = eq(io.lsu_nonblock_load_inv_tag_r, cam[1].tag) @[el2_dec_decode_ctl.scala 342:79] + node _T_135 = and(_T_133, _T_134) @[el2_dec_decode_ctl.scala 342:44] + node _T_136 = eq(cam[1].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:110] + node _T_137 = and(_T_135, _T_136) @[el2_dec_decode_ctl.scala 342:95] + when _T_137 : @[el2_dec_decode_ctl.scala 342:117] + cam_in[1].wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 343:20] + skip @[el2_dec_decode_ctl.scala 342:117] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 346:32] + cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:23] + skip @[el2_dec_decode_ctl.scala 346:32] + wire _T_138 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 350:70] + _T_138.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_138.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_138.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_138.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + reg _T_139 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}, io.free_clk with : (reset => (reset, _T_138)) @[el2_dec_decode_ctl.scala 350:47] + _T_139.rd <= cam_in[1].rd @[el2_dec_decode_ctl.scala 350:47] + _T_139.tag <= cam_in[1].tag @[el2_dec_decode_ctl.scala 350:47] + _T_139.wb <= cam_in[1].wb @[el2_dec_decode_ctl.scala 350:47] + _T_139.valid <= cam_in[1].valid @[el2_dec_decode_ctl.scala 350:47] + cam_raw[1].rd <= _T_139.rd @[el2_dec_decode_ctl.scala 350:15] + cam_raw[1].tag <= _T_139.tag @[el2_dec_decode_ctl.scala 350:15] + cam_raw[1].wb <= _T_139.wb @[el2_dec_decode_ctl.scala 350:15] + cam_raw[1].valid <= _T_139.valid @[el2_dec_decode_ctl.scala 350:15] + node _T_140 = eq(io.lsu_nonblock_load_data_tag, cam_raw[1].tag) @[el2_dec_decode_ctl.scala 351:46] + node _T_141 = and(_T_140, cam_raw[1].valid) @[el2_dec_decode_ctl.scala 351:66] + nonblock_load_write[1] <= _T_141 @[el2_dec_decode_ctl.scala 351:28] + node _T_142 = eq(cam_inv_reset_tag, cam[2].tag) @[el2_dec_decode_ctl.scala 324:66] + node _T_143 = and(io.lsu_nonblock_load_inv_r, _T_142) @[el2_dec_decode_ctl.scala 324:45] + node _T_144 = and(_T_143, cam[2].valid) @[el2_dec_decode_ctl.scala 324:82] + cam_inv_reset_val[2] <= _T_144 @[el2_dec_decode_ctl.scala 324:26] + node _T_145 = eq(cam_data_reset_tag, cam[2].tag) @[el2_dec_decode_ctl.scala 325:67] + node _T_146 = and(cam_data_reset, _T_145) @[el2_dec_decode_ctl.scala 325:45] + node _T_147 = and(_T_146, cam_raw[2].valid) @[el2_dec_decode_ctl.scala 325:83] + cam_data_reset_val[2] <= _T_147 @[el2_dec_decode_ctl.scala 325:27] + wire _T_148 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 326:28] + _T_148.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_148.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_148.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_148.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + cam_in[2].rd <= _T_148.rd @[el2_dec_decode_ctl.scala 326:14] + cam_in[2].tag <= _T_148.tag @[el2_dec_decode_ctl.scala 326:14] + cam_in[2].wb <= _T_148.wb @[el2_dec_decode_ctl.scala 326:14] + cam_in[2].valid <= _T_148.valid @[el2_dec_decode_ctl.scala 326:14] + cam[2].rd <= cam_raw[2].rd @[el2_dec_decode_ctl.scala 327:11] + cam[2].tag <= cam_raw[2].tag @[el2_dec_decode_ctl.scala 327:11] + cam[2].wb <= cam_raw[2].wb @[el2_dec_decode_ctl.scala 327:11] + cam[2].valid <= cam_raw[2].valid @[el2_dec_decode_ctl.scala 327:11] + node _T_149 = bits(cam_data_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 329:32] + when _T_149 : @[el2_dec_decode_ctl.scala 329:39] + cam[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 330:20] + skip @[el2_dec_decode_ctl.scala 329:39] + node _T_150 = bits(cam_wen, 2, 2) @[el2_dec_decode_ctl.scala 332:17] + node _T_151 = bits(_T_150, 0, 0) @[el2_dec_decode_ctl.scala 332:21] + when _T_151 : @[el2_dec_decode_ctl.scala 332:28] + cam_in[2].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 333:27] + cam_in[2].wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 334:27] + cam_in[2].tag <= cam_write_tag @[el2_dec_decode_ctl.scala 335:27] + cam_in[2].rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 336:27] + skip @[el2_dec_decode_ctl.scala 332:28] + else : @[el2_dec_decode_ctl.scala 337:116] + node _T_152 = bits(cam_inv_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 337:37] + node _T_153 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 337:57] + node _T_154 = eq(r_d_in.i0rd, cam[2].rd) @[el2_dec_decode_ctl.scala 337:80] + node _T_155 = and(_T_153, _T_154) @[el2_dec_decode_ctl.scala 337:64] + node _T_156 = bits(cam[2].wb, 0, 0) @[el2_dec_decode_ctl.scala 337:108] + node _T_157 = and(_T_155, _T_156) @[el2_dec_decode_ctl.scala 337:95] + node _T_158 = or(_T_152, _T_157) @[el2_dec_decode_ctl.scala 337:44] + when _T_158 : @[el2_dec_decode_ctl.scala 337:116] + cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 338:23] + skip @[el2_dec_decode_ctl.scala 337:116] + else : @[el2_dec_decode_ctl.scala 339:16] + cam_in[2].rd <= cam[2].rd @[el2_dec_decode_ctl.scala 340:22] + cam_in[2].tag <= cam[2].tag @[el2_dec_decode_ctl.scala 340:22] + cam_in[2].wb <= cam[2].wb @[el2_dec_decode_ctl.scala 340:22] + cam_in[2].valid <= cam[2].valid @[el2_dec_decode_ctl.scala 340:22] + skip @[el2_dec_decode_ctl.scala 339:16] + node _T_159 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:37] + node _T_160 = eq(io.lsu_nonblock_load_inv_tag_r, cam[2].tag) @[el2_dec_decode_ctl.scala 342:79] + node _T_161 = and(_T_159, _T_160) @[el2_dec_decode_ctl.scala 342:44] + node _T_162 = eq(cam[2].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:110] + node _T_163 = and(_T_161, _T_162) @[el2_dec_decode_ctl.scala 342:95] + when _T_163 : @[el2_dec_decode_ctl.scala 342:117] + cam_in[2].wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 343:20] + skip @[el2_dec_decode_ctl.scala 342:117] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 346:32] + cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:23] + skip @[el2_dec_decode_ctl.scala 346:32] + wire _T_164 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 350:70] + _T_164.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_164.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_164.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_164.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + reg _T_165 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}, io.free_clk with : (reset => (reset, _T_164)) @[el2_dec_decode_ctl.scala 350:47] + _T_165.rd <= cam_in[2].rd @[el2_dec_decode_ctl.scala 350:47] + _T_165.tag <= cam_in[2].tag @[el2_dec_decode_ctl.scala 350:47] + _T_165.wb <= cam_in[2].wb @[el2_dec_decode_ctl.scala 350:47] + _T_165.valid <= cam_in[2].valid @[el2_dec_decode_ctl.scala 350:47] + cam_raw[2].rd <= _T_165.rd @[el2_dec_decode_ctl.scala 350:15] + cam_raw[2].tag <= _T_165.tag @[el2_dec_decode_ctl.scala 350:15] + cam_raw[2].wb <= _T_165.wb @[el2_dec_decode_ctl.scala 350:15] + cam_raw[2].valid <= _T_165.valid @[el2_dec_decode_ctl.scala 350:15] + node _T_166 = eq(io.lsu_nonblock_load_data_tag, cam_raw[2].tag) @[el2_dec_decode_ctl.scala 351:46] + node _T_167 = and(_T_166, cam_raw[2].valid) @[el2_dec_decode_ctl.scala 351:66] + nonblock_load_write[2] <= _T_167 @[el2_dec_decode_ctl.scala 351:28] + node _T_168 = eq(cam_inv_reset_tag, cam[3].tag) @[el2_dec_decode_ctl.scala 324:66] + node _T_169 = and(io.lsu_nonblock_load_inv_r, _T_168) @[el2_dec_decode_ctl.scala 324:45] + node _T_170 = and(_T_169, cam[3].valid) @[el2_dec_decode_ctl.scala 324:82] + cam_inv_reset_val[3] <= _T_170 @[el2_dec_decode_ctl.scala 324:26] + node _T_171 = eq(cam_data_reset_tag, cam[3].tag) @[el2_dec_decode_ctl.scala 325:67] + node _T_172 = and(cam_data_reset, _T_171) @[el2_dec_decode_ctl.scala 325:45] + node _T_173 = and(_T_172, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 325:83] + cam_data_reset_val[3] <= _T_173 @[el2_dec_decode_ctl.scala 325:27] + wire _T_174 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 326:28] + _T_174.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_174.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_174.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + _T_174.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 326:28] + cam_in[3].rd <= _T_174.rd @[el2_dec_decode_ctl.scala 326:14] + cam_in[3].tag <= _T_174.tag @[el2_dec_decode_ctl.scala 326:14] + cam_in[3].wb <= _T_174.wb @[el2_dec_decode_ctl.scala 326:14] + cam_in[3].valid <= _T_174.valid @[el2_dec_decode_ctl.scala 326:14] + cam[3].rd <= cam_raw[3].rd @[el2_dec_decode_ctl.scala 327:11] + cam[3].tag <= cam_raw[3].tag @[el2_dec_decode_ctl.scala 327:11] + cam[3].wb <= cam_raw[3].wb @[el2_dec_decode_ctl.scala 327:11] + cam[3].valid <= cam_raw[3].valid @[el2_dec_decode_ctl.scala 327:11] + node _T_175 = bits(cam_data_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 329:32] + when _T_175 : @[el2_dec_decode_ctl.scala 329:39] + cam[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 330:20] + skip @[el2_dec_decode_ctl.scala 329:39] + node _T_176 = bits(cam_wen, 3, 3) @[el2_dec_decode_ctl.scala 332:17] + node _T_177 = bits(_T_176, 0, 0) @[el2_dec_decode_ctl.scala 332:21] + when _T_177 : @[el2_dec_decode_ctl.scala 332:28] + cam_in[3].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 333:27] + cam_in[3].wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 334:27] + cam_in[3].tag <= cam_write_tag @[el2_dec_decode_ctl.scala 335:27] + cam_in[3].rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 336:27] + skip @[el2_dec_decode_ctl.scala 332:28] + else : @[el2_dec_decode_ctl.scala 337:116] + node _T_178 = bits(cam_inv_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 337:37] + node _T_179 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 337:57] + node _T_180 = eq(r_d_in.i0rd, cam[3].rd) @[el2_dec_decode_ctl.scala 337:80] + node _T_181 = and(_T_179, _T_180) @[el2_dec_decode_ctl.scala 337:64] + node _T_182 = bits(cam[3].wb, 0, 0) @[el2_dec_decode_ctl.scala 337:108] + node _T_183 = and(_T_181, _T_182) @[el2_dec_decode_ctl.scala 337:95] + node _T_184 = or(_T_178, _T_183) @[el2_dec_decode_ctl.scala 337:44] + when _T_184 : @[el2_dec_decode_ctl.scala 337:116] + cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 338:23] + skip @[el2_dec_decode_ctl.scala 337:116] + else : @[el2_dec_decode_ctl.scala 339:16] + cam_in[3].rd <= cam[3].rd @[el2_dec_decode_ctl.scala 340:22] + cam_in[3].tag <= cam[3].tag @[el2_dec_decode_ctl.scala 340:22] + cam_in[3].wb <= cam[3].wb @[el2_dec_decode_ctl.scala 340:22] + cam_in[3].valid <= cam[3].valid @[el2_dec_decode_ctl.scala 340:22] + skip @[el2_dec_decode_ctl.scala 339:16] + node _T_185 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:37] + node _T_186 = eq(io.lsu_nonblock_load_inv_tag_r, cam[3].tag) @[el2_dec_decode_ctl.scala 342:79] + node _T_187 = and(_T_185, _T_186) @[el2_dec_decode_ctl.scala 342:44] + node _T_188 = eq(cam[3].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 342:110] + node _T_189 = and(_T_187, _T_188) @[el2_dec_decode_ctl.scala 342:95] + when _T_189 : @[el2_dec_decode_ctl.scala 342:117] + cam_in[3].wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 343:20] + skip @[el2_dec_decode_ctl.scala 342:117] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 346:32] + cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:23] + skip @[el2_dec_decode_ctl.scala 346:32] + wire _T_190 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>} @[el2_dec_decode_ctl.scala 350:70] + _T_190.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_190.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_190.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + _T_190.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 350:70] + reg _T_191 : {valid : UInt<1>, wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}, io.free_clk with : (reset => (reset, _T_190)) @[el2_dec_decode_ctl.scala 350:47] + _T_191.rd <= cam_in[3].rd @[el2_dec_decode_ctl.scala 350:47] + _T_191.tag <= cam_in[3].tag @[el2_dec_decode_ctl.scala 350:47] + _T_191.wb <= cam_in[3].wb @[el2_dec_decode_ctl.scala 350:47] + _T_191.valid <= cam_in[3].valid @[el2_dec_decode_ctl.scala 350:47] + cam_raw[3].rd <= _T_191.rd @[el2_dec_decode_ctl.scala 350:15] + cam_raw[3].tag <= _T_191.tag @[el2_dec_decode_ctl.scala 350:15] + cam_raw[3].wb <= _T_191.wb @[el2_dec_decode_ctl.scala 350:15] + cam_raw[3].valid <= _T_191.valid @[el2_dec_decode_ctl.scala 350:15] + node _T_192 = eq(io.lsu_nonblock_load_data_tag, cam_raw[3].tag) @[el2_dec_decode_ctl.scala 351:46] + node _T_193 = and(_T_192, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 351:66] + nonblock_load_write[3] <= _T_193 @[el2_dec_decode_ctl.scala 351:28] + io.dec_nonblock_load_waddr <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 354:29] + node _T_194 = eq(r_d_in.i0rd, io.dec_nonblock_load_waddr) @[el2_dec_decode_ctl.scala 356:44] + node nonblock_load_cancel = and(_T_194, i0_wen_r) @[el2_dec_decode_ctl.scala 356:76] + node _T_195 = or(nonblock_load_write[0], nonblock_load_write[1]) @[el2_dec_decode_ctl.scala 357:95] + node _T_196 = or(_T_195, nonblock_load_write[2]) @[el2_dec_decode_ctl.scala 357:95] + node _T_197 = or(_T_196, nonblock_load_write[3]) @[el2_dec_decode_ctl.scala 357:95] + node _T_198 = bits(_T_197, 0, 0) @[el2_dec_decode_ctl.scala 357:99] + node _T_199 = and(io.lsu_nonblock_load_data_valid, _T_198) @[el2_dec_decode_ctl.scala 357:64] + node _T_200 = eq(nonblock_load_cancel, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 357:109] + node _T_201 = and(_T_199, _T_200) @[el2_dec_decode_ctl.scala 357:106] + io.dec_nonblock_load_wen <= _T_201 @[el2_dec_decode_ctl.scala 357:28] + node _T_202 = eq(nonblock_load_rd, i0r.rs1) @[el2_dec_decode_ctl.scala 358:54] + node _T_203 = and(_T_202, io.lsu_nonblock_load_valid_m) @[el2_dec_decode_ctl.scala 358:66] + node _T_204 = and(_T_203, io.dec_i0_rs1_en_d) @[el2_dec_decode_ctl.scala 358:97] + node _T_205 = eq(nonblock_load_rd, i0r.rs2) @[el2_dec_decode_ctl.scala 358:137] + node _T_206 = and(_T_205, io.lsu_nonblock_load_valid_m) @[el2_dec_decode_ctl.scala 358:149] + node _T_207 = and(_T_206, io.dec_i0_rs2_en_d) @[el2_dec_decode_ctl.scala 358:180] + node i0_nonblock_boundary_stall = or(_T_204, _T_207) @[el2_dec_decode_ctl.scala 358:118] + i0_nonblock_load_stall <= i0_nonblock_boundary_stall @[el2_dec_decode_ctl.scala 360:26] + node _T_208 = bits(nonblock_load_write[0], 0, 0) @[Bitwise.scala 72:15] + node _T_209 = mux(_T_208, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_210 = and(_T_209, cam[0].rd) @[el2_dec_decode_ctl.scala 362:88] + node _T_211 = and(io.dec_i0_rs1_en_d, cam[0].valid) @[el2_dec_decode_ctl.scala 362:121] + node _T_212 = eq(cam[0].rd, i0r.rs1) @[el2_dec_decode_ctl.scala 362:149] + node _T_213 = and(_T_211, _T_212) @[el2_dec_decode_ctl.scala 362:136] + node _T_214 = and(io.dec_i0_rs2_en_d, cam[0].valid) @[el2_dec_decode_ctl.scala 362:182] + node _T_215 = eq(cam[0].rd, i0r.rs2) @[el2_dec_decode_ctl.scala 362:210] + node _T_216 = and(_T_214, _T_215) @[el2_dec_decode_ctl.scala 362:197] + node _T_217 = bits(nonblock_load_write[1], 0, 0) @[Bitwise.scala 72:15] + node _T_218 = mux(_T_217, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_219 = and(_T_218, cam[1].rd) @[el2_dec_decode_ctl.scala 362:88] + node _T_220 = and(io.dec_i0_rs1_en_d, cam[1].valid) @[el2_dec_decode_ctl.scala 362:121] + node _T_221 = eq(cam[1].rd, i0r.rs1) @[el2_dec_decode_ctl.scala 362:149] + node _T_222 = and(_T_220, _T_221) @[el2_dec_decode_ctl.scala 362:136] + node _T_223 = and(io.dec_i0_rs2_en_d, cam[1].valid) @[el2_dec_decode_ctl.scala 362:182] + node _T_224 = eq(cam[1].rd, i0r.rs2) @[el2_dec_decode_ctl.scala 362:210] + node _T_225 = and(_T_223, _T_224) @[el2_dec_decode_ctl.scala 362:197] + node _T_226 = bits(nonblock_load_write[2], 0, 0) @[Bitwise.scala 72:15] + node _T_227 = mux(_T_226, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_228 = and(_T_227, cam[2].rd) @[el2_dec_decode_ctl.scala 362:88] + node _T_229 = and(io.dec_i0_rs1_en_d, cam[2].valid) @[el2_dec_decode_ctl.scala 362:121] + node _T_230 = eq(cam[2].rd, i0r.rs1) @[el2_dec_decode_ctl.scala 362:149] + node _T_231 = and(_T_229, _T_230) @[el2_dec_decode_ctl.scala 362:136] + node _T_232 = and(io.dec_i0_rs2_en_d, cam[2].valid) @[el2_dec_decode_ctl.scala 362:182] + node _T_233 = eq(cam[2].rd, i0r.rs2) @[el2_dec_decode_ctl.scala 362:210] + node _T_234 = and(_T_232, _T_233) @[el2_dec_decode_ctl.scala 362:197] + node _T_235 = bits(nonblock_load_write[3], 0, 0) @[Bitwise.scala 72:15] + node _T_236 = mux(_T_235, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_237 = and(_T_236, cam[3].rd) @[el2_dec_decode_ctl.scala 362:88] + node _T_238 = and(io.dec_i0_rs1_en_d, cam[3].valid) @[el2_dec_decode_ctl.scala 362:121] + node _T_239 = eq(cam[3].rd, i0r.rs1) @[el2_dec_decode_ctl.scala 362:149] + node _T_240 = and(_T_238, _T_239) @[el2_dec_decode_ctl.scala 362:136] + node _T_241 = and(io.dec_i0_rs2_en_d, cam[3].valid) @[el2_dec_decode_ctl.scala 362:182] + node _T_242 = eq(cam[3].rd, i0r.rs2) @[el2_dec_decode_ctl.scala 362:210] + node _T_243 = and(_T_241, _T_242) @[el2_dec_decode_ctl.scala 362:197] + node _T_244 = or(_T_210, _T_219) @[el2_dec_decode_ctl.scala 363:69] + node _T_245 = or(_T_244, _T_228) @[el2_dec_decode_ctl.scala 363:69] + node waddr = or(_T_245, _T_237) @[el2_dec_decode_ctl.scala 363:69] + node _T_246 = or(_T_213, _T_222) @[el2_dec_decode_ctl.scala 363:102] + node _T_247 = or(_T_246, _T_231) @[el2_dec_decode_ctl.scala 363:102] + node ld_stall_1 = or(_T_247, _T_240) @[el2_dec_decode_ctl.scala 363:102] + node _T_248 = or(_T_216, _T_225) @[el2_dec_decode_ctl.scala 363:134] + node _T_249 = or(_T_248, _T_234) @[el2_dec_decode_ctl.scala 363:134] + node ld_stall_2 = or(_T_249, _T_243) @[el2_dec_decode_ctl.scala 363:134] + io.dec_nonblock_load_waddr <= waddr @[el2_dec_decode_ctl.scala 364:29] + node _T_250 = or(ld_stall_1, ld_stall_2) @[el2_dec_decode_ctl.scala 365:38] + node _T_251 = or(_T_250, i0_nonblock_boundary_stall) @[el2_dec_decode_ctl.scala 365:51] + i0_nonblock_load_stall <= _T_251 @[el2_dec_decode_ctl.scala 365:25] + node _T_252 = eq(i0_predict_br, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 374:34] + node i0_br_unpred = and(i0_dp.jal, _T_252) @[el2_dec_decode_ctl.scala 374:32] + node _T_253 = bits(i0_legal_decode_d, 0, 0) @[Bitwise.scala 72:15] + node _T_254 = mux(_T_253, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_255 = and(csr_read, io.dec_csr_wen_unq_d) @[el2_dec_decode_ctl.scala 386:16] + node _T_256 = bits(_T_255, 0, 0) @[el2_dec_decode_ctl.scala 386:30] + node _T_257 = eq(csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 387:6] + node _T_258 = and(_T_257, io.dec_csr_wen_unq_d) @[el2_dec_decode_ctl.scala 387:16] + node _T_259 = bits(_T_258, 0, 0) @[el2_dec_decode_ctl.scala 387:30] + node _T_260 = eq(io.dec_csr_wen_unq_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 388:18] + node _T_261 = and(csr_read, _T_260) @[el2_dec_decode_ctl.scala 388:16] + node _T_262 = bits(_T_261, 0, 0) @[el2_dec_decode_ctl.scala 388:30] + node _T_263 = mux(i0_dp.mul, UInt<4>("h01"), UInt<4>("h00")) @[Mux.scala 98:16] + node _T_264 = mux(i0_dp.load, UInt<4>("h02"), _T_263) @[Mux.scala 98:16] + node _T_265 = mux(i0_dp.store, UInt<4>("h03"), _T_264) @[Mux.scala 98:16] + node _T_266 = mux(i0_dp.pm_alu, UInt<4>("h04"), _T_265) @[Mux.scala 98:16] + node _T_267 = mux(_T_262, UInt<4>("h05"), _T_266) @[Mux.scala 98:16] + node _T_268 = mux(_T_259, UInt<4>("h06"), _T_267) @[Mux.scala 98:16] + node _T_269 = mux(_T_256, UInt<4>("h07"), _T_268) @[Mux.scala 98:16] + node _T_270 = mux(i0_dp.ebreak, UInt<4>("h08"), _T_269) @[Mux.scala 98:16] + node _T_271 = mux(i0_dp.ecall, UInt<4>("h09"), _T_270) @[Mux.scala 98:16] + node _T_272 = mux(i0_dp.fence, UInt<4>("h0a"), _T_271) @[Mux.scala 98:16] + node _T_273 = mux(i0_dp.fence_i, UInt<4>("h0b"), _T_272) @[Mux.scala 98:16] + node _T_274 = mux(i0_dp.mret, UInt<4>("h0c"), _T_273) @[Mux.scala 98:16] + node _T_275 = mux(i0_dp.condbr, UInt<4>("h0d"), _T_274) @[Mux.scala 98:16] + node _T_276 = mux(i0_dp.jal, UInt<4>("h0e"), _T_275) @[Mux.scala 98:16] + node _T_277 = and(_T_254, _T_276) @[el2_dec_decode_ctl.scala 378:49] + d_t.pmu_i0_itype <= _T_277 @[el2_dec_decode_ctl.scala 378:21] + inst i0_dec of el2_dec_dec_ctl @[el2_dec_decode_ctl.scala 395:22] + i0_dec.clock <= clock + i0_dec.reset <= reset + i0_dec.io.ins <= io.dec_i0_instr_d @[el2_dec_decode_ctl.scala 396:16] + i0_dp_raw.legal <= i0_dec.io.out.legal @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.pm_alu <= i0_dec.io.out.pm_alu @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.fence_i <= i0_dec.io.out.fence_i @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.fence <= i0_dec.io.out.fence @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.rem <= i0_dec.io.out.rem @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.div <= i0_dec.io.out.div @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.low <= i0_dec.io.out.low @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.rs2_sign <= i0_dec.io.out.rs2_sign @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.rs1_sign <= i0_dec.io.out.rs1_sign @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.mul <= i0_dec.io.out.mul @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.mret <= i0_dec.io.out.mret @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.ecall <= i0_dec.io.out.ecall @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.ebreak <= i0_dec.io.out.ebreak @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.postsync <= i0_dec.io.out.postsync @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.presync <= i0_dec.io.out.presync @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.csr_imm <= i0_dec.io.out.csr_imm @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.csr_write <= i0_dec.io.out.csr_write @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.csr_set <= i0_dec.io.out.csr_set @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.csr_clr <= i0_dec.io.out.csr_clr @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.csr_read <= i0_dec.io.out.csr_read @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.word <= i0_dec.io.out.word @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.half <= i0_dec.io.out.half @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.by <= i0_dec.io.out.by @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.jal <= i0_dec.io.out.jal @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.blt <= i0_dec.io.out.blt @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.bge <= i0_dec.io.out.bge @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.bne <= i0_dec.io.out.bne @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.beq <= i0_dec.io.out.beq @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.condbr <= i0_dec.io.out.condbr @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.unsign <= i0_dec.io.out.unsign @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.slt <= i0_dec.io.out.slt @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.srl <= i0_dec.io.out.srl @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.sra <= i0_dec.io.out.sra @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.sll <= i0_dec.io.out.sll @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.lxor <= i0_dec.io.out.lxor @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.lor <= i0_dec.io.out.lor @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.land <= i0_dec.io.out.land @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.sub <= i0_dec.io.out.sub @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.add <= i0_dec.io.out.add @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.lsu <= i0_dec.io.out.lsu @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.store <= i0_dec.io.out.store @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.load <= i0_dec.io.out.load @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.pc <= i0_dec.io.out.pc @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.imm20 <= i0_dec.io.out.imm20 @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.shimm5 <= i0_dec.io.out.shimm5 @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.rd <= i0_dec.io.out.rd @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.imm12 <= i0_dec.io.out.imm12 @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.rs2 <= i0_dec.io.out.rs2 @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.rs1 <= i0_dec.io.out.rs1 @[el2_dec_decode_ctl.scala 397:12] + i0_dp_raw.alu <= i0_dec.io.out.alu @[el2_dec_decode_ctl.scala 397:12] + reg _T_278 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 399:45] + _T_278 <= io.lsu_idle_any @[el2_dec_decode_ctl.scala 399:45] + lsu_idle <= _T_278 @[el2_dec_decode_ctl.scala 399:11] + node _T_279 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 402:73] + node _T_280 = and(leak1_i1_stall, _T_279) @[el2_dec_decode_ctl.scala 402:71] + node _T_281 = or(io.dec_tlu_flush_leak_one_r, _T_280) @[el2_dec_decode_ctl.scala 402:53] + leak1_i1_stall_in <= _T_281 @[el2_dec_decode_ctl.scala 402:21] + reg _T_282 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 403:56] + _T_282 <= leak1_i1_stall_in @[el2_dec_decode_ctl.scala 403:56] + leak1_i1_stall <= _T_282 @[el2_dec_decode_ctl.scala 403:21] + leak1_mode <= leak1_i1_stall @[el2_dec_decode_ctl.scala 404:14] + node _T_283 = and(io.dec_i0_decode_d, leak1_i1_stall) @[el2_dec_decode_ctl.scala 405:45] + node _T_284 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 405:83] + node _T_285 = and(leak1_i0_stall, _T_284) @[el2_dec_decode_ctl.scala 405:81] + node _T_286 = or(_T_283, _T_285) @[el2_dec_decode_ctl.scala 405:63] + leak1_i0_stall_in <= _T_286 @[el2_dec_decode_ctl.scala 405:21] + reg _T_287 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 406:56] + _T_287 <= leak1_i0_stall_in @[el2_dec_decode_ctl.scala 406:56] + leak1_i0_stall <= _T_287 @[el2_dec_decode_ctl.scala 406:21] + node _T_288 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 410:29] + node _T_289 = bits(io.dec_i0_instr_d, 19, 12) @[el2_dec_decode_ctl.scala 410:36] + node _T_290 = bits(io.dec_i0_instr_d, 20, 20) @[el2_dec_decode_ctl.scala 410:46] + node _T_291 = bits(io.dec_i0_instr_d, 30, 21) @[el2_dec_decode_ctl.scala 410:53] + node _T_292 = cat(_T_291, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_293 = cat(_T_288, _T_289) @[Cat.scala 29:58] + node _T_294 = cat(_T_293, _T_290) @[Cat.scala 29:58] + node i0_pcall_imm = cat(_T_294, _T_292) @[Cat.scala 29:58] + node _T_295 = bits(i0_pcall_imm, 12, 12) @[el2_dec_decode_ctl.scala 411:46] + node _T_296 = bits(_T_295, 0, 0) @[el2_dec_decode_ctl.scala 411:51] + node _T_297 = bits(i0_pcall_imm, 20, 13) @[el2_dec_decode_ctl.scala 411:71] + node _T_298 = eq(_T_297, UInt<8>("h0ff")) @[el2_dec_decode_ctl.scala 411:79] + node _T_299 = bits(i0_pcall_imm, 20, 13) @[el2_dec_decode_ctl.scala 411:104] + node _T_300 = eq(_T_299, UInt<8>("h00")) @[el2_dec_decode_ctl.scala 411:112] + node i0_pcall_12b_offset = mux(_T_296, _T_298, _T_300) @[el2_dec_decode_ctl.scala 411:33] + node _T_301 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[el2_dec_decode_ctl.scala 412:47] + node _T_302 = eq(i0r.rd, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 412:76] + node _T_303 = eq(i0r.rd, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 412:98] + node _T_304 = or(_T_302, _T_303) @[el2_dec_decode_ctl.scala 412:89] + node i0_pcall_case = and(_T_301, _T_304) @[el2_dec_decode_ctl.scala 412:65] + node _T_305 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[el2_dec_decode_ctl.scala 413:47] + node _T_306 = eq(i0r.rd, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 413:76] + node _T_307 = eq(i0r.rd, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 413:98] + node _T_308 = or(_T_306, _T_307) @[el2_dec_decode_ctl.scala 413:89] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 413:67] + node i0_pja_case = and(_T_305, _T_309) @[el2_dec_decode_ctl.scala 413:65] + node _T_310 = and(i0_dp_raw.jal, i0_pcall_case) @[el2_dec_decode_ctl.scala 414:38] + i0_pcall_raw <= _T_310 @[el2_dec_decode_ctl.scala 414:20] + node _T_311 = and(i0_dp.jal, i0_pcall_case) @[el2_dec_decode_ctl.scala 415:38] + i0_pcall <= _T_311 @[el2_dec_decode_ctl.scala 415:20] + node _T_312 = and(i0_dp_raw.jal, i0_pja_case) @[el2_dec_decode_ctl.scala 416:38] + i0_pja_raw <= _T_312 @[el2_dec_decode_ctl.scala 416:20] + node _T_313 = and(i0_dp.jal, i0_pja_case) @[el2_dec_decode_ctl.scala 417:38] + i0_pja <= _T_313 @[el2_dec_decode_ctl.scala 417:20] + node _T_314 = or(i0_pcall_raw, i0_pja_raw) @[el2_dec_decode_ctl.scala 418:41] + node _T_315 = bits(_T_314, 0, 0) @[el2_dec_decode_ctl.scala 418:55] + node _T_316 = bits(i0_pcall_imm, 12, 1) @[el2_dec_decode_ctl.scala 418:75] + node _T_317 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 418:90] + node _T_318 = bits(io.dec_i0_instr_d, 7, 7) @[el2_dec_decode_ctl.scala 418:97] + node _T_319 = bits(io.dec_i0_instr_d, 30, 25) @[el2_dec_decode_ctl.scala 418:103] + node _T_320 = bits(io.dec_i0_instr_d, 11, 8) @[el2_dec_decode_ctl.scala 418:113] + node _T_321 = cat(_T_319, _T_320) @[Cat.scala 29:58] + node _T_322 = cat(_T_317, _T_318) @[Cat.scala 29:58] + node _T_323 = cat(_T_322, _T_321) @[Cat.scala 29:58] + node _T_324 = mux(_T_315, _T_316, _T_323) @[el2_dec_decode_ctl.scala 418:26] + i0_br_offset <= _T_324 @[el2_dec_decode_ctl.scala 418:20] + node _T_325 = and(i0_dp_raw.jal, i0_dp_raw.imm12) @[el2_dec_decode_ctl.scala 420:37] + node _T_326 = eq(i0r.rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 420:65] + node _T_327 = and(_T_325, _T_326) @[el2_dec_decode_ctl.scala 420:55] + node _T_328 = eq(i0r.rs1, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 420:89] + node _T_329 = eq(i0r.rs1, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 420:111] + node _T_330 = or(_T_328, _T_329) @[el2_dec_decode_ctl.scala 420:101] + node i0_pret_case = and(_T_327, _T_330) @[el2_dec_decode_ctl.scala 420:79] + node _T_331 = and(i0_dp_raw.jal, i0_pret_case) @[el2_dec_decode_ctl.scala 421:32] + i0_pret_raw <= _T_331 @[el2_dec_decode_ctl.scala 421:15] + node _T_332 = and(i0_dp.jal, i0_pret_case) @[el2_dec_decode_ctl.scala 422:32] + i0_pret <= _T_332 @[el2_dec_decode_ctl.scala 422:15] + node _T_333 = eq(i0_pcall_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 423:35] + node _T_334 = and(i0_dp.jal, _T_333) @[el2_dec_decode_ctl.scala 423:32] + node _T_335 = eq(i0_pja_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 423:52] + node _T_336 = and(_T_334, _T_335) @[el2_dec_decode_ctl.scala 423:50] + node _T_337 = eq(i0_pret_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 423:67] + node _T_338 = and(_T_336, _T_337) @[el2_dec_decode_ctl.scala 423:65] + i0_jal <= _T_338 @[el2_dec_decode_ctl.scala 423:15] + io.div_p.valid <= div_decode_d @[el2_dec_decode_ctl.scala 426:21] + io.div_p.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 427:21] + io.div_p.rem <= i0_dp.rem @[el2_dec_decode_ctl.scala 428:21] + io.mul_p.valid <= mul_decode_d @[el2_dec_decode_ctl.scala 430:21] + io.mul_p.rs1_sign <= i0_dp.rs1_sign @[el2_dec_decode_ctl.scala 431:21] + io.mul_p.rs2_sign <= i0_dp.rs2_sign @[el2_dec_decode_ctl.scala 432:21] + io.mul_p.low <= i0_dp.low @[el2_dec_decode_ctl.scala 433:21] + reg _T_339 : UInt<1>, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 435:58] + _T_339 <= io.dec_tlu_flush_extint @[el2_dec_decode_ctl.scala 435:58] + io.dec_extint_stall <= _T_339 @[el2_dec_decode_ctl.scala 435:23] + wire _T_340 : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>} @[el2_dec_decode_ctl.scala 437:27] + _T_340.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.store_data_bypass_m <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.load_ldst_bypass_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.store_data_bypass_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.dma <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.unsign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.store <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.dword <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.word <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.half <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.by <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + _T_340.fast_int <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 437:27] + io.lsu_p.valid <= _T_340.valid @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.store_data_bypass_m <= _T_340.store_data_bypass_m @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.load_ldst_bypass_d <= _T_340.load_ldst_bypass_d @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.store_data_bypass_d <= _T_340.store_data_bypass_d @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.dma <= _T_340.dma @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.unsign <= _T_340.unsign @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.store <= _T_340.store @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.load <= _T_340.load @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.dword <= _T_340.dword @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.word <= _T_340.word @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.half <= _T_340.half @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.by <= _T_340.by @[el2_dec_decode_ctl.scala 437:12] + io.lsu_p.fast_int <= _T_340.fast_int @[el2_dec_decode_ctl.scala 437:12] + when io.dec_extint_stall : @[el2_dec_decode_ctl.scala 438:29] + io.lsu_p.load <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 439:24] + io.lsu_p.word <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 440:24] + io.lsu_p.fast_int <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 441:24] + io.lsu_p.valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 442:24] + skip @[el2_dec_decode_ctl.scala 438:29] + else : @[el2_dec_decode_ctl.scala 443:15] + io.lsu_p.valid <= lsu_decode_d @[el2_dec_decode_ctl.scala 444:35] + io.lsu_p.load <= i0_dp.load @[el2_dec_decode_ctl.scala 445:35] + io.lsu_p.store <= i0_dp.store @[el2_dec_decode_ctl.scala 446:35] + io.lsu_p.by <= i0_dp.by @[el2_dec_decode_ctl.scala 447:35] + io.lsu_p.half <= i0_dp.half @[el2_dec_decode_ctl.scala 448:35] + io.lsu_p.word <= i0_dp.word @[el2_dec_decode_ctl.scala 449:35] + io.lsu_p.load_ldst_bypass_d <= load_ldst_bypass_d @[el2_dec_decode_ctl.scala 450:35] + io.lsu_p.store_data_bypass_d <= store_data_bypass_d @[el2_dec_decode_ctl.scala 451:35] + io.lsu_p.store_data_bypass_m <= store_data_bypass_m @[el2_dec_decode_ctl.scala 452:35] + io.lsu_p.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 453:35] + skip @[el2_dec_decode_ctl.scala 443:15] + io.dec_csr_ren_d <= i0_dp.csr_read @[el2_dec_decode_ctl.scala 457:21] + node _T_341 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 458:56] + node _T_342 = and(i0_dp.csr_read, _T_341) @[el2_dec_decode_ctl.scala 458:36] + csr_read <= _T_342 @[el2_dec_decode_ctl.scala 458:18] + node _T_343 = eq(io.dec_debug_fence_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 460:42] + node i0_csr_write = and(i0_dp.csr_write, _T_343) @[el2_dec_decode_ctl.scala 460:40] + node _T_344 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 461:61] + node csr_clr_d = and(i0_dp.csr_clr, _T_344) @[el2_dec_decode_ctl.scala 461:41] + node _T_345 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 462:59] + node csr_set_d = and(i0_dp.csr_set, _T_345) @[el2_dec_decode_ctl.scala 462:39] + node _T_346 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 463:59] + node csr_write_d = and(i0_csr_write, _T_346) @[el2_dec_decode_ctl.scala 463:39] + node _T_347 = eq(i0_dp.csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 465:41] + node _T_348 = and(i0_csr_write, _T_347) @[el2_dec_decode_ctl.scala 465:39] + i0_csr_write_only_d <= _T_348 @[el2_dec_decode_ctl.scala 465:23] + node _T_349 = or(i0_dp.csr_clr, i0_dp.csr_set) @[el2_dec_decode_ctl.scala 466:42] + node _T_350 = or(_T_349, i0_csr_write) @[el2_dec_decode_ctl.scala 466:58] + io.dec_csr_wen_unq_d <= _T_350 @[el2_dec_decode_ctl.scala 466:24] + node _T_351 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 469:30] + io.dec_csr_rdaddr_d <= _T_351 @[el2_dec_decode_ctl.scala 469:24] + io.dec_csr_wraddr_r <= r_d.csrwaddr @[el2_dec_decode_ctl.scala 470:23] + node _T_352 = and(r_d.csrwen, r_d.i0valid) @[el2_dec_decode_ctl.scala 474:34] + node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 474:50] + node _T_354 = and(_T_352, _T_353) @[el2_dec_decode_ctl.scala 474:48] + io.dec_csr_wen_r <= _T_354 @[el2_dec_decode_ctl.scala 474:20] + node _T_355 = eq(r_d.csrwaddr, UInt<10>("h0300")) @[el2_dec_decode_ctl.scala 477:45] + node _T_356 = eq(r_d.csrwaddr, UInt<10>("h0304")) @[el2_dec_decode_ctl.scala 477:75] + node _T_357 = or(_T_355, _T_356) @[el2_dec_decode_ctl.scala 477:59] + node _T_358 = and(_T_357, r_d.csrwen) @[el2_dec_decode_ctl.scala 477:90] + node _T_359 = and(_T_358, r_d.i0valid) @[el2_dec_decode_ctl.scala 477:103] + node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 477:119] + node _T_361 = and(_T_359, _T_360) @[el2_dec_decode_ctl.scala 477:117] + io.dec_csr_stall_int_ff <= _T_361 @[el2_dec_decode_ctl.scala 477:27] + reg csr_read_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 479:52] + csr_read_x <= csr_read @[el2_dec_decode_ctl.scala 479:52] + reg csr_clr_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 480:51] + csr_clr_x <= csr_clr_d @[el2_dec_decode_ctl.scala 480:51] + reg csr_set_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 481:51] + csr_set_x <= csr_set_d @[el2_dec_decode_ctl.scala 481:51] + reg csr_write_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 482:53] + csr_write_x <= csr_write_d @[el2_dec_decode_ctl.scala 482:53] + reg csr_imm_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 483:51] + csr_imm_x <= i0_dp.csr_imm @[el2_dec_decode_ctl.scala 483:51] + node _T_362 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 486:27] + node _T_363 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 486:48] + inst rvclkhdr of rvclkhdr_1 @[el2_lib.scala 485:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr.io.en <= _T_363 @[el2_lib.scala 488:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg csrimm_x : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + csrimm_x <= _T_362 @[el2_lib.scala 491:16] + node _T_364 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 487:62] + inst rvclkhdr_1 of rvclkhdr_2 @[el2_lib.scala 485:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_1.io.en <= _T_364 @[el2_lib.scala 488:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg csr_rddata_x : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + csr_rddata_x <= io.dec_csr_rddata_d @[el2_lib.scala 491:16] + node _T_365 = bits(csr_imm_x, 0, 0) @[el2_dec_decode_ctl.scala 490:15] + wire _T_366 : UInt<1>[27] @[el2_lib.scala 161:48] + _T_366[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[10] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[11] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[12] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[13] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[14] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[15] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[16] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[17] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[18] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[19] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[20] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[21] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[22] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[23] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[24] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[25] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_366[26] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_367 = cat(_T_366[0], _T_366[1]) @[Cat.scala 29:58] + node _T_368 = cat(_T_367, _T_366[2]) @[Cat.scala 29:58] + node _T_369 = cat(_T_368, _T_366[3]) @[Cat.scala 29:58] + node _T_370 = cat(_T_369, _T_366[4]) @[Cat.scala 29:58] + node _T_371 = cat(_T_370, _T_366[5]) @[Cat.scala 29:58] + node _T_372 = cat(_T_371, _T_366[6]) @[Cat.scala 29:58] + node _T_373 = cat(_T_372, _T_366[7]) @[Cat.scala 29:58] + node _T_374 = cat(_T_373, _T_366[8]) @[Cat.scala 29:58] + node _T_375 = cat(_T_374, _T_366[9]) @[Cat.scala 29:58] + node _T_376 = cat(_T_375, _T_366[10]) @[Cat.scala 29:58] + node _T_377 = cat(_T_376, _T_366[11]) @[Cat.scala 29:58] + node _T_378 = cat(_T_377, _T_366[12]) @[Cat.scala 29:58] + node _T_379 = cat(_T_378, _T_366[13]) @[Cat.scala 29:58] + node _T_380 = cat(_T_379, _T_366[14]) @[Cat.scala 29:58] + node _T_381 = cat(_T_380, _T_366[15]) @[Cat.scala 29:58] + node _T_382 = cat(_T_381, _T_366[16]) @[Cat.scala 29:58] + node _T_383 = cat(_T_382, _T_366[17]) @[Cat.scala 29:58] + node _T_384 = cat(_T_383, _T_366[18]) @[Cat.scala 29:58] + node _T_385 = cat(_T_384, _T_366[19]) @[Cat.scala 29:58] + node _T_386 = cat(_T_385, _T_366[20]) @[Cat.scala 29:58] + node _T_387 = cat(_T_386, _T_366[21]) @[Cat.scala 29:58] + node _T_388 = cat(_T_387, _T_366[22]) @[Cat.scala 29:58] + node _T_389 = cat(_T_388, _T_366[23]) @[Cat.scala 29:58] + node _T_390 = cat(_T_389, _T_366[24]) @[Cat.scala 29:58] + node _T_391 = cat(_T_390, _T_366[25]) @[Cat.scala 29:58] + node _T_392 = cat(_T_391, _T_366[26]) @[Cat.scala 29:58] + node _T_393 = bits(csrimm_x, 4, 0) @[el2_dec_decode_ctl.scala 490:53] + node _T_394 = cat(_T_392, _T_393) @[Cat.scala 29:58] + node _T_395 = bits(csr_imm_x, 0, 0) @[el2_dec_decode_ctl.scala 491:16] + node _T_396 = eq(_T_395, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 491:5] + node _T_397 = mux(_T_365, _T_394, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_398 = mux(_T_396, io.exu_csr_rs1_x, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_399 = or(_T_397, _T_398) @[Mux.scala 27:72] + wire csr_mask_x : UInt<32> @[Mux.scala 27:72] + csr_mask_x <= _T_399 @[Mux.scala 27:72] + node _T_400 = not(csr_mask_x) @[el2_dec_decode_ctl.scala 494:38] + node _T_401 = and(csr_rddata_x, _T_400) @[el2_dec_decode_ctl.scala 494:35] + node _T_402 = or(csr_rddata_x, csr_mask_x) @[el2_dec_decode_ctl.scala 495:35] + node _T_403 = mux(csr_clr_x, _T_401, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_404 = mux(csr_set_x, _T_402, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_405 = mux(csr_write_x, csr_mask_x, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_406 = or(_T_403, _T_404) @[Mux.scala 27:72] + node _T_407 = or(_T_406, _T_405) @[Mux.scala 27:72] + wire write_csr_data_x : UInt @[Mux.scala 27:72] + write_csr_data_x <= _T_407 @[Mux.scala 27:72] + node _T_408 = eq(io.dec_tlu_flush_pause_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 498:49] + node _T_409 = and(io.dec_tlu_flush_lower_r, _T_408) @[el2_dec_decode_ctl.scala 498:47] + node _T_410 = eq(write_csr_data, UInt<31>("h00")) @[el2_dec_decode_ctl.scala 498:109] + node _T_411 = and(pause_stall, _T_410) @[el2_dec_decode_ctl.scala 498:91] + node clear_pause = or(_T_409, _T_411) @[el2_dec_decode_ctl.scala 498:76] + node _T_412 = or(io.dec_tlu_wr_pause_r, pause_stall) @[el2_dec_decode_ctl.scala 499:44] + node _T_413 = eq(clear_pause, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 499:61] + node _T_414 = and(_T_412, _T_413) @[el2_dec_decode_ctl.scala 499:59] + pause_state_in <= _T_414 @[el2_dec_decode_ctl.scala 499:18] + reg _T_415 : UInt<1>, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 500:50] + _T_415 <= pause_state_in @[el2_dec_decode_ctl.scala 500:50] + pause_stall <= _T_415 @[el2_dec_decode_ctl.scala 500:15] + io.dec_pause_state <= pause_stall @[el2_dec_decode_ctl.scala 501:22] + reg _T_416 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 502:29] + _T_416 <= io.dec_tlu_wr_pause_r @[el2_dec_decode_ctl.scala 502:29] + tlu_wr_pause_r1 <= _T_416 @[el2_dec_decode_ctl.scala 502:19] + reg _T_417 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 503:29] + _T_417 <= tlu_wr_pause_r1 @[el2_dec_decode_ctl.scala 503:29] + tlu_wr_pause_r2 <= _T_417 @[el2_dec_decode_ctl.scala 503:19] + node _T_418 = eq(tlu_wr_pause_r1, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 505:44] + node _T_419 = eq(tlu_wr_pause_r2, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 505:64] + node _T_420 = and(_T_418, _T_419) @[el2_dec_decode_ctl.scala 505:61] + node _T_421 = and(pause_stall, _T_420) @[el2_dec_decode_ctl.scala 505:41] + io.dec_pause_state_cg <= _T_421 @[el2_dec_decode_ctl.scala 505:25] + node _T_422 = sub(write_csr_data, UInt<32>("h01")) @[el2_dec_decode_ctl.scala 508:59] + node _T_423 = tail(_T_422, 1) @[el2_dec_decode_ctl.scala 508:59] + node _T_424 = mux(io.dec_tlu_wr_pause_r, io.dec_csr_wrdata_r, write_csr_data_x) @[el2_dec_decode_ctl.scala 509:8] + node write_csr_data_in = mux(pause_stall, _T_423, _T_424) @[el2_dec_decode_ctl.scala 508:30] + node _T_425 = or(csr_clr_x, csr_set_x) @[el2_dec_decode_ctl.scala 510:34] + node _T_426 = or(_T_425, csr_write_x) @[el2_dec_decode_ctl.scala 510:46] + node _T_427 = and(_T_426, csr_read_x) @[el2_dec_decode_ctl.scala 510:61] + node _T_428 = or(_T_427, io.dec_tlu_wr_pause_r) @[el2_dec_decode_ctl.scala 510:75] + node csr_data_wen = or(_T_428, pause_stall) @[el2_dec_decode_ctl.scala 510:99] + inst rvclkhdr_2 of rvclkhdr_3 @[el2_lib.scala 485:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_2.io.en <= csr_data_wen @[el2_lib.scala 488:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_429 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_429 <= write_csr_data_in @[el2_lib.scala 491:16] + write_csr_data <= _T_429 @[el2_dec_decode_ctl.scala 511:18] + node _T_430 = bits(r_d.csrwonly, 0, 0) @[el2_dec_decode_ctl.scala 517:44] + node _T_431 = mux(_T_430, i0_result_corr_r, write_csr_data) @[el2_dec_decode_ctl.scala 517:30] + io.dec_csr_wrdata_r <= _T_431 @[el2_dec_decode_ctl.scala 517:24] + node _T_432 = or(x_d.csrwonly, r_d.csrwonly) @[el2_dec_decode_ctl.scala 519:38] + node prior_csr_write = or(_T_432, wbd.csrwonly) @[el2_dec_decode_ctl.scala 519:53] + node _T_433 = bits(io.dbg_cmd_wrdata, 0, 0) @[el2_dec_decode_ctl.scala 521:67] + node debug_fence_i = and(io.dec_debug_fence_d, _T_433) @[el2_dec_decode_ctl.scala 521:48] + node _T_434 = bits(io.dbg_cmd_wrdata, 1, 1) @[el2_dec_decode_ctl.scala 522:67] + node debug_fence_raw = and(io.dec_debug_fence_d, _T_434) @[el2_dec_decode_ctl.scala 522:48] + node _T_435 = or(debug_fence_raw, debug_fence_i) @[el2_dec_decode_ctl.scala 523:40] + debug_fence <= _T_435 @[el2_dec_decode_ctl.scala 523:21] + node _T_436 = or(i0_dp.presync, io.dec_tlu_presync_d) @[el2_dec_decode_ctl.scala 526:34] + node _T_437 = or(_T_436, debug_fence_i) @[el2_dec_decode_ctl.scala 526:57] + node _T_438 = or(_T_437, debug_fence_raw) @[el2_dec_decode_ctl.scala 526:73] + node i0_presync = or(_T_438, io.dec_tlu_pipelining_disable) @[el2_dec_decode_ctl.scala 526:91] + node _T_439 = or(i0_dp.postsync, io.dec_tlu_postsync_d) @[el2_dec_decode_ctl.scala 529:36] + node _T_440 = or(_T_439, debug_fence_i) @[el2_dec_decode_ctl.scala 529:60] + node _T_441 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 529:104] + node _T_442 = eq(_T_441, UInt<11>("h07c2")) @[el2_dec_decode_ctl.scala 529:112] + node _T_443 = and(i0_csr_write_only_d, _T_442) @[el2_dec_decode_ctl.scala 529:99] + node i0_postsync = or(_T_440, _T_443) @[el2_dec_decode_ctl.scala 529:76] + node any_csr_d = or(i0_dp.csr_read, i0_csr_write) @[el2_dec_decode_ctl.scala 531:34] + io.dec_csr_any_unq_d <= any_csr_d @[el2_dec_decode_ctl.scala 532:24] + node _T_444 = eq(any_csr_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 533:40] + node _T_445 = or(_T_444, io.dec_csr_legal_d) @[el2_dec_decode_ctl.scala 533:51] + node i0_legal = and(i0_dp.legal, _T_445) @[el2_dec_decode_ctl.scala 533:37] + wire _T_446 : UInt<1>[16] @[el2_lib.scala 161:48] + _T_446[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[10] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[11] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[12] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[13] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[14] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_446[15] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_447 = cat(_T_446[0], _T_446[1]) @[Cat.scala 29:58] + node _T_448 = cat(_T_447, _T_446[2]) @[Cat.scala 29:58] + node _T_449 = cat(_T_448, _T_446[3]) @[Cat.scala 29:58] + node _T_450 = cat(_T_449, _T_446[4]) @[Cat.scala 29:58] + node _T_451 = cat(_T_450, _T_446[5]) @[Cat.scala 29:58] + node _T_452 = cat(_T_451, _T_446[6]) @[Cat.scala 29:58] + node _T_453 = cat(_T_452, _T_446[7]) @[Cat.scala 29:58] + node _T_454 = cat(_T_453, _T_446[8]) @[Cat.scala 29:58] + node _T_455 = cat(_T_454, _T_446[9]) @[Cat.scala 29:58] + node _T_456 = cat(_T_455, _T_446[10]) @[Cat.scala 29:58] + node _T_457 = cat(_T_456, _T_446[11]) @[Cat.scala 29:58] + node _T_458 = cat(_T_457, _T_446[12]) @[Cat.scala 29:58] + node _T_459 = cat(_T_458, _T_446[13]) @[Cat.scala 29:58] + node _T_460 = cat(_T_459, _T_446[14]) @[Cat.scala 29:58] + node _T_461 = cat(_T_460, _T_446[15]) @[Cat.scala 29:58] + node _T_462 = cat(_T_461, io.ifu_i0_cinst) @[Cat.scala 29:58] + node i0_inst_d = mux(io.dec_i0_pc4_d, io.dec_i0_instr_d, _T_462) @[el2_dec_decode_ctl.scala 534:27] + node _T_463 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 537:49] + node shift_illegal = and(io.dec_i0_decode_d, _T_463) @[el2_dec_decode_ctl.scala 537:47] + node _T_464 = eq(illegal_lockout, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 538:44] + node illegal_inst_en = and(shift_illegal, _T_464) @[el2_dec_decode_ctl.scala 538:42] + inst rvclkhdr_3 of rvclkhdr_4 @[el2_lib.scala 485:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_3.io.en <= illegal_inst_en @[el2_lib.scala 488:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_465 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_465 <= i0_inst_d @[el2_lib.scala 491:16] + io.dec_illegal_inst <= _T_465 @[el2_dec_decode_ctl.scala 539:23] + node _T_466 = or(shift_illegal, illegal_lockout) @[el2_dec_decode_ctl.scala 540:40] + node _T_467 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 540:61] + node _T_468 = and(_T_466, _T_467) @[el2_dec_decode_ctl.scala 540:59] + illegal_lockout_in <= _T_468 @[el2_dec_decode_ctl.scala 540:22] + reg _T_469 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 541:54] + _T_469 <= illegal_lockout_in @[el2_dec_decode_ctl.scala 541:54] + illegal_lockout <= _T_469 @[el2_dec_decode_ctl.scala 541:19] + node i0_div_prior_div_stall = and(i0_dp.div, io.dec_div_active) @[el2_dec_decode_ctl.scala 542:42] + node _T_470 = and(i0_dp.csr_read, prior_csr_write) @[el2_dec_decode_ctl.scala 544:40] + node _T_471 = or(_T_470, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 544:59] + node _T_472 = or(_T_471, pause_stall) @[el2_dec_decode_ctl.scala 544:81] + node _T_473 = or(_T_472, leak1_i0_stall) @[el2_dec_decode_ctl.scala 544:95] + node _T_474 = or(_T_473, io.dec_tlu_debug_stall) @[el2_dec_decode_ctl.scala 545:20] + node _T_475 = or(_T_474, postsync_stall) @[el2_dec_decode_ctl.scala 545:45] + node _T_476 = or(_T_475, presync_stall) @[el2_dec_decode_ctl.scala 545:62] + node _T_477 = or(i0_dp.fence, debug_fence) @[el2_dec_decode_ctl.scala 546:19] + node _T_478 = eq(lsu_idle, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 546:36] + node _T_479 = and(_T_477, _T_478) @[el2_dec_decode_ctl.scala 546:34] + node _T_480 = or(_T_476, _T_479) @[el2_dec_decode_ctl.scala 545:79] + node _T_481 = or(_T_480, i0_nonblock_load_stall) @[el2_dec_decode_ctl.scala 546:47] + node _T_482 = or(_T_481, i0_load_block_d) @[el2_dec_decode_ctl.scala 546:72] + node _T_483 = or(_T_482, i0_nonblock_div_stall) @[el2_dec_decode_ctl.scala 547:21] + node i0_block_raw_d = or(_T_483, i0_div_prior_div_stall) @[el2_dec_decode_ctl.scala 547:45] + node _T_484 = or(io.lsu_store_stall_any, io.dma_dccm_stall_any) @[el2_dec_decode_ctl.scala 549:65] + node i0_store_stall_d = and(i0_dp.store, _T_484) @[el2_dec_decode_ctl.scala 549:39] + node _T_485 = or(io.lsu_load_stall_any, io.dma_dccm_stall_any) @[el2_dec_decode_ctl.scala 550:63] + node i0_load_stall_d = and(i0_dp.load, _T_485) @[el2_dec_decode_ctl.scala 550:38] + node _T_486 = or(i0_block_raw_d, i0_store_stall_d) @[el2_dec_decode_ctl.scala 551:38] + node i0_block_d = or(_T_486, i0_load_stall_d) @[el2_dec_decode_ctl.scala 551:57] + node _T_487 = eq(i0_block_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 555:46] + node _T_488 = and(io.dec_ib0_valid_d, _T_487) @[el2_dec_decode_ctl.scala 555:44] + node _T_489 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 555:63] + node _T_490 = and(_T_488, _T_489) @[el2_dec_decode_ctl.scala 555:61] + node _T_491 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 555:91] + node _T_492 = and(_T_490, _T_491) @[el2_dec_decode_ctl.scala 555:89] + io.dec_i0_decode_d <= _T_492 @[el2_dec_decode_ctl.scala 555:22] + node _T_493 = eq(i0_block_raw_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 556:46] + node _T_494 = and(io.dec_ib0_valid_d, _T_493) @[el2_dec_decode_ctl.scala 556:44] + node _T_495 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 556:63] + node _T_496 = and(_T_494, _T_495) @[el2_dec_decode_ctl.scala 556:61] + node _T_497 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 556:91] + node i0_exudecode_d = and(_T_496, _T_497) @[el2_dec_decode_ctl.scala 556:89] + node i0_exulegal_decode_d = and(i0_exudecode_d, i0_legal) @[el2_dec_decode_ctl.scala 557:46] + io.dec_pmu_instr_decoded <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 560:28] + node _T_498 = eq(io.dec_i0_decode_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 561:51] + node _T_499 = and(io.dec_ib0_valid_d, _T_498) @[el2_dec_decode_ctl.scala 561:49] + io.dec_pmu_decode_stall <= _T_499 @[el2_dec_decode_ctl.scala 561:27] + node _T_500 = bits(postsync_stall, 0, 0) @[el2_dec_decode_ctl.scala 562:47] + io.dec_pmu_postsync_stall <= _T_500 @[el2_dec_decode_ctl.scala 562:29] + node _T_501 = bits(presync_stall, 0, 0) @[el2_dec_decode_ctl.scala 563:46] + io.dec_pmu_presync_stall <= _T_501 @[el2_dec_decode_ctl.scala 563:29] + node prior_inflight = or(x_d.i0valid, r_d.i0valid) @[el2_dec_decode_ctl.scala 567:41] + node prior_inflight_eff = mux(i0_dp.div, x_d.i0valid, prior_inflight) @[el2_dec_decode_ctl.scala 568:31] + node _T_502 = and(i0_presync, prior_inflight_eff) @[el2_dec_decode_ctl.scala 570:37] + presync_stall <= _T_502 @[el2_dec_decode_ctl.scala 570:22] + reg _T_503 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 571:53] + _T_503 <= ps_stall_in @[el2_dec_decode_ctl.scala 571:53] + postsync_stall <= _T_503 @[el2_dec_decode_ctl.scala 571:18] + node _T_504 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 573:56] + node _T_505 = or(i0_postsync, _T_504) @[el2_dec_decode_ctl.scala 573:54] + node _T_506 = and(io.dec_i0_decode_d, _T_505) @[el2_dec_decode_ctl.scala 573:39] + node _T_507 = and(postsync_stall, x_d.i0valid) @[el2_dec_decode_ctl.scala 573:88] + node _T_508 = or(_T_506, _T_507) @[el2_dec_decode_ctl.scala 573:69] + ps_stall_in <= _T_508 @[el2_dec_decode_ctl.scala 573:15] + node _T_509 = and(i0_exulegal_decode_d, i0_dp.alu) @[el2_dec_decode_ctl.scala 575:50] + io.dec_i0_alu_decode_d <= _T_509 @[el2_dec_decode_ctl.scala 575:26] + node _T_510 = and(i0_legal_decode_d, i0_dp.lsu) @[el2_dec_decode_ctl.scala 577:40] + lsu_decode_d <= _T_510 @[el2_dec_decode_ctl.scala 577:16] + node _T_511 = and(i0_exulegal_decode_d, i0_dp.mul) @[el2_dec_decode_ctl.scala 578:40] + mul_decode_d <= _T_511 @[el2_dec_decode_ctl.scala 578:16] + node _T_512 = and(i0_exulegal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 579:40] + div_decode_d <= _T_512 @[el2_dec_decode_ctl.scala 579:16] + node _T_513 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 581:47] + node _T_514 = and(r_d.i0valid, _T_513) @[el2_dec_decode_ctl.scala 581:45] + io.dec_tlu_i0_valid_r <= _T_514 @[el2_dec_decode_ctl.scala 581:29] + d_t.legal <= i0_legal_decode_d @[el2_dec_decode_ctl.scala 584:26] + node _T_515 = and(i0_icaf_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 585:40] + d_t.icaf <= _T_515 @[el2_dec_decode_ctl.scala 585:26] + node _T_516 = and(io.dec_i0_icaf_f1_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 586:50] + d_t.icaf_f1 <= _T_516 @[el2_dec_decode_ctl.scala 586:26] + d_t.icaf_type <= io.dec_i0_icaf_type_d @[el2_dec_decode_ctl.scala 587:26] + node _T_517 = or(i0_dp.fence_i, debug_fence_i) @[el2_dec_decode_ctl.scala 589:44] + node _T_518 = and(_T_517, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 589:61] + d_t.fence_i <= _T_518 @[el2_dec_decode_ctl.scala 589:26] + d_t.pmu_i0_br_unpred <= i0_br_unpred @[el2_dec_decode_ctl.scala 592:26] + d_t.pmu_divide <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 593:26] + d_t.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 594:26] + wire _T_519 : UInt<1>[4] @[el2_lib.scala 161:48] + _T_519[0] <= io.dec_i0_decode_d @[el2_lib.scala 161:48] + _T_519[1] <= io.dec_i0_decode_d @[el2_lib.scala 161:48] + _T_519[2] <= io.dec_i0_decode_d @[el2_lib.scala 161:48] + _T_519[3] <= io.dec_i0_decode_d @[el2_lib.scala 161:48] + node _T_520 = cat(_T_519[0], _T_519[1]) @[Cat.scala 29:58] + node _T_521 = cat(_T_520, _T_519[2]) @[Cat.scala 29:58] + node _T_522 = cat(_T_521, _T_519[3]) @[Cat.scala 29:58] + node _T_523 = and(io.dec_i0_trigger_match_d, _T_522) @[el2_dec_decode_ctl.scala 596:56] + d_t.i0trigger <= _T_523 @[el2_dec_decode_ctl.scala 596:26] + node _T_524 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 599:33] + inst rvclkhdr_4 of rvclkhdr_5 @[el2_lib.scala 495:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[el2_lib.scala 497:18] + rvclkhdr_4.io.en <= _T_524 @[el2_lib.scala 498:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 499:24] + wire _T_525 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_lib.scala 501:33] + _T_525.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_525.pmu_divide <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_525.pmu_i0_br_unpred <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_525.pmu_i0_itype <= UInt<4>("h00") @[el2_lib.scala 501:33] + _T_525.i0trigger <= UInt<4>("h00") @[el2_lib.scala 501:33] + _T_525.fence_i <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_525.icaf_type <= UInt<2>("h00") @[el2_lib.scala 501:33] + _T_525.icaf_f1 <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_525.icaf <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_525.legal <= UInt<1>("h00") @[el2_lib.scala 501:33] + reg _T_526 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_4.io.l1clk with : (reset => (reset, _T_525)) @[el2_lib.scala 501:16] + _T_526.pmu_lsu_misaligned <= d_t.pmu_lsu_misaligned @[el2_lib.scala 501:16] + _T_526.pmu_divide <= d_t.pmu_divide @[el2_lib.scala 501:16] + _T_526.pmu_i0_br_unpred <= d_t.pmu_i0_br_unpred @[el2_lib.scala 501:16] + _T_526.pmu_i0_itype <= d_t.pmu_i0_itype @[el2_lib.scala 501:16] + _T_526.i0trigger <= d_t.i0trigger @[el2_lib.scala 501:16] + _T_526.fence_i <= d_t.fence_i @[el2_lib.scala 501:16] + _T_526.icaf_type <= d_t.icaf_type @[el2_lib.scala 501:16] + _T_526.icaf_f1 <= d_t.icaf_f1 @[el2_lib.scala 501:16] + _T_526.icaf <= d_t.icaf @[el2_lib.scala 501:16] + _T_526.legal <= d_t.legal @[el2_lib.scala 501:16] + x_t.pmu_lsu_misaligned <= _T_526.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 599:7] + x_t.pmu_divide <= _T_526.pmu_divide @[el2_dec_decode_ctl.scala 599:7] + x_t.pmu_i0_br_unpred <= _T_526.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 599:7] + x_t.pmu_i0_itype <= _T_526.pmu_i0_itype @[el2_dec_decode_ctl.scala 599:7] + x_t.i0trigger <= _T_526.i0trigger @[el2_dec_decode_ctl.scala 599:7] + x_t.fence_i <= _T_526.fence_i @[el2_dec_decode_ctl.scala 599:7] + x_t.icaf_type <= _T_526.icaf_type @[el2_dec_decode_ctl.scala 599:7] + x_t.icaf_f1 <= _T_526.icaf_f1 @[el2_dec_decode_ctl.scala 599:7] + x_t.icaf <= _T_526.icaf @[el2_dec_decode_ctl.scala 599:7] + x_t.legal <= _T_526.legal @[el2_dec_decode_ctl.scala 599:7] + x_t_in.pmu_lsu_misaligned <= x_t.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 601:10] + x_t_in.pmu_divide <= x_t.pmu_divide @[el2_dec_decode_ctl.scala 601:10] + x_t_in.pmu_i0_br_unpred <= x_t.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 601:10] + x_t_in.pmu_i0_itype <= x_t.pmu_i0_itype @[el2_dec_decode_ctl.scala 601:10] + x_t_in.i0trigger <= x_t.i0trigger @[el2_dec_decode_ctl.scala 601:10] + x_t_in.fence_i <= x_t.fence_i @[el2_dec_decode_ctl.scala 601:10] + x_t_in.icaf_type <= x_t.icaf_type @[el2_dec_decode_ctl.scala 601:10] + x_t_in.icaf_f1 <= x_t.icaf_f1 @[el2_dec_decode_ctl.scala 601:10] + x_t_in.icaf <= x_t.icaf @[el2_dec_decode_ctl.scala 601:10] + x_t_in.legal <= x_t.legal @[el2_dec_decode_ctl.scala 601:10] + wire _T_527 : UInt<1>[4] @[el2_lib.scala 161:48] + _T_527[0] <= io.dec_tlu_flush_lower_wb @[el2_lib.scala 161:48] + _T_527[1] <= io.dec_tlu_flush_lower_wb @[el2_lib.scala 161:48] + _T_527[2] <= io.dec_tlu_flush_lower_wb @[el2_lib.scala 161:48] + _T_527[3] <= io.dec_tlu_flush_lower_wb @[el2_lib.scala 161:48] + node _T_528 = cat(_T_527[0], _T_527[1]) @[Cat.scala 29:58] + node _T_529 = cat(_T_528, _T_527[2]) @[Cat.scala 29:58] + node _T_530 = cat(_T_529, _T_527[3]) @[Cat.scala 29:58] + node _T_531 = not(_T_530) @[el2_dec_decode_ctl.scala 602:39] + node _T_532 = and(x_t.i0trigger, _T_531) @[el2_dec_decode_ctl.scala 602:37] + x_t_in.i0trigger <= _T_532 @[el2_dec_decode_ctl.scala 602:20] + node _T_533 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 604:36] + inst rvclkhdr_5 of rvclkhdr_6 @[el2_lib.scala 495:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[el2_lib.scala 497:18] + rvclkhdr_5.io.en <= _T_533 @[el2_lib.scala 498:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 499:24] + wire _T_534 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_lib.scala 501:33] + _T_534.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_534.pmu_divide <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_534.pmu_i0_br_unpred <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_534.pmu_i0_itype <= UInt<4>("h00") @[el2_lib.scala 501:33] + _T_534.i0trigger <= UInt<4>("h00") @[el2_lib.scala 501:33] + _T_534.fence_i <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_534.icaf_type <= UInt<2>("h00") @[el2_lib.scala 501:33] + _T_534.icaf_f1 <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_534.icaf <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_534.legal <= UInt<1>("h00") @[el2_lib.scala 501:33] + reg _T_535 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_5.io.l1clk with : (reset => (reset, _T_534)) @[el2_lib.scala 501:16] + _T_535.pmu_lsu_misaligned <= x_t_in.pmu_lsu_misaligned @[el2_lib.scala 501:16] + _T_535.pmu_divide <= x_t_in.pmu_divide @[el2_lib.scala 501:16] + _T_535.pmu_i0_br_unpred <= x_t_in.pmu_i0_br_unpred @[el2_lib.scala 501:16] + _T_535.pmu_i0_itype <= x_t_in.pmu_i0_itype @[el2_lib.scala 501:16] + _T_535.i0trigger <= x_t_in.i0trigger @[el2_lib.scala 501:16] + _T_535.fence_i <= x_t_in.fence_i @[el2_lib.scala 501:16] + _T_535.icaf_type <= x_t_in.icaf_type @[el2_lib.scala 501:16] + _T_535.icaf_f1 <= x_t_in.icaf_f1 @[el2_lib.scala 501:16] + _T_535.icaf <= x_t_in.icaf @[el2_lib.scala 501:16] + _T_535.legal <= x_t_in.legal @[el2_lib.scala 501:16] + r_t.pmu_lsu_misaligned <= _T_535.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 604:7] + r_t.pmu_divide <= _T_535.pmu_divide @[el2_dec_decode_ctl.scala 604:7] + r_t.pmu_i0_br_unpred <= _T_535.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 604:7] + r_t.pmu_i0_itype <= _T_535.pmu_i0_itype @[el2_dec_decode_ctl.scala 604:7] + r_t.i0trigger <= _T_535.i0trigger @[el2_dec_decode_ctl.scala 604:7] + r_t.fence_i <= _T_535.fence_i @[el2_dec_decode_ctl.scala 604:7] + r_t.icaf_type <= _T_535.icaf_type @[el2_dec_decode_ctl.scala 604:7] + r_t.icaf_f1 <= _T_535.icaf_f1 @[el2_dec_decode_ctl.scala 604:7] + r_t.icaf <= _T_535.icaf @[el2_dec_decode_ctl.scala 604:7] + r_t.legal <= _T_535.legal @[el2_dec_decode_ctl.scala 604:7] + reg lsu_trigger_match_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 605:36] + lsu_trigger_match_r <= io.lsu_trigger_match_m @[el2_dec_decode_ctl.scala 605:36] + reg lsu_pmu_misaligned_r : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 606:37] + lsu_pmu_misaligned_r <= io.lsu_pmu_misaligned_m @[el2_dec_decode_ctl.scala 606:37] + r_t_in.pmu_lsu_misaligned <= r_t.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 608:10] + r_t_in.pmu_divide <= r_t.pmu_divide @[el2_dec_decode_ctl.scala 608:10] + r_t_in.pmu_i0_br_unpred <= r_t.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 608:10] + r_t_in.pmu_i0_itype <= r_t.pmu_i0_itype @[el2_dec_decode_ctl.scala 608:10] + r_t_in.i0trigger <= r_t.i0trigger @[el2_dec_decode_ctl.scala 608:10] + r_t_in.fence_i <= r_t.fence_i @[el2_dec_decode_ctl.scala 608:10] + r_t_in.icaf_type <= r_t.icaf_type @[el2_dec_decode_ctl.scala 608:10] + r_t_in.icaf_f1 <= r_t.icaf_f1 @[el2_dec_decode_ctl.scala 608:10] + r_t_in.icaf <= r_t.icaf @[el2_dec_decode_ctl.scala 608:10] + r_t_in.legal <= r_t.legal @[el2_dec_decode_ctl.scala 608:10] + node _T_536 = or(r_d.i0load, r_d.i0store) @[el2_dec_decode_ctl.scala 610:56] + wire _T_537 : UInt<1>[4] @[el2_lib.scala 161:48] + _T_537[0] <= _T_536 @[el2_lib.scala 161:48] + _T_537[1] <= _T_536 @[el2_lib.scala 161:48] + _T_537[2] <= _T_536 @[el2_lib.scala 161:48] + _T_537[3] <= _T_536 @[el2_lib.scala 161:48] + node _T_538 = cat(_T_537[0], _T_537[1]) @[Cat.scala 29:58] + node _T_539 = cat(_T_538, _T_537[2]) @[Cat.scala 29:58] + node _T_540 = cat(_T_539, _T_537[3]) @[Cat.scala 29:58] + node _T_541 = and(_T_540, lsu_trigger_match_r) @[el2_dec_decode_ctl.scala 610:72] + node _T_542 = or(_T_541, r_t.i0trigger) @[el2_dec_decode_ctl.scala 610:95] + r_t_in.i0trigger <= _T_542 @[el2_dec_decode_ctl.scala 610:33] + r_t_in.pmu_lsu_misaligned <= lsu_pmu_misaligned_r @[el2_dec_decode_ctl.scala 611:33] + node _T_543 = bits(io.dec_tlu_flush_lower_wb, 0, 0) @[el2_dec_decode_ctl.scala 613:35] + when _T_543 : @[el2_dec_decode_ctl.scala 613:43] + wire _T_544 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 613:66] + _T_544.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.pmu_divide <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.pmu_i0_br_unpred <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.pmu_i0_itype <= UInt<4>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.i0trigger <= UInt<4>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.fence_i <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.icaf_type <= UInt<2>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.icaf_f1 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.icaf <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + _T_544.legal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 613:66] + r_t_in.pmu_lsu_misaligned <= _T_544.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 613:51] + r_t_in.pmu_divide <= _T_544.pmu_divide @[el2_dec_decode_ctl.scala 613:51] + r_t_in.pmu_i0_br_unpred <= _T_544.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 613:51] + r_t_in.pmu_i0_itype <= _T_544.pmu_i0_itype @[el2_dec_decode_ctl.scala 613:51] + r_t_in.i0trigger <= _T_544.i0trigger @[el2_dec_decode_ctl.scala 613:51] + r_t_in.fence_i <= _T_544.fence_i @[el2_dec_decode_ctl.scala 613:51] + r_t_in.icaf_type <= _T_544.icaf_type @[el2_dec_decode_ctl.scala 613:51] + r_t_in.icaf_f1 <= _T_544.icaf_f1 @[el2_dec_decode_ctl.scala 613:51] + r_t_in.icaf <= _T_544.icaf @[el2_dec_decode_ctl.scala 613:51] + r_t_in.legal <= _T_544.legal @[el2_dec_decode_ctl.scala 613:51] + skip @[el2_dec_decode_ctl.scala 613:43] + io.dec_tlu_packet_r.pmu_lsu_misaligned <= r_t_in.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.pmu_divide <= r_t_in.pmu_divide @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.pmu_i0_br_unpred <= r_t_in.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.pmu_i0_itype <= r_t_in.pmu_i0_itype @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.i0trigger <= r_t_in.i0trigger @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.fence_i <= r_t_in.fence_i @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.icaf_type <= r_t_in.icaf_type @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.icaf_f1 <= r_t_in.icaf_f1 @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.icaf <= r_t_in.icaf @[el2_dec_decode_ctl.scala 615:39] + io.dec_tlu_packet_r.legal <= r_t_in.legal @[el2_dec_decode_ctl.scala 615:39] + node _T_545 = and(r_d.i0div, r_d.i0valid) @[el2_dec_decode_ctl.scala 616:53] + io.dec_tlu_packet_r.pmu_divide <= _T_545 @[el2_dec_decode_ctl.scala 616:39] + reg _T_546 : UInt<1>, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 619:52] + _T_546 <= io.exu_flush_final @[el2_dec_decode_ctl.scala 619:52] + flush_final_r <= _T_546 @[el2_dec_decode_ctl.scala 619:17] + node _T_547 = eq(i0_block_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 621:46] + node _T_548 = and(io.dec_ib0_valid_d, _T_547) @[el2_dec_decode_ctl.scala 621:44] + node _T_549 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 621:60] + node _T_550 = and(_T_548, _T_549) @[el2_dec_decode_ctl.scala 621:58] + node _T_551 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 621:88] + node _T_552 = and(_T_550, _T_551) @[el2_dec_decode_ctl.scala 621:86] + io.dec_i0_decode_d <= _T_552 @[el2_dec_decode_ctl.scala 621:22] + node _T_553 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 623:16] + i0r.rs1 <= _T_553 @[el2_dec_decode_ctl.scala 623:11] + node _T_554 = bits(io.dec_i0_instr_d, 24, 20) @[el2_dec_decode_ctl.scala 624:16] + i0r.rs2 <= _T_554 @[el2_dec_decode_ctl.scala 624:11] + node _T_555 = bits(io.dec_i0_instr_d, 11, 7) @[el2_dec_decode_ctl.scala 625:16] + i0r.rd <= _T_555 @[el2_dec_decode_ctl.scala 625:11] + node _T_556 = neq(i0r.rs1, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 627:49] + node _T_557 = and(i0_dp.rs1, _T_556) @[el2_dec_decode_ctl.scala 627:38] + io.dec_i0_rs1_en_d <= _T_557 @[el2_dec_decode_ctl.scala 627:24] + node _T_558 = neq(i0r.rs2, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 628:49] + node _T_559 = and(i0_dp.rs2, _T_558) @[el2_dec_decode_ctl.scala 628:38] + io.dec_i0_rs2_en_d <= _T_559 @[el2_dec_decode_ctl.scala 628:24] + node _T_560 = neq(i0r.rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 629:48] + node i0_rd_en_d = and(i0_dp.rd, _T_560) @[el2_dec_decode_ctl.scala 629:37] + io.dec_i0_rs1_d <= i0r.rs1 @[el2_dec_decode_ctl.scala 630:19] + io.dec_i0_rs2_d <= i0r.rs2 @[el2_dec_decode_ctl.scala 631:19] + node i0_jalimm20 = and(i0_dp.jal, i0_dp.imm20) @[el2_dec_decode_ctl.scala 633:38] + node _T_561 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 634:27] + node i0_uiimm20 = and(_T_561, i0_dp.imm20) @[el2_dec_decode_ctl.scala 634:38] + node _T_562 = eq(i0_dp.csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 638:5] + node _T_563 = mux(i0_dp.csr_read, io.dec_csr_rddata_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_564 = mux(_T_562, i0_immed_d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_565 = or(_T_563, _T_564) @[Mux.scala 27:72] + wire _T_566 : UInt<32> @[Mux.scala 27:72] + _T_566 <= _T_565 @[Mux.scala 27:72] + io.dec_i0_immed_d <= _T_566 @[el2_dec_decode_ctl.scala 636:21] + node _T_567 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 641:38] + wire _T_568 : UInt<1>[20] @[el2_lib.scala 161:48] + _T_568[0] <= _T_567 @[el2_lib.scala 161:48] + _T_568[1] <= _T_567 @[el2_lib.scala 161:48] + _T_568[2] <= _T_567 @[el2_lib.scala 161:48] + _T_568[3] <= _T_567 @[el2_lib.scala 161:48] + _T_568[4] <= _T_567 @[el2_lib.scala 161:48] + _T_568[5] <= _T_567 @[el2_lib.scala 161:48] + _T_568[6] <= _T_567 @[el2_lib.scala 161:48] + _T_568[7] <= _T_567 @[el2_lib.scala 161:48] + _T_568[8] <= _T_567 @[el2_lib.scala 161:48] + _T_568[9] <= _T_567 @[el2_lib.scala 161:48] + _T_568[10] <= _T_567 @[el2_lib.scala 161:48] + _T_568[11] <= _T_567 @[el2_lib.scala 161:48] + _T_568[12] <= _T_567 @[el2_lib.scala 161:48] + _T_568[13] <= _T_567 @[el2_lib.scala 161:48] + _T_568[14] <= _T_567 @[el2_lib.scala 161:48] + _T_568[15] <= _T_567 @[el2_lib.scala 161:48] + _T_568[16] <= _T_567 @[el2_lib.scala 161:48] + _T_568[17] <= _T_567 @[el2_lib.scala 161:48] + _T_568[18] <= _T_567 @[el2_lib.scala 161:48] + _T_568[19] <= _T_567 @[el2_lib.scala 161:48] + node _T_569 = cat(_T_568[0], _T_568[1]) @[Cat.scala 29:58] + node _T_570 = cat(_T_569, _T_568[2]) @[Cat.scala 29:58] + node _T_571 = cat(_T_570, _T_568[3]) @[Cat.scala 29:58] + node _T_572 = cat(_T_571, _T_568[4]) @[Cat.scala 29:58] + node _T_573 = cat(_T_572, _T_568[5]) @[Cat.scala 29:58] + node _T_574 = cat(_T_573, _T_568[6]) @[Cat.scala 29:58] + node _T_575 = cat(_T_574, _T_568[7]) @[Cat.scala 29:58] + node _T_576 = cat(_T_575, _T_568[8]) @[Cat.scala 29:58] + node _T_577 = cat(_T_576, _T_568[9]) @[Cat.scala 29:58] + node _T_578 = cat(_T_577, _T_568[10]) @[Cat.scala 29:58] + node _T_579 = cat(_T_578, _T_568[11]) @[Cat.scala 29:58] + node _T_580 = cat(_T_579, _T_568[12]) @[Cat.scala 29:58] + node _T_581 = cat(_T_580, _T_568[13]) @[Cat.scala 29:58] + node _T_582 = cat(_T_581, _T_568[14]) @[Cat.scala 29:58] + node _T_583 = cat(_T_582, _T_568[15]) @[Cat.scala 29:58] + node _T_584 = cat(_T_583, _T_568[16]) @[Cat.scala 29:58] + node _T_585 = cat(_T_584, _T_568[17]) @[Cat.scala 29:58] + node _T_586 = cat(_T_585, _T_568[18]) @[Cat.scala 29:58] + node _T_587 = cat(_T_586, _T_568[19]) @[Cat.scala 29:58] + node _T_588 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 641:46] + node _T_589 = cat(_T_587, _T_588) @[Cat.scala 29:58] + wire _T_590 : UInt<1>[27] @[el2_lib.scala 161:48] + _T_590[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[10] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[11] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[12] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[13] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[14] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[15] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[16] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[17] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[18] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[19] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[20] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[21] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[22] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[23] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[24] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[25] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_590[26] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_591 = cat(_T_590[0], _T_590[1]) @[Cat.scala 29:58] + node _T_592 = cat(_T_591, _T_590[2]) @[Cat.scala 29:58] + node _T_593 = cat(_T_592, _T_590[3]) @[Cat.scala 29:58] + node _T_594 = cat(_T_593, _T_590[4]) @[Cat.scala 29:58] + node _T_595 = cat(_T_594, _T_590[5]) @[Cat.scala 29:58] + node _T_596 = cat(_T_595, _T_590[6]) @[Cat.scala 29:58] + node _T_597 = cat(_T_596, _T_590[7]) @[Cat.scala 29:58] + node _T_598 = cat(_T_597, _T_590[8]) @[Cat.scala 29:58] + node _T_599 = cat(_T_598, _T_590[9]) @[Cat.scala 29:58] + node _T_600 = cat(_T_599, _T_590[10]) @[Cat.scala 29:58] + node _T_601 = cat(_T_600, _T_590[11]) @[Cat.scala 29:58] + node _T_602 = cat(_T_601, _T_590[12]) @[Cat.scala 29:58] + node _T_603 = cat(_T_602, _T_590[13]) @[Cat.scala 29:58] + node _T_604 = cat(_T_603, _T_590[14]) @[Cat.scala 29:58] + node _T_605 = cat(_T_604, _T_590[15]) @[Cat.scala 29:58] + node _T_606 = cat(_T_605, _T_590[16]) @[Cat.scala 29:58] + node _T_607 = cat(_T_606, _T_590[17]) @[Cat.scala 29:58] + node _T_608 = cat(_T_607, _T_590[18]) @[Cat.scala 29:58] + node _T_609 = cat(_T_608, _T_590[19]) @[Cat.scala 29:58] + node _T_610 = cat(_T_609, _T_590[20]) @[Cat.scala 29:58] + node _T_611 = cat(_T_610, _T_590[21]) @[Cat.scala 29:58] + node _T_612 = cat(_T_611, _T_590[22]) @[Cat.scala 29:58] + node _T_613 = cat(_T_612, _T_590[23]) @[Cat.scala 29:58] + node _T_614 = cat(_T_613, _T_590[24]) @[Cat.scala 29:58] + node _T_615 = cat(_T_614, _T_590[25]) @[Cat.scala 29:58] + node _T_616 = cat(_T_615, _T_590[26]) @[Cat.scala 29:58] + node _T_617 = bits(io.dec_i0_instr_d, 24, 20) @[el2_dec_decode_ctl.scala 642:43] + node _T_618 = cat(_T_616, _T_617) @[Cat.scala 29:58] + node _T_619 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 643:38] + wire _T_620 : UInt<1>[12] @[el2_lib.scala 161:48] + _T_620[0] <= _T_619 @[el2_lib.scala 161:48] + _T_620[1] <= _T_619 @[el2_lib.scala 161:48] + _T_620[2] <= _T_619 @[el2_lib.scala 161:48] + _T_620[3] <= _T_619 @[el2_lib.scala 161:48] + _T_620[4] <= _T_619 @[el2_lib.scala 161:48] + _T_620[5] <= _T_619 @[el2_lib.scala 161:48] + _T_620[6] <= _T_619 @[el2_lib.scala 161:48] + _T_620[7] <= _T_619 @[el2_lib.scala 161:48] + _T_620[8] <= _T_619 @[el2_lib.scala 161:48] + _T_620[9] <= _T_619 @[el2_lib.scala 161:48] + _T_620[10] <= _T_619 @[el2_lib.scala 161:48] + _T_620[11] <= _T_619 @[el2_lib.scala 161:48] + node _T_621 = cat(_T_620[0], _T_620[1]) @[Cat.scala 29:58] + node _T_622 = cat(_T_621, _T_620[2]) @[Cat.scala 29:58] + node _T_623 = cat(_T_622, _T_620[3]) @[Cat.scala 29:58] + node _T_624 = cat(_T_623, _T_620[4]) @[Cat.scala 29:58] + node _T_625 = cat(_T_624, _T_620[5]) @[Cat.scala 29:58] + node _T_626 = cat(_T_625, _T_620[6]) @[Cat.scala 29:58] + node _T_627 = cat(_T_626, _T_620[7]) @[Cat.scala 29:58] + node _T_628 = cat(_T_627, _T_620[8]) @[Cat.scala 29:58] + node _T_629 = cat(_T_628, _T_620[9]) @[Cat.scala 29:58] + node _T_630 = cat(_T_629, _T_620[10]) @[Cat.scala 29:58] + node _T_631 = cat(_T_630, _T_620[11]) @[Cat.scala 29:58] + node _T_632 = bits(io.dec_i0_instr_d, 19, 12) @[el2_dec_decode_ctl.scala 643:46] + node _T_633 = bits(io.dec_i0_instr_d, 20, 20) @[el2_dec_decode_ctl.scala 643:56] + node _T_634 = bits(io.dec_i0_instr_d, 30, 21) @[el2_dec_decode_ctl.scala 643:63] + node _T_635 = cat(_T_634, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_636 = cat(_T_631, _T_632) @[Cat.scala 29:58] + node _T_637 = cat(_T_636, _T_633) @[Cat.scala 29:58] + node _T_638 = cat(_T_637, _T_635) @[Cat.scala 29:58] + node _T_639 = bits(io.dec_i0_instr_d, 31, 12) @[el2_dec_decode_ctl.scala 644:30] + wire _T_640 : UInt<1>[12] @[el2_lib.scala 161:48] + _T_640[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[10] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_640[11] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_641 = cat(_T_640[0], _T_640[1]) @[Cat.scala 29:58] + node _T_642 = cat(_T_641, _T_640[2]) @[Cat.scala 29:58] + node _T_643 = cat(_T_642, _T_640[3]) @[Cat.scala 29:58] + node _T_644 = cat(_T_643, _T_640[4]) @[Cat.scala 29:58] + node _T_645 = cat(_T_644, _T_640[5]) @[Cat.scala 29:58] + node _T_646 = cat(_T_645, _T_640[6]) @[Cat.scala 29:58] + node _T_647 = cat(_T_646, _T_640[7]) @[Cat.scala 29:58] + node _T_648 = cat(_T_647, _T_640[8]) @[Cat.scala 29:58] + node _T_649 = cat(_T_648, _T_640[9]) @[Cat.scala 29:58] + node _T_650 = cat(_T_649, _T_640[10]) @[Cat.scala 29:58] + node _T_651 = cat(_T_650, _T_640[11]) @[Cat.scala 29:58] + node _T_652 = cat(_T_639, _T_651) @[Cat.scala 29:58] + node _T_653 = and(i0_csr_write_only_d, i0_dp.csr_imm) @[el2_dec_decode_ctl.scala 645:26] + node _T_654 = bits(_T_653, 0, 0) @[el2_dec_decode_ctl.scala 645:43] + wire _T_655 : UInt<1>[27] @[el2_lib.scala 161:48] + _T_655[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[10] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[11] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[12] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[13] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[14] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[15] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[16] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[17] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[18] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[19] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[20] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[21] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[22] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[23] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[24] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[25] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_655[26] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_656 = cat(_T_655[0], _T_655[1]) @[Cat.scala 29:58] + node _T_657 = cat(_T_656, _T_655[2]) @[Cat.scala 29:58] + node _T_658 = cat(_T_657, _T_655[3]) @[Cat.scala 29:58] + node _T_659 = cat(_T_658, _T_655[4]) @[Cat.scala 29:58] + node _T_660 = cat(_T_659, _T_655[5]) @[Cat.scala 29:58] + node _T_661 = cat(_T_660, _T_655[6]) @[Cat.scala 29:58] + node _T_662 = cat(_T_661, _T_655[7]) @[Cat.scala 29:58] + node _T_663 = cat(_T_662, _T_655[8]) @[Cat.scala 29:58] + node _T_664 = cat(_T_663, _T_655[9]) @[Cat.scala 29:58] + node _T_665 = cat(_T_664, _T_655[10]) @[Cat.scala 29:58] + node _T_666 = cat(_T_665, _T_655[11]) @[Cat.scala 29:58] + node _T_667 = cat(_T_666, _T_655[12]) @[Cat.scala 29:58] + node _T_668 = cat(_T_667, _T_655[13]) @[Cat.scala 29:58] + node _T_669 = cat(_T_668, _T_655[14]) @[Cat.scala 29:58] + node _T_670 = cat(_T_669, _T_655[15]) @[Cat.scala 29:58] + node _T_671 = cat(_T_670, _T_655[16]) @[Cat.scala 29:58] + node _T_672 = cat(_T_671, _T_655[17]) @[Cat.scala 29:58] + node _T_673 = cat(_T_672, _T_655[18]) @[Cat.scala 29:58] + node _T_674 = cat(_T_673, _T_655[19]) @[Cat.scala 29:58] + node _T_675 = cat(_T_674, _T_655[20]) @[Cat.scala 29:58] + node _T_676 = cat(_T_675, _T_655[21]) @[Cat.scala 29:58] + node _T_677 = cat(_T_676, _T_655[22]) @[Cat.scala 29:58] + node _T_678 = cat(_T_677, _T_655[23]) @[Cat.scala 29:58] + node _T_679 = cat(_T_678, _T_655[24]) @[Cat.scala 29:58] + node _T_680 = cat(_T_679, _T_655[25]) @[Cat.scala 29:58] + node _T_681 = cat(_T_680, _T_655[26]) @[Cat.scala 29:58] + node _T_682 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 645:72] + node _T_683 = cat(_T_681, _T_682) @[Cat.scala 29:58] + node _T_684 = mux(i0_dp.imm12, _T_589, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_685 = mux(i0_dp.shimm5, _T_618, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_686 = mux(i0_jalimm20, _T_638, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_687 = mux(i0_uiimm20, _T_652, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_688 = mux(_T_654, _T_683, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_689 = or(_T_684, _T_685) @[Mux.scala 27:72] + node _T_690 = or(_T_689, _T_686) @[Mux.scala 27:72] + node _T_691 = or(_T_690, _T_687) @[Mux.scala 27:72] + node _T_692 = or(_T_691, _T_688) @[Mux.scala 27:72] + wire _T_693 : UInt<32> @[Mux.scala 27:72] + _T_693 <= _T_692 @[Mux.scala 27:72] + i0_immed_d <= _T_693 @[el2_dec_decode_ctl.scala 640:14] + node _T_694 = and(io.dec_i0_decode_d, i0_legal) @[el2_dec_decode_ctl.scala 647:46] + i0_legal_decode_d <= _T_694 @[el2_dec_decode_ctl.scala 647:24] + node _T_695 = and(i0_dp.mul, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 649:44] + i0_d_c.mul <= _T_695 @[el2_dec_decode_ctl.scala 649:29] + node _T_696 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 650:44] + i0_d_c.load <= _T_696 @[el2_dec_decode_ctl.scala 650:29] + node _T_697 = and(i0_dp.alu, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 651:44] + i0_d_c.alu <= _T_697 @[el2_dec_decode_ctl.scala 651:29] + node _T_698 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 653:71] + reg i0_x_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk @[Reg.scala 15:16] + when _T_698 : @[Reg.scala 16:19] + i0_x_c.alu <= i0_d_c.alu @[Reg.scala 16:23] + i0_x_c.load <= i0_d_c.load @[Reg.scala 16:23] + i0_x_c.mul <= i0_d_c.mul @[Reg.scala 16:23] + skip @[Reg.scala 16:19] + node _T_699 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 654:71] + reg i0_r_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk @[Reg.scala 15:16] + when _T_699 : @[Reg.scala 16:19] + i0_r_c.alu <= i0_x_c.alu @[Reg.scala 16:23] + i0_r_c.load <= i0_x_c.load @[Reg.scala 16:23] + i0_r_c.mul <= i0_x_c.mul @[Reg.scala 16:23] + skip @[Reg.scala 16:19] + node _T_700 = bits(i0_pipe_en, 3, 1) @[el2_dec_decode_ctl.scala 655:83] + reg _T_701 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 655:72] + _T_701 <= _T_700 @[el2_dec_decode_ctl.scala 655:72] + node _T_702 = cat(io.dec_i0_decode_d, _T_701) @[Cat.scala 29:58] + i0_pipe_en <= _T_702 @[el2_dec_decode_ctl.scala 655:14] + node _T_703 = bits(i0_pipe_en, 3, 2) @[el2_dec_decode_ctl.scala 657:43] + node _T_704 = orr(_T_703) @[el2_dec_decode_ctl.scala 657:49] + node _T_705 = or(_T_704, io.clk_override) @[el2_dec_decode_ctl.scala 657:53] + i0_x_ctl_en <= _T_705 @[el2_dec_decode_ctl.scala 657:29] + node _T_706 = bits(i0_pipe_en, 2, 1) @[el2_dec_decode_ctl.scala 658:43] + node _T_707 = orr(_T_706) @[el2_dec_decode_ctl.scala 658:49] + node _T_708 = or(_T_707, io.clk_override) @[el2_dec_decode_ctl.scala 658:53] + i0_r_ctl_en <= _T_708 @[el2_dec_decode_ctl.scala 658:29] + node _T_709 = bits(i0_pipe_en, 1, 0) @[el2_dec_decode_ctl.scala 659:43] + node _T_710 = orr(_T_709) @[el2_dec_decode_ctl.scala 659:49] + node _T_711 = or(_T_710, io.clk_override) @[el2_dec_decode_ctl.scala 659:53] + i0_wb_ctl_en <= _T_711 @[el2_dec_decode_ctl.scala 659:29] + node _T_712 = bits(i0_pipe_en, 3, 3) @[el2_dec_decode_ctl.scala 660:44] + node _T_713 = or(_T_712, io.clk_override) @[el2_dec_decode_ctl.scala 660:50] + i0_x_data_en <= _T_713 @[el2_dec_decode_ctl.scala 660:29] + node _T_714 = bits(i0_pipe_en, 2, 2) @[el2_dec_decode_ctl.scala 661:44] + node _T_715 = or(_T_714, io.clk_override) @[el2_dec_decode_ctl.scala 661:50] + i0_r_data_en <= _T_715 @[el2_dec_decode_ctl.scala 661:29] + node _T_716 = bits(i0_pipe_en, 1, 1) @[el2_dec_decode_ctl.scala 662:44] + node _T_717 = or(_T_716, io.clk_override) @[el2_dec_decode_ctl.scala 662:50] + i0_wb_data_en <= _T_717 @[el2_dec_decode_ctl.scala 662:29] + node _T_718 = bits(i0_pipe_en, 0, 0) @[el2_dec_decode_ctl.scala 663:44] + node _T_719 = or(_T_718, io.clk_override) @[el2_dec_decode_ctl.scala 663:50] + i0_wb1_data_en <= _T_719 @[el2_dec_decode_ctl.scala 663:29] + node _T_720 = cat(i0_x_data_en, i0_r_data_en) @[Cat.scala 29:58] + io.dec_data_en <= _T_720 @[el2_dec_decode_ctl.scala 665:27] + node _T_721 = cat(i0_x_ctl_en, i0_r_ctl_en) @[Cat.scala 29:58] + io.dec_ctl_en <= _T_721 @[el2_dec_decode_ctl.scala 666:27] + d_d.i0rd <= i0r.rd @[el2_dec_decode_ctl.scala 668:29] + node _T_722 = and(i0_rd_en_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 669:45] + d_d.i0v <= _T_722 @[el2_dec_decode_ctl.scala 669:29] + d_d.i0valid <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 670:29] + node _T_723 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 672:45] + d_d.i0load <= _T_723 @[el2_dec_decode_ctl.scala 672:29] + node _T_724 = and(i0_dp.store, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 673:45] + d_d.i0store <= _T_724 @[el2_dec_decode_ctl.scala 673:29] + node _T_725 = and(i0_dp.div, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 674:45] + d_d.i0div <= _T_725 @[el2_dec_decode_ctl.scala 674:29] + node _T_726 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 676:56] + d_d.csrwen <= _T_726 @[el2_dec_decode_ctl.scala 676:29] + node _T_727 = and(i0_csr_write_only_d, io.dec_i0_decode_d) @[el2_dec_decode_ctl.scala 677:53] + d_d.csrwonly <= _T_727 @[el2_dec_decode_ctl.scala 677:29] + node _T_728 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 678:35] + d_d.csrwaddr <= _T_728 @[el2_dec_decode_ctl.scala 678:29] + node _T_729 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 680:34] + inst rvclkhdr_6 of rvclkhdr_7 @[el2_lib.scala 495:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[el2_lib.scala 497:18] + rvclkhdr_6.io.en <= _T_729 @[el2_lib.scala 498:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 499:24] + wire _T_730 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_lib.scala 501:33] + _T_730.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 501:33] + _T_730.csrwonly <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.csrwen <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.i0valid <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.i0v <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.i0div <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.i0store <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.i0load <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_730.i0rd <= UInt<5>("h00") @[el2_lib.scala 501:33] + reg _T_731 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}, rvclkhdr_6.io.l1clk with : (reset => (reset, _T_730)) @[el2_lib.scala 501:16] + _T_731.csrwaddr <= d_d.csrwaddr @[el2_lib.scala 501:16] + _T_731.csrwonly <= d_d.csrwonly @[el2_lib.scala 501:16] + _T_731.csrwen <= d_d.csrwen @[el2_lib.scala 501:16] + _T_731.i0valid <= d_d.i0valid @[el2_lib.scala 501:16] + _T_731.i0v <= d_d.i0v @[el2_lib.scala 501:16] + _T_731.i0div <= d_d.i0div @[el2_lib.scala 501:16] + _T_731.i0store <= d_d.i0store @[el2_lib.scala 501:16] + _T_731.i0load <= d_d.i0load @[el2_lib.scala 501:16] + _T_731.i0rd <= d_d.i0rd @[el2_lib.scala 501:16] + x_d.csrwaddr <= _T_731.csrwaddr @[el2_dec_decode_ctl.scala 680:7] + x_d.csrwonly <= _T_731.csrwonly @[el2_dec_decode_ctl.scala 680:7] + x_d.csrwen <= _T_731.csrwen @[el2_dec_decode_ctl.scala 680:7] + x_d.i0valid <= _T_731.i0valid @[el2_dec_decode_ctl.scala 680:7] + x_d.i0v <= _T_731.i0v @[el2_dec_decode_ctl.scala 680:7] + x_d.i0div <= _T_731.i0div @[el2_dec_decode_ctl.scala 680:7] + x_d.i0store <= _T_731.i0store @[el2_dec_decode_ctl.scala 680:7] + x_d.i0load <= _T_731.i0load @[el2_dec_decode_ctl.scala 680:7] + x_d.i0rd <= _T_731.i0rd @[el2_dec_decode_ctl.scala 680:7] + wire x_d_in : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_dec_decode_ctl.scala 681:20] + x_d_in.csrwaddr <= x_d.csrwaddr @[el2_dec_decode_ctl.scala 682:10] + x_d_in.csrwonly <= x_d.csrwonly @[el2_dec_decode_ctl.scala 682:10] + x_d_in.csrwen <= x_d.csrwen @[el2_dec_decode_ctl.scala 682:10] + x_d_in.i0valid <= x_d.i0valid @[el2_dec_decode_ctl.scala 682:10] + x_d_in.i0v <= x_d.i0v @[el2_dec_decode_ctl.scala 682:10] + x_d_in.i0div <= x_d.i0div @[el2_dec_decode_ctl.scala 682:10] + x_d_in.i0store <= x_d.i0store @[el2_dec_decode_ctl.scala 682:10] + x_d_in.i0load <= x_d.i0load @[el2_dec_decode_ctl.scala 682:10] + x_d_in.i0rd <= x_d.i0rd @[el2_dec_decode_ctl.scala 682:10] + node _T_732 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 683:39] + node _T_733 = and(x_d.i0v, _T_732) @[el2_dec_decode_ctl.scala 683:37] + node _T_734 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 683:68] + node _T_735 = and(_T_733, _T_734) @[el2_dec_decode_ctl.scala 683:66] + x_d_in.i0v <= _T_735 @[el2_dec_decode_ctl.scala 683:22] + node _T_736 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 684:39] + node _T_737 = and(x_d.i0valid, _T_736) @[el2_dec_decode_ctl.scala 684:37] + node _T_738 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 684:68] + node _T_739 = and(_T_737, _T_738) @[el2_dec_decode_ctl.scala 684:66] + x_d_in.i0valid <= _T_739 @[el2_dec_decode_ctl.scala 684:22] + node _T_740 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 686:36] + inst rvclkhdr_7 of rvclkhdr_8 @[el2_lib.scala 495:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[el2_lib.scala 497:18] + rvclkhdr_7.io.en <= _T_740 @[el2_lib.scala 498:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 499:24] + wire _T_741 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_lib.scala 501:33] + _T_741.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 501:33] + _T_741.csrwonly <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.csrwen <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.i0valid <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.i0v <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.i0div <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.i0store <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.i0load <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_741.i0rd <= UInt<5>("h00") @[el2_lib.scala 501:33] + reg _T_742 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_741)) @[el2_lib.scala 501:16] + _T_742.csrwaddr <= x_d_in.csrwaddr @[el2_lib.scala 501:16] + _T_742.csrwonly <= x_d_in.csrwonly @[el2_lib.scala 501:16] + _T_742.csrwen <= x_d_in.csrwen @[el2_lib.scala 501:16] + _T_742.i0valid <= x_d_in.i0valid @[el2_lib.scala 501:16] + _T_742.i0v <= x_d_in.i0v @[el2_lib.scala 501:16] + _T_742.i0div <= x_d_in.i0div @[el2_lib.scala 501:16] + _T_742.i0store <= x_d_in.i0store @[el2_lib.scala 501:16] + _T_742.i0load <= x_d_in.i0load @[el2_lib.scala 501:16] + _T_742.i0rd <= x_d_in.i0rd @[el2_lib.scala 501:16] + r_d.csrwaddr <= _T_742.csrwaddr @[el2_dec_decode_ctl.scala 686:7] + r_d.csrwonly <= _T_742.csrwonly @[el2_dec_decode_ctl.scala 686:7] + r_d.csrwen <= _T_742.csrwen @[el2_dec_decode_ctl.scala 686:7] + r_d.i0valid <= _T_742.i0valid @[el2_dec_decode_ctl.scala 686:7] + r_d.i0v <= _T_742.i0v @[el2_dec_decode_ctl.scala 686:7] + r_d.i0div <= _T_742.i0div @[el2_dec_decode_ctl.scala 686:7] + r_d.i0store <= _T_742.i0store @[el2_dec_decode_ctl.scala 686:7] + r_d.i0load <= _T_742.i0load @[el2_dec_decode_ctl.scala 686:7] + r_d.i0rd <= _T_742.i0rd @[el2_dec_decode_ctl.scala 686:7] + r_d_in.csrwaddr <= r_d.csrwaddr @[el2_dec_decode_ctl.scala 687:10] + r_d_in.csrwonly <= r_d.csrwonly @[el2_dec_decode_ctl.scala 687:10] + r_d_in.csrwen <= r_d.csrwen @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0valid <= r_d.i0valid @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0v <= r_d.i0v @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0div <= r_d.i0div @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0store <= r_d.i0store @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0load <= r_d.i0load @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0rd <= r_d.i0rd @[el2_dec_decode_ctl.scala 687:10] + r_d_in.i0rd <= r_d.i0rd @[el2_dec_decode_ctl.scala 688:17] + node _T_743 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 690:41] + node _T_744 = and(r_d.i0v, _T_743) @[el2_dec_decode_ctl.scala 690:39] + r_d_in.i0v <= _T_744 @[el2_dec_decode_ctl.scala 690:22] + node _T_745 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 691:41] + node _T_746 = and(r_d.i0valid, _T_745) @[el2_dec_decode_ctl.scala 691:39] + r_d_in.i0valid <= _T_746 @[el2_dec_decode_ctl.scala 691:22] + node _T_747 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 692:41] + node _T_748 = and(r_d.i0load, _T_747) @[el2_dec_decode_ctl.scala 692:39] + r_d_in.i0load <= _T_748 @[el2_dec_decode_ctl.scala 692:22] + node _T_749 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 693:41] + node _T_750 = and(r_d.i0store, _T_749) @[el2_dec_decode_ctl.scala 693:39] + r_d_in.i0store <= _T_750 @[el2_dec_decode_ctl.scala 693:22] + node _T_751 = bits(i0_wb_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 695:37] + inst rvclkhdr_8 of rvclkhdr_9 @[el2_lib.scala 495:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[el2_lib.scala 497:18] + rvclkhdr_8.io.en <= _T_751 @[el2_lib.scala 498:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 499:24] + wire _T_752 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>} @[el2_lib.scala 501:33] + _T_752.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 501:33] + _T_752.csrwonly <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.csrwen <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.i0valid <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.i0v <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.i0div <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.i0store <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.i0load <= UInt<1>("h00") @[el2_lib.scala 501:33] + _T_752.i0rd <= UInt<5>("h00") @[el2_lib.scala 501:33] + reg _T_753 : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, i0valid : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_752)) @[el2_lib.scala 501:16] + _T_753.csrwaddr <= r_d_in.csrwaddr @[el2_lib.scala 501:16] + _T_753.csrwonly <= r_d_in.csrwonly @[el2_lib.scala 501:16] + _T_753.csrwen <= r_d_in.csrwen @[el2_lib.scala 501:16] + _T_753.i0valid <= r_d_in.i0valid @[el2_lib.scala 501:16] + _T_753.i0v <= r_d_in.i0v @[el2_lib.scala 501:16] + _T_753.i0div <= r_d_in.i0div @[el2_lib.scala 501:16] + _T_753.i0store <= r_d_in.i0store @[el2_lib.scala 501:16] + _T_753.i0load <= r_d_in.i0load @[el2_lib.scala 501:16] + _T_753.i0rd <= r_d_in.i0rd @[el2_lib.scala 501:16] + wbd.csrwaddr <= _T_753.csrwaddr @[el2_dec_decode_ctl.scala 695:7] + wbd.csrwonly <= _T_753.csrwonly @[el2_dec_decode_ctl.scala 695:7] + wbd.csrwen <= _T_753.csrwen @[el2_dec_decode_ctl.scala 695:7] + wbd.i0valid <= _T_753.i0valid @[el2_dec_decode_ctl.scala 695:7] + wbd.i0v <= _T_753.i0v @[el2_dec_decode_ctl.scala 695:7] + wbd.i0div <= _T_753.i0div @[el2_dec_decode_ctl.scala 695:7] + wbd.i0store <= _T_753.i0store @[el2_dec_decode_ctl.scala 695:7] + wbd.i0load <= _T_753.i0load @[el2_dec_decode_ctl.scala 695:7] + wbd.i0rd <= _T_753.i0rd @[el2_dec_decode_ctl.scala 695:7] + io.dec_i0_waddr_r <= r_d_in.i0rd @[el2_dec_decode_ctl.scala 697:27] + node _T_754 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 698:42] + node _T_755 = and(r_d_in.i0v, _T_754) @[el2_dec_decode_ctl.scala 698:40] + i0_wen_r <= _T_755 @[el2_dec_decode_ctl.scala 698:25] + node _T_756 = eq(r_d_in.i0div, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 699:49] + node _T_757 = and(i0_wen_r, _T_756) @[el2_dec_decode_ctl.scala 699:47] + node _T_758 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 699:65] + node _T_759 = and(_T_757, _T_758) @[el2_dec_decode_ctl.scala 699:63] + io.dec_i0_wen_r <= _T_759 @[el2_dec_decode_ctl.scala 699:32] + io.dec_i0_wdata_r <= i0_result_corr_r @[el2_dec_decode_ctl.scala 700:26] + node _T_760 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 702:57] + inst rvclkhdr_9 of rvclkhdr_10 @[el2_lib.scala 485:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_9.io.en <= _T_760 @[el2_lib.scala 488:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg i0_result_r_raw : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + i0_result_r_raw <= i0_result_x @[el2_lib.scala 491:16] + node _T_761 = and(x_d.i0v, x_d.i0load) @[el2_dec_decode_ctl.scala 708:42] + node _T_762 = bits(_T_761, 0, 0) @[el2_dec_decode_ctl.scala 708:56] + node _T_763 = mux(_T_762, io.lsu_result_m, io.exu_i0_result_x) @[el2_dec_decode_ctl.scala 708:32] + i0_result_x <= _T_763 @[el2_dec_decode_ctl.scala 708:26] + i0_result_r <= i0_result_r_raw @[el2_dec_decode_ctl.scala 709:26] + node _T_764 = and(r_d.i0v, r_d.i0load) @[el2_dec_decode_ctl.scala 713:37] + node _T_765 = bits(_T_764, 0, 0) @[el2_dec_decode_ctl.scala 713:51] + node _T_766 = mux(_T_765, io.lsu_result_corr_r, i0_result_r_raw) @[el2_dec_decode_ctl.scala 713:27] + i0_result_corr_r <= _T_766 @[el2_dec_decode_ctl.scala 713:21] + node _T_767 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 714:54] + node _T_768 = and(io.i0_ap.predict_nt, _T_767) @[el2_dec_decode_ctl.scala 714:52] + node _T_769 = bits(_T_768, 0, 0) @[el2_dec_decode_ctl.scala 714:66] + wire _T_770 : UInt<1>[10] @[el2_lib.scala 161:48] + _T_770[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_770[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_771 = cat(_T_770[0], _T_770[1]) @[Cat.scala 29:58] + node _T_772 = cat(_T_771, _T_770[2]) @[Cat.scala 29:58] + node _T_773 = cat(_T_772, _T_770[3]) @[Cat.scala 29:58] + node _T_774 = cat(_T_773, _T_770[4]) @[Cat.scala 29:58] + node _T_775 = cat(_T_774, _T_770[5]) @[Cat.scala 29:58] + node _T_776 = cat(_T_775, _T_770[6]) @[Cat.scala 29:58] + node _T_777 = cat(_T_776, _T_770[7]) @[Cat.scala 29:58] + node _T_778 = cat(_T_777, _T_770[8]) @[Cat.scala 29:58] + node _T_779 = cat(_T_778, _T_770[9]) @[Cat.scala 29:58] + node _T_780 = cat(_T_779, io.dec_i0_pc4_d) @[Cat.scala 29:58] + node _T_781 = cat(_T_780, i0_ap_pc2) @[Cat.scala 29:58] + node _T_782 = mux(_T_769, i0_br_offset, _T_781) @[el2_dec_decode_ctl.scala 714:30] + io.dec_i0_br_immed_d <= _T_782 @[el2_dec_decode_ctl.scala 714:24] + wire last_br_immed_d : UInt<12> + last_br_immed_d <= UInt<1>("h00") + node _T_783 = bits(io.i0_ap.predict_nt, 0, 0) @[el2_dec_decode_ctl.scala 716:48] + wire _T_784 : UInt<1>[10] @[el2_lib.scala 161:48] + _T_784[0] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[1] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[2] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[3] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[4] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[5] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[6] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[7] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[8] <= UInt<1>("h00") @[el2_lib.scala 161:48] + _T_784[9] <= UInt<1>("h00") @[el2_lib.scala 161:48] + node _T_785 = cat(_T_784[0], _T_784[1]) @[Cat.scala 29:58] + node _T_786 = cat(_T_785, _T_784[2]) @[Cat.scala 29:58] + node _T_787 = cat(_T_786, _T_784[3]) @[Cat.scala 29:58] + node _T_788 = cat(_T_787, _T_784[4]) @[Cat.scala 29:58] + node _T_789 = cat(_T_788, _T_784[5]) @[Cat.scala 29:58] + node _T_790 = cat(_T_789, _T_784[6]) @[Cat.scala 29:58] + node _T_791 = cat(_T_790, _T_784[7]) @[Cat.scala 29:58] + node _T_792 = cat(_T_791, _T_784[8]) @[Cat.scala 29:58] + node _T_793 = cat(_T_792, _T_784[9]) @[Cat.scala 29:58] + node _T_794 = cat(_T_793, io.dec_i0_pc4_d) @[Cat.scala 29:58] + node _T_795 = cat(_T_794, i0_ap_pc2) @[Cat.scala 29:58] + node _T_796 = mux(_T_783, _T_795, i0_br_offset) @[el2_dec_decode_ctl.scala 716:25] + last_br_immed_d <= _T_796 @[el2_dec_decode_ctl.scala 716:19] + wire last_br_immed_x : UInt<12> + last_br_immed_x <= UInt<1>("h00") + node _T_797 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 718:58] + inst rvclkhdr_10 of rvclkhdr_11 @[el2_lib.scala 485:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_10.io.en <= _T_797 @[el2_lib.scala 488:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_798 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_798 <= last_br_immed_d @[el2_lib.scala 491:16] + last_br_immed_x <= _T_798 @[el2_dec_decode_ctl.scala 718:19] + node _T_799 = and(x_d.i0div, x_d.i0valid) @[el2_dec_decode_ctl.scala 722:40] + node _T_800 = and(r_d.i0div, r_d.i0valid) @[el2_dec_decode_ctl.scala 722:68] + node div_e1_to_r = or(_T_799, _T_800) @[el2_dec_decode_ctl.scala 722:55] + node _T_801 = and(x_d.i0div, x_d.i0valid) @[el2_dec_decode_ctl.scala 724:43] + node _T_802 = eq(x_d.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 724:69] + node _T_803 = and(_T_801, _T_802) @[el2_dec_decode_ctl.scala 724:57] + node _T_804 = and(x_d.i0div, x_d.i0valid) @[el2_dec_decode_ctl.scala 725:16] + node _T_805 = and(_T_804, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 725:30] + node _T_806 = or(_T_803, _T_805) @[el2_dec_decode_ctl.scala 724:86] + node _T_807 = and(r_d.i0div, r_d.i0valid) @[el2_dec_decode_ctl.scala 726:16] + node _T_808 = and(_T_807, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 726:30] + node _T_809 = and(_T_808, io.dec_tlu_i0_kill_writeb_r) @[el2_dec_decode_ctl.scala 726:57] + node div_flush = or(_T_806, _T_809) @[el2_dec_decode_ctl.scala 725:59] + node _T_810 = and(io.dec_div_active, div_flush) @[el2_dec_decode_ctl.scala 730:51] + node _T_811 = eq(div_e1_to_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 731:26] + node _T_812 = and(io.dec_div_active, _T_811) @[el2_dec_decode_ctl.scala 731:24] + node _T_813 = eq(r_d.i0rd, io.div_waddr_wb) @[el2_dec_decode_ctl.scala 731:51] + node _T_814 = and(_T_812, _T_813) @[el2_dec_decode_ctl.scala 731:39] + node _T_815 = and(_T_814, i0_wen_r) @[el2_dec_decode_ctl.scala 731:72] + node nonblock_div_cancel = or(_T_810, _T_815) @[el2_dec_decode_ctl.scala 730:65] + node _T_816 = bits(nonblock_div_cancel, 0, 0) @[el2_dec_decode_ctl.scala 733:53] + io.dec_div_cancel <= _T_816 @[el2_dec_decode_ctl.scala 733:29] + node i0_div_decode_d = and(i0_legal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 734:55] + node _T_817 = eq(io.exu_div_wren, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 736:62] + node _T_818 = and(io.dec_div_active, _T_817) @[el2_dec_decode_ctl.scala 736:60] + node _T_819 = eq(nonblock_div_cancel, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 736:81] + node _T_820 = and(_T_818, _T_819) @[el2_dec_decode_ctl.scala 736:79] + node div_active_in = or(i0_div_decode_d, _T_820) @[el2_dec_decode_ctl.scala 736:39] + reg _T_821 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 738:54] + _T_821 <= div_active_in @[el2_dec_decode_ctl.scala 738:54] + io.dec_div_active <= _T_821 @[el2_dec_decode_ctl.scala 738:21] + node _T_822 = and(io.dec_i0_rs1_en_d, io.dec_div_active) @[el2_dec_decode_ctl.scala 741:49] + node _T_823 = eq(io.div_waddr_wb, i0r.rs1) @[el2_dec_decode_ctl.scala 741:88] + node _T_824 = and(_T_822, _T_823) @[el2_dec_decode_ctl.scala 741:69] + node _T_825 = and(io.dec_i0_rs2_en_d, io.dec_div_active) @[el2_dec_decode_ctl.scala 742:25] + node _T_826 = eq(io.div_waddr_wb, i0r.rs2) @[el2_dec_decode_ctl.scala 742:64] + node _T_827 = and(_T_825, _T_826) @[el2_dec_decode_ctl.scala 742:45] + node _T_828 = or(_T_824, _T_827) @[el2_dec_decode_ctl.scala 741:102] + i0_nonblock_div_stall <= _T_828 @[el2_dec_decode_ctl.scala 741:26] + node _T_829 = bits(i0_div_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 744:59] + reg _T_830 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_829 : @[Reg.scala 28:19] + _T_830 <= i0r.rd @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + io.div_waddr_wb <= _T_830 @[el2_dec_decode_ctl.scala 744:19] + node _T_831 = bits(i0_inst_d, 24, 7) @[el2_dec_decode_ctl.scala 751:34] + node _T_832 = bits(i0_div_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 751:57] + inst rvclkhdr_11 of rvclkhdr_12 @[el2_lib.scala 485:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_11.io.en <= _T_832 @[el2_lib.scala 488:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg div_inst : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + div_inst <= _T_831 @[el2_lib.scala 491:16] + node _T_833 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 752:49] + inst rvclkhdr_12 of rvclkhdr_13 @[el2_lib.scala 485:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_12.io.en <= _T_833 @[el2_lib.scala 488:17] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg i0_inst_x : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + i0_inst_x <= i0_inst_d @[el2_lib.scala 491:16] + node _T_834 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 753:49] + inst rvclkhdr_13 of rvclkhdr_14 @[el2_lib.scala 485:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_13.io.en <= _T_834 @[el2_lib.scala 488:17] + rvclkhdr_13.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg i0_inst_r : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + i0_inst_r <= i0_inst_x @[el2_lib.scala 491:16] + node _T_835 = bits(i0_wb_data_en, 0, 0) @[el2_dec_decode_ctl.scala 755:50] + inst rvclkhdr_14 of rvclkhdr_15 @[el2_lib.scala 485:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_14.io.en <= _T_835 @[el2_lib.scala 488:17] + rvclkhdr_14.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg i0_inst_wb : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + i0_inst_wb <= i0_inst_r @[el2_lib.scala 491:16] + node _T_836 = bits(i0_wb1_data_en, 0, 0) @[el2_dec_decode_ctl.scala 756:53] + inst rvclkhdr_15 of rvclkhdr_16 @[el2_lib.scala 485:23] + rvclkhdr_15.clock <= clock + rvclkhdr_15.reset <= reset + rvclkhdr_15.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_15.io.en <= _T_836 @[el2_lib.scala 488:17] + rvclkhdr_15.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_837 : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_837 <= i0_inst_wb @[el2_lib.scala 491:16] + io.dec_i0_inst_wb1 <= _T_837 @[el2_dec_decode_ctl.scala 756:22] + node _T_838 = bits(i0_wb_data_en, 0, 0) @[el2_dec_decode_ctl.scala 757:53] + inst rvclkhdr_16 of rvclkhdr_17 @[el2_lib.scala 485:23] + rvclkhdr_16.clock <= clock + rvclkhdr_16.reset <= reset + rvclkhdr_16.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_16.io.en <= _T_838 @[el2_lib.scala 488:17] + rvclkhdr_16.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg i0_pc_wb : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + i0_pc_wb <= io.dec_tlu_i0_pc_r @[el2_lib.scala 491:16] + node _T_839 = bits(i0_wb1_data_en, 0, 0) @[el2_dec_decode_ctl.scala 759:49] + inst rvclkhdr_17 of rvclkhdr_18 @[el2_lib.scala 485:23] + rvclkhdr_17.clock <= clock + rvclkhdr_17.reset <= reset + rvclkhdr_17.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_17.io.en <= _T_839 @[el2_lib.scala 488:17] + rvclkhdr_17.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_840 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_840 <= i0_pc_wb @[el2_lib.scala 491:16] + io.dec_i0_pc_wb1 <= _T_840 @[el2_dec_decode_ctl.scala 759:20] + node _T_841 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 760:56] + inst rvclkhdr_18 of rvclkhdr_19 @[el2_lib.scala 485:23] + rvclkhdr_18.clock <= clock + rvclkhdr_18.reset <= reset + rvclkhdr_18.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_18.io.en <= _T_841 @[el2_lib.scala 488:17] + rvclkhdr_18.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg dec_i0_pc_r : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + dec_i0_pc_r <= io.exu_i0_pc_x @[el2_lib.scala 491:16] + io.dec_tlu_i0_pc_r <= dec_i0_pc_r @[el2_dec_decode_ctl.scala 762:27] + node _T_842 = cat(io.exu_i0_pc_x, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_843 = cat(last_br_immed_x, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_844 = bits(_T_842, 12, 1) @[el2_lib.scala 206:24] + node _T_845 = bits(_T_843, 12, 1) @[el2_lib.scala 206:40] + node _T_846 = add(_T_844, _T_845) @[el2_lib.scala 206:31] + node _T_847 = bits(_T_842, 31, 13) @[el2_lib.scala 207:20] + node _T_848 = add(_T_847, UInt<1>("h01")) @[el2_lib.scala 207:27] + node _T_849 = tail(_T_848, 1) @[el2_lib.scala 207:27] + node _T_850 = bits(_T_842, 31, 13) @[el2_lib.scala 208:20] + node _T_851 = sub(_T_850, UInt<1>("h01")) @[el2_lib.scala 208:27] + node _T_852 = tail(_T_851, 1) @[el2_lib.scala 208:27] + node _T_853 = bits(_T_843, 12, 12) @[el2_lib.scala 209:22] + node _T_854 = bits(_T_846, 12, 12) @[el2_lib.scala 210:39] + node _T_855 = eq(_T_854, UInt<1>("h00")) @[el2_lib.scala 210:28] + node _T_856 = xor(_T_853, _T_855) @[el2_lib.scala 210:26] + node _T_857 = bits(_T_856, 0, 0) @[el2_lib.scala 210:64] + node _T_858 = bits(_T_842, 31, 13) @[el2_lib.scala 210:76] + node _T_859 = eq(_T_853, UInt<1>("h00")) @[el2_lib.scala 211:20] + node _T_860 = bits(_T_846, 12, 12) @[el2_lib.scala 211:39] + node _T_861 = and(_T_859, _T_860) @[el2_lib.scala 211:26] + node _T_862 = bits(_T_861, 0, 0) @[el2_lib.scala 211:64] + node _T_863 = bits(_T_846, 12, 12) @[el2_lib.scala 212:39] + node _T_864 = eq(_T_863, UInt<1>("h00")) @[el2_lib.scala 212:28] + node _T_865 = and(_T_853, _T_864) @[el2_lib.scala 212:26] + node _T_866 = bits(_T_865, 0, 0) @[el2_lib.scala 212:64] + node _T_867 = mux(_T_857, _T_858, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_868 = mux(_T_862, _T_849, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_869 = mux(_T_866, _T_852, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_870 = or(_T_867, _T_868) @[Mux.scala 27:72] + node _T_871 = or(_T_870, _T_869) @[Mux.scala 27:72] + wire _T_872 : UInt<19> @[Mux.scala 27:72] + _T_872 <= _T_871 @[Mux.scala 27:72] + node _T_873 = bits(_T_846, 11, 0) @[el2_lib.scala 212:94] + node _T_874 = cat(_T_872, _T_873) @[Cat.scala 29:58] + node temp_pred_correct_npc_x = cat(_T_874, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_875 = bits(temp_pred_correct_npc_x, 31, 1) @[el2_dec_decode_ctl.scala 767:51] + io.pred_correct_npc_x <= _T_875 @[el2_dec_decode_ctl.scala 767:25] + node _T_876 = and(io.dec_i0_rs1_en_d, x_d.i0v) @[el2_dec_decode_ctl.scala 771:48] + node _T_877 = eq(x_d.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 771:70] + node i0_rs1_depend_i0_x = and(_T_876, _T_877) @[el2_dec_decode_ctl.scala 771:58] + node _T_878 = and(io.dec_i0_rs1_en_d, r_d.i0v) @[el2_dec_decode_ctl.scala 772:48] + node _T_879 = eq(r_d.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 772:70] + node i0_rs1_depend_i0_r = and(_T_878, _T_879) @[el2_dec_decode_ctl.scala 772:58] + node _T_880 = and(io.dec_i0_rs2_en_d, x_d.i0v) @[el2_dec_decode_ctl.scala 774:48] + node _T_881 = eq(x_d.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 774:70] + node i0_rs2_depend_i0_x = and(_T_880, _T_881) @[el2_dec_decode_ctl.scala 774:58] + node _T_882 = and(io.dec_i0_rs2_en_d, r_d.i0v) @[el2_dec_decode_ctl.scala 775:48] + node _T_883 = eq(r_d.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 775:70] + node i0_rs2_depend_i0_r = and(_T_882, _T_883) @[el2_dec_decode_ctl.scala 775:58] + node _T_884 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 777:44] + node _T_885 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 777:81] + wire _T_886 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 777:109] + _T_886.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 777:109] + _T_886.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 777:109] + _T_886.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 777:109] + node _T_887 = mux(_T_885, i0_r_c, _T_886) @[el2_dec_decode_ctl.scala 777:61] + node _T_888 = mux(_T_884, i0_x_c, _T_887) @[el2_dec_decode_ctl.scala 777:24] + i0_rs1_class_d.alu <= _T_888.alu @[el2_dec_decode_ctl.scala 777:18] + i0_rs1_class_d.load <= _T_888.load @[el2_dec_decode_ctl.scala 777:18] + i0_rs1_class_d.mul <= _T_888.mul @[el2_dec_decode_ctl.scala 777:18] + node _T_889 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 778:44] + node _T_890 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 778:83] + node _T_891 = mux(_T_890, UInt<2>("h02"), UInt<1>("h00")) @[el2_dec_decode_ctl.scala 778:63] + node _T_892 = mux(_T_889, UInt<2>("h01"), _T_891) @[el2_dec_decode_ctl.scala 778:24] + i0_rs1_depth_d <= _T_892 @[el2_dec_decode_ctl.scala 778:18] + node _T_893 = bits(i0_rs2_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 779:44] + node _T_894 = bits(i0_rs2_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 779:81] + wire _T_895 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 779:109] + _T_895.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 779:109] + _T_895.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 779:109] + _T_895.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 779:109] + node _T_896 = mux(_T_894, i0_r_c, _T_895) @[el2_dec_decode_ctl.scala 779:61] + node _T_897 = mux(_T_893, i0_x_c, _T_896) @[el2_dec_decode_ctl.scala 779:24] + i0_rs2_class_d.alu <= _T_897.alu @[el2_dec_decode_ctl.scala 779:18] + i0_rs2_class_d.load <= _T_897.load @[el2_dec_decode_ctl.scala 779:18] + i0_rs2_class_d.mul <= _T_897.mul @[el2_dec_decode_ctl.scala 779:18] + node _T_898 = bits(i0_rs2_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 780:44] + node _T_899 = bits(i0_rs2_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 780:83] + node _T_900 = mux(_T_899, UInt<2>("h02"), UInt<1>("h00")) @[el2_dec_decode_ctl.scala 780:63] + node _T_901 = mux(_T_898, UInt<2>("h01"), _T_900) @[el2_dec_decode_ctl.scala 780:24] + i0_rs2_depth_d <= _T_901 @[el2_dec_decode_ctl.scala 780:18] + i0_load_block_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 790:21] + node _T_902 = or(i0_dp.load, i0_dp.store) @[el2_dec_decode_ctl.scala 791:43] + node _T_903 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 791:74] + node _T_904 = and(_T_902, _T_903) @[el2_dec_decode_ctl.scala 791:58] + node _T_905 = and(_T_904, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 791:78] + load_ldst_bypass_d <= _T_905 @[el2_dec_decode_ctl.scala 791:27] + node _T_906 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 792:59] + node _T_907 = and(i0_dp.store, _T_906) @[el2_dec_decode_ctl.scala 792:43] + node _T_908 = and(_T_907, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 792:63] + store_data_bypass_d <= _T_908 @[el2_dec_decode_ctl.scala 792:25] + store_data_bypass_m <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 793:25] + node _T_909 = and(io.dec_i0_rs1_en_d, io.dec_nonblock_load_wen) @[el2_dec_decode_ctl.scala 797:62] + node _T_910 = eq(io.dec_nonblock_load_waddr, i0r.rs1) @[el2_dec_decode_ctl.scala 797:119] + node i0_rs1_nonblock_load_bypass_en_d = and(_T_909, _T_910) @[el2_dec_decode_ctl.scala 797:89] + node _T_911 = and(io.dec_i0_rs2_en_d, io.dec_nonblock_load_wen) @[el2_dec_decode_ctl.scala 799:62] + node _T_912 = eq(io.dec_nonblock_load_waddr, i0r.rs2) @[el2_dec_decode_ctl.scala 799:119] + node i0_rs2_nonblock_load_bypass_en_d = and(_T_911, _T_912) @[el2_dec_decode_ctl.scala 799:89] + node _T_913 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 802:41] + node _T_914 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[el2_dec_decode_ctl.scala 802:66] + node _T_915 = and(_T_913, _T_914) @[el2_dec_decode_ctl.scala 802:45] + node _T_916 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 802:104] + node _T_917 = and(_T_916, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 802:108] + node _T_918 = bits(i0_rs1_depth_d, 1, 1) @[el2_dec_decode_ctl.scala 802:149] + node _T_919 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[el2_dec_decode_ctl.scala 802:175] + node _T_920 = or(_T_919, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 802:196] + node _T_921 = and(_T_918, _T_920) @[el2_dec_decode_ctl.scala 802:153] + node _T_922 = cat(_T_915, _T_917) @[Cat.scala 29:58] + node _T_923 = cat(_T_922, _T_921) @[Cat.scala 29:58] + i0_rs1bypass <= _T_923 @[el2_dec_decode_ctl.scala 802:18] + node _T_924 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 804:41] + node _T_925 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[el2_dec_decode_ctl.scala 804:67] + node _T_926 = and(_T_924, _T_925) @[el2_dec_decode_ctl.scala 804:45] + node _T_927 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 804:105] + node _T_928 = and(_T_927, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 804:109] + node _T_929 = bits(i0_rs2_depth_d, 1, 1) @[el2_dec_decode_ctl.scala 804:149] + node _T_930 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[el2_dec_decode_ctl.scala 804:175] + node _T_931 = or(_T_930, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 804:196] + node _T_932 = and(_T_929, _T_931) @[el2_dec_decode_ctl.scala 804:153] + node _T_933 = cat(_T_926, _T_928) @[Cat.scala 29:58] + node _T_934 = cat(_T_933, _T_932) @[Cat.scala 29:58] + i0_rs2bypass <= _T_934 @[el2_dec_decode_ctl.scala 804:18] + node _T_935 = bits(i0_rs1bypass, 2, 2) @[el2_dec_decode_ctl.scala 806:54] + node _T_936 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 806:71] + node _T_937 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 806:89] + node _T_938 = or(_T_936, _T_937) @[el2_dec_decode_ctl.scala 806:75] + node _T_939 = bits(i0_rs1bypass, 2, 2) @[el2_dec_decode_ctl.scala 806:109] + node _T_940 = eq(_T_939, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 806:96] + node _T_941 = and(_T_940, i0_rs1_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 806:113] + node _T_942 = or(_T_938, _T_941) @[el2_dec_decode_ctl.scala 806:93] + node _T_943 = cat(_T_935, _T_942) @[Cat.scala 29:58] + io.dec_i0_rs1_bypass_en_d <= _T_943 @[el2_dec_decode_ctl.scala 806:34] + node _T_944 = bits(i0_rs2bypass, 2, 2) @[el2_dec_decode_ctl.scala 807:54] + node _T_945 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 807:71] + node _T_946 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 807:89] + node _T_947 = or(_T_945, _T_946) @[el2_dec_decode_ctl.scala 807:75] + node _T_948 = bits(i0_rs2bypass, 2, 2) @[el2_dec_decode_ctl.scala 807:109] + node _T_949 = eq(_T_948, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 807:96] + node _T_950 = and(_T_949, i0_rs2_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 807:113] + node _T_951 = or(_T_947, _T_950) @[el2_dec_decode_ctl.scala 807:93] + node _T_952 = cat(_T_944, _T_951) @[Cat.scala 29:58] + io.dec_i0_rs2_bypass_en_d <= _T_952 @[el2_dec_decode_ctl.scala 807:34] + node _T_953 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 810:17] + node _T_954 = bits(_T_953, 0, 0) @[el2_dec_decode_ctl.scala 810:21] + node _T_955 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 811:17] + node _T_956 = bits(_T_955, 0, 0) @[el2_dec_decode_ctl.scala 811:21] + node _T_957 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 812:19] + node _T_958 = eq(_T_957, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 812:6] + node _T_959 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 812:38] + node _T_960 = eq(_T_959, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 812:25] + node _T_961 = and(_T_958, _T_960) @[el2_dec_decode_ctl.scala 812:23] + node _T_962 = and(_T_961, i0_rs1_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 812:42] + node _T_963 = bits(_T_962, 0, 0) @[el2_dec_decode_ctl.scala 812:78] + node _T_964 = mux(_T_954, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_965 = mux(_T_956, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_966 = mux(_T_963, io.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_967 = or(_T_964, _T_965) @[Mux.scala 27:72] + node _T_968 = or(_T_967, _T_966) @[Mux.scala 27:72] + wire _T_969 : UInt<32> @[Mux.scala 27:72] + _T_969 <= _T_968 @[Mux.scala 27:72] + io.dec_i0_rs1_bypass_data_d <= _T_969 @[el2_dec_decode_ctl.scala 809:31] + node _T_970 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 815:17] + node _T_971 = bits(_T_970, 0, 0) @[el2_dec_decode_ctl.scala 815:21] + node _T_972 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 816:17] + node _T_973 = bits(_T_972, 0, 0) @[el2_dec_decode_ctl.scala 816:21] + node _T_974 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 817:19] + node _T_975 = eq(_T_974, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 817:6] + node _T_976 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 817:38] + node _T_977 = eq(_T_976, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 817:25] + node _T_978 = and(_T_975, _T_977) @[el2_dec_decode_ctl.scala 817:23] + node _T_979 = and(_T_978, i0_rs2_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 817:42] + node _T_980 = bits(_T_979, 0, 0) @[el2_dec_decode_ctl.scala 817:78] + node _T_981 = mux(_T_971, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_982 = mux(_T_973, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_983 = mux(_T_980, io.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_984 = or(_T_981, _T_982) @[Mux.scala 27:72] + node _T_985 = or(_T_984, _T_983) @[Mux.scala 27:72] + wire _T_986 : UInt<32> @[Mux.scala 27:72] + _T_986 <= _T_985 @[Mux.scala 27:72] + io.dec_i0_rs2_bypass_data_d <= _T_986 @[el2_dec_decode_ctl.scala 814:31] + node _T_987 = or(i0_dp_raw.load, i0_dp_raw.store) @[el2_dec_decode_ctl.scala 819:68] + node _T_988 = and(io.dec_ib0_valid_d, _T_987) @[el2_dec_decode_ctl.scala 819:50] + node _T_989 = eq(io.dma_dccm_stall_any, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 819:89] + node _T_990 = and(_T_988, _T_989) @[el2_dec_decode_ctl.scala 819:87] + node _T_991 = eq(i0_block_raw_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 819:114] + node _T_992 = and(_T_990, _T_991) @[el2_dec_decode_ctl.scala 819:112] + node _T_993 = or(_T_992, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 819:131] + io.dec_lsu_valid_raw_d <= _T_993 @[el2_dec_decode_ctl.scala 819:26] + node _T_994 = eq(io.dec_extint_stall, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 821:6] + node _T_995 = and(_T_994, i0_dp.lsu) @[el2_dec_decode_ctl.scala 821:27] + node _T_996 = and(_T_995, i0_dp.load) @[el2_dec_decode_ctl.scala 821:39] + node _T_997 = bits(_T_996, 0, 0) @[el2_dec_decode_ctl.scala 821:53] + node _T_998 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 821:70] + node _T_999 = eq(io.dec_extint_stall, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 822:6] + node _T_1000 = and(_T_999, i0_dp.lsu) @[el2_dec_decode_ctl.scala 822:27] + node _T_1001 = and(_T_1000, i0_dp.store) @[el2_dec_decode_ctl.scala 822:39] + node _T_1002 = bits(_T_1001, 0, 0) @[el2_dec_decode_ctl.scala 822:54] + node _T_1003 = bits(io.dec_i0_instr_d, 31, 25) @[el2_dec_decode_ctl.scala 822:74] + node _T_1004 = bits(io.dec_i0_instr_d, 11, 7) @[el2_dec_decode_ctl.scala 822:84] + node _T_1005 = cat(_T_1003, _T_1004) @[Cat.scala 29:58] + node _T_1006 = mux(_T_997, _T_998, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1007 = mux(_T_1002, _T_1005, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1008 = or(_T_1006, _T_1007) @[Mux.scala 27:72] + wire _T_1009 : UInt<12> @[Mux.scala 27:72] + _T_1009 <= _T_1008 @[Mux.scala 27:72] + io.dec_lsu_offset_d <= _T_1009 @[el2_dec_decode_ctl.scala 820:23] + diff --git a/el2_dec_decode_ctl.v b/el2_dec_decode_ctl.v index 926f89bf..4569b81d 100644 --- a/el2_dec_decode_ctl.v +++ b/el2_dec_decode_ctl.v @@ -1,43 +1,29 @@ -module TEC_RV_ICG( - ( - input logic SE, EN, CK, - output Q - ); - logic en_ff; - logic enable; - assign enable = EN | SE; - always @(CK, enable) begin - if(!CK) - en_ff = enable; - end - assign Q = CK & en_ff; -endmodule module rvclkhdr( output io_l1clk, input io_clk, input io_en, input io_scan_mode ); - wire clkhdr_Q; // @[beh_lib.scala 330:24] - wire clkhdr_CK; // @[beh_lib.scala 330:24] - wire clkhdr_EN; // @[beh_lib.scala 330:24] - wire clkhdr_SE; // @[beh_lib.scala 330:24] - TEC_RV_ICG clkhdr ( // @[beh_lib.scala 330:24] + wire clkhdr_Q; // @[el2_lib.scala 465:26] + wire clkhdr_CK; // @[el2_lib.scala 465:26] + wire clkhdr_EN; // @[el2_lib.scala 465:26] + wire clkhdr_SE; // @[el2_lib.scala 465:26] + TEC_RV_ICG clkhdr ( // @[el2_lib.scala 465:26] .Q(clkhdr_Q), .CK(clkhdr_CK), .EN(clkhdr_EN), .SE(clkhdr_SE) ); - assign io_l1clk = clkhdr_Q; // @[beh_lib.scala 331:12] - assign clkhdr_CK = io_clk; // @[beh_lib.scala 332:16] - assign clkhdr_EN = io_en; // @[beh_lib.scala 333:16] - assign clkhdr_SE = io_scan_mode; // @[beh_lib.scala 334:16] + assign io_l1clk = clkhdr_Q; // @[el2_lib.scala 466:14] + assign clkhdr_CK = io_clk; // @[el2_lib.scala 467:18] + assign clkhdr_EN = io_en; // @[el2_lib.scala 468:18] + assign clkhdr_SE = io_scan_mode; // @[el2_lib.scala 469:18] endmodule module el2_dec_dec_ctl( input [31:0] io_ins, output io_out_alu, - output io_out_rs2, output io_out_rs1, + output io_out_rs2, output io_out_imm12, output io_out_rd, output io_out_shimm5, @@ -86,655 +72,654 @@ module el2_dec_dec_ctl( output io_out_pm_alu, output io_out_legal ); - wire _T_2 = io_ins[2] | io_ins[6]; // @[el2_dec_dec_ctl.scala 20:27] - wire _T_4 = ~io_ins[25]; // @[el2_dec_dec_ctl.scala 20:42] - wire _T_6 = _T_4 & io_ins[4]; // @[el2_dec_dec_ctl.scala 20:53] - wire _T_7 = _T_2 | _T_6; // @[el2_dec_dec_ctl.scala 20:39] - wire _T_9 = ~io_ins[5]; // @[el2_dec_dec_ctl.scala 20:68] - wire _T_11 = _T_9 & io_ins[4]; // @[el2_dec_dec_ctl.scala 20:78] - wire _T_14 = ~io_ins[14]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_16 = ~io_ins[13]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_18 = ~io_ins[2]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_19 = _T_14 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_20 = _T_19 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_26 = _T_16 & io_ins[11]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_27 = _T_26 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_28 = _T_20 | _T_27; // @[el2_dec_dec_ctl.scala 21:43] - wire _T_33 = io_ins[19] & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_34 = _T_33 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_35 = _T_28 | _T_34; // @[el2_dec_dec_ctl.scala 21:70] - wire _T_41 = _T_16 & io_ins[10]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_42 = _T_41 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_43 = _T_35 | _T_42; // @[el2_dec_dec_ctl.scala 22:29] - wire _T_48 = io_ins[18] & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_49 = _T_48 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_50 = _T_43 | _T_49; // @[el2_dec_dec_ctl.scala 22:56] - wire _T_56 = _T_16 & io_ins[9]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_57 = _T_56 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_58 = _T_50 | _T_57; // @[el2_dec_dec_ctl.scala 23:29] - wire _T_63 = io_ins[17] & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_64 = _T_63 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_65 = _T_58 | _T_64; // @[el2_dec_dec_ctl.scala 23:55] - wire _T_71 = _T_16 & io_ins[8]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_72 = _T_71 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_73 = _T_65 | _T_72; // @[el2_dec_dec_ctl.scala 24:29] - wire _T_78 = io_ins[16] & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_79 = _T_78 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_80 = _T_73 | _T_79; // @[el2_dec_dec_ctl.scala 24:55] - wire _T_86 = _T_16 & io_ins[7]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_87 = _T_86 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_88 = _T_80 | _T_87; // @[el2_dec_dec_ctl.scala 25:29] - wire _T_93 = io_ins[15] & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_94 = _T_93 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_95 = _T_88 | _T_94; // @[el2_dec_dec_ctl.scala 25:55] - wire _T_97 = ~io_ins[4]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_99 = ~io_ins[3]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_100 = _T_97 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_101 = _T_95 | _T_100; // @[el2_dec_dec_ctl.scala 26:29] - wire _T_103 = ~io_ins[6]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_106 = _T_103 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_113 = io_ins[5] & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_114 = _T_113 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_120 = _T_103 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_121 = _T_120 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_129 = _T_100 & io_ins[2]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_136 = io_ins[13] & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_137 = _T_136 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_138 = _T_137 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_139 = _T_129 | _T_138; // @[el2_dec_dec_ctl.scala 28:42] - wire _T_143 = ~io_ins[12]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_146 = _T_16 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_147 = _T_146 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_148 = _T_147 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_149 = _T_139 | _T_148; // @[el2_dec_dec_ctl.scala 28:70] - wire _T_157 = _T_143 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_158 = _T_157 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_159 = _T_158 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_165 = _T_9 & _T_18; // @[el2_dec_dec_ctl.scala 30:28] - wire _T_168 = io_ins[5] & io_ins[2]; // @[el2_dec_dec_ctl.scala 30:55] - wire _T_169 = _T_165 | _T_168; // @[el2_dec_dec_ctl.scala 30:42] - wire _T_180 = _T_16 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_181 = _T_180 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_182 = _T_181 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_186 = io_ins[5] & io_ins[3]; // @[el2_dec_dec_ctl.scala 32:29] - wire _T_189 = io_ins[4] & io_ins[2]; // @[el2_dec_dec_ctl.scala 32:53] - wire _T_195 = _T_9 & _T_99; // @[el2_dec_dec_ctl.scala 33:28] - wire _T_197 = _T_195 & io_ins[2]; // @[el2_dec_dec_ctl.scala 33:41] - wire _T_208 = _T_9 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_223 = _T_103 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_235 = _T_19 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_236 = _T_235 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_237 = _T_236 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_245 = _T_237 | _T_197; // @[el2_dec_dec_ctl.scala 37:49] - wire _T_247 = ~io_ins[30]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_261 = _T_247 & _T_4; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_262 = _T_261 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_263 = _T_262 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_264 = _T_263 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_265 = _T_264 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_266 = _T_265 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_267 = _T_266 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_278 = io_ins[30] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_279 = _T_278 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_280 = _T_279 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_281 = _T_280 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_282 = _T_281 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_293 = _T_4 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_294 = _T_293 & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_295 = _T_294 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_296 = _T_295 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_297 = _T_296 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_298 = _T_282 | _T_297; // @[el2_dec_dec_ctl.scala 39:49] - wire _T_307 = _T_14 & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_308 = _T_307 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_309 = _T_308 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_310 = _T_309 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_311 = _T_298 | _T_310; // @[el2_dec_dec_ctl.scala 39:85] - wire _T_317 = io_ins[6] & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_318 = _T_317 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_327 = io_ins[14] & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_328 = _T_327 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_329 = _T_328 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_330 = _T_329 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_340 = _T_4 & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_341 = _T_340 & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_342 = _T_341 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_343 = _T_342 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_344 = _T_343 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_349 = _T_103 & io_ins[3]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_362 = _T_341 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_363 = _T_362 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_364 = _T_363 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_365 = _T_349 | _T_364; // @[el2_dec_dec_ctl.scala 42:37] - wire _T_369 = io_ins[5] & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_370 = _T_369 & io_ins[2]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_371 = _T_365 | _T_370; // @[el2_dec_dec_ctl.scala 42:74] - wire _T_381 = _T_371 | _T_148; // @[el2_dec_dec_ctl.scala 43:26] - wire _T_391 = _T_327 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_392 = _T_391 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_393 = _T_392 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_406 = _T_340 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_407 = _T_406 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_408 = _T_407 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_409 = _T_408 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_420 = io_ins[14] & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_421 = _T_420 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_422 = _T_421 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_423 = _T_422 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_424 = _T_423 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_439 = _T_293 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_440 = _T_439 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_441 = _T_440 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_442 = _T_441 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_453 = io_ins[30] & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_454 = _T_453 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_455 = _T_454 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_456 = _T_455 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_472 = _T_261 & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_473 = _T_472 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_474 = _T_473 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_475 = _T_474 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_476 = _T_475 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_515 = _T_307 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_516 = _T_515 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_517 = _T_516 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_524 = io_ins[13] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_525 = _T_524 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_526 = _T_525 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_527 = _T_517 | _T_526; // @[el2_dec_dec_ctl.scala 50:51] - wire _T_533 = io_ins[14] & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_534 = _T_533 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_535 = _T_527 | _T_534; // @[el2_dec_dec_ctl.scala 50:79] - wire _T_548 = _T_294 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_549 = _T_548 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_550 = _T_549 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_551 = _T_535 | _T_550; // @[el2_dec_dec_ctl.scala 51:29] - wire _T_560 = io_ins[25] & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_561 = _T_560 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_562 = _T_561 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_563 = _T_562 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_564 = _T_563 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_582 = _T_14 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_583 = _T_582 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_584 = _T_583 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_594 = _T_14 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_595 = _T_594 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_596 = _T_595 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_605 = io_ins[14] & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_606 = _T_605 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_607 = _T_606 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_617 = io_ins[14] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_618 = _T_617 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_619 = _T_618 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_635 = _T_146 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_636 = _T_635 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_645 = io_ins[12] & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_646 = _T_645 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_653 = io_ins[13] & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_659 = _T_524 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_663 = io_ins[7] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_664 = _T_663 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_665 = _T_659 | _T_664; // @[el2_dec_dec_ctl.scala 62:44] - wire _T_669 = io_ins[8] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_670 = _T_669 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_671 = _T_665 | _T_670; // @[el2_dec_dec_ctl.scala 62:67] - wire _T_675 = io_ins[9] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_676 = _T_675 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_677 = _T_671 | _T_676; // @[el2_dec_dec_ctl.scala 63:26] - wire _T_681 = io_ins[10] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_682 = _T_681 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_683 = _T_677 | _T_682; // @[el2_dec_dec_ctl.scala 63:49] - wire _T_687 = io_ins[11] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_688 = _T_687 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_696 = _T_93 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_697 = _T_696 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_698 = _T_697 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_705 = _T_78 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_706 = _T_705 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_707 = _T_706 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_708 = _T_698 | _T_707; // @[el2_dec_dec_ctl.scala 65:49] - wire _T_715 = _T_63 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_716 = _T_715 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_717 = _T_716 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_718 = _T_708 | _T_717; // @[el2_dec_dec_ctl.scala 65:79] - wire _T_724 = io_ins[18] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_725 = _T_724 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_726 = _T_725 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_727 = _T_718 | _T_726; // @[el2_dec_dec_ctl.scala 66:33] - wire _T_733 = io_ins[19] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_734 = _T_733 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_735 = _T_734 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_743 = _T_180 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_751 = _T_420 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_752 = _T_751 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_757 = io_ins[15] & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_758 = _T_757 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_759 = _T_758 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_760 = _T_752 | _T_759; // @[el2_dec_dec_ctl.scala 69:47] - wire _T_765 = io_ins[16] & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_766 = _T_765 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_767 = _T_766 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_768 = _T_760 | _T_767; // @[el2_dec_dec_ctl.scala 69:74] - wire _T_773 = io_ins[17] & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_774 = _T_773 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_775 = _T_774 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_776 = _T_768 | _T_775; // @[el2_dec_dec_ctl.scala 70:30] - wire _T_781 = io_ins[18] & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_782 = _T_781 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_783 = _T_782 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_784 = _T_776 | _T_783; // @[el2_dec_dec_ctl.scala 70:57] - wire _T_789 = io_ins[19] & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_790 = _T_789 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_791 = _T_790 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_798 = io_ins[15] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_799 = _T_798 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_800 = _T_799 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_806 = io_ins[16] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_807 = _T_806 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_808 = _T_807 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_809 = _T_800 | _T_808; // @[el2_dec_dec_ctl.scala 72:47] - wire _T_815 = io_ins[17] & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_816 = _T_815 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_817 = _T_816 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_818 = _T_809 | _T_817; // @[el2_dec_dec_ctl.scala 72:75] - wire _T_827 = _T_818 | _T_726; // @[el2_dec_dec_ctl.scala 73:31] - wire _T_838 = ~io_ins[22]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_846 = _T_838 & io_ins[20]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_847 = _T_846 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_848 = _T_847 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_849 = _T_848 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_852 = ~io_ins[21]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_854 = ~io_ins[20]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_861 = _T_852 & _T_854; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_862 = _T_861 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_863 = _T_862 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_864 = _T_863 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_873 = io_ins[29] & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_874 = _T_873 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_875 = _T_874 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_886 = io_ins[25] & _T_14; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_887 = _T_886 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_888 = _T_887 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_889 = _T_888 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_904 = _T_886 & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_905 = _T_904 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_906 = _T_905 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_907 = _T_906 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_908 = _T_907 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_909 = _T_908 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_922 = _T_886 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_923 = _T_922 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_924 = _T_923 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_925 = _T_924 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_926 = _T_925 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_958 = _T_922 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_959 = _T_958 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_960 = _T_959 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_970 = _T_560 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_971 = _T_970 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_982 = _T_560 & io_ins[13]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_983 = _T_982 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_984 = _T_983 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_989 = _T_9 & io_ins[3]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_994 = io_ins[12] & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_995 = _T_994 & io_ins[3]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1003 = io_ins[28] & io_ins[22]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1004 = _T_1003 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1005 = _T_1004 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1006 = _T_1005 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1010 = _T_1006 | _T_189; // @[el2_dec_dec_ctl.scala 87:51] - wire _T_1016 = _T_4 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1017 = _T_1016 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1018 = _T_1010 | _T_1017; // @[el2_dec_dec_ctl.scala 87:72] - wire _T_1034 = _T_86 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1035 = _T_1034 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1036 = _T_989 | _T_1035; // @[el2_dec_dec_ctl.scala 89:41] - wire _T_1043 = _T_71 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1044 = _T_1043 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1045 = _T_1036 | _T_1044; // @[el2_dec_dec_ctl.scala 89:68] - wire _T_1052 = _T_56 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1053 = _T_1052 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1054 = _T_1045 | _T_1053; // @[el2_dec_dec_ctl.scala 90:30] - wire _T_1061 = _T_41 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1062 = _T_1061 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1063 = _T_1054 | _T_1062; // @[el2_dec_dec_ctl.scala 90:57] - wire _T_1070 = _T_26 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1071 = _T_1070 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1072 = _T_1063 | _T_1071; // @[el2_dec_dec_ctl.scala 91:31] - wire _T_1078 = _T_93 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1079 = _T_1078 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1080 = _T_1072 | _T_1079; // @[el2_dec_dec_ctl.scala 91:59] - wire _T_1086 = _T_78 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1087 = _T_1086 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1088 = _T_1080 | _T_1087; // @[el2_dec_dec_ctl.scala 92:30] - wire _T_1094 = _T_63 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1095 = _T_1094 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1096 = _T_1088 | _T_1095; // @[el2_dec_dec_ctl.scala 92:57] - wire _T_1102 = _T_48 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1103 = _T_1102 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1104 = _T_1096 | _T_1103; // @[el2_dec_dec_ctl.scala 93:30] - wire _T_1110 = _T_33 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1111 = _T_1110 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1127 = _T_838 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1128 = _T_1127 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1129 = _T_1128 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1130 = _T_1129 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1131 = _T_995 | _T_1130; // @[el2_dec_dec_ctl.scala 95:45] - wire _T_1140 = _T_1131 | _T_1035; // @[el2_dec_dec_ctl.scala 95:78] - wire _T_1149 = _T_1140 | _T_1044; // @[el2_dec_dec_ctl.scala 96:30] - wire _T_1158 = _T_1149 | _T_1053; // @[el2_dec_dec_ctl.scala 96:57] - wire _T_1167 = _T_1158 | _T_1062; // @[el2_dec_dec_ctl.scala 97:30] - wire _T_1176 = _T_1167 | _T_1071; // @[el2_dec_dec_ctl.scala 97:58] - wire _T_1184 = _T_1176 | _T_1079; // @[el2_dec_dec_ctl.scala 98:31] - wire _T_1192 = _T_1184 | _T_1087; // @[el2_dec_dec_ctl.scala 98:58] - wire _T_1200 = _T_1192 | _T_1095; // @[el2_dec_dec_ctl.scala 99:30] - wire _T_1208 = _T_1200 | _T_1103; // @[el2_dec_dec_ctl.scala 99:57] - wire _T_1218 = ~io_ins[31]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1224 = ~io_ins[27]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1226 = ~io_ins[26]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1230 = ~io_ins[24]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1232 = ~io_ins[23]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1239 = ~io_ins[19]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1241 = ~io_ins[18]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1243 = ~io_ins[17]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1245 = ~io_ins[16]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1247 = ~io_ins[15]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1251 = ~io_ins[11]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1253 = ~io_ins[10]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1255 = ~io_ins[9]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1257 = ~io_ins[8]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1259 = ~io_ins[7]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1269 = _T_1218 & _T_247; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1270 = _T_1269 & io_ins[29]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1271 = _T_1270 & io_ins[28]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1272 = _T_1271 & _T_1224; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1273 = _T_1272 & _T_1226; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1274 = _T_1273 & _T_4; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1275 = _T_1274 & _T_1230; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1276 = _T_1275 & _T_1232; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1277 = _T_1276 & _T_838; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1278 = _T_1277 & io_ins[21]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1279 = _T_1278 & _T_854; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1280 = _T_1279 & _T_1239; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1281 = _T_1280 & _T_1241; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1282 = _T_1281 & _T_1243; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1283 = _T_1282 & _T_1245; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1284 = _T_1283 & _T_1247; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1285 = _T_1284 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1286 = _T_1285 & _T_1251; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1287 = _T_1286 & _T_1253; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1288 = _T_1287 & _T_1255; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1289 = _T_1288 & _T_1257; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1290 = _T_1289 & _T_1259; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1291 = _T_1290 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1292 = _T_1291 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1293 = _T_1292 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1294 = _T_1293 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1295 = _T_1294 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1296 = _T_1295 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1297 = _T_1296 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1303 = ~io_ins[29]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1351 = _T_1269 & _T_1303; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1352 = _T_1351 & io_ins[28]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1353 = _T_1352 & _T_1224; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1354 = _T_1353 & _T_1226; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1355 = _T_1354 & _T_4; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1356 = _T_1355 & _T_1230; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1357 = _T_1356 & _T_1232; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1358 = _T_1357 & io_ins[22]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1359 = _T_1358 & _T_852; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1360 = _T_1359 & io_ins[20]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1361 = _T_1360 & _T_1239; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1362 = _T_1361 & _T_1241; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1363 = _T_1362 & _T_1243; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1364 = _T_1363 & _T_1245; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1365 = _T_1364 & _T_1247; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1366 = _T_1365 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1367 = _T_1366 & _T_1251; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1368 = _T_1367 & _T_1253; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1369 = _T_1368 & _T_1255; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1370 = _T_1369 & _T_1257; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1371 = _T_1370 & _T_1259; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1372 = _T_1371 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1373 = _T_1372 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1374 = _T_1373 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1375 = _T_1374 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1376 = _T_1375 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1377 = _T_1376 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1378 = _T_1377 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1379 = _T_1297 | _T_1378; // @[el2_dec_dec_ctl.scala 101:136] - wire _T_1387 = ~io_ins[28]; // @[el2_dec_dec_ctl.scala 15:46] - wire _T_1434 = _T_1351 & _T_1387; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1435 = _T_1434 & _T_1224; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1436 = _T_1435 & _T_1226; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1437 = _T_1436 & _T_4; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1438 = _T_1437 & _T_1230; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1439 = _T_1438 & _T_1232; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1440 = _T_1439 & _T_838; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1441 = _T_1440 & _T_852; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1442 = _T_1441 & _T_1239; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1443 = _T_1442 & _T_1241; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1444 = _T_1443 & _T_1243; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1445 = _T_1444 & _T_1245; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1446 = _T_1445 & _T_1247; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1447 = _T_1446 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1448 = _T_1447 & _T_1251; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1449 = _T_1448 & _T_1253; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1450 = _T_1449 & _T_1255; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1451 = _T_1450 & _T_1257; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1452 = _T_1451 & _T_1259; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1453 = _T_1452 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1454 = _T_1453 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1455 = _T_1454 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1456 = _T_1455 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1457 = _T_1456 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1458 = _T_1457 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1459 = _T_1379 | _T_1458; // @[el2_dec_dec_ctl.scala 102:122] - wire _T_1487 = _T_1437 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1488 = _T_1487 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1489 = _T_1488 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1490 = _T_1489 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1491 = _T_1490 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1492 = _T_1459 | _T_1491; // @[el2_dec_dec_ctl.scala 103:119] - wire _T_1519 = _T_1218 & _T_1303; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1520 = _T_1519 & _T_1387; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1521 = _T_1520 & _T_1224; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1522 = _T_1521 & _T_1226; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1523 = _T_1522 & _T_4; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1524 = _T_1523 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1525 = _T_1524 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1526 = _T_1525 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1527 = _T_1526 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1528 = _T_1527 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1529 = _T_1528 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1530 = _T_1529 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1531 = _T_1530 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1532 = _T_1492 | _T_1531; // @[el2_dec_dec_ctl.scala 104:60] - wire _T_1561 = _T_1523 & io_ins[14]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1562 = _T_1561 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1563 = _T_1562 & io_ins[12]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1564 = _T_1563 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1565 = _T_1564 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1566 = _T_1565 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1567 = _T_1566 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1568 = _T_1567 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1569 = _T_1532 | _T_1568; // @[el2_dec_dec_ctl.scala 105:69] - wire _T_1595 = _T_1436 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1596 = _T_1595 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1597 = _T_1596 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1598 = _T_1597 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1599 = _T_1598 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1600 = _T_1599 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1601 = _T_1569 | _T_1600; // @[el2_dec_dec_ctl.scala 106:66] - wire _T_1618 = _T_235 & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1619 = _T_1618 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1620 = _T_1619 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1621 = _T_1620 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1622 = _T_1621 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1623 = _T_1622 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1624 = _T_1601 | _T_1623; // @[el2_dec_dec_ctl.scala 107:58] - wire _T_1636 = io_ins[14] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1637 = _T_1636 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1638 = _T_1637 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1639 = _T_1638 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1640 = _T_1639 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1641 = _T_1640 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1642 = _T_1641 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1643 = _T_1624 | _T_1642; // @[el2_dec_dec_ctl.scala 108:46] - wire _T_1655 = _T_143 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1656 = _T_1655 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1657 = _T_1656 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1658 = _T_1657 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1659 = _T_1658 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1660 = _T_1659 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1661 = _T_1643 | _T_1660; // @[el2_dec_dec_ctl.scala 109:40] - wire _T_1676 = _T_19 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1677 = _T_1676 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1678 = _T_1677 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1679 = _T_1678 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1680 = _T_1679 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1681 = _T_1680 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1682 = _T_1661 | _T_1681; // @[el2_dec_dec_ctl.scala 110:39] - wire _T_1693 = io_ins[12] & io_ins[6]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1694 = _T_1693 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1695 = _T_1694 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1696 = _T_1695 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1697 = _T_1696 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1698 = _T_1697 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1699 = _T_1698 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1700 = _T_1682 | _T_1699; // @[el2_dec_dec_ctl.scala 111:43] - wire _T_1769 = _T_1441 & _T_854; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1770 = _T_1769 & _T_1239; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1771 = _T_1770 & _T_1241; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1772 = _T_1771 & _T_1243; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1773 = _T_1772 & _T_1245; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1774 = _T_1773 & _T_1247; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1775 = _T_1774 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1776 = _T_1775 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1777 = _T_1776 & _T_1251; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1778 = _T_1777 & _T_1253; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1779 = _T_1778 & _T_1255; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1780 = _T_1779 & _T_1257; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1781 = _T_1780 & _T_1259; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1782 = _T_1781 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1783 = _T_1782 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1784 = _T_1783 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1785 = _T_1784 & io_ins[3]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1786 = _T_1785 & io_ins[2]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1787 = _T_1786 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1788 = _T_1787 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1789 = _T_1700 | _T_1788; // @[el2_dec_dec_ctl.scala 112:39] - wire _T_1837 = _T_1434 & _T_1239; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1838 = _T_1837 & _T_1241; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1839 = _T_1838 & _T_1243; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1840 = _T_1839 & _T_1245; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1841 = _T_1840 & _T_1247; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1842 = _T_1841 & _T_14; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1843 = _T_1842 & _T_16; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1844 = _T_1843 & _T_143; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1845 = _T_1844 & _T_1251; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1846 = _T_1845 & _T_1253; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1847 = _T_1846 & _T_1255; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1848 = _T_1847 & _T_1257; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1849 = _T_1848 & _T_1259; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1850 = _T_1849 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1851 = _T_1850 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1852 = _T_1851 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1853 = _T_1852 & io_ins[3]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1854 = _T_1853 & io_ins[2]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1855 = _T_1854 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1856 = _T_1855 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1857 = _T_1789 | _T_1856; // @[el2_dec_dec_ctl.scala 113:130] - wire _T_1869 = _T_524 & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1870 = _T_1869 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1871 = _T_1870 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1872 = _T_1871 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1873 = _T_1872 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1874 = _T_1873 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1875 = _T_1857 | _T_1874; // @[el2_dec_dec_ctl.scala 114:102] - wire _T_1890 = _T_16 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1891 = _T_1890 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1892 = _T_1891 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1893 = _T_1892 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1894 = _T_1893 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1895 = _T_1894 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1896 = _T_1895 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1897 = _T_1875 | _T_1896; // @[el2_dec_dec_ctl.scala 115:39] - wire _T_1906 = io_ins[6] & io_ins[5]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1907 = _T_1906 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1908 = _T_1907 & io_ins[3]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1909 = _T_1908 & io_ins[2]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1910 = _T_1909 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1911 = _T_1910 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1912 = _T_1897 | _T_1911; // @[el2_dec_dec_ctl.scala 116:43] - wire _T_1924 = _T_653 & _T_9; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1925 = _T_1924 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1926 = _T_1925 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1927 = _T_1926 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1928 = _T_1927 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1929 = _T_1912 | _T_1928; // @[el2_dec_dec_ctl.scala 117:35] - wire _T_1945 = _T_582 & _T_103; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1946 = _T_1945 & _T_97; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1947 = _T_1946 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1948 = _T_1947 & _T_18; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1949 = _T_1948 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1950 = _T_1949 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1951 = _T_1929 | _T_1950; // @[el2_dec_dec_ctl.scala 118:38] - wire _T_1960 = _T_103 & io_ins[4]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1961 = _T_1960 & _T_99; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1962 = _T_1961 & io_ins[2]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1963 = _T_1962 & io_ins[1]; // @[el2_dec_dec_ctl.scala 17:17] - wire _T_1964 = _T_1963 & io_ins[0]; // @[el2_dec_dec_ctl.scala 17:17] - assign io_out_alu = _T_7 | _T_11; // @[el2_dec_dec_ctl.scala 20:14] - assign io_out_rs2 = _T_114 | _T_121; // @[el2_dec_dec_ctl.scala 27:14] - assign io_out_rs1 = _T_101 | _T_106; // @[el2_dec_dec_ctl.scala 21:14] - assign io_out_imm12 = _T_149 | _T_159; // @[el2_dec_dec_ctl.scala 28:16] - assign io_out_rd = _T_169 | io_ins[4]; // @[el2_dec_dec_ctl.scala 30:13] - assign io_out_shimm5 = _T_182 & _T_18; // @[el2_dec_dec_ctl.scala 31:17] - assign io_out_imm20 = _T_186 | _T_189; // @[el2_dec_dec_ctl.scala 32:16] - assign io_out_pc = _T_197 | _T_186; // @[el2_dec_dec_ctl.scala 33:13] - assign io_out_load = _T_208 & _T_18; // @[el2_dec_dec_ctl.scala 34:15] - assign io_out_store = _T_120 & _T_97; // @[el2_dec_dec_ctl.scala 35:16] - assign io_out_lsu = _T_223 & _T_18; // @[el2_dec_dec_ctl.scala 36:14] - assign io_out_add = _T_245 | _T_267; // @[el2_dec_dec_ctl.scala 37:14] - assign io_out_sub = _T_311 | _T_318; // @[el2_dec_dec_ctl.scala 39:14] - assign io_out_land = _T_330 | _T_344; // @[el2_dec_dec_ctl.scala 41:15] - assign io_out_lor = _T_381 | _T_393; // @[el2_dec_dec_ctl.scala 42:14] - assign io_out_lxor = _T_409 | _T_424; // @[el2_dec_dec_ctl.scala 45:15] - assign io_out_sll = _T_442 & _T_18; // @[el2_dec_dec_ctl.scala 46:14] - assign io_out_sra = _T_456 & _T_18; // @[el2_dec_dec_ctl.scala 47:14] - assign io_out_srl = _T_476 & _T_18; // @[el2_dec_dec_ctl.scala 48:14] - assign io_out_slt = _T_297 | _T_310; // @[el2_dec_dec_ctl.scala 49:14] - assign io_out_unsign = _T_551 | _T_564; // @[el2_dec_dec_ctl.scala 50:17] - assign io_out_condbr = _T_317 & _T_18; // @[el2_dec_dec_ctl.scala 53:17] - assign io_out_beq = _T_584 & _T_18; // @[el2_dec_dec_ctl.scala 54:14] - assign io_out_bne = _T_596 & _T_18; // @[el2_dec_dec_ctl.scala 55:14] - assign io_out_bge = _T_607 & _T_18; // @[el2_dec_dec_ctl.scala 56:14] - assign io_out_blt = _T_619 & _T_18; // @[el2_dec_dec_ctl.scala 57:14] - assign io_out_jal = io_ins[6] & io_ins[2]; // @[el2_dec_dec_ctl.scala 58:14] - assign io_out_by = _T_636 & _T_18; // @[el2_dec_dec_ctl.scala 59:13] - assign io_out_half = _T_646 & _T_18; // @[el2_dec_dec_ctl.scala 60:15] - assign io_out_word = _T_653 & _T_97; // @[el2_dec_dec_ctl.scala 61:15] - assign io_out_csr_read = _T_683 | _T_688; // @[el2_dec_dec_ctl.scala 62:19] - assign io_out_csr_clr = _T_727 | _T_735; // @[el2_dec_dec_ctl.scala 65:18] - assign io_out_csr_set = _T_827 | _T_735; // @[el2_dec_dec_ctl.scala 72:18] - assign io_out_csr_write = _T_743 & io_ins[4]; // @[el2_dec_dec_ctl.scala 68:20] - assign io_out_csr_imm = _T_784 | _T_791; // @[el2_dec_dec_ctl.scala 69:18] - assign io_out_presync = _T_1104 | _T_1111; // @[el2_dec_dec_ctl.scala 89:18] - assign io_out_postsync = _T_1208 | _T_1111; // @[el2_dec_dec_ctl.scala 95:19] - assign io_out_ebreak = _T_849 & io_ins[4]; // @[el2_dec_dec_ctl.scala 75:17] - assign io_out_ecall = _T_864 & io_ins[4]; // @[el2_dec_dec_ctl.scala 76:16] - assign io_out_mret = _T_875 & io_ins[4]; // @[el2_dec_dec_ctl.scala 77:15] - assign io_out_mul = _T_889 & _T_18; // @[el2_dec_dec_ctl.scala 78:14] - assign io_out_rs1_sign = _T_909 | _T_926; // @[el2_dec_dec_ctl.scala 79:19] - assign io_out_rs2_sign = _T_925 & _T_18; // @[el2_dec_dec_ctl.scala 81:19] - assign io_out_low = _T_960 & _T_18; // @[el2_dec_dec_ctl.scala 82:14] - assign io_out_div = _T_971 & _T_18; // @[el2_dec_dec_ctl.scala 83:14] - assign io_out_rem = _T_984 & _T_18; // @[el2_dec_dec_ctl.scala 84:14] - assign io_out_fence = _T_9 & io_ins[3]; // @[el2_dec_dec_ctl.scala 85:16] - assign io_out_fence_i = _T_994 & io_ins[3]; // @[el2_dec_dec_ctl.scala 86:18] - assign io_out_pm_alu = _T_1018 | _T_11; // @[el2_dec_dec_ctl.scala 87:17] - assign io_out_legal = _T_1951 | _T_1964; // @[el2_dec_dec_ctl.scala 101:16] + wire _T_2 = io_ins[2] | io_ins[6]; // @[el2_dec_dec_ctl.scala 72:27] + wire _T_4 = ~io_ins[25]; // @[el2_dec_dec_ctl.scala 72:42] + wire _T_6 = _T_4 & io_ins[4]; // @[el2_dec_dec_ctl.scala 72:53] + wire _T_7 = _T_2 | _T_6; // @[el2_dec_dec_ctl.scala 72:39] + wire _T_9 = ~io_ins[5]; // @[el2_dec_dec_ctl.scala 72:68] + wire _T_11 = _T_9 & io_ins[4]; // @[el2_dec_dec_ctl.scala 72:78] + wire _T_14 = ~io_ins[14]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_16 = ~io_ins[13]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_18 = ~io_ins[2]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_19 = _T_14 & _T_16; // @[el2_dec_dec_ctl.scala 73:51] + wire _T_20 = _T_19 & _T_18; // @[el2_dec_dec_ctl.scala 73:51] + wire _T_26 = _T_16 & io_ins[11]; // @[el2_dec_dec_ctl.scala 73:90] + wire _T_27 = _T_26 & _T_18; // @[el2_dec_dec_ctl.scala 73:90] + wire _T_28 = _T_20 | _T_27; // @[el2_dec_dec_ctl.scala 73:55] + wire _T_33 = io_ins[19] & io_ins[13]; // @[el2_dec_dec_ctl.scala 74:37] + wire _T_34 = _T_33 & _T_18; // @[el2_dec_dec_ctl.scala 74:37] + wire _T_35 = _T_28 | _T_34; // @[el2_dec_dec_ctl.scala 73:94] + wire _T_41 = _T_16 & io_ins[10]; // @[el2_dec_dec_ctl.scala 74:76] + wire _T_42 = _T_41 & _T_18; // @[el2_dec_dec_ctl.scala 74:76] + wire _T_43 = _T_35 | _T_42; // @[el2_dec_dec_ctl.scala 74:41] + wire _T_45 = ~io_ins[18]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_49 = _T_45 & io_ins[13]; // @[el2_dec_dec_ctl.scala 75:38] + wire _T_50 = _T_49 & _T_18; // @[el2_dec_dec_ctl.scala 75:38] + wire _T_51 = _T_43 | _T_50; // @[el2_dec_dec_ctl.scala 74:80] + wire _T_57 = _T_16 & io_ins[9]; // @[el2_dec_dec_ctl.scala 75:76] + wire _T_58 = _T_57 & _T_18; // @[el2_dec_dec_ctl.scala 75:76] + wire _T_59 = _T_51 | _T_58; // @[el2_dec_dec_ctl.scala 75:42] + wire _T_64 = io_ins[17] & io_ins[13]; // @[el2_dec_dec_ctl.scala 76:37] + wire _T_65 = _T_64 & _T_18; // @[el2_dec_dec_ctl.scala 76:37] + wire _T_66 = _T_59 | _T_65; // @[el2_dec_dec_ctl.scala 75:80] + wire _T_72 = _T_16 & io_ins[8]; // @[el2_dec_dec_ctl.scala 76:75] + wire _T_73 = _T_72 & _T_18; // @[el2_dec_dec_ctl.scala 76:75] + wire _T_74 = _T_66 | _T_73; // @[el2_dec_dec_ctl.scala 76:41] + wire _T_79 = io_ins[16] & io_ins[13]; // @[el2_dec_dec_ctl.scala 77:37] + wire _T_80 = _T_79 & _T_18; // @[el2_dec_dec_ctl.scala 77:37] + wire _T_81 = _T_74 | _T_80; // @[el2_dec_dec_ctl.scala 76:79] + wire _T_87 = _T_16 & io_ins[7]; // @[el2_dec_dec_ctl.scala 77:75] + wire _T_88 = _T_87 & _T_18; // @[el2_dec_dec_ctl.scala 77:75] + wire _T_89 = _T_81 | _T_88; // @[el2_dec_dec_ctl.scala 77:41] + wire _T_94 = io_ins[15] & io_ins[13]; // @[el2_dec_dec_ctl.scala 78:37] + wire _T_95 = _T_94 & _T_18; // @[el2_dec_dec_ctl.scala 78:37] + wire _T_96 = _T_89 | _T_95; // @[el2_dec_dec_ctl.scala 77:79] + wire _T_98 = ~io_ins[4]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_100 = ~io_ins[3]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_101 = _T_98 & _T_100; // @[el2_dec_dec_ctl.scala 78:71] + wire _T_102 = _T_96 | _T_101; // @[el2_dec_dec_ctl.scala 78:41] + wire _T_104 = ~io_ins[6]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_107 = _T_104 & _T_18; // @[el2_dec_dec_ctl.scala 78:106] + wire _T_114 = io_ins[5] & _T_98; // @[el2_dec_dec_ctl.scala 79:48] + wire _T_115 = _T_114 & _T_18; // @[el2_dec_dec_ctl.scala 79:48] + wire _T_121 = _T_104 & io_ins[5]; // @[el2_dec_dec_ctl.scala 79:85] + wire _T_122 = _T_121 & _T_18; // @[el2_dec_dec_ctl.scala 79:85] + wire _T_130 = _T_101 & io_ins[2]; // @[el2_dec_dec_ctl.scala 80:50] + wire _T_137 = io_ins[13] & _T_9; // @[el2_dec_dec_ctl.scala 80:90] + wire _T_138 = _T_137 & io_ins[4]; // @[el2_dec_dec_ctl.scala 80:90] + wire _T_139 = _T_138 & _T_18; // @[el2_dec_dec_ctl.scala 80:90] + wire _T_140 = _T_130 | _T_139; // @[el2_dec_dec_ctl.scala 80:54] + wire _T_144 = ~io_ins[12]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_147 = _T_16 & _T_144; // @[el2_dec_dec_ctl.scala 81:40] + wire _T_148 = _T_147 & io_ins[6]; // @[el2_dec_dec_ctl.scala 81:40] + wire _T_149 = _T_148 & io_ins[4]; // @[el2_dec_dec_ctl.scala 81:40] + wire _T_150 = _T_140 | _T_149; // @[el2_dec_dec_ctl.scala 80:94] + wire _T_158 = _T_144 & _T_9; // @[el2_dec_dec_ctl.scala 81:81] + wire _T_159 = _T_158 & io_ins[4]; // @[el2_dec_dec_ctl.scala 81:81] + wire _T_160 = _T_159 & _T_18; // @[el2_dec_dec_ctl.scala 81:81] + wire _T_166 = _T_9 & _T_18; // @[el2_dec_dec_ctl.scala 82:28] + wire _T_169 = io_ins[5] & io_ins[2]; // @[el2_dec_dec_ctl.scala 82:55] + wire _T_170 = _T_166 | _T_169; // @[el2_dec_dec_ctl.scala 82:42] + wire _T_181 = _T_16 & io_ins[12]; // @[el2_dec_dec_ctl.scala 83:58] + wire _T_182 = _T_181 & _T_9; // @[el2_dec_dec_ctl.scala 83:58] + wire _T_183 = _T_182 & io_ins[4]; // @[el2_dec_dec_ctl.scala 83:58] + wire _T_187 = io_ins[5] & io_ins[3]; // @[el2_dec_dec_ctl.scala 84:29] + wire _T_190 = io_ins[4] & io_ins[2]; // @[el2_dec_dec_ctl.scala 84:53] + wire _T_196 = _T_9 & _T_100; // @[el2_dec_dec_ctl.scala 85:28] + wire _T_198 = _T_196 & io_ins[2]; // @[el2_dec_dec_ctl.scala 85:41] + wire _T_209 = _T_9 & _T_98; // @[el2_dec_dec_ctl.scala 86:50] + wire _T_224 = _T_104 & _T_98; // @[el2_dec_dec_ctl.scala 88:49] + wire _T_236 = _T_19 & _T_144; // @[el2_dec_dec_ctl.scala 89:57] + wire _T_237 = _T_236 & _T_9; // @[el2_dec_dec_ctl.scala 89:57] + wire _T_238 = _T_237 & io_ins[4]; // @[el2_dec_dec_ctl.scala 89:57] + wire _T_246 = _T_238 | _T_198; // @[el2_dec_dec_ctl.scala 89:61] + wire _T_248 = ~io_ins[30]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_262 = _T_248 & _T_4; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_263 = _T_262 & _T_14; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_264 = _T_263 & _T_16; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_265 = _T_264 & _T_144; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_266 = _T_265 & _T_104; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_267 = _T_266 & io_ins[4]; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_268 = _T_267 & _T_18; // @[el2_dec_dec_ctl.scala 90:56] + wire _T_279 = io_ins[30] & _T_144; // @[el2_dec_dec_ctl.scala 91:57] + wire _T_280 = _T_279 & _T_104; // @[el2_dec_dec_ctl.scala 91:57] + wire _T_281 = _T_280 & io_ins[5]; // @[el2_dec_dec_ctl.scala 91:57] + wire _T_282 = _T_281 & io_ins[4]; // @[el2_dec_dec_ctl.scala 91:57] + wire _T_283 = _T_282 & _T_18; // @[el2_dec_dec_ctl.scala 91:57] + wire _T_294 = _T_4 & _T_14; // @[el2_dec_dec_ctl.scala 91:105] + wire _T_295 = _T_294 & io_ins[13]; // @[el2_dec_dec_ctl.scala 91:105] + wire _T_296 = _T_295 & _T_104; // @[el2_dec_dec_ctl.scala 91:105] + wire _T_297 = _T_296 & io_ins[4]; // @[el2_dec_dec_ctl.scala 91:105] + wire _T_298 = _T_297 & _T_18; // @[el2_dec_dec_ctl.scala 91:105] + wire _T_299 = _T_283 | _T_298; // @[el2_dec_dec_ctl.scala 91:61] + wire _T_308 = _T_14 & io_ins[13]; // @[el2_dec_dec_ctl.scala 92:43] + wire _T_309 = _T_308 & _T_9; // @[el2_dec_dec_ctl.scala 92:43] + wire _T_310 = _T_309 & io_ins[4]; // @[el2_dec_dec_ctl.scala 92:43] + wire _T_311 = _T_310 & _T_18; // @[el2_dec_dec_ctl.scala 92:43] + wire _T_312 = _T_299 | _T_311; // @[el2_dec_dec_ctl.scala 91:109] + wire _T_318 = io_ins[6] & _T_98; // @[el2_dec_dec_ctl.scala 92:80] + wire _T_319 = _T_318 & _T_18; // @[el2_dec_dec_ctl.scala 92:80] + wire _T_328 = io_ins[14] & io_ins[13]; // @[el2_dec_dec_ctl.scala 93:56] + wire _T_329 = _T_328 & io_ins[12]; // @[el2_dec_dec_ctl.scala 93:56] + wire _T_330 = _T_329 & _T_9; // @[el2_dec_dec_ctl.scala 93:56] + wire _T_331 = _T_330 & _T_18; // @[el2_dec_dec_ctl.scala 93:56] + wire _T_341 = _T_4 & io_ins[14]; // @[el2_dec_dec_ctl.scala 93:104] + wire _T_342 = _T_341 & io_ins[13]; // @[el2_dec_dec_ctl.scala 93:104] + wire _T_343 = _T_342 & io_ins[12]; // @[el2_dec_dec_ctl.scala 93:104] + wire _T_344 = _T_343 & _T_104; // @[el2_dec_dec_ctl.scala 93:104] + wire _T_345 = _T_344 & _T_18; // @[el2_dec_dec_ctl.scala 93:104] + wire _T_350 = _T_104 & io_ins[3]; // @[el2_dec_dec_ctl.scala 94:45] + wire _T_363 = _T_342 & _T_144; // @[el2_dec_dec_ctl.scala 94:94] + wire _T_364 = _T_363 & _T_104; // @[el2_dec_dec_ctl.scala 94:94] + wire _T_365 = _T_364 & _T_18; // @[el2_dec_dec_ctl.scala 94:94] + wire _T_366 = _T_350 | _T_365; // @[el2_dec_dec_ctl.scala 94:49] + wire _T_370 = io_ins[5] & io_ins[4]; // @[el2_dec_dec_ctl.scala 95:34] + wire _T_371 = _T_370 & io_ins[2]; // @[el2_dec_dec_ctl.scala 95:34] + wire _T_372 = _T_366 | _T_371; // @[el2_dec_dec_ctl.scala 94:98] + wire _T_382 = _T_372 | _T_149; // @[el2_dec_dec_ctl.scala 95:38] + wire _T_392 = _T_328 & _T_144; // @[el2_dec_dec_ctl.scala 96:44] + wire _T_393 = _T_392 & _T_9; // @[el2_dec_dec_ctl.scala 96:44] + wire _T_394 = _T_393 & _T_18; // @[el2_dec_dec_ctl.scala 96:44] + wire _T_407 = _T_341 & _T_16; // @[el2_dec_dec_ctl.scala 97:61] + wire _T_408 = _T_407 & _T_144; // @[el2_dec_dec_ctl.scala 97:61] + wire _T_409 = _T_408 & io_ins[4]; // @[el2_dec_dec_ctl.scala 97:61] + wire _T_410 = _T_409 & _T_18; // @[el2_dec_dec_ctl.scala 97:61] + wire _T_421 = io_ins[14] & _T_16; // @[el2_dec_dec_ctl.scala 97:109] + wire _T_422 = _T_421 & _T_144; // @[el2_dec_dec_ctl.scala 97:109] + wire _T_423 = _T_422 & _T_9; // @[el2_dec_dec_ctl.scala 97:109] + wire _T_424 = _T_423 & io_ins[4]; // @[el2_dec_dec_ctl.scala 97:109] + wire _T_425 = _T_424 & _T_18; // @[el2_dec_dec_ctl.scala 97:109] + wire _T_440 = _T_294 & _T_16; // @[el2_dec_dec_ctl.scala 98:63] + wire _T_441 = _T_440 & io_ins[12]; // @[el2_dec_dec_ctl.scala 98:63] + wire _T_442 = _T_441 & _T_104; // @[el2_dec_dec_ctl.scala 98:63] + wire _T_443 = _T_442 & io_ins[4]; // @[el2_dec_dec_ctl.scala 98:63] + wire _T_454 = io_ins[30] & _T_16; // @[el2_dec_dec_ctl.scala 99:58] + wire _T_455 = _T_454 & io_ins[12]; // @[el2_dec_dec_ctl.scala 99:58] + wire _T_456 = _T_455 & _T_104; // @[el2_dec_dec_ctl.scala 99:58] + wire _T_457 = _T_456 & io_ins[4]; // @[el2_dec_dec_ctl.scala 99:58] + wire _T_473 = _T_262 & io_ins[14]; // @[el2_dec_dec_ctl.scala 100:66] + wire _T_474 = _T_473 & _T_16; // @[el2_dec_dec_ctl.scala 100:66] + wire _T_475 = _T_474 & io_ins[12]; // @[el2_dec_dec_ctl.scala 100:66] + wire _T_476 = _T_475 & _T_104; // @[el2_dec_dec_ctl.scala 100:66] + wire _T_477 = _T_476 & io_ins[4]; // @[el2_dec_dec_ctl.scala 100:66] + wire _T_492 = _T_295 & io_ins[12]; // @[el2_dec_dec_ctl.scala 101:62] + wire _T_493 = _T_492 & _T_104; // @[el2_dec_dec_ctl.scala 101:62] + wire _T_494 = _T_493 & io_ins[4]; // @[el2_dec_dec_ctl.scala 101:62] + wire _T_495 = _T_494 & _T_18; // @[el2_dec_dec_ctl.scala 101:62] + wire _T_518 = _T_308 & io_ins[12]; // @[el2_dec_dec_ctl.scala 102:59] + wire _T_519 = _T_518 & _T_9; // @[el2_dec_dec_ctl.scala 102:59] + wire _T_520 = _T_519 & _T_18; // @[el2_dec_dec_ctl.scala 102:59] + wire _T_527 = io_ins[13] & io_ins[6]; // @[el2_dec_dec_ctl.scala 102:99] + wire _T_528 = _T_527 & _T_98; // @[el2_dec_dec_ctl.scala 102:99] + wire _T_529 = _T_528 & _T_18; // @[el2_dec_dec_ctl.scala 102:99] + wire _T_530 = _T_520 | _T_529; // @[el2_dec_dec_ctl.scala 102:63] + wire _T_536 = io_ins[14] & _T_9; // @[el2_dec_dec_ctl.scala 103:37] + wire _T_537 = _T_536 & _T_98; // @[el2_dec_dec_ctl.scala 103:37] + wire _T_538 = _T_530 | _T_537; // @[el2_dec_dec_ctl.scala 102:103] + wire _T_553 = _T_493 & _T_18; // @[el2_dec_dec_ctl.scala 103:86] + wire _T_554 = _T_538 | _T_553; // @[el2_dec_dec_ctl.scala 103:41] + wire _T_563 = io_ins[25] & io_ins[14]; // @[el2_dec_dec_ctl.scala 104:45] + wire _T_564 = _T_563 & io_ins[12]; // @[el2_dec_dec_ctl.scala 104:45] + wire _T_565 = _T_564 & _T_104; // @[el2_dec_dec_ctl.scala 104:45] + wire _T_566 = _T_565 & io_ins[5]; // @[el2_dec_dec_ctl.scala 104:45] + wire _T_567 = _T_566 & _T_18; // @[el2_dec_dec_ctl.scala 104:45] + wire _T_585 = _T_14 & _T_144; // @[el2_dec_dec_ctl.scala 106:56] + wire _T_586 = _T_585 & io_ins[6]; // @[el2_dec_dec_ctl.scala 106:56] + wire _T_587 = _T_586 & _T_98; // @[el2_dec_dec_ctl.scala 106:56] + wire _T_597 = _T_14 & io_ins[12]; // @[el2_dec_dec_ctl.scala 107:55] + wire _T_598 = _T_597 & io_ins[6]; // @[el2_dec_dec_ctl.scala 107:55] + wire _T_599 = _T_598 & _T_98; // @[el2_dec_dec_ctl.scala 107:55] + wire _T_608 = io_ins[14] & io_ins[12]; // @[el2_dec_dec_ctl.scala 108:54] + wire _T_609 = _T_608 & io_ins[5]; // @[el2_dec_dec_ctl.scala 108:54] + wire _T_610 = _T_609 & _T_98; // @[el2_dec_dec_ctl.scala 108:54] + wire _T_620 = io_ins[14] & _T_144; // @[el2_dec_dec_ctl.scala 109:55] + wire _T_621 = _T_620 & io_ins[5]; // @[el2_dec_dec_ctl.scala 109:55] + wire _T_622 = _T_621 & _T_98; // @[el2_dec_dec_ctl.scala 109:55] + wire _T_638 = _T_147 & _T_104; // @[el2_dec_dec_ctl.scala 111:56] + wire _T_639 = _T_638 & _T_98; // @[el2_dec_dec_ctl.scala 111:56] + wire _T_648 = io_ins[12] & _T_104; // @[el2_dec_dec_ctl.scala 112:53] + wire _T_649 = _T_648 & _T_98; // @[el2_dec_dec_ctl.scala 112:53] + wire _T_656 = io_ins[13] & _T_104; // @[el2_dec_dec_ctl.scala 113:50] + wire _T_662 = _T_527 & io_ins[4]; // @[el2_dec_dec_ctl.scala 114:52] + wire _T_666 = io_ins[7] & io_ins[6]; // @[el2_dec_dec_ctl.scala 114:87] + wire _T_667 = _T_666 & io_ins[4]; // @[el2_dec_dec_ctl.scala 114:87] + wire _T_668 = _T_662 | _T_667; // @[el2_dec_dec_ctl.scala 114:56] + wire _T_672 = io_ins[8] & io_ins[6]; // @[el2_dec_dec_ctl.scala 115:34] + wire _T_673 = _T_672 & io_ins[4]; // @[el2_dec_dec_ctl.scala 115:34] + wire _T_674 = _T_668 | _T_673; // @[el2_dec_dec_ctl.scala 114:91] + wire _T_678 = io_ins[9] & io_ins[6]; // @[el2_dec_dec_ctl.scala 115:69] + wire _T_679 = _T_678 & io_ins[4]; // @[el2_dec_dec_ctl.scala 115:69] + wire _T_680 = _T_674 | _T_679; // @[el2_dec_dec_ctl.scala 115:38] + wire _T_684 = io_ins[10] & io_ins[6]; // @[el2_dec_dec_ctl.scala 115:105] + wire _T_685 = _T_684 & io_ins[4]; // @[el2_dec_dec_ctl.scala 115:105] + wire _T_686 = _T_680 | _T_685; // @[el2_dec_dec_ctl.scala 115:73] + wire _T_690 = io_ins[11] & io_ins[6]; // @[el2_dec_dec_ctl.scala 116:35] + wire _T_691 = _T_690 & io_ins[4]; // @[el2_dec_dec_ctl.scala 116:35] + wire _T_699 = _T_94 & io_ins[12]; // @[el2_dec_dec_ctl.scala 117:57] + wire _T_700 = _T_699 & io_ins[6]; // @[el2_dec_dec_ctl.scala 117:57] + wire _T_701 = _T_700 & io_ins[4]; // @[el2_dec_dec_ctl.scala 117:57] + wire _T_708 = _T_79 & io_ins[12]; // @[el2_dec_dec_ctl.scala 117:99] + wire _T_709 = _T_708 & io_ins[6]; // @[el2_dec_dec_ctl.scala 117:99] + wire _T_710 = _T_709 & io_ins[4]; // @[el2_dec_dec_ctl.scala 117:99] + wire _T_711 = _T_701 | _T_710; // @[el2_dec_dec_ctl.scala 117:61] + wire _T_718 = _T_64 & io_ins[12]; // @[el2_dec_dec_ctl.scala 118:41] + wire _T_719 = _T_718 & io_ins[6]; // @[el2_dec_dec_ctl.scala 118:41] + wire _T_720 = _T_719 & io_ins[4]; // @[el2_dec_dec_ctl.scala 118:41] + wire _T_721 = _T_711 | _T_720; // @[el2_dec_dec_ctl.scala 117:103] + wire _T_727 = io_ins[18] & _T_144; // @[el2_dec_dec_ctl.scala 118:81] + wire _T_728 = _T_727 & io_ins[6]; // @[el2_dec_dec_ctl.scala 118:81] + wire _T_729 = _T_728 & io_ins[4]; // @[el2_dec_dec_ctl.scala 118:81] + wire _T_730 = _T_721 | _T_729; // @[el2_dec_dec_ctl.scala 118:45] + wire _T_736 = io_ins[19] & _T_144; // @[el2_dec_dec_ctl.scala 119:39] + wire _T_737 = _T_736 & io_ins[6]; // @[el2_dec_dec_ctl.scala 119:39] + wire _T_738 = _T_737 & io_ins[4]; // @[el2_dec_dec_ctl.scala 119:39] + wire _T_746 = _T_181 & io_ins[6]; // @[el2_dec_dec_ctl.scala 120:57] + wire _T_754 = _T_421 & io_ins[6]; // @[el2_dec_dec_ctl.scala 121:55] + wire _T_755 = _T_754 & io_ins[4]; // @[el2_dec_dec_ctl.scala 121:55] + wire _T_760 = io_ins[15] & io_ins[14]; // @[el2_dec_dec_ctl.scala 121:94] + wire _T_761 = _T_760 & io_ins[6]; // @[el2_dec_dec_ctl.scala 121:94] + wire _T_762 = _T_761 & io_ins[4]; // @[el2_dec_dec_ctl.scala 121:94] + wire _T_763 = _T_755 | _T_762; // @[el2_dec_dec_ctl.scala 121:59] + wire _T_768 = io_ins[16] & io_ins[14]; // @[el2_dec_dec_ctl.scala 122:38] + wire _T_769 = _T_768 & io_ins[6]; // @[el2_dec_dec_ctl.scala 122:38] + wire _T_770 = _T_769 & io_ins[4]; // @[el2_dec_dec_ctl.scala 122:38] + wire _T_771 = _T_763 | _T_770; // @[el2_dec_dec_ctl.scala 121:98] + wire _T_776 = io_ins[17] & io_ins[14]; // @[el2_dec_dec_ctl.scala 122:77] + wire _T_777 = _T_776 & io_ins[6]; // @[el2_dec_dec_ctl.scala 122:77] + wire _T_778 = _T_777 & io_ins[4]; // @[el2_dec_dec_ctl.scala 122:77] + wire _T_779 = _T_771 | _T_778; // @[el2_dec_dec_ctl.scala 122:42] + wire _T_784 = io_ins[18] & io_ins[14]; // @[el2_dec_dec_ctl.scala 123:38] + wire _T_785 = _T_784 & io_ins[6]; // @[el2_dec_dec_ctl.scala 123:38] + wire _T_786 = _T_785 & io_ins[4]; // @[el2_dec_dec_ctl.scala 123:38] + wire _T_787 = _T_779 | _T_786; // @[el2_dec_dec_ctl.scala 122:81] + wire _T_792 = io_ins[19] & io_ins[14]; // @[el2_dec_dec_ctl.scala 123:77] + wire _T_793 = _T_792 & io_ins[6]; // @[el2_dec_dec_ctl.scala 123:77] + wire _T_794 = _T_793 & io_ins[4]; // @[el2_dec_dec_ctl.scala 123:77] + wire _T_801 = io_ins[15] & _T_144; // @[el2_dec_dec_ctl.scala 124:55] + wire _T_802 = _T_801 & io_ins[6]; // @[el2_dec_dec_ctl.scala 124:55] + wire _T_803 = _T_802 & io_ins[4]; // @[el2_dec_dec_ctl.scala 124:55] + wire _T_809 = io_ins[16] & _T_144; // @[el2_dec_dec_ctl.scala 124:95] + wire _T_810 = _T_809 & io_ins[6]; // @[el2_dec_dec_ctl.scala 124:95] + wire _T_811 = _T_810 & io_ins[4]; // @[el2_dec_dec_ctl.scala 124:95] + wire _T_812 = _T_803 | _T_811; // @[el2_dec_dec_ctl.scala 124:59] + wire _T_818 = io_ins[17] & _T_144; // @[el2_dec_dec_ctl.scala 125:39] + wire _T_819 = _T_818 & io_ins[6]; // @[el2_dec_dec_ctl.scala 125:39] + wire _T_820 = _T_819 & io_ins[4]; // @[el2_dec_dec_ctl.scala 125:39] + wire _T_821 = _T_812 | _T_820; // @[el2_dec_dec_ctl.scala 124:99] + wire _T_830 = _T_821 | _T_729; // @[el2_dec_dec_ctl.scala 125:43] + wire _T_841 = ~io_ins[22]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_849 = _T_841 & io_ins[20]; // @[el2_dec_dec_ctl.scala 127:62] + wire _T_850 = _T_849 & _T_16; // @[el2_dec_dec_ctl.scala 127:62] + wire _T_851 = _T_850 & _T_144; // @[el2_dec_dec_ctl.scala 127:62] + wire _T_852 = _T_851 & io_ins[6]; // @[el2_dec_dec_ctl.scala 127:62] + wire _T_855 = ~io_ins[21]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_857 = ~io_ins[20]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_864 = _T_855 & _T_857; // @[el2_dec_dec_ctl.scala 128:62] + wire _T_865 = _T_864 & _T_16; // @[el2_dec_dec_ctl.scala 128:62] + wire _T_866 = _T_865 & _T_144; // @[el2_dec_dec_ctl.scala 128:62] + wire _T_867 = _T_866 & io_ins[6]; // @[el2_dec_dec_ctl.scala 128:62] + wire _T_876 = io_ins[29] & _T_16; // @[el2_dec_dec_ctl.scala 129:56] + wire _T_877 = _T_876 & _T_144; // @[el2_dec_dec_ctl.scala 129:56] + wire _T_878 = _T_877 & io_ins[6]; // @[el2_dec_dec_ctl.scala 129:56] + wire _T_889 = io_ins[25] & _T_14; // @[el2_dec_dec_ctl.scala 130:57] + wire _T_890 = _T_889 & _T_104; // @[el2_dec_dec_ctl.scala 130:57] + wire _T_891 = _T_890 & io_ins[5]; // @[el2_dec_dec_ctl.scala 130:57] + wire _T_892 = _T_891 & io_ins[4]; // @[el2_dec_dec_ctl.scala 130:57] + wire _T_907 = _T_889 & io_ins[13]; // @[el2_dec_dec_ctl.scala 131:69] + wire _T_908 = _T_907 & _T_144; // @[el2_dec_dec_ctl.scala 131:69] + wire _T_909 = _T_908 & _T_104; // @[el2_dec_dec_ctl.scala 131:69] + wire _T_910 = _T_909 & io_ins[5]; // @[el2_dec_dec_ctl.scala 131:69] + wire _T_911 = _T_910 & io_ins[4]; // @[el2_dec_dec_ctl.scala 131:69] + wire _T_912 = _T_911 & _T_18; // @[el2_dec_dec_ctl.scala 131:69] + wire _T_925 = _T_889 & _T_16; // @[el2_dec_dec_ctl.scala 132:50] + wire _T_926 = _T_925 & io_ins[12]; // @[el2_dec_dec_ctl.scala 132:50] + wire _T_927 = _T_926 & _T_104; // @[el2_dec_dec_ctl.scala 132:50] + wire _T_928 = _T_927 & io_ins[4]; // @[el2_dec_dec_ctl.scala 132:50] + wire _T_929 = _T_928 & _T_18; // @[el2_dec_dec_ctl.scala 132:50] + wire _T_961 = _T_925 & _T_144; // @[el2_dec_dec_ctl.scala 134:62] + wire _T_962 = _T_961 & io_ins[5]; // @[el2_dec_dec_ctl.scala 134:62] + wire _T_963 = _T_962 & io_ins[4]; // @[el2_dec_dec_ctl.scala 134:62] + wire _T_973 = _T_563 & _T_104; // @[el2_dec_dec_ctl.scala 135:54] + wire _T_974 = _T_973 & io_ins[5]; // @[el2_dec_dec_ctl.scala 135:54] + wire _T_985 = _T_563 & io_ins[13]; // @[el2_dec_dec_ctl.scala 136:57] + wire _T_986 = _T_985 & _T_104; // @[el2_dec_dec_ctl.scala 136:57] + wire _T_987 = _T_986 & io_ins[5]; // @[el2_dec_dec_ctl.scala 136:57] + wire _T_992 = _T_9 & io_ins[3]; // @[el2_dec_dec_ctl.scala 137:47] + wire _T_997 = io_ins[12] & _T_9; // @[el2_dec_dec_ctl.scala 138:52] + wire _T_998 = _T_997 & io_ins[3]; // @[el2_dec_dec_ctl.scala 138:52] + wire _T_1006 = io_ins[28] & io_ins[22]; // @[el2_dec_dec_ctl.scala 139:59] + wire _T_1007 = _T_1006 & _T_16; // @[el2_dec_dec_ctl.scala 139:59] + wire _T_1008 = _T_1007 & _T_144; // @[el2_dec_dec_ctl.scala 139:59] + wire _T_1009 = _T_1008 & io_ins[4]; // @[el2_dec_dec_ctl.scala 139:59] + wire _T_1013 = _T_1009 | _T_190; // @[el2_dec_dec_ctl.scala 139:63] + wire _T_1019 = _T_4 & _T_104; // @[el2_dec_dec_ctl.scala 140:37] + wire _T_1020 = _T_1019 & io_ins[4]; // @[el2_dec_dec_ctl.scala 140:37] + wire _T_1021 = _T_1013 | _T_1020; // @[el2_dec_dec_ctl.scala 139:96] + wire _T_1037 = _T_87 & io_ins[6]; // @[el2_dec_dec_ctl.scala 141:88] + wire _T_1038 = _T_1037 & io_ins[4]; // @[el2_dec_dec_ctl.scala 141:88] + wire _T_1039 = _T_992 | _T_1038; // @[el2_dec_dec_ctl.scala 141:53] + wire _T_1046 = _T_72 & io_ins[6]; // @[el2_dec_dec_ctl.scala 142:38] + wire _T_1047 = _T_1046 & io_ins[4]; // @[el2_dec_dec_ctl.scala 142:38] + wire _T_1048 = _T_1039 | _T_1047; // @[el2_dec_dec_ctl.scala 141:92] + wire _T_1055 = _T_57 & io_ins[6]; // @[el2_dec_dec_ctl.scala 142:77] + wire _T_1056 = _T_1055 & io_ins[4]; // @[el2_dec_dec_ctl.scala 142:77] + wire _T_1057 = _T_1048 | _T_1056; // @[el2_dec_dec_ctl.scala 142:42] + wire _T_1066 = _T_1057 | _T_1056; // @[el2_dec_dec_ctl.scala 142:81] + wire _T_1073 = _T_41 & io_ins[6]; // @[el2_dec_dec_ctl.scala 143:78] + wire _T_1074 = _T_1073 & io_ins[4]; // @[el2_dec_dec_ctl.scala 143:78] + wire _T_1075 = _T_1066 | _T_1074; // @[el2_dec_dec_ctl.scala 143:42] + wire _T_1082 = _T_26 & io_ins[6]; // @[el2_dec_dec_ctl.scala 144:39] + wire _T_1083 = _T_1082 & io_ins[4]; // @[el2_dec_dec_ctl.scala 144:39] + wire _T_1084 = _T_1075 | _T_1083; // @[el2_dec_dec_ctl.scala 143:82] + wire _T_1090 = _T_94 & io_ins[6]; // @[el2_dec_dec_ctl.scala 144:78] + wire _T_1091 = _T_1090 & io_ins[4]; // @[el2_dec_dec_ctl.scala 144:78] + wire _T_1092 = _T_1084 | _T_1091; // @[el2_dec_dec_ctl.scala 144:43] + wire _T_1098 = _T_79 & io_ins[6]; // @[el2_dec_dec_ctl.scala 145:38] + wire _T_1099 = _T_1098 & io_ins[4]; // @[el2_dec_dec_ctl.scala 145:38] + wire _T_1100 = _T_1092 | _T_1099; // @[el2_dec_dec_ctl.scala 144:82] + wire _T_1106 = _T_64 & io_ins[6]; // @[el2_dec_dec_ctl.scala 145:77] + wire _T_1107 = _T_1106 & io_ins[4]; // @[el2_dec_dec_ctl.scala 145:77] + wire _T_1108 = _T_1100 | _T_1107; // @[el2_dec_dec_ctl.scala 145:42] + wire _T_1113 = io_ins[18] & io_ins[13]; // @[el2_dec_dec_ctl.scala 146:38] + wire _T_1114 = _T_1113 & io_ins[6]; // @[el2_dec_dec_ctl.scala 146:38] + wire _T_1115 = _T_1114 & io_ins[4]; // @[el2_dec_dec_ctl.scala 146:38] + wire _T_1116 = _T_1108 | _T_1115; // @[el2_dec_dec_ctl.scala 145:81] + wire _T_1122 = _T_33 & io_ins[6]; // @[el2_dec_dec_ctl.scala 146:77] + wire _T_1123 = _T_1122 & io_ins[4]; // @[el2_dec_dec_ctl.scala 146:77] + wire _T_1139 = _T_841 & _T_16; // @[el2_dec_dec_ctl.scala 147:98] + wire _T_1140 = _T_1139 & _T_144; // @[el2_dec_dec_ctl.scala 147:98] + wire _T_1141 = _T_1140 & io_ins[6]; // @[el2_dec_dec_ctl.scala 147:98] + wire _T_1142 = _T_1141 & io_ins[4]; // @[el2_dec_dec_ctl.scala 147:98] + wire _T_1143 = _T_998 | _T_1142; // @[el2_dec_dec_ctl.scala 147:57] + wire _T_1152 = _T_1143 | _T_1038; // @[el2_dec_dec_ctl.scala 147:102] + wire _T_1161 = _T_1152 | _T_1047; // @[el2_dec_dec_ctl.scala 148:42] + wire _T_1170 = _T_1161 | _T_1056; // @[el2_dec_dec_ctl.scala 148:81] + wire _T_1179 = _T_1170 | _T_1074; // @[el2_dec_dec_ctl.scala 149:42] + wire _T_1188 = _T_1179 | _T_1083; // @[el2_dec_dec_ctl.scala 149:82] + wire _T_1196 = _T_1188 | _T_1091; // @[el2_dec_dec_ctl.scala 150:43] + wire _T_1204 = _T_1196 | _T_1099; // @[el2_dec_dec_ctl.scala 150:82] + wire _T_1212 = _T_1204 | _T_1107; // @[el2_dec_dec_ctl.scala 151:42] + wire _T_1220 = _T_1212 | _T_1115; // @[el2_dec_dec_ctl.scala 151:81] + wire _T_1230 = ~io_ins[31]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1236 = ~io_ins[27]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1238 = ~io_ins[26]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1242 = ~io_ins[24]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1244 = ~io_ins[23]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1251 = ~io_ins[19]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1255 = ~io_ins[17]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1257 = ~io_ins[16]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1259 = ~io_ins[15]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1263 = ~io_ins[11]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1265 = ~io_ins[10]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1267 = ~io_ins[9]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1269 = ~io_ins[8]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1271 = ~io_ins[7]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1281 = ~io_ins[0]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1282 = _T_1230 & _T_248; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1283 = _T_1282 & io_ins[29]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1284 = _T_1283 & io_ins[28]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1285 = _T_1284 & _T_1236; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1286 = _T_1285 & _T_1238; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1287 = _T_1286 & _T_4; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1288 = _T_1287 & _T_1242; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1289 = _T_1288 & _T_1244; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1290 = _T_1289 & _T_841; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1291 = _T_1290 & io_ins[21]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1292 = _T_1291 & _T_857; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1293 = _T_1292 & _T_1251; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1294 = _T_1293 & _T_45; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1295 = _T_1294 & _T_1255; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1296 = _T_1295 & _T_1257; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1297 = _T_1296 & _T_1259; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1298 = _T_1297 & _T_14; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1299 = _T_1298 & _T_1263; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1300 = _T_1299 & _T_1265; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1301 = _T_1300 & _T_1267; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1302 = _T_1301 & _T_1269; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1303 = _T_1302 & _T_1271; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1304 = _T_1303 & io_ins[6]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1305 = _T_1304 & io_ins[5]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1306 = _T_1305 & io_ins[4]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1307 = _T_1306 & _T_100; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1308 = _T_1307 & _T_18; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1309 = _T_1308 & io_ins[1]; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1310 = _T_1309 & _T_1281; // @[el2_dec_dec_ctl.scala 153:144] + wire _T_1316 = ~io_ins[29]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1365 = _T_1282 & _T_1316; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1366 = _T_1365 & io_ins[28]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1367 = _T_1366 & _T_1236; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1368 = _T_1367 & _T_1238; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1369 = _T_1368 & _T_4; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1370 = _T_1369 & _T_1242; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1371 = _T_1370 & _T_1244; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1372 = _T_1371 & io_ins[22]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1373 = _T_1372 & _T_855; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1374 = _T_1373 & io_ins[20]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1375 = _T_1374 & _T_1251; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1376 = _T_1375 & _T_45; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1377 = _T_1376 & _T_1255; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1378 = _T_1377 & _T_1257; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1379 = _T_1378 & _T_1259; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1380 = _T_1379 & _T_14; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1381 = _T_1380 & _T_1263; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1382 = _T_1381 & _T_1265; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1383 = _T_1382 & _T_1267; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1384 = _T_1383 & _T_1269; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1385 = _T_1384 & _T_1271; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1386 = _T_1385 & io_ins[6]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1387 = _T_1386 & io_ins[5]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1388 = _T_1387 & io_ins[4]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1389 = _T_1388 & _T_100; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1390 = _T_1389 & _T_18; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1391 = _T_1390 & io_ins[1]; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1392 = _T_1391 & _T_1281; // @[el2_dec_dec_ctl.scala 154:130] + wire _T_1393 = _T_1310 | _T_1392; // @[el2_dec_dec_ctl.scala 153:148] + wire _T_1401 = ~io_ins[28]; // @[el2_dec_dec_ctl.scala 67:45] + wire _T_1449 = _T_1365 & _T_1401; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1450 = _T_1449 & _T_1236; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1451 = _T_1450 & _T_1238; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1452 = _T_1451 & _T_4; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1453 = _T_1452 & _T_1242; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1454 = _T_1453 & _T_1244; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1455 = _T_1454 & _T_841; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1456 = _T_1455 & _T_855; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1457 = _T_1456 & _T_1251; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1458 = _T_1457 & _T_45; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1459 = _T_1458 & _T_1255; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1460 = _T_1459 & _T_1257; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1461 = _T_1460 & _T_1259; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1462 = _T_1461 & _T_14; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1463 = _T_1462 & _T_1263; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1464 = _T_1463 & _T_1265; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1465 = _T_1464 & _T_1267; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1466 = _T_1465 & _T_1269; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1467 = _T_1466 & _T_1271; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1468 = _T_1467 & io_ins[5]; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1469 = _T_1468 & io_ins[4]; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1470 = _T_1469 & _T_100; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1471 = _T_1470 & _T_18; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1472 = _T_1471 & io_ins[1]; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1473 = _T_1472 & _T_1281; // @[el2_dec_dec_ctl.scala 155:127] + wire _T_1474 = _T_1393 | _T_1473; // @[el2_dec_dec_ctl.scala 154:134] + wire _T_1503 = _T_1452 & _T_104; // @[el2_dec_dec_ctl.scala 156:68] + wire _T_1504 = _T_1503 & io_ins[4]; // @[el2_dec_dec_ctl.scala 156:68] + wire _T_1505 = _T_1504 & _T_100; // @[el2_dec_dec_ctl.scala 156:68] + wire _T_1506 = _T_1505 & io_ins[1]; // @[el2_dec_dec_ctl.scala 156:68] + wire _T_1507 = _T_1506 & _T_1281; // @[el2_dec_dec_ctl.scala 156:68] + wire _T_1508 = _T_1474 | _T_1507; // @[el2_dec_dec_ctl.scala 155:131] + wire _T_1536 = _T_1230 & _T_1316; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1537 = _T_1536 & _T_1401; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1538 = _T_1537 & _T_1236; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1539 = _T_1538 & _T_1238; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1540 = _T_1539 & _T_4; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1541 = _T_1540 & _T_14; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1542 = _T_1541 & _T_16; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1543 = _T_1542 & _T_144; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1544 = _T_1543 & _T_104; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1545 = _T_1544 & _T_100; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1546 = _T_1545 & _T_18; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1547 = _T_1546 & io_ins[1]; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1548 = _T_1547 & _T_1281; // @[el2_dec_dec_ctl.scala 157:77] + wire _T_1549 = _T_1508 | _T_1548; // @[el2_dec_dec_ctl.scala 156:72] + wire _T_1579 = _T_1540 & io_ins[14]; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1580 = _T_1579 & _T_16; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1581 = _T_1580 & io_ins[12]; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1582 = _T_1581 & _T_104; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1583 = _T_1582 & io_ins[4]; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1584 = _T_1583 & _T_100; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1585 = _T_1584 & io_ins[1]; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1586 = _T_1585 & _T_1281; // @[el2_dec_dec_ctl.scala 158:74] + wire _T_1587 = _T_1549 | _T_1586; // @[el2_dec_dec_ctl.scala 157:81] + wire _T_1614 = _T_1451 & _T_104; // @[el2_dec_dec_ctl.scala 159:66] + wire _T_1615 = _T_1614 & io_ins[5]; // @[el2_dec_dec_ctl.scala 159:66] + wire _T_1616 = _T_1615 & io_ins[4]; // @[el2_dec_dec_ctl.scala 159:66] + wire _T_1617 = _T_1616 & _T_100; // @[el2_dec_dec_ctl.scala 159:66] + wire _T_1618 = _T_1617 & io_ins[1]; // @[el2_dec_dec_ctl.scala 159:66] + wire _T_1619 = _T_1618 & _T_1281; // @[el2_dec_dec_ctl.scala 159:66] + wire _T_1620 = _T_1587 | _T_1619; // @[el2_dec_dec_ctl.scala 158:78] + wire _T_1638 = _T_236 & io_ins[6]; // @[el2_dec_dec_ctl.scala 160:54] + wire _T_1639 = _T_1638 & io_ins[5]; // @[el2_dec_dec_ctl.scala 160:54] + wire _T_1640 = _T_1639 & _T_98; // @[el2_dec_dec_ctl.scala 160:54] + wire _T_1641 = _T_1640 & _T_100; // @[el2_dec_dec_ctl.scala 160:54] + wire _T_1642 = _T_1641 & io_ins[1]; // @[el2_dec_dec_ctl.scala 160:54] + wire _T_1643 = _T_1642 & _T_1281; // @[el2_dec_dec_ctl.scala 160:54] + wire _T_1644 = _T_1620 | _T_1643; // @[el2_dec_dec_ctl.scala 159:70] + wire _T_1657 = io_ins[14] & io_ins[6]; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1658 = _T_1657 & io_ins[5]; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1659 = _T_1658 & _T_98; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1660 = _T_1659 & _T_100; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1661 = _T_1660 & _T_18; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1662 = _T_1661 & io_ins[1]; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1663 = _T_1662 & _T_1281; // @[el2_dec_dec_ctl.scala 161:48] + wire _T_1664 = _T_1644 | _T_1663; // @[el2_dec_dec_ctl.scala 160:58] + wire _T_1677 = _T_144 & _T_104; // @[el2_dec_dec_ctl.scala 162:47] + wire _T_1678 = _T_1677 & _T_9; // @[el2_dec_dec_ctl.scala 162:47] + wire _T_1679 = _T_1678 & io_ins[4]; // @[el2_dec_dec_ctl.scala 162:47] + wire _T_1680 = _T_1679 & _T_100; // @[el2_dec_dec_ctl.scala 162:47] + wire _T_1681 = _T_1680 & io_ins[1]; // @[el2_dec_dec_ctl.scala 162:47] + wire _T_1682 = _T_1681 & _T_1281; // @[el2_dec_dec_ctl.scala 162:47] + wire _T_1683 = _T_1664 | _T_1682; // @[el2_dec_dec_ctl.scala 161:52] + wire _T_1699 = _T_19 & io_ins[5]; // @[el2_dec_dec_ctl.scala 162:99] + wire _T_1700 = _T_1699 & _T_98; // @[el2_dec_dec_ctl.scala 162:99] + wire _T_1701 = _T_1700 & _T_100; // @[el2_dec_dec_ctl.scala 162:99] + wire _T_1702 = _T_1701 & _T_18; // @[el2_dec_dec_ctl.scala 162:99] + wire _T_1703 = _T_1702 & io_ins[1]; // @[el2_dec_dec_ctl.scala 162:99] + wire _T_1704 = _T_1703 & _T_1281; // @[el2_dec_dec_ctl.scala 162:99] + wire _T_1705 = _T_1683 | _T_1704; // @[el2_dec_dec_ctl.scala 162:51] + wire _T_1717 = io_ins[12] & io_ins[6]; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1718 = _T_1717 & io_ins[5]; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1719 = _T_1718 & io_ins[4]; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1720 = _T_1719 & _T_100; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1721 = _T_1720 & _T_18; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1722 = _T_1721 & io_ins[1]; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1723 = _T_1722 & _T_1281; // @[el2_dec_dec_ctl.scala 163:47] + wire _T_1724 = _T_1705 | _T_1723; // @[el2_dec_dec_ctl.scala 162:103] + wire _T_1796 = _T_1456 & _T_857; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1797 = _T_1796 & _T_1251; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1798 = _T_1797 & _T_45; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1799 = _T_1798 & _T_1255; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1800 = _T_1799 & _T_1257; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1801 = _T_1800 & _T_1259; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1802 = _T_1801 & _T_14; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1803 = _T_1802 & _T_16; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1804 = _T_1803 & _T_144; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1805 = _T_1804 & _T_1263; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1806 = _T_1805 & _T_1265; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1807 = _T_1806 & _T_1267; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1808 = _T_1807 & _T_1269; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1809 = _T_1808 & _T_1271; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1810 = _T_1809 & _T_104; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1811 = _T_1810 & _T_9; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1812 = _T_1811 & _T_98; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1813 = _T_1812 & io_ins[3]; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1814 = _T_1813 & io_ins[2]; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1815 = _T_1814 & io_ins[1]; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1816 = _T_1815 & _T_1281; // @[el2_dec_dec_ctl.scala 164:142] + wire _T_1817 = _T_1724 | _T_1816; // @[el2_dec_dec_ctl.scala 163:51] + wire _T_1866 = _T_1449 & _T_1251; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1867 = _T_1866 & _T_45; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1868 = _T_1867 & _T_1255; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1869 = _T_1868 & _T_1257; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1870 = _T_1869 & _T_1259; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1871 = _T_1870 & _T_14; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1872 = _T_1871 & _T_16; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1873 = _T_1872 & _T_144; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1874 = _T_1873 & _T_1263; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1875 = _T_1874 & _T_1265; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1876 = _T_1875 & _T_1267; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1877 = _T_1876 & _T_1269; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1878 = _T_1877 & _T_1271; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1879 = _T_1878 & _T_104; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1880 = _T_1879 & _T_9; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1881 = _T_1880 & _T_98; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1882 = _T_1881 & io_ins[3]; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1883 = _T_1882 & io_ins[2]; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1884 = _T_1883 & io_ins[1]; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1885 = _T_1884 & _T_1281; // @[el2_dec_dec_ctl.scala 165:110] + wire _T_1886 = _T_1817 | _T_1885; // @[el2_dec_dec_ctl.scala 164:146] + wire _T_1902 = _T_16 & _T_104; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1903 = _T_1902 & _T_9; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1904 = _T_1903 & _T_98; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1905 = _T_1904 & _T_100; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1906 = _T_1905 & _T_18; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1907 = _T_1906 & io_ins[1]; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1908 = _T_1907 & _T_1281; // @[el2_dec_dec_ctl.scala 166:51] + wire _T_1909 = _T_1886 | _T_1908; // @[el2_dec_dec_ctl.scala 165:114] + wire _T_1919 = io_ins[6] & io_ins[5]; // @[el2_dec_dec_ctl.scala 166:95] + wire _T_1920 = _T_1919 & _T_98; // @[el2_dec_dec_ctl.scala 166:95] + wire _T_1921 = _T_1920 & io_ins[3]; // @[el2_dec_dec_ctl.scala 166:95] + wire _T_1922 = _T_1921 & io_ins[2]; // @[el2_dec_dec_ctl.scala 166:95] + wire _T_1923 = _T_1922 & io_ins[1]; // @[el2_dec_dec_ctl.scala 166:95] + wire _T_1924 = _T_1923 & _T_1281; // @[el2_dec_dec_ctl.scala 166:95] + wire _T_1925 = _T_1909 | _T_1924; // @[el2_dec_dec_ctl.scala 166:55] + wire _T_1938 = _T_656 & _T_9; // @[el2_dec_dec_ctl.scala 167:46] + wire _T_1939 = _T_1938 & io_ins[4]; // @[el2_dec_dec_ctl.scala 167:46] + wire _T_1940 = _T_1939 & _T_100; // @[el2_dec_dec_ctl.scala 167:46] + wire _T_1941 = _T_1940 & io_ins[1]; // @[el2_dec_dec_ctl.scala 167:46] + wire _T_1942 = _T_1941 & _T_1281; // @[el2_dec_dec_ctl.scala 167:46] + wire _T_1943 = _T_1925 | _T_1942; // @[el2_dec_dec_ctl.scala 166:99] + wire _T_1960 = _T_585 & _T_104; // @[el2_dec_dec_ctl.scala 167:99] + wire _T_1961 = _T_1960 & _T_98; // @[el2_dec_dec_ctl.scala 167:99] + wire _T_1962 = _T_1961 & _T_100; // @[el2_dec_dec_ctl.scala 167:99] + wire _T_1963 = _T_1962 & _T_18; // @[el2_dec_dec_ctl.scala 167:99] + wire _T_1964 = _T_1963 & io_ins[1]; // @[el2_dec_dec_ctl.scala 167:99] + wire _T_1965 = _T_1964 & _T_1281; // @[el2_dec_dec_ctl.scala 167:99] + wire _T_1966 = _T_1943 | _T_1965; // @[el2_dec_dec_ctl.scala 167:50] + wire _T_1977 = _T_104 & io_ins[4]; // @[el2_dec_dec_ctl.scala 168:43] + wire _T_1978 = _T_1977 & _T_100; // @[el2_dec_dec_ctl.scala 168:43] + wire _T_1979 = _T_1978 & _T_18; // @[el2_dec_dec_ctl.scala 168:43] + wire _T_1980 = _T_1979 & io_ins[1]; // @[el2_dec_dec_ctl.scala 168:43] + wire _T_1981 = _T_1980 & _T_1281; // @[el2_dec_dec_ctl.scala 168:43] + assign io_out_alu = _T_7 | _T_11; // @[el2_dec_dec_ctl.scala 72:14] + assign io_out_rs1 = _T_102 | _T_107; // @[el2_dec_dec_ctl.scala 73:14] + assign io_out_rs2 = _T_115 | _T_122; // @[el2_dec_dec_ctl.scala 79:14] + assign io_out_imm12 = _T_150 | _T_160; // @[el2_dec_dec_ctl.scala 80:16] + assign io_out_rd = _T_170 | io_ins[4]; // @[el2_dec_dec_ctl.scala 82:13] + assign io_out_shimm5 = _T_183 & _T_18; // @[el2_dec_dec_ctl.scala 83:17] + assign io_out_imm20 = _T_187 | _T_190; // @[el2_dec_dec_ctl.scala 84:16] + assign io_out_pc = _T_198 | _T_187; // @[el2_dec_dec_ctl.scala 85:13] + assign io_out_load = _T_209 & _T_18; // @[el2_dec_dec_ctl.scala 86:15] + assign io_out_store = _T_121 & _T_98; // @[el2_dec_dec_ctl.scala 87:16] + assign io_out_lsu = _T_224 & _T_18; // @[el2_dec_dec_ctl.scala 88:14] + assign io_out_add = _T_246 | _T_268; // @[el2_dec_dec_ctl.scala 89:14] + assign io_out_sub = _T_312 | _T_319; // @[el2_dec_dec_ctl.scala 91:14] + assign io_out_land = _T_331 | _T_345; // @[el2_dec_dec_ctl.scala 93:15] + assign io_out_lor = _T_382 | _T_394; // @[el2_dec_dec_ctl.scala 94:14] + assign io_out_lxor = _T_410 | _T_425; // @[el2_dec_dec_ctl.scala 97:15] + assign io_out_sll = _T_443 & _T_18; // @[el2_dec_dec_ctl.scala 98:14] + assign io_out_sra = _T_457 & _T_18; // @[el2_dec_dec_ctl.scala 99:14] + assign io_out_srl = _T_477 & _T_18; // @[el2_dec_dec_ctl.scala 100:14] + assign io_out_slt = _T_495 | _T_311; // @[el2_dec_dec_ctl.scala 101:14] + assign io_out_unsign = _T_554 | _T_567; // @[el2_dec_dec_ctl.scala 102:17] + assign io_out_condbr = _T_318 & _T_18; // @[el2_dec_dec_ctl.scala 105:17] + assign io_out_beq = _T_587 & _T_18; // @[el2_dec_dec_ctl.scala 106:14] + assign io_out_bne = _T_599 & _T_18; // @[el2_dec_dec_ctl.scala 107:14] + assign io_out_bge = _T_610 & _T_18; // @[el2_dec_dec_ctl.scala 108:14] + assign io_out_blt = _T_622 & _T_18; // @[el2_dec_dec_ctl.scala 109:14] + assign io_out_jal = io_ins[6] & io_ins[2]; // @[el2_dec_dec_ctl.scala 110:14] + assign io_out_by = _T_639 & _T_18; // @[el2_dec_dec_ctl.scala 111:13] + assign io_out_half = _T_649 & _T_18; // @[el2_dec_dec_ctl.scala 112:15] + assign io_out_word = _T_656 & _T_98; // @[el2_dec_dec_ctl.scala 113:15] + assign io_out_csr_read = _T_686 | _T_691; // @[el2_dec_dec_ctl.scala 114:19] + assign io_out_csr_clr = _T_730 | _T_738; // @[el2_dec_dec_ctl.scala 117:18] + assign io_out_csr_set = _T_830 | _T_738; // @[el2_dec_dec_ctl.scala 124:18] + assign io_out_csr_write = _T_746 & io_ins[4]; // @[el2_dec_dec_ctl.scala 120:20] + assign io_out_csr_imm = _T_787 | _T_794; // @[el2_dec_dec_ctl.scala 121:18] + assign io_out_presync = _T_1116 | _T_1123; // @[el2_dec_dec_ctl.scala 141:18] + assign io_out_postsync = _T_1220 | _T_1123; // @[el2_dec_dec_ctl.scala 147:19] + assign io_out_ebreak = _T_852 & io_ins[4]; // @[el2_dec_dec_ctl.scala 127:17] + assign io_out_ecall = _T_867 & io_ins[4]; // @[el2_dec_dec_ctl.scala 128:16] + assign io_out_mret = _T_878 & io_ins[4]; // @[el2_dec_dec_ctl.scala 129:15] + assign io_out_mul = _T_892 & _T_18; // @[el2_dec_dec_ctl.scala 130:14] + assign io_out_rs1_sign = _T_912 | _T_929; // @[el2_dec_dec_ctl.scala 131:19] + assign io_out_rs2_sign = _T_928 & _T_18; // @[el2_dec_dec_ctl.scala 133:19] + assign io_out_low = _T_963 & _T_18; // @[el2_dec_dec_ctl.scala 134:14] + assign io_out_div = _T_974 & _T_18; // @[el2_dec_dec_ctl.scala 135:14] + assign io_out_rem = _T_987 & _T_18; // @[el2_dec_dec_ctl.scala 136:14] + assign io_out_fence = _T_9 & io_ins[3]; // @[el2_dec_dec_ctl.scala 137:16] + assign io_out_fence_i = _T_997 & io_ins[3]; // @[el2_dec_dec_ctl.scala 138:18] + assign io_out_pm_alu = _T_1021 | _T_11; // @[el2_dec_dec_ctl.scala 139:17] + assign io_out_legal = _T_1966 | _T_1981; // @[el2_dec_dec_ctl.scala 153:16] endmodule module el2_dec_decode_ctl( input clock, @@ -746,12 +731,12 @@ module el2_dec_decode_ctl( output [31:0] io_dec_i0_inst_wb1, output [30:0] io_dec_i0_pc_wb1, input io_lsu_nonblock_load_valid_m, - input [2:0] io_lsu_nonblock_load_tag_m, + input [1:0] io_lsu_nonblock_load_tag_m, input io_lsu_nonblock_load_inv_r, - input [2:0] io_lsu_nonblock_load_inv_tag_r, + input [1:0] io_lsu_nonblock_load_inv_tag_r, input io_lsu_nonblock_load_data_valid, input io_lsu_nonblock_load_data_error, - input [2:0] io_lsu_nonblock_load_data_tag, + input [1:0] io_lsu_nonblock_load_data_tag, input [31:0] io_lsu_nonblock_load_data, input [3:0] io_dec_i0_trigger_match_d, input io_dec_tlu_wr_pause_r, @@ -1028,203 +1013,203 @@ module el2_dec_decode_ctl( wire data_gated_cgc_io_clk; // @[el2_dec_decode_ctl.scala 221:29] wire data_gated_cgc_io_en; // @[el2_dec_decode_ctl.scala 221:29] wire data_gated_cgc_io_scan_mode; // @[el2_dec_decode_ctl.scala 221:29] - wire [31:0] i0_dec_io_ins; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 396:24] - wire i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 396:24] - wire rvclkhdr_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_io_scan_mode; // @[beh_lib.scala 350:21] - wire rvclkhdr_1_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_1_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_1_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_1_io_scan_mode; // @[beh_lib.scala 350:21] - wire rvclkhdr_2_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_2_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_2_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_2_io_scan_mode; // @[beh_lib.scala 350:21] - wire rvclkhdr_3_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_3_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_3_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_3_io_scan_mode; // @[beh_lib.scala 350:21] - wire rvclkhdr_4_io_l1clk; // @[beh_lib.scala 360:21] - wire rvclkhdr_4_io_clk; // @[beh_lib.scala 360:21] - wire rvclkhdr_4_io_en; // @[beh_lib.scala 360:21] - wire rvclkhdr_4_io_scan_mode; // @[beh_lib.scala 360:21] - wire rvclkhdr_5_io_l1clk; // @[beh_lib.scala 360:21] - wire rvclkhdr_5_io_clk; // @[beh_lib.scala 360:21] - wire rvclkhdr_5_io_en; // @[beh_lib.scala 360:21] - wire rvclkhdr_5_io_scan_mode; // @[beh_lib.scala 360:21] - wire rvclkhdr_6_io_l1clk; // @[beh_lib.scala 360:21] - wire rvclkhdr_6_io_clk; // @[beh_lib.scala 360:21] - wire rvclkhdr_6_io_en; // @[beh_lib.scala 360:21] - wire rvclkhdr_6_io_scan_mode; // @[beh_lib.scala 360:21] - wire rvclkhdr_7_io_l1clk; // @[beh_lib.scala 360:21] - wire rvclkhdr_7_io_clk; // @[beh_lib.scala 360:21] - wire rvclkhdr_7_io_en; // @[beh_lib.scala 360:21] - wire rvclkhdr_7_io_scan_mode; // @[beh_lib.scala 360:21] - wire rvclkhdr_8_io_l1clk; // @[beh_lib.scala 360:21] - wire rvclkhdr_8_io_clk; // @[beh_lib.scala 360:21] - wire rvclkhdr_8_io_en; // @[beh_lib.scala 360:21] - wire rvclkhdr_8_io_scan_mode; // @[beh_lib.scala 360:21] - wire rvclkhdr_9_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_9_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_9_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_9_io_scan_mode; // @[beh_lib.scala 350:21] - wire rvclkhdr_10_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_10_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_10_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_10_io_scan_mode; // @[beh_lib.scala 350:21] - wire rvclkhdr_11_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_11_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_11_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_11_io_scan_mode; // @[beh_lib.scala 350:21] - wire rvclkhdr_12_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_12_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_12_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_12_io_scan_mode; // @[beh_lib.scala 350:21] - wire rvclkhdr_13_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_13_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_13_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_13_io_scan_mode; // @[beh_lib.scala 350:21] - wire rvclkhdr_14_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_14_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_14_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_14_io_scan_mode; // @[beh_lib.scala 350:21] - wire rvclkhdr_15_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_15_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_15_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_15_io_scan_mode; // @[beh_lib.scala 350:21] - wire rvclkhdr_16_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_16_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_16_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_16_io_scan_mode; // @[beh_lib.scala 350:21] - wire rvclkhdr_17_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_17_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_17_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_17_io_scan_mode; // @[beh_lib.scala 350:21] - wire rvclkhdr_18_io_l1clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_18_io_clk; // @[beh_lib.scala 350:21] - wire rvclkhdr_18_io_en; // @[beh_lib.scala 350:21] - wire rvclkhdr_18_io_scan_mode; // @[beh_lib.scala 350:21] - reg tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 503:29] + wire [31:0] i0_dec_io_ins; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 395:22] + wire i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 395:22] + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_l1clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_4_io_clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_4_io_en; // @[el2_lib.scala 495:23] + wire rvclkhdr_4_io_scan_mode; // @[el2_lib.scala 495:23] + wire rvclkhdr_5_io_l1clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_5_io_clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_5_io_en; // @[el2_lib.scala 495:23] + wire rvclkhdr_5_io_scan_mode; // @[el2_lib.scala 495:23] + wire rvclkhdr_6_io_l1clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_6_io_clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_6_io_en; // @[el2_lib.scala 495:23] + wire rvclkhdr_6_io_scan_mode; // @[el2_lib.scala 495:23] + wire rvclkhdr_7_io_l1clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_7_io_clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_7_io_en; // @[el2_lib.scala 495:23] + wire rvclkhdr_7_io_scan_mode; // @[el2_lib.scala 495:23] + wire rvclkhdr_8_io_l1clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_8_io_clk; // @[el2_lib.scala 495:23] + wire rvclkhdr_8_io_en; // @[el2_lib.scala 495:23] + wire rvclkhdr_8_io_scan_mode; // @[el2_lib.scala 495:23] + wire rvclkhdr_9_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_scan_mode; // @[el2_lib.scala 485:23] + reg tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 502:29] wire _T_1 = io_dec_tlu_wr_pause_r ^ tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 211:51] - reg tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 504:29] - wire _T_2 = tlu_wr_pause_r1 ^ tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 212:50] + reg tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 503:29] + wire _T_2 = tlu_wr_pause_r1 ^ tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 212:32] wire _T_3 = _T_1 | _T_2; // @[el2_dec_decode_ctl.scala 211:73] - wire _T_4 = io_dec_tlu_flush_extint ^ io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 213:50] - wire _T_5 = _T_3 | _T_4; // @[el2_dec_decode_ctl.scala 212:74] - reg leak1_i1_stall; // @[el2_dec_decode_ctl.scala 404:56] - wire _T_279 = ~io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 403:73] - wire _T_280 = leak1_i1_stall & _T_279; // @[el2_dec_decode_ctl.scala 403:71] - wire leak1_i1_stall_in = io_dec_tlu_flush_leak_one_r | _T_280; // @[el2_dec_decode_ctl.scala 403:53] - wire _T_6 = leak1_i1_stall_in ^ leak1_i1_stall; // @[el2_dec_decode_ctl.scala 214:50] - wire _T_7 = _T_5 | _T_6; // @[el2_dec_decode_ctl.scala 213:74] - wire _T_283 = io_dec_i0_decode_d & leak1_i1_stall; // @[el2_dec_decode_ctl.scala 406:45] - reg leak1_i0_stall; // @[el2_dec_decode_ctl.scala 407:56] - wire _T_285 = leak1_i0_stall & _T_279; // @[el2_dec_decode_ctl.scala 406:81] - wire leak1_i0_stall_in = _T_283 | _T_285; // @[el2_dec_decode_ctl.scala 406:63] - wire _T_8 = leak1_i0_stall_in ^ leak1_i0_stall; // @[el2_dec_decode_ctl.scala 215:50] - wire _T_9 = _T_7 | _T_8; // @[el2_dec_decode_ctl.scala 214:74] - reg pause_stall; // @[el2_dec_decode_ctl.scala 501:50] - wire _T_412 = io_dec_tlu_wr_pause_r | pause_stall; // @[el2_dec_decode_ctl.scala 500:44] - wire _T_408 = ~io_dec_tlu_flush_pause_r; // @[el2_dec_decode_ctl.scala 499:49] - wire _T_409 = io_dec_tlu_flush_lower_r & _T_408; // @[el2_dec_decode_ctl.scala 499:47] - reg [31:0] write_csr_data; // @[beh_lib.scala 356:14] - wire _T_410 = write_csr_data == 32'h0; // @[el2_dec_decode_ctl.scala 499:109] - wire _T_411 = pause_stall & _T_410; // @[el2_dec_decode_ctl.scala 499:91] - wire clear_pause = _T_409 | _T_411; // @[el2_dec_decode_ctl.scala 499:76] - wire _T_413 = ~clear_pause; // @[el2_dec_decode_ctl.scala 500:61] - wire pause_state_in = _T_412 & _T_413; // @[el2_dec_decode_ctl.scala 500:59] - wire _T_10 = pause_state_in ^ pause_stall; // @[el2_dec_decode_ctl.scala 216:50] - wire _T_11 = _T_9 | _T_10; // @[el2_dec_decode_ctl.scala 215:74] + wire _T_4 = io_dec_tlu_flush_extint ^ io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 213:32] + wire _T_5 = _T_3 | _T_4; // @[el2_dec_decode_ctl.scala 212:56] + reg leak1_i1_stall; // @[el2_dec_decode_ctl.scala 403:56] + wire _T_279 = ~io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 402:73] + wire _T_280 = leak1_i1_stall & _T_279; // @[el2_dec_decode_ctl.scala 402:71] + wire leak1_i1_stall_in = io_dec_tlu_flush_leak_one_r | _T_280; // @[el2_dec_decode_ctl.scala 402:53] + wire _T_6 = leak1_i1_stall_in ^ leak1_i1_stall; // @[el2_dec_decode_ctl.scala 214:32] + wire _T_7 = _T_5 | _T_6; // @[el2_dec_decode_ctl.scala 213:56] + wire _T_283 = io_dec_i0_decode_d & leak1_i1_stall; // @[el2_dec_decode_ctl.scala 405:45] + reg leak1_i0_stall; // @[el2_dec_decode_ctl.scala 406:56] + wire _T_285 = leak1_i0_stall & _T_279; // @[el2_dec_decode_ctl.scala 405:81] + wire leak1_i0_stall_in = _T_283 | _T_285; // @[el2_dec_decode_ctl.scala 405:63] + wire _T_8 = leak1_i0_stall_in ^ leak1_i0_stall; // @[el2_dec_decode_ctl.scala 215:32] + wire _T_9 = _T_7 | _T_8; // @[el2_dec_decode_ctl.scala 214:56] + reg pause_stall; // @[el2_dec_decode_ctl.scala 500:50] + wire _T_412 = io_dec_tlu_wr_pause_r | pause_stall; // @[el2_dec_decode_ctl.scala 499:44] + wire _T_408 = ~io_dec_tlu_flush_pause_r; // @[el2_dec_decode_ctl.scala 498:49] + wire _T_409 = io_dec_tlu_flush_lower_r & _T_408; // @[el2_dec_decode_ctl.scala 498:47] + reg [31:0] write_csr_data; // @[el2_lib.scala 491:16] + wire _T_410 = write_csr_data == 32'h0; // @[el2_dec_decode_ctl.scala 498:109] + wire _T_411 = pause_stall & _T_410; // @[el2_dec_decode_ctl.scala 498:91] + wire clear_pause = _T_409 | _T_411; // @[el2_dec_decode_ctl.scala 498:76] + wire _T_413 = ~clear_pause; // @[el2_dec_decode_ctl.scala 499:61] + wire pause_state_in = _T_412 & _T_413; // @[el2_dec_decode_ctl.scala 499:59] + wire _T_10 = pause_state_in ^ pause_stall; // @[el2_dec_decode_ctl.scala 216:32] + wire _T_11 = _T_9 | _T_10; // @[el2_dec_decode_ctl.scala 215:56] wire _T_17 = ~leak1_i1_stall; // @[el2_dec_decode_ctl.scala 229:62] wire i0_brp_valid = io_dec_i0_brp_valid & _T_17; // @[el2_dec_decode_ctl.scala 229:60] - wire i0_dp_raw_condbr = i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_jal = i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_condbr = i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_jal = i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire [20:0] i0_pcall_imm = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[19:12],io_dec_i0_instr_d[20],io_dec_i0_instr_d[30:21],1'h0}; // @[Cat.scala 29:58] - wire _T_298 = i0_pcall_imm[20:13] == 8'hff; // @[el2_dec_decode_ctl.scala 412:79] - wire _T_300 = i0_pcall_imm[20:13] == 8'h0; // @[el2_dec_decode_ctl.scala 412:112] - wire i0_pcall_12b_offset = i0_pcall_imm[12] ? _T_298 : _T_300; // @[el2_dec_decode_ctl.scala 412:33] - wire i0_dp_raw_imm20 = i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire _T_301 = i0_pcall_12b_offset & i0_dp_raw_imm20; // @[el2_dec_decode_ctl.scala 413:47] - wire [4:0] i0r_rd = io_dec_i0_instr_d[11:7]; // @[el2_dec_decode_ctl.scala 626:16] - wire _T_302 = i0r_rd == 5'h1; // @[el2_dec_decode_ctl.scala 413:76] - wire _T_303 = i0r_rd == 5'h5; // @[el2_dec_decode_ctl.scala 413:98] - wire _T_304 = _T_302 | _T_303; // @[el2_dec_decode_ctl.scala 413:89] - wire i0_pcall_case = _T_301 & _T_304; // @[el2_dec_decode_ctl.scala 413:65] - wire i0_pcall_raw = i0_dp_raw_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 415:38] + wire _T_298 = i0_pcall_imm[20:13] == 8'hff; // @[el2_dec_decode_ctl.scala 411:79] + wire _T_300 = i0_pcall_imm[20:13] == 8'h0; // @[el2_dec_decode_ctl.scala 411:112] + wire i0_pcall_12b_offset = i0_pcall_imm[12] ? _T_298 : _T_300; // @[el2_dec_decode_ctl.scala 411:33] + wire i0_dp_raw_imm20 = i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire _T_301 = i0_pcall_12b_offset & i0_dp_raw_imm20; // @[el2_dec_decode_ctl.scala 412:47] + wire [4:0] i0r_rd = io_dec_i0_instr_d[11:7]; // @[el2_dec_decode_ctl.scala 625:16] + wire _T_302 = i0r_rd == 5'h1; // @[el2_dec_decode_ctl.scala 412:76] + wire _T_303 = i0r_rd == 5'h5; // @[el2_dec_decode_ctl.scala 412:98] + wire _T_304 = _T_302 | _T_303; // @[el2_dec_decode_ctl.scala 412:89] + wire i0_pcall_case = _T_301 & _T_304; // @[el2_dec_decode_ctl.scala 412:65] + wire i0_pcall_raw = i0_dp_raw_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 414:38] wire _T_19 = i0_dp_raw_condbr | i0_pcall_raw; // @[el2_dec_decode_ctl.scala 240:75] - wire _T_309 = ~_T_304; // @[el2_dec_decode_ctl.scala 414:67] - wire i0_pja_case = _T_301 & _T_309; // @[el2_dec_decode_ctl.scala 414:65] - wire i0_pja_raw = i0_dp_raw_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 417:38] + wire _T_309 = ~_T_304; // @[el2_dec_decode_ctl.scala 413:67] + wire i0_pja_case = _T_301 & _T_309; // @[el2_dec_decode_ctl.scala 413:65] + wire i0_pja_raw = i0_dp_raw_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 416:38] wire _T_20 = _T_19 | i0_pja_raw; // @[el2_dec_decode_ctl.scala 240:90] - wire i0_dp_raw_imm12 = i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire _T_325 = i0_dp_raw_jal & i0_dp_raw_imm12; // @[el2_dec_decode_ctl.scala 421:37] - wire _T_326 = i0r_rd == 5'h0; // @[el2_dec_decode_ctl.scala 421:65] - wire _T_327 = _T_325 & _T_326; // @[el2_dec_decode_ctl.scala 421:55] - wire [4:0] i0r_rs1 = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 624:16] - wire _T_328 = i0r_rs1 == 5'h1; // @[el2_dec_decode_ctl.scala 421:89] - wire _T_329 = i0r_rs1 == 5'h5; // @[el2_dec_decode_ctl.scala 421:111] - wire _T_330 = _T_328 | _T_329; // @[el2_dec_decode_ctl.scala 421:101] - wire i0_pret_case = _T_327 & _T_330; // @[el2_dec_decode_ctl.scala 421:79] - wire i0_pret_raw = i0_dp_raw_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 422:32] + wire i0_dp_raw_imm12 = i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire _T_325 = i0_dp_raw_jal & i0_dp_raw_imm12; // @[el2_dec_decode_ctl.scala 420:37] + wire _T_326 = i0r_rd == 5'h0; // @[el2_dec_decode_ctl.scala 420:65] + wire _T_327 = _T_325 & _T_326; // @[el2_dec_decode_ctl.scala 420:55] + wire [4:0] i0r_rs1 = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 623:16] + wire _T_328 = i0r_rs1 == 5'h1; // @[el2_dec_decode_ctl.scala 420:89] + wire _T_329 = i0r_rs1 == 5'h5; // @[el2_dec_decode_ctl.scala 420:111] + wire _T_330 = _T_328 | _T_329; // @[el2_dec_decode_ctl.scala 420:101] + wire i0_pret_case = _T_327 & _T_330; // @[el2_dec_decode_ctl.scala 420:79] + wire i0_pret_raw = i0_dp_raw_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 421:32] wire _T_21 = _T_20 | i0_pret_raw; // @[el2_dec_decode_ctl.scala 240:103] wire _T_22 = ~_T_21; // @[el2_dec_decode_ctl.scala 240:56] wire i0_notbr_error = i0_brp_valid & _T_22; // @[el2_dec_decode_ctl.scala 240:54] wire _T_30 = io_dec_i0_brp_br_error | i0_notbr_error; // @[el2_dec_decode_ctl.scala 245:57] wire _T_24 = i0_brp_valid & io_dec_i0_brp_hist[1]; // @[el2_dec_decode_ctl.scala 243:47] - wire _T_314 = i0_pcall_raw | i0_pja_raw; // @[el2_dec_decode_ctl.scala 419:41] + wire _T_314 = i0_pcall_raw | i0_pja_raw; // @[el2_dec_decode_ctl.scala 418:41] wire [11:0] _T_323 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[7],io_dec_i0_instr_d[30:25],io_dec_i0_instr_d[11:8]}; // @[Cat.scala 29:58] - wire [11:0] i0_br_offset = _T_314 ? i0_pcall_imm[12:1] : _T_323; // @[el2_dec_decode_ctl.scala 419:26] + wire [11:0] i0_br_offset = _T_314 ? i0_pcall_imm[12:1] : _T_323; // @[el2_dec_decode_ctl.scala 418:26] wire _T_25 = io_dec_i0_brp_toffset != i0_br_offset; // @[el2_dec_decode_ctl.scala 243:96] wire _T_26 = _T_24 & _T_25; // @[el2_dec_decode_ctl.scala 243:71] wire _T_27 = ~i0_pret_raw; // @[el2_dec_decode_ctl.scala 243:116] @@ -1237,194 +1222,196 @@ module el2_dec_decode_ctl( wire i0_br_error_all = _T_38 & _T_17; // @[el2_dec_decode_ctl.scala 250:79] wire i0_icaf_d = io_dec_i0_icaf_d | io_dec_i0_dbecc_d; // @[el2_dec_decode_ctl.scala 259:36] wire _T_40 = i0_br_error_all | i0_icaf_d; // @[el2_dec_decode_ctl.scala 263:25] - wire i0_dp_raw_postsync = i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_postsync = i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_postsync = _T_40 | i0_dp_raw_postsync; // @[el2_dec_decode_ctl.scala 263:50] - wire _T_439 = i0_dp_postsync | io_dec_tlu_postsync_d; // @[el2_dec_decode_ctl.scala 530:36] - wire debug_fence_i = io_dec_debug_fence_d & io_dbg_cmd_wrdata[0]; // @[el2_dec_decode_ctl.scala 522:48] - wire _T_440 = _T_439 | debug_fence_i; // @[el2_dec_decode_ctl.scala 530:60] - wire i0_dp_raw_csr_write = i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire _T_439 = i0_dp_postsync | io_dec_tlu_postsync_d; // @[el2_dec_decode_ctl.scala 529:36] + wire debug_fence_i = io_dec_debug_fence_d & io_dbg_cmd_wrdata[0]; // @[el2_dec_decode_ctl.scala 521:48] + wire _T_440 = _T_439 | debug_fence_i; // @[el2_dec_decode_ctl.scala 529:60] + wire i0_dp_raw_csr_write = i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_csr_write = _T_40 ? 1'h0 : i0_dp_raw_csr_write; // @[el2_dec_decode_ctl.scala 263:50] - wire _T_343 = ~io_dec_debug_fence_d; // @[el2_dec_decode_ctl.scala 461:42] - wire i0_csr_write = i0_dp_csr_write & _T_343; // @[el2_dec_decode_ctl.scala 461:40] - wire i0_dp_raw_csr_read = i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire _T_343 = ~io_dec_debug_fence_d; // @[el2_dec_decode_ctl.scala 460:42] + wire i0_csr_write = i0_dp_csr_write & _T_343; // @[el2_dec_decode_ctl.scala 460:40] + wire i0_dp_raw_csr_read = i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_csr_read = _T_40 ? 1'h0 : i0_dp_raw_csr_read; // @[el2_dec_decode_ctl.scala 263:50] - wire _T_347 = ~i0_dp_csr_read; // @[el2_dec_decode_ctl.scala 466:41] - wire i0_csr_write_only_d = i0_csr_write & _T_347; // @[el2_dec_decode_ctl.scala 466:39] - wire _T_442 = io_dec_i0_instr_d[31:20] == 12'h7c2; // @[el2_dec_decode_ctl.scala 530:112] - wire _T_443 = i0_csr_write_only_d & _T_442; // @[el2_dec_decode_ctl.scala 530:99] - wire i0_postsync = _T_440 | _T_443; // @[el2_dec_decode_ctl.scala 530:76] - wire i0_dp_raw_legal = i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire _T_347 = ~i0_dp_csr_read; // @[el2_dec_decode_ctl.scala 465:41] + wire i0_csr_write_only_d = i0_csr_write & _T_347; // @[el2_dec_decode_ctl.scala 465:39] + wire _T_442 = io_dec_i0_instr_d[31:20] == 12'h7c2; // @[el2_dec_decode_ctl.scala 529:112] + wire _T_443 = i0_csr_write_only_d & _T_442; // @[el2_dec_decode_ctl.scala 529:99] + wire i0_postsync = _T_440 | _T_443; // @[el2_dec_decode_ctl.scala 529:76] + wire i0_dp_raw_legal = i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_legal = _T_40 | i0_dp_raw_legal; // @[el2_dec_decode_ctl.scala 263:50] - wire any_csr_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 532:34] - wire _T_444 = ~any_csr_d; // @[el2_dec_decode_ctl.scala 534:40] - wire _T_445 = _T_444 | io_dec_csr_legal_d; // @[el2_dec_decode_ctl.scala 534:51] - wire i0_legal = i0_dp_legal & _T_445; // @[el2_dec_decode_ctl.scala 534:37] - wire _T_504 = ~i0_legal; // @[el2_dec_decode_ctl.scala 574:56] - wire _T_505 = i0_postsync | _T_504; // @[el2_dec_decode_ctl.scala 574:54] - wire _T_506 = io_dec_i0_decode_d & _T_505; // @[el2_dec_decode_ctl.scala 574:39] - reg postsync_stall; // @[el2_dec_decode_ctl.scala 572:53] - reg x_d_i0valid; // @[beh_lib.scala 366:14] - wire _T_507 = postsync_stall & x_d_i0valid; // @[el2_dec_decode_ctl.scala 574:88] - wire ps_stall_in = _T_506 | _T_507; // @[el2_dec_decode_ctl.scala 574:69] - wire _T_12 = ps_stall_in ^ postsync_stall; // @[el2_dec_decode_ctl.scala 217:50] - wire _T_13 = _T_11 | _T_12; // @[el2_dec_decode_ctl.scala 216:74] - reg flush_final_r; // @[el2_dec_decode_ctl.scala 620:52] - wire _T_14 = io_exu_flush_final ^ flush_final_r; // @[el2_dec_decode_ctl.scala 218:50] - wire _T_15 = _T_13 | _T_14; // @[el2_dec_decode_ctl.scala 217:74] - wire shift_illegal = io_dec_i0_decode_d & _T_504; // @[el2_dec_decode_ctl.scala 538:47] - reg illegal_lockout; // @[el2_dec_decode_ctl.scala 542:54] - wire _T_466 = shift_illegal | illegal_lockout; // @[el2_dec_decode_ctl.scala 541:40] - wire _T_467 = ~flush_final_r; // @[el2_dec_decode_ctl.scala 541:61] - wire illegal_lockout_in = _T_466 & _T_467; // @[el2_dec_decode_ctl.scala 541:59] - wire _T_16 = illegal_lockout_in ^ illegal_lockout; // @[el2_dec_decode_ctl.scala 219:50] - wire i0_legal_decode_d = io_dec_i0_decode_d & i0_legal; // @[el2_dec_decode_ctl.scala 648:46] + wire any_csr_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 531:34] + wire _T_444 = ~any_csr_d; // @[el2_dec_decode_ctl.scala 533:40] + wire _T_445 = _T_444 | io_dec_csr_legal_d; // @[el2_dec_decode_ctl.scala 533:51] + wire i0_legal = i0_dp_legal & _T_445; // @[el2_dec_decode_ctl.scala 533:37] + wire _T_504 = ~i0_legal; // @[el2_dec_decode_ctl.scala 573:56] + wire _T_505 = i0_postsync | _T_504; // @[el2_dec_decode_ctl.scala 573:54] + wire _T_506 = io_dec_i0_decode_d & _T_505; // @[el2_dec_decode_ctl.scala 573:39] + reg postsync_stall; // @[el2_dec_decode_ctl.scala 571:53] + reg x_d_i0valid; // @[el2_lib.scala 501:16] + wire _T_507 = postsync_stall & x_d_i0valid; // @[el2_dec_decode_ctl.scala 573:88] + wire ps_stall_in = _T_506 | _T_507; // @[el2_dec_decode_ctl.scala 573:69] + wire _T_12 = ps_stall_in ^ postsync_stall; // @[el2_dec_decode_ctl.scala 217:32] + wire _T_13 = _T_11 | _T_12; // @[el2_dec_decode_ctl.scala 216:56] + reg flush_final_r; // @[el2_dec_decode_ctl.scala 619:52] + wire _T_14 = io_exu_flush_final ^ flush_final_r; // @[el2_dec_decode_ctl.scala 218:32] + wire _T_15 = _T_13 | _T_14; // @[el2_dec_decode_ctl.scala 217:56] + wire shift_illegal = io_dec_i0_decode_d & _T_504; // @[el2_dec_decode_ctl.scala 537:47] + reg illegal_lockout; // @[el2_dec_decode_ctl.scala 541:54] + wire _T_466 = shift_illegal | illegal_lockout; // @[el2_dec_decode_ctl.scala 540:40] + wire _T_467 = ~flush_final_r; // @[el2_dec_decode_ctl.scala 540:61] + wire illegal_lockout_in = _T_466 & _T_467; // @[el2_dec_decode_ctl.scala 540:59] + wire _T_16 = illegal_lockout_in ^ illegal_lockout; // @[el2_dec_decode_ctl.scala 219:32] + wire i0_legal_decode_d = io_dec_i0_decode_d & i0_legal; // @[el2_dec_decode_ctl.scala 647:46] wire _T_32 = i0_br_error & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 246:67] wire _T_35 = io_dec_i0_brp_br_start_error & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 247:84] - wire i0_dp_raw_pm_alu = i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_pm_alu = i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_pm_alu = _T_40 ? 1'h0 : i0_dp_raw_pm_alu; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_fence_i = i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_fence_i = i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_fence_i = _T_40 ? 1'h0 : i0_dp_raw_fence_i; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_fence = i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_fence = i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_fence = _T_40 ? 1'h0 : i0_dp_raw_fence; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_rem = i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_div = i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_rem = i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_div = i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_div = _T_40 ? 1'h0 : i0_dp_raw_div; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_low = i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_rs2_sign = i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_rs1_sign = i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_mul = i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_low = i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_rs2_sign = i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_rs1_sign = i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_mul = i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_mul = _T_40 ? 1'h0 : i0_dp_raw_mul; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_mret = i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_mret = i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_mret = _T_40 ? 1'h0 : i0_dp_raw_mret; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_ecall = i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_ecall = i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_ecall = _T_40 ? 1'h0 : i0_dp_raw_ecall; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_ebreak = i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_ebreak = i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_ebreak = _T_40 ? 1'h0 : i0_dp_raw_ebreak; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_presync = i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_presync = i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_presync = _T_40 ? 1'h0 : i0_dp_raw_presync; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_csr_imm = i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_csr_imm = i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_csr_imm = _T_40 ? 1'h0 : i0_dp_raw_csr_imm; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_csr_set = i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_csr_set = i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_csr_set = _T_40 ? 1'h0 : i0_dp_raw_csr_set; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_csr_clr = i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_csr_clr = i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_csr_clr = _T_40 ? 1'h0 : i0_dp_raw_csr_clr; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_word = i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_word = i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_word = _T_40 ? 1'h0 : i0_dp_raw_word; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_half = i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_half = i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_half = _T_40 ? 1'h0 : i0_dp_raw_half; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_by = i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_by = i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_by = _T_40 ? 1'h0 : i0_dp_raw_by; // @[el2_dec_decode_ctl.scala 263:50] wire i0_dp_jal = _T_40 ? 1'h0 : i0_dp_raw_jal; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_blt = i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_bge = i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_bne = i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_beq = i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_blt = i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_bge = i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_bne = i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_beq = i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_condbr = _T_40 ? 1'h0 : i0_dp_raw_condbr; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_unsign = i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_unsign = i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_unsign = _T_40 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_slt = i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_srl = i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_sra = i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_sll = i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_lxor = i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_lor = i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_land = i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_sub = i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_add = i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_raw_lsu = i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_slt = i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_srl = i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_sra = i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_sll = i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_lxor = i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_lor = i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_land = i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_sub = i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_add = i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_raw_lsu = i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_lsu = _T_40 ? 1'h0 : i0_dp_raw_lsu; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_store = i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_store = i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_store = _T_40 ? 1'h0 : i0_dp_raw_store; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_load = i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_load = i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_load = _T_40 ? 1'h0 : i0_dp_raw_load; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_pc = i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_pc = i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_imm20 = _T_40 ? 1'h0 : i0_dp_raw_imm20; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_shimm5 = i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_shimm5 = i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_shimm5 = _T_40 ? 1'h0 : i0_dp_raw_shimm5; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_rd = i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_rd = i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_rd = _T_40 ? 1'h0 : i0_dp_raw_rd; // @[el2_dec_decode_ctl.scala 263:50] wire i0_dp_imm12 = _T_40 ? 1'h0 : i0_dp_raw_imm12; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_rs1 = i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] - wire i0_dp_rs1 = _T_40 | i0_dp_raw_rs1; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_rs2 = i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_rs2 = i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_rs2 = _T_40 | i0_dp_raw_rs2; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_dp_raw_alu = i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:14] + wire i0_dp_raw_rs1 = i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] + wire i0_dp_rs1 = _T_40 | i0_dp_raw_rs1; // @[el2_dec_decode_ctl.scala 263:50] + wire i0_dp_raw_alu = i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 397:12] wire i0_dp_alu = _T_40 | i0_dp_raw_alu; // @[el2_dec_decode_ctl.scala 263:50] - wire i0_pcall = i0_dp_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 416:38] + wire i0_pcall = i0_dp_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 415:38] wire _T_43 = i0_dp_condbr | i0_pcall; // @[el2_dec_decode_ctl.scala 277:38] - wire i0_pja = i0_dp_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 418:38] + wire i0_pja = i0_dp_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 417:38] wire _T_44 = _T_43 | i0_pja; // @[el2_dec_decode_ctl.scala 277:49] - wire i0_pret = i0_dp_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 423:32] + wire i0_pret = i0_dp_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 422:32] wire i0_predict_br = _T_44 | i0_pret; // @[el2_dec_decode_ctl.scala 277:58] wire _T_46 = io_dec_i0_brp_hist[1] & i0_brp_valid; // @[el2_dec_decode_ctl.scala 279:50] wire _T_47 = ~_T_46; // @[el2_dec_decode_ctl.scala 279:26] wire i0_ap_pc2 = ~io_dec_i0_pc4_d; // @[el2_dec_decode_ctl.scala 281:20] wire cam_data_reset = io_lsu_nonblock_load_data_valid | io_lsu_nonblock_load_data_error; // @[el2_dec_decode_ctl.scala 314:63] reg [2:0] cam_raw_0_tag; // @[el2_dec_decode_ctl.scala 350:47] - wire _T_93 = io_lsu_nonblock_load_data_tag == cam_raw_0_tag; // @[el2_dec_decode_ctl.scala 325:67] + wire [2:0] _GEN_123 = {{1'd0}, io_lsu_nonblock_load_data_tag}; // @[el2_dec_decode_ctl.scala 325:67] + wire _T_93 = _GEN_123 == cam_raw_0_tag; // @[el2_dec_decode_ctl.scala 325:67] wire _T_94 = cam_data_reset & _T_93; // @[el2_dec_decode_ctl.scala 325:45] reg cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 350:47] wire cam_data_reset_val_0 = _T_94 & cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 325:83] wire cam_0_valid = cam_data_reset_val_0 ? 1'h0 : cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 329:39] - wire _T_50 = ~cam_0_valid; // @[el2_dec_decode_ctl.scala 306:79] + wire _T_50 = ~cam_0_valid; // @[el2_dec_decode_ctl.scala 306:78] reg [2:0] cam_raw_1_tag; // @[el2_dec_decode_ctl.scala 350:47] - wire _T_119 = io_lsu_nonblock_load_data_tag == cam_raw_1_tag; // @[el2_dec_decode_ctl.scala 325:67] + wire _T_119 = _GEN_123 == cam_raw_1_tag; // @[el2_dec_decode_ctl.scala 325:67] wire _T_120 = cam_data_reset & _T_119; // @[el2_dec_decode_ctl.scala 325:45] reg cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 350:47] wire cam_data_reset_val_1 = _T_120 & cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 325:83] wire cam_1_valid = cam_data_reset_val_1 ? 1'h0 : cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 329:39] - wire _T_53 = ~cam_1_valid; // @[el2_dec_decode_ctl.scala 306:79] - wire _T_56 = cam_0_valid & _T_53; // @[el2_dec_decode_ctl.scala 306:127] - wire [1:0] _T_58 = {io_lsu_nonblock_load_valid_m, 1'h0}; // @[el2_dec_decode_ctl.scala 306:159] + wire _T_53 = ~cam_1_valid; // @[el2_dec_decode_ctl.scala 306:78] + wire _T_56 = cam_0_valid & _T_53; // @[el2_dec_decode_ctl.scala 306:126] + wire [1:0] _T_58 = {io_lsu_nonblock_load_valid_m, 1'h0}; // @[el2_dec_decode_ctl.scala 306:158] reg [2:0] cam_raw_2_tag; // @[el2_dec_decode_ctl.scala 350:47] - wire _T_145 = io_lsu_nonblock_load_data_tag == cam_raw_2_tag; // @[el2_dec_decode_ctl.scala 325:67] + wire _T_145 = _GEN_123 == cam_raw_2_tag; // @[el2_dec_decode_ctl.scala 325:67] wire _T_146 = cam_data_reset & _T_145; // @[el2_dec_decode_ctl.scala 325:45] reg cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 350:47] wire cam_data_reset_val_2 = _T_146 & cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 325:83] wire cam_2_valid = cam_data_reset_val_2 ? 1'h0 : cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 329:39] - wire _T_59 = ~cam_2_valid; // @[el2_dec_decode_ctl.scala 306:79] - wire _T_62 = cam_0_valid & cam_1_valid; // @[el2_dec_decode_ctl.scala 306:127] - wire _T_65 = _T_62 & _T_59; // @[el2_dec_decode_ctl.scala 306:127] - wire [2:0] _T_67 = {io_lsu_nonblock_load_valid_m, 2'h0}; // @[el2_dec_decode_ctl.scala 306:159] + wire _T_59 = ~cam_2_valid; // @[el2_dec_decode_ctl.scala 306:78] + wire _T_62 = cam_0_valid & cam_1_valid; // @[el2_dec_decode_ctl.scala 306:126] + wire _T_65 = _T_62 & _T_59; // @[el2_dec_decode_ctl.scala 306:126] + wire [2:0] _T_67 = {io_lsu_nonblock_load_valid_m, 2'h0}; // @[el2_dec_decode_ctl.scala 306:158] reg [2:0] cam_raw_3_tag; // @[el2_dec_decode_ctl.scala 350:47] - wire _T_171 = io_lsu_nonblock_load_data_tag == cam_raw_3_tag; // @[el2_dec_decode_ctl.scala 325:67] + wire _T_171 = _GEN_123 == cam_raw_3_tag; // @[el2_dec_decode_ctl.scala 325:67] wire _T_172 = cam_data_reset & _T_171; // @[el2_dec_decode_ctl.scala 325:45] reg cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 350:47] wire cam_data_reset_val_3 = _T_172 & cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 325:83] wire cam_3_valid = cam_data_reset_val_3 ? 1'h0 : cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 329:39] - wire _T_68 = ~cam_3_valid; // @[el2_dec_decode_ctl.scala 306:79] - wire _T_74 = _T_62 & cam_2_valid; // @[el2_dec_decode_ctl.scala 306:127] - wire _T_77 = _T_74 & _T_68; // @[el2_dec_decode_ctl.scala 306:127] - wire [3:0] _T_79 = {io_lsu_nonblock_load_valid_m, 3'h0}; // @[el2_dec_decode_ctl.scala 306:159] + wire _T_68 = ~cam_3_valid; // @[el2_dec_decode_ctl.scala 306:78] + wire _T_74 = _T_62 & cam_2_valid; // @[el2_dec_decode_ctl.scala 306:126] + wire _T_77 = _T_74 & _T_68; // @[el2_dec_decode_ctl.scala 306:126] + wire [3:0] _T_79 = {io_lsu_nonblock_load_valid_m, 3'h0}; // @[el2_dec_decode_ctl.scala 306:158] wire _T_80 = _T_50 & io_lsu_nonblock_load_valid_m; // @[Mux.scala 27:72] wire [1:0] _T_81 = _T_56 ? _T_58 : 2'h0; // @[Mux.scala 27:72] wire [2:0] _T_82 = _T_65 ? _T_67 : 3'h0; // @[Mux.scala 27:72] wire [3:0] _T_83 = _T_77 ? _T_79 : 4'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_123 = {{1'd0}, _T_80}; // @[Mux.scala 27:72] - wire [1:0] _T_84 = _GEN_123 | _T_81; // @[Mux.scala 27:72] - wire [2:0] _GEN_124 = {{1'd0}, _T_84}; // @[Mux.scala 27:72] - wire [2:0] _T_85 = _GEN_124 | _T_82; // @[Mux.scala 27:72] - wire [3:0] _GEN_125 = {{1'd0}, _T_85}; // @[Mux.scala 27:72] - wire [3:0] cam_wen = _GEN_125 | _T_83; // @[Mux.scala 27:72] - reg x_d_i0load; // @[beh_lib.scala 366:14] - reg [4:0] x_d_i0rd; // @[beh_lib.scala 366:14] + wire [1:0] _GEN_127 = {{1'd0}, _T_80}; // @[Mux.scala 27:72] + wire [1:0] _T_84 = _GEN_127 | _T_81; // @[Mux.scala 27:72] + wire [2:0] _GEN_128 = {{1'd0}, _T_84}; // @[Mux.scala 27:72] + wire [2:0] _T_85 = _GEN_128 | _T_82; // @[Mux.scala 27:72] + wire [3:0] _GEN_129 = {{1'd0}, _T_85}; // @[Mux.scala 27:72] + wire [3:0] cam_wen = _GEN_129 | _T_83; // @[Mux.scala 27:72] + reg x_d_i0load; // @[el2_lib.scala 501:16] + reg [4:0] x_d_i0rd; // @[el2_lib.scala 501:16] wire [4:0] nonblock_load_rd = x_d_i0load ? x_d_i0rd : 5'h0; // @[el2_dec_decode_ctl.scala 317:31] - reg [2:0] _T_701; // @[el2_dec_decode_ctl.scala 656:72] + reg [2:0] _T_701; // @[el2_dec_decode_ctl.scala 655:72] wire [3:0] i0_pipe_en = {io_dec_i0_decode_d,_T_701}; // @[Cat.scala 29:58] - wire _T_707 = |i0_pipe_en[2:1]; // @[el2_dec_decode_ctl.scala 659:49] - wire i0_r_ctl_en = _T_707 | io_clk_override; // @[el2_dec_decode_ctl.scala 659:53] + wire _T_707 = |i0_pipe_en[2:1]; // @[el2_dec_decode_ctl.scala 658:49] + wire i0_r_ctl_en = _T_707 | io_clk_override; // @[el2_dec_decode_ctl.scala 658:53] reg nonblock_load_valid_m_delay; // @[Reg.scala 27:20] - reg r_d_i0load; // @[beh_lib.scala 366:14] + reg r_d_i0load; // @[el2_lib.scala 501:16] wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_i0load; // @[el2_dec_decode_ctl.scala 322:56] - wire _T_90 = io_lsu_nonblock_load_inv_tag_r == cam_raw_0_tag; // @[el2_dec_decode_ctl.scala 324:66] + wire [2:0] _GEN_130 = {{1'd0}, io_lsu_nonblock_load_inv_tag_r}; // @[el2_dec_decode_ctl.scala 324:66] + wire _T_90 = _GEN_130 == cam_raw_0_tag; // @[el2_dec_decode_ctl.scala 324:66] wire _T_91 = io_lsu_nonblock_load_inv_r & _T_90; // @[el2_dec_decode_ctl.scala 324:45] wire cam_inv_reset_val_0 = _T_91 & cam_0_valid; // @[el2_dec_decode_ctl.scala 324:82] - reg r_d_i0v; // @[beh_lib.scala 366:14] - wire _T_743 = ~io_dec_tlu_flush_lower_wb; // @[el2_dec_decode_ctl.scala 691:41] - wire r_d_in_i0v = r_d_i0v & _T_743; // @[el2_dec_decode_ctl.scala 691:39] - wire _T_754 = ~io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 699:42] - wire i0_wen_r = r_d_in_i0v & _T_754; // @[el2_dec_decode_ctl.scala 699:40] - reg [4:0] r_d_i0rd; // @[beh_lib.scala 366:14] + reg r_d_i0v; // @[el2_lib.scala 501:16] + wire _T_743 = ~io_dec_tlu_flush_lower_wb; // @[el2_dec_decode_ctl.scala 690:41] + wire r_d_in_i0v = r_d_i0v & _T_743; // @[el2_dec_decode_ctl.scala 690:39] + wire _T_754 = ~io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 698:42] + wire i0_wen_r = r_d_in_i0v & _T_754; // @[el2_dec_decode_ctl.scala 698:40] + reg [4:0] r_d_i0rd; // @[el2_lib.scala 501:16] reg [4:0] cam_raw_0_rd; // @[el2_dec_decode_ctl.scala 350:47] wire _T_102 = r_d_i0rd == cam_raw_0_rd; // @[el2_dec_decode_ctl.scala 337:80] wire _T_103 = i0_wen_r & _T_102; // @[el2_dec_decode_ctl.scala 337:64] @@ -1438,7 +1425,7 @@ module el2_dec_decode_ctl( wire _T_109 = nonblock_load_valid_m_delay & _T_90; // @[el2_dec_decode_ctl.scala 342:44] wire _T_111 = _T_109 & cam_0_valid; // @[el2_dec_decode_ctl.scala 342:95] wire nonblock_load_write_0 = _T_93 & cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 351:66] - wire _T_116 = io_lsu_nonblock_load_inv_tag_r == cam_raw_1_tag; // @[el2_dec_decode_ctl.scala 324:66] + wire _T_116 = _GEN_130 == cam_raw_1_tag; // @[el2_dec_decode_ctl.scala 324:66] wire _T_117 = io_lsu_nonblock_load_inv_r & _T_116; // @[el2_dec_decode_ctl.scala 324:45] wire cam_inv_reset_val_1 = _T_117 & cam_1_valid; // @[el2_dec_decode_ctl.scala 324:82] reg [4:0] cam_raw_1_rd; // @[el2_dec_decode_ctl.scala 350:47] @@ -1454,7 +1441,7 @@ module el2_dec_decode_ctl( wire _T_135 = nonblock_load_valid_m_delay & _T_116; // @[el2_dec_decode_ctl.scala 342:44] wire _T_137 = _T_135 & cam_1_valid; // @[el2_dec_decode_ctl.scala 342:95] wire nonblock_load_write_1 = _T_119 & cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 351:66] - wire _T_142 = io_lsu_nonblock_load_inv_tag_r == cam_raw_2_tag; // @[el2_dec_decode_ctl.scala 324:66] + wire _T_142 = _GEN_130 == cam_raw_2_tag; // @[el2_dec_decode_ctl.scala 324:66] wire _T_143 = io_lsu_nonblock_load_inv_r & _T_142; // @[el2_dec_decode_ctl.scala 324:45] wire cam_inv_reset_val_2 = _T_143 & cam_2_valid; // @[el2_dec_decode_ctl.scala 324:82] reg [4:0] cam_raw_2_rd; // @[el2_dec_decode_ctl.scala 350:47] @@ -1470,7 +1457,7 @@ module el2_dec_decode_ctl( wire _T_161 = nonblock_load_valid_m_delay & _T_142; // @[el2_dec_decode_ctl.scala 342:44] wire _T_163 = _T_161 & cam_2_valid; // @[el2_dec_decode_ctl.scala 342:95] wire nonblock_load_write_2 = _T_145 & cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 351:66] - wire _T_168 = io_lsu_nonblock_load_inv_tag_r == cam_raw_3_tag; // @[el2_dec_decode_ctl.scala 324:66] + wire _T_168 = _GEN_130 == cam_raw_3_tag; // @[el2_dec_decode_ctl.scala 324:66] wire _T_169 = io_lsu_nonblock_load_inv_r & _T_168; // @[el2_dec_decode_ctl.scala 324:45] wire cam_inv_reset_val_3 = _T_169 & cam_3_valid; // @[el2_dec_decode_ctl.scala 324:82] reg [4:0] cam_raw_3_rd; // @[el2_dec_decode_ctl.scala 350:47] @@ -1496,7 +1483,7 @@ module el2_dec_decode_ctl( wire _T_202 = nonblock_load_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 358:54] wire _T_203 = _T_202 & io_lsu_nonblock_load_valid_m; // @[el2_dec_decode_ctl.scala 358:66] wire _T_204 = _T_203 & io_dec_i0_rs1_en_d; // @[el2_dec_decode_ctl.scala 358:97] - wire [4:0] i0r_rs2 = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 625:16] + wire [4:0] i0r_rs2 = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 624:16] wire _T_205 = nonblock_load_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 358:137] wire _T_206 = _T_205 & io_lsu_nonblock_load_valid_m; // @[el2_dec_decode_ctl.scala 358:149] wire _T_207 = _T_206 & io_dec_i0_rs2_en_d; // @[el2_dec_decode_ctl.scala 358:180] @@ -1545,197 +1532,197 @@ module el2_dec_decode_ctl( wire i0_nonblock_load_stall = _T_250 | i0_nonblock_boundary_stall; // @[el2_dec_decode_ctl.scala 365:51] wire _T_252 = ~i0_predict_br; // @[el2_dec_decode_ctl.scala 374:34] wire [3:0] _T_254 = i0_legal_decode_d ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire _T_255 = ~io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 384:18] - wire csr_read = i0_dp_csr_read & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 459:36] - wire _T_256 = csr_read & _T_255; // @[el2_dec_decode_ctl.scala 384:16] - wire _T_258 = ~csr_read; // @[el2_dec_decode_ctl.scala 385:6] - wire _T_259 = _T_258 & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 385:16] - wire _T_261 = csr_read & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 386:16] - wire [3:0] _T_263 = i0_dp_jal ? 4'he : 4'h0; // @[Mux.scala 98:16] - wire [3:0] _T_264 = i0_dp_condbr ? 4'hd : _T_263; // @[Mux.scala 98:16] - wire [3:0] _T_265 = i0_dp_mret ? 4'hc : _T_264; // @[Mux.scala 98:16] - wire [3:0] _T_266 = i0_dp_fence_i ? 4'hb : _T_265; // @[Mux.scala 98:16] - wire [3:0] _T_267 = i0_dp_fence ? 4'ha : _T_266; // @[Mux.scala 98:16] - wire [3:0] _T_268 = i0_dp_ecall ? 4'h9 : _T_267; // @[Mux.scala 98:16] - wire [3:0] _T_269 = i0_dp_ebreak ? 4'h8 : _T_268; // @[Mux.scala 98:16] - wire [3:0] _T_270 = _T_261 ? 4'h7 : _T_269; // @[Mux.scala 98:16] - wire [3:0] _T_271 = _T_259 ? 4'h6 : _T_270; // @[Mux.scala 98:16] - wire [3:0] _T_272 = _T_256 ? 4'h5 : _T_271; // @[Mux.scala 98:16] - wire [3:0] _T_273 = i0_dp_pm_alu ? 4'h4 : _T_272; // @[Mux.scala 98:16] - wire [3:0] _T_274 = i0_dp_store ? 4'h3 : _T_273; // @[Mux.scala 98:16] - wire [3:0] _T_275 = i0_dp_load ? 4'h2 : _T_274; // @[Mux.scala 98:16] - wire [3:0] _T_276 = i0_dp_mul ? 4'h1 : _T_275; // @[Mux.scala 98:16] - reg lsu_idle; // @[el2_dec_decode_ctl.scala 400:45] - wire _T_333 = ~i0_pcall_case; // @[el2_dec_decode_ctl.scala 424:35] - wire _T_334 = i0_dp_jal & _T_333; // @[el2_dec_decode_ctl.scala 424:32] - wire _T_335 = ~i0_pja_case; // @[el2_dec_decode_ctl.scala 424:52] - wire _T_336 = _T_334 & _T_335; // @[el2_dec_decode_ctl.scala 424:50] - wire _T_337 = ~i0_pret_case; // @[el2_dec_decode_ctl.scala 424:67] - reg _T_339; // @[el2_dec_decode_ctl.scala 436:58] - wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 578:40] - wire _T_902 = i0_dp_load | i0_dp_store; // @[el2_dec_decode_ctl.scala 792:47] - reg x_d_i0v; // @[beh_lib.scala 366:14] - wire _T_876 = io_dec_i0_rs1_en_d & x_d_i0v; // @[el2_dec_decode_ctl.scala 772:48] - wire _T_877 = x_d_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 772:70] - wire i0_rs1_depend_i0_x = _T_876 & _T_877; // @[el2_dec_decode_ctl.scala 772:58] - wire _T_878 = io_dec_i0_rs1_en_d & r_d_i0v; // @[el2_dec_decode_ctl.scala 773:48] - wire _T_879 = r_d_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 773:70] - wire i0_rs1_depend_i0_r = _T_878 & _T_879; // @[el2_dec_decode_ctl.scala 773:58] - wire [1:0] _T_891 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 779:63] - wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_891; // @[el2_dec_decode_ctl.scala 779:24] - wire _T_904 = _T_902 & i0_rs1_depth_d[0]; // @[el2_dec_decode_ctl.scala 792:62] + wire csr_read = i0_dp_csr_read & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 458:36] + wire _T_255 = csr_read & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 386:16] + wire _T_257 = ~csr_read; // @[el2_dec_decode_ctl.scala 387:6] + wire _T_258 = _T_257 & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 387:16] + wire _T_260 = ~io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 388:18] + wire _T_261 = csr_read & _T_260; // @[el2_dec_decode_ctl.scala 388:16] + wire [3:0] _T_263 = i0_dp_mul ? 4'h1 : 4'h0; // @[Mux.scala 98:16] + wire [3:0] _T_264 = i0_dp_load ? 4'h2 : _T_263; // @[Mux.scala 98:16] + wire [3:0] _T_265 = i0_dp_store ? 4'h3 : _T_264; // @[Mux.scala 98:16] + wire [3:0] _T_266 = i0_dp_pm_alu ? 4'h4 : _T_265; // @[Mux.scala 98:16] + wire [3:0] _T_267 = _T_261 ? 4'h5 : _T_266; // @[Mux.scala 98:16] + wire [3:0] _T_268 = _T_258 ? 4'h6 : _T_267; // @[Mux.scala 98:16] + wire [3:0] _T_269 = _T_255 ? 4'h7 : _T_268; // @[Mux.scala 98:16] + wire [3:0] _T_270 = i0_dp_ebreak ? 4'h8 : _T_269; // @[Mux.scala 98:16] + wire [3:0] _T_271 = i0_dp_ecall ? 4'h9 : _T_270; // @[Mux.scala 98:16] + wire [3:0] _T_272 = i0_dp_fence ? 4'ha : _T_271; // @[Mux.scala 98:16] + wire [3:0] _T_273 = i0_dp_fence_i ? 4'hb : _T_272; // @[Mux.scala 98:16] + wire [3:0] _T_274 = i0_dp_mret ? 4'hc : _T_273; // @[Mux.scala 98:16] + wire [3:0] _T_275 = i0_dp_condbr ? 4'hd : _T_274; // @[Mux.scala 98:16] + wire [3:0] _T_276 = i0_dp_jal ? 4'he : _T_275; // @[Mux.scala 98:16] + reg lsu_idle; // @[el2_dec_decode_ctl.scala 399:45] + wire _T_333 = ~i0_pcall_case; // @[el2_dec_decode_ctl.scala 423:35] + wire _T_334 = i0_dp_jal & _T_333; // @[el2_dec_decode_ctl.scala 423:32] + wire _T_335 = ~i0_pja_case; // @[el2_dec_decode_ctl.scala 423:52] + wire _T_336 = _T_334 & _T_335; // @[el2_dec_decode_ctl.scala 423:50] + wire _T_337 = ~i0_pret_case; // @[el2_dec_decode_ctl.scala 423:67] + reg _T_339; // @[el2_dec_decode_ctl.scala 435:58] + wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 577:40] + wire _T_902 = i0_dp_load | i0_dp_store; // @[el2_dec_decode_ctl.scala 791:43] + reg x_d_i0v; // @[el2_lib.scala 501:16] + wire _T_876 = io_dec_i0_rs1_en_d & x_d_i0v; // @[el2_dec_decode_ctl.scala 771:48] + wire _T_877 = x_d_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 771:70] + wire i0_rs1_depend_i0_x = _T_876 & _T_877; // @[el2_dec_decode_ctl.scala 771:58] + wire _T_878 = io_dec_i0_rs1_en_d & r_d_i0v; // @[el2_dec_decode_ctl.scala 772:48] + wire _T_879 = r_d_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 772:70] + wire i0_rs1_depend_i0_r = _T_878 & _T_879; // @[el2_dec_decode_ctl.scala 772:58] + wire [1:0] _T_891 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 778:63] + wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_891; // @[el2_dec_decode_ctl.scala 778:24] + wire _T_904 = _T_902 & i0_rs1_depth_d[0]; // @[el2_dec_decode_ctl.scala 791:58] reg i0_x_c_load; // @[Reg.scala 15:16] reg i0_r_c_load; // @[Reg.scala 15:16] - wire _T_887_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 778:61] - wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_887_load; // @[el2_dec_decode_ctl.scala 778:24] - wire load_ldst_bypass_d = _T_904 & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 792:82] - wire _T_880 = io_dec_i0_rs2_en_d & x_d_i0v; // @[el2_dec_decode_ctl.scala 775:48] - wire _T_881 = x_d_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 775:70] - wire i0_rs2_depend_i0_x = _T_880 & _T_881; // @[el2_dec_decode_ctl.scala 775:58] - wire _T_882 = io_dec_i0_rs2_en_d & r_d_i0v; // @[el2_dec_decode_ctl.scala 776:48] - wire _T_883 = r_d_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 776:70] - wire i0_rs2_depend_i0_r = _T_882 & _T_883; // @[el2_dec_decode_ctl.scala 776:58] - wire [1:0] _T_900 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 781:63] - wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_900; // @[el2_dec_decode_ctl.scala 781:24] - wire _T_907 = i0_dp_store & i0_rs2_depth_d[0]; // @[el2_dec_decode_ctl.scala 793:47] - wire _T_896_load = i0_rs2_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 780:61] - wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_896_load; // @[el2_dec_decode_ctl.scala 780:24] - wire store_data_bypass_d = _T_907 & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 793:67] - wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[el2_dec_decode_ctl.scala 467:42] - reg r_d_csrwen; // @[beh_lib.scala 366:14] - reg r_d_i0valid; // @[beh_lib.scala 366:14] - wire _T_352 = r_d_csrwen & r_d_i0valid; // @[el2_dec_decode_ctl.scala 475:34] - reg [11:0] r_d_csrwaddr; // @[beh_lib.scala 366:14] - wire _T_355 = r_d_csrwaddr == 12'h300; // @[el2_dec_decode_ctl.scala 478:45] - wire _T_356 = r_d_csrwaddr == 12'h304; // @[el2_dec_decode_ctl.scala 478:75] - wire _T_357 = _T_355 | _T_356; // @[el2_dec_decode_ctl.scala 478:59] - wire _T_358 = _T_357 & r_d_csrwen; // @[el2_dec_decode_ctl.scala 478:90] - wire _T_359 = _T_358 & r_d_i0valid; // @[el2_dec_decode_ctl.scala 478:103] - wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec_decode_ctl.scala 478:119] - reg csr_read_x; // @[el2_dec_decode_ctl.scala 480:52] - reg csr_clr_x; // @[el2_dec_decode_ctl.scala 481:51] - reg csr_set_x; // @[el2_dec_decode_ctl.scala 482:51] - reg csr_write_x; // @[el2_dec_decode_ctl.scala 483:53] - reg csr_imm_x; // @[el2_dec_decode_ctl.scala 484:51] - wire i0_x_data_en = i0_pipe_en[3] | io_clk_override; // @[el2_dec_decode_ctl.scala 661:50] - reg [4:0] csrimm_x; // @[beh_lib.scala 356:14] - reg [31:0] csr_rddata_x; // @[beh_lib.scala 356:14] + wire _T_887_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 777:61] + wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_887_load; // @[el2_dec_decode_ctl.scala 777:24] + wire load_ldst_bypass_d = _T_904 & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 791:78] + wire _T_880 = io_dec_i0_rs2_en_d & x_d_i0v; // @[el2_dec_decode_ctl.scala 774:48] + wire _T_881 = x_d_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 774:70] + wire i0_rs2_depend_i0_x = _T_880 & _T_881; // @[el2_dec_decode_ctl.scala 774:58] + wire _T_882 = io_dec_i0_rs2_en_d & r_d_i0v; // @[el2_dec_decode_ctl.scala 775:48] + wire _T_883 = r_d_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 775:70] + wire i0_rs2_depend_i0_r = _T_882 & _T_883; // @[el2_dec_decode_ctl.scala 775:58] + wire [1:0] _T_900 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 780:63] + wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_900; // @[el2_dec_decode_ctl.scala 780:24] + wire _T_907 = i0_dp_store & i0_rs2_depth_d[0]; // @[el2_dec_decode_ctl.scala 792:43] + wire _T_896_load = i0_rs2_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 779:61] + wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_896_load; // @[el2_dec_decode_ctl.scala 779:24] + wire store_data_bypass_d = _T_907 & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 792:63] + wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[el2_dec_decode_ctl.scala 466:42] + reg r_d_csrwen; // @[el2_lib.scala 501:16] + reg r_d_i0valid; // @[el2_lib.scala 501:16] + wire _T_352 = r_d_csrwen & r_d_i0valid; // @[el2_dec_decode_ctl.scala 474:34] + reg [11:0] r_d_csrwaddr; // @[el2_lib.scala 501:16] + wire _T_355 = r_d_csrwaddr == 12'h300; // @[el2_dec_decode_ctl.scala 477:45] + wire _T_356 = r_d_csrwaddr == 12'h304; // @[el2_dec_decode_ctl.scala 477:75] + wire _T_357 = _T_355 | _T_356; // @[el2_dec_decode_ctl.scala 477:59] + wire _T_358 = _T_357 & r_d_csrwen; // @[el2_dec_decode_ctl.scala 477:90] + wire _T_359 = _T_358 & r_d_i0valid; // @[el2_dec_decode_ctl.scala 477:103] + wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec_decode_ctl.scala 477:119] + reg csr_read_x; // @[el2_dec_decode_ctl.scala 479:52] + reg csr_clr_x; // @[el2_dec_decode_ctl.scala 480:51] + reg csr_set_x; // @[el2_dec_decode_ctl.scala 481:51] + reg csr_write_x; // @[el2_dec_decode_ctl.scala 482:53] + reg csr_imm_x; // @[el2_dec_decode_ctl.scala 483:51] + wire i0_x_data_en = i0_pipe_en[3] | io_clk_override; // @[el2_dec_decode_ctl.scala 660:50] + reg [4:0] csrimm_x; // @[el2_lib.scala 491:16] + reg [31:0] csr_rddata_x; // @[el2_lib.scala 491:16] wire [31:0] _T_394 = {27'h0,csrimm_x}; // @[Cat.scala 29:58] - wire _T_396 = ~csr_imm_x; // @[el2_dec_decode_ctl.scala 492:25] + wire _T_396 = ~csr_imm_x; // @[el2_dec_decode_ctl.scala 491:5] wire [31:0] _T_397 = csr_imm_x ? _T_394 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_398 = _T_396 ? io_exu_csr_rs1_x : 32'h0; // @[Mux.scala 27:72] wire [31:0] csr_mask_x = _T_397 | _T_398; // @[Mux.scala 27:72] - wire [31:0] _T_400 = ~csr_mask_x; // @[el2_dec_decode_ctl.scala 495:56] - wire [31:0] _T_401 = csr_rddata_x & _T_400; // @[el2_dec_decode_ctl.scala 495:53] - wire [31:0] _T_402 = csr_rddata_x | csr_mask_x; // @[el2_dec_decode_ctl.scala 496:53] + wire [31:0] _T_400 = ~csr_mask_x; // @[el2_dec_decode_ctl.scala 494:38] + wire [31:0] _T_401 = csr_rddata_x & _T_400; // @[el2_dec_decode_ctl.scala 494:35] + wire [31:0] _T_402 = csr_rddata_x | csr_mask_x; // @[el2_dec_decode_ctl.scala 495:35] wire [31:0] _T_403 = csr_clr_x ? _T_401 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_404 = csr_set_x ? _T_402 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_405 = csr_write_x ? csr_mask_x : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_406 = _T_403 | _T_404; // @[Mux.scala 27:72] wire [31:0] write_csr_data_x = _T_406 | _T_405; // @[Mux.scala 27:72] - wire _T_418 = ~tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 506:44] - wire _T_419 = ~tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 506:64] - wire _T_420 = _T_418 & _T_419; // @[el2_dec_decode_ctl.scala 506:61] - wire [31:0] _T_423 = write_csr_data - 32'h1; // @[el2_dec_decode_ctl.scala 509:59] - wire _T_425 = csr_clr_x | csr_set_x; // @[el2_dec_decode_ctl.scala 511:34] - wire _T_426 = _T_425 | csr_write_x; // @[el2_dec_decode_ctl.scala 511:46] - wire _T_427 = _T_426 & csr_read_x; // @[el2_dec_decode_ctl.scala 511:61] - wire _T_428 = _T_427 | io_dec_tlu_wr_pause_r; // @[el2_dec_decode_ctl.scala 511:75] - reg r_d_csrwonly; // @[beh_lib.scala 366:14] - wire _T_764 = r_d_i0v & r_d_i0load; // @[el2_dec_decode_ctl.scala 714:37] - reg [31:0] i0_result_r_raw; // @[beh_lib.scala 356:14] - wire [31:0] i0_result_corr_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 714:27] - reg x_d_csrwonly; // @[beh_lib.scala 366:14] - wire _T_432 = x_d_csrwonly | r_d_csrwonly; // @[el2_dec_decode_ctl.scala 520:38] - reg wbd_csrwonly; // @[beh_lib.scala 366:14] - wire prior_csr_write = _T_432 | wbd_csrwonly; // @[el2_dec_decode_ctl.scala 520:53] - wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_cmd_wrdata[1]; // @[el2_dec_decode_ctl.scala 523:48] - wire debug_fence = debug_fence_raw | debug_fence_i; // @[el2_dec_decode_ctl.scala 524:40] - wire _T_436 = i0_dp_presync | io_dec_tlu_presync_d; // @[el2_dec_decode_ctl.scala 527:34] - wire _T_437 = _T_436 | debug_fence_i; // @[el2_dec_decode_ctl.scala 527:57] - wire _T_438 = _T_437 | debug_fence_raw; // @[el2_dec_decode_ctl.scala 527:73] - wire i0_presync = _T_438 | io_dec_tlu_pipelining_disable; // @[el2_dec_decode_ctl.scala 527:91] + wire _T_418 = ~tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 505:44] + wire _T_419 = ~tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 505:64] + wire _T_420 = _T_418 & _T_419; // @[el2_dec_decode_ctl.scala 505:61] + wire [31:0] _T_423 = write_csr_data - 32'h1; // @[el2_dec_decode_ctl.scala 508:59] + wire _T_425 = csr_clr_x | csr_set_x; // @[el2_dec_decode_ctl.scala 510:34] + wire _T_426 = _T_425 | csr_write_x; // @[el2_dec_decode_ctl.scala 510:46] + wire _T_427 = _T_426 & csr_read_x; // @[el2_dec_decode_ctl.scala 510:61] + wire _T_428 = _T_427 | io_dec_tlu_wr_pause_r; // @[el2_dec_decode_ctl.scala 510:75] + reg r_d_csrwonly; // @[el2_lib.scala 501:16] + wire _T_764 = r_d_i0v & r_d_i0load; // @[el2_dec_decode_ctl.scala 713:37] + reg [31:0] i0_result_r_raw; // @[el2_lib.scala 491:16] + wire [31:0] i0_result_corr_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 713:27] + reg x_d_csrwonly; // @[el2_lib.scala 501:16] + wire _T_432 = x_d_csrwonly | r_d_csrwonly; // @[el2_dec_decode_ctl.scala 519:38] + reg wbd_csrwonly; // @[el2_lib.scala 501:16] + wire prior_csr_write = _T_432 | wbd_csrwonly; // @[el2_dec_decode_ctl.scala 519:53] + wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_cmd_wrdata[1]; // @[el2_dec_decode_ctl.scala 522:48] + wire debug_fence = debug_fence_raw | debug_fence_i; // @[el2_dec_decode_ctl.scala 523:40] + wire _T_436 = i0_dp_presync | io_dec_tlu_presync_d; // @[el2_dec_decode_ctl.scala 526:34] + wire _T_437 = _T_436 | debug_fence_i; // @[el2_dec_decode_ctl.scala 526:57] + wire _T_438 = _T_437 | debug_fence_raw; // @[el2_dec_decode_ctl.scala 526:73] + wire i0_presync = _T_438 | io_dec_tlu_pipelining_disable; // @[el2_dec_decode_ctl.scala 526:91] wire [31:0] _T_462 = {16'h0,io_ifu_i0_cinst}; // @[Cat.scala 29:58] - wire _T_464 = ~illegal_lockout; // @[el2_dec_decode_ctl.scala 539:44] - reg [31:0] _T_465; // @[beh_lib.scala 356:14] - wire i0_div_prior_div_stall = i0_dp_div & io_dec_div_active; // @[el2_dec_decode_ctl.scala 543:42] - wire _T_470 = i0_dp_csr_read & prior_csr_write; // @[el2_dec_decode_ctl.scala 545:40] - wire _T_471 = _T_470 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 545:59] - wire _T_472 = _T_471 | pause_stall; // @[el2_dec_decode_ctl.scala 545:81] - wire _T_473 = _T_472 | leak1_i0_stall; // @[el2_dec_decode_ctl.scala 545:95] - wire _T_474 = _T_473 | io_dec_tlu_debug_stall; // @[el2_dec_decode_ctl.scala 546:20] - wire _T_475 = _T_474 | postsync_stall; // @[el2_dec_decode_ctl.scala 546:45] - wire prior_inflight = x_d_i0valid | r_d_i0valid; // @[el2_dec_decode_ctl.scala 568:41] - wire prior_inflight_eff = i0_dp_div ? x_d_i0valid : prior_inflight; // @[el2_dec_decode_ctl.scala 569:31] - wire presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 571:37] - wire _T_476 = _T_475 | presync_stall; // @[el2_dec_decode_ctl.scala 546:62] - wire _T_477 = i0_dp_fence | debug_fence; // @[el2_dec_decode_ctl.scala 547:19] - wire _T_478 = ~lsu_idle; // @[el2_dec_decode_ctl.scala 547:36] - wire _T_479 = _T_477 & _T_478; // @[el2_dec_decode_ctl.scala 547:34] - wire _T_480 = _T_476 | _T_479; // @[el2_dec_decode_ctl.scala 546:79] - wire _T_481 = _T_480 | i0_nonblock_load_stall; // @[el2_dec_decode_ctl.scala 547:47] - wire _T_822 = io_dec_i0_rs1_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 742:49] - wire _T_823 = io_div_waddr_wb == i0r_rs1; // @[el2_dec_decode_ctl.scala 742:88] - wire _T_824 = _T_822 & _T_823; // @[el2_dec_decode_ctl.scala 742:69] - wire _T_825 = io_dec_i0_rs2_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 743:52] - wire _T_826 = io_div_waddr_wb == i0r_rs2; // @[el2_dec_decode_ctl.scala 743:91] - wire _T_827 = _T_825 & _T_826; // @[el2_dec_decode_ctl.scala 743:72] - wire i0_nonblock_div_stall = _T_824 | _T_827; // @[el2_dec_decode_ctl.scala 742:102] - wire _T_483 = _T_481 | i0_nonblock_div_stall; // @[el2_dec_decode_ctl.scala 548:21] - wire i0_block_raw_d = _T_483 | i0_div_prior_div_stall; // @[el2_dec_decode_ctl.scala 548:45] - wire _T_484 = io_lsu_store_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 550:65] - wire i0_store_stall_d = i0_dp_store & _T_484; // @[el2_dec_decode_ctl.scala 550:39] - wire _T_485 = io_lsu_load_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 551:63] - wire i0_load_stall_d = i0_dp_load & _T_485; // @[el2_dec_decode_ctl.scala 551:38] - wire _T_486 = i0_block_raw_d | i0_store_stall_d; // @[el2_dec_decode_ctl.scala 552:38] - wire i0_block_d = _T_486 | i0_load_stall_d; // @[el2_dec_decode_ctl.scala 552:57] - wire _T_487 = ~i0_block_d; // @[el2_dec_decode_ctl.scala 556:46] - wire _T_488 = io_dec_ib0_valid_d & _T_487; // @[el2_dec_decode_ctl.scala 556:44] - wire _T_490 = _T_488 & _T_279; // @[el2_dec_decode_ctl.scala 556:61] - wire _T_493 = ~i0_block_raw_d; // @[el2_dec_decode_ctl.scala 557:46] - wire _T_494 = io_dec_ib0_valid_d & _T_493; // @[el2_dec_decode_ctl.scala 557:44] - wire _T_496 = _T_494 & _T_279; // @[el2_dec_decode_ctl.scala 557:61] - wire i0_exudecode_d = _T_496 & _T_467; // @[el2_dec_decode_ctl.scala 557:89] - wire i0_exulegal_decode_d = i0_exudecode_d & i0_legal; // @[el2_dec_decode_ctl.scala 558:46] - wire _T_498 = ~io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 562:51] - wire _T_517 = i0_dp_fence_i | debug_fence_i; // @[el2_dec_decode_ctl.scala 590:44] + wire _T_464 = ~illegal_lockout; // @[el2_dec_decode_ctl.scala 538:44] + reg [31:0] _T_465; // @[el2_lib.scala 491:16] + wire i0_div_prior_div_stall = i0_dp_div & io_dec_div_active; // @[el2_dec_decode_ctl.scala 542:42] + wire _T_470 = i0_dp_csr_read & prior_csr_write; // @[el2_dec_decode_ctl.scala 544:40] + wire _T_471 = _T_470 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 544:59] + wire _T_472 = _T_471 | pause_stall; // @[el2_dec_decode_ctl.scala 544:81] + wire _T_473 = _T_472 | leak1_i0_stall; // @[el2_dec_decode_ctl.scala 544:95] + wire _T_474 = _T_473 | io_dec_tlu_debug_stall; // @[el2_dec_decode_ctl.scala 545:20] + wire _T_475 = _T_474 | postsync_stall; // @[el2_dec_decode_ctl.scala 545:45] + wire prior_inflight = x_d_i0valid | r_d_i0valid; // @[el2_dec_decode_ctl.scala 567:41] + wire prior_inflight_eff = i0_dp_div ? x_d_i0valid : prior_inflight; // @[el2_dec_decode_ctl.scala 568:31] + wire presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 570:37] + wire _T_476 = _T_475 | presync_stall; // @[el2_dec_decode_ctl.scala 545:62] + wire _T_477 = i0_dp_fence | debug_fence; // @[el2_dec_decode_ctl.scala 546:19] + wire _T_478 = ~lsu_idle; // @[el2_dec_decode_ctl.scala 546:36] + wire _T_479 = _T_477 & _T_478; // @[el2_dec_decode_ctl.scala 546:34] + wire _T_480 = _T_476 | _T_479; // @[el2_dec_decode_ctl.scala 545:79] + wire _T_481 = _T_480 | i0_nonblock_load_stall; // @[el2_dec_decode_ctl.scala 546:47] + wire _T_822 = io_dec_i0_rs1_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 741:49] + wire _T_823 = io_div_waddr_wb == i0r_rs1; // @[el2_dec_decode_ctl.scala 741:88] + wire _T_824 = _T_822 & _T_823; // @[el2_dec_decode_ctl.scala 741:69] + wire _T_825 = io_dec_i0_rs2_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 742:25] + wire _T_826 = io_div_waddr_wb == i0r_rs2; // @[el2_dec_decode_ctl.scala 742:64] + wire _T_827 = _T_825 & _T_826; // @[el2_dec_decode_ctl.scala 742:45] + wire i0_nonblock_div_stall = _T_824 | _T_827; // @[el2_dec_decode_ctl.scala 741:102] + wire _T_483 = _T_481 | i0_nonblock_div_stall; // @[el2_dec_decode_ctl.scala 547:21] + wire i0_block_raw_d = _T_483 | i0_div_prior_div_stall; // @[el2_dec_decode_ctl.scala 547:45] + wire _T_484 = io_lsu_store_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 549:65] + wire i0_store_stall_d = i0_dp_store & _T_484; // @[el2_dec_decode_ctl.scala 549:39] + wire _T_485 = io_lsu_load_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 550:63] + wire i0_load_stall_d = i0_dp_load & _T_485; // @[el2_dec_decode_ctl.scala 550:38] + wire _T_486 = i0_block_raw_d | i0_store_stall_d; // @[el2_dec_decode_ctl.scala 551:38] + wire i0_block_d = _T_486 | i0_load_stall_d; // @[el2_dec_decode_ctl.scala 551:57] + wire _T_487 = ~i0_block_d; // @[el2_dec_decode_ctl.scala 555:46] + wire _T_488 = io_dec_ib0_valid_d & _T_487; // @[el2_dec_decode_ctl.scala 555:44] + wire _T_490 = _T_488 & _T_279; // @[el2_dec_decode_ctl.scala 555:61] + wire _T_493 = ~i0_block_raw_d; // @[el2_dec_decode_ctl.scala 556:46] + wire _T_494 = io_dec_ib0_valid_d & _T_493; // @[el2_dec_decode_ctl.scala 556:44] + wire _T_496 = _T_494 & _T_279; // @[el2_dec_decode_ctl.scala 556:61] + wire i0_exudecode_d = _T_496 & _T_467; // @[el2_dec_decode_ctl.scala 556:89] + wire i0_exulegal_decode_d = i0_exudecode_d & i0_legal; // @[el2_dec_decode_ctl.scala 557:46] + wire _T_498 = ~io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 561:51] + wire _T_517 = i0_dp_fence_i | debug_fence_i; // @[el2_dec_decode_ctl.scala 589:44] wire [3:0] _T_522 = {io_dec_i0_decode_d,io_dec_i0_decode_d,io_dec_i0_decode_d,io_dec_i0_decode_d}; // @[Cat.scala 29:58] - wire _T_704 = |i0_pipe_en[3:2]; // @[el2_dec_decode_ctl.scala 658:49] - wire i0_x_ctl_en = _T_704 | io_clk_override; // @[el2_dec_decode_ctl.scala 658:53] - reg x_t_legal; // @[beh_lib.scala 366:14] - reg x_t_icaf; // @[beh_lib.scala 366:14] - reg x_t_icaf_f1; // @[beh_lib.scala 366:14] - reg [1:0] x_t_icaf_type; // @[beh_lib.scala 366:14] - reg x_t_fence_i; // @[beh_lib.scala 366:14] - reg [3:0] x_t_i0trigger; // @[beh_lib.scala 366:14] - reg [3:0] x_t_pmu_i0_itype; // @[beh_lib.scala 366:14] - reg x_t_pmu_i0_br_unpred; // @[beh_lib.scala 366:14] + wire _T_704 = |i0_pipe_en[3:2]; // @[el2_dec_decode_ctl.scala 657:49] + wire i0_x_ctl_en = _T_704 | io_clk_override; // @[el2_dec_decode_ctl.scala 657:53] + reg x_t_legal; // @[el2_lib.scala 501:16] + reg x_t_icaf; // @[el2_lib.scala 501:16] + reg x_t_icaf_f1; // @[el2_lib.scala 501:16] + reg [1:0] x_t_icaf_type; // @[el2_lib.scala 501:16] + reg x_t_fence_i; // @[el2_lib.scala 501:16] + reg [3:0] x_t_i0trigger; // @[el2_lib.scala 501:16] + reg [3:0] x_t_pmu_i0_itype; // @[el2_lib.scala 501:16] + reg x_t_pmu_i0_br_unpred; // @[el2_lib.scala 501:16] wire [3:0] _T_530 = {io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb}; // @[Cat.scala 29:58] - wire [3:0] _T_531 = ~_T_530; // @[el2_dec_decode_ctl.scala 603:39] - reg r_t_legal; // @[beh_lib.scala 366:14] - reg r_t_icaf; // @[beh_lib.scala 366:14] - reg r_t_icaf_f1; // @[beh_lib.scala 366:14] - reg [1:0] r_t_icaf_type; // @[beh_lib.scala 366:14] - reg r_t_fence_i; // @[beh_lib.scala 366:14] - reg [3:0] r_t_i0trigger; // @[beh_lib.scala 366:14] - reg [3:0] r_t_pmu_i0_itype; // @[beh_lib.scala 366:14] - reg r_t_pmu_i0_br_unpred; // @[beh_lib.scala 366:14] - reg [3:0] lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 606:36] - reg lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 607:37] - reg r_d_i0store; // @[beh_lib.scala 366:14] - wire _T_536 = r_d_i0load | r_d_i0store; // @[el2_dec_decode_ctl.scala 611:56] + wire [3:0] _T_531 = ~_T_530; // @[el2_dec_decode_ctl.scala 602:39] + reg r_t_legal; // @[el2_lib.scala 501:16] + reg r_t_icaf; // @[el2_lib.scala 501:16] + reg r_t_icaf_f1; // @[el2_lib.scala 501:16] + reg [1:0] r_t_icaf_type; // @[el2_lib.scala 501:16] + reg r_t_fence_i; // @[el2_lib.scala 501:16] + reg [3:0] r_t_i0trigger; // @[el2_lib.scala 501:16] + reg [3:0] r_t_pmu_i0_itype; // @[el2_lib.scala 501:16] + reg r_t_pmu_i0_br_unpred; // @[el2_lib.scala 501:16] + reg [3:0] lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 605:36] + reg lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 606:37] + reg r_d_i0store; // @[el2_lib.scala 501:16] + wire _T_536 = r_d_i0load | r_d_i0store; // @[el2_dec_decode_ctl.scala 610:56] wire [3:0] _T_540 = {_T_536,_T_536,_T_536,_T_536}; // @[Cat.scala 29:58] - wire [3:0] _T_541 = _T_540 & lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 611:72] - wire [3:0] _T_542 = _T_541 | r_t_i0trigger; // @[el2_dec_decode_ctl.scala 611:95] - reg r_d_i0div; // @[beh_lib.scala 366:14] - wire _T_545 = r_d_i0div & r_d_i0valid; // @[el2_dec_decode_ctl.scala 617:53] - wire _T_556 = i0r_rs1 != 5'h0; // @[el2_dec_decode_ctl.scala 628:49] - wire _T_558 = i0r_rs2 != 5'h0; // @[el2_dec_decode_ctl.scala 629:49] - wire _T_560 = i0r_rd != 5'h0; // @[el2_dec_decode_ctl.scala 630:48] - wire i0_rd_en_d = i0_dp_rd & _T_560; // @[el2_dec_decode_ctl.scala 630:37] - wire i0_jalimm20 = i0_dp_jal & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 634:38] - wire _T_561 = ~i0_dp_jal; // @[el2_dec_decode_ctl.scala 635:27] - wire i0_uiimm20 = _T_561 & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 635:38] + wire [3:0] _T_541 = _T_540 & lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 610:72] + wire [3:0] _T_542 = _T_541 | r_t_i0trigger; // @[el2_dec_decode_ctl.scala 610:95] + reg r_d_i0div; // @[el2_lib.scala 501:16] + wire _T_545 = r_d_i0div & r_d_i0valid; // @[el2_dec_decode_ctl.scala 616:53] + wire _T_556 = i0r_rs1 != 5'h0; // @[el2_dec_decode_ctl.scala 627:49] + wire _T_558 = i0r_rs2 != 5'h0; // @[el2_dec_decode_ctl.scala 628:49] + wire _T_560 = i0r_rd != 5'h0; // @[el2_dec_decode_ctl.scala 629:48] + wire i0_rd_en_d = i0_dp_rd & _T_560; // @[el2_dec_decode_ctl.scala 629:37] + wire i0_jalimm20 = i0_dp_jal & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 633:38] + wire _T_561 = ~i0_dp_jal; // @[el2_dec_decode_ctl.scala 634:27] + wire i0_uiimm20 = _T_561 & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 634:38] wire [31:0] _T_563 = i0_dp_csr_read ? io_dec_csr_rddata_d : 32'h0; // @[Mux.scala 27:72] wire [9:0] _T_577 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] wire [18:0] _T_586 = {_T_577,io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] @@ -1750,138 +1737,138 @@ module el2_dec_decode_ctl( wire [31:0] _T_652 = {io_dec_i0_instr_d[31:12],12'h0}; // @[Cat.scala 29:58] wire [31:0] _T_687 = i0_uiimm20 ? _T_652 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_691 = _T_690 | _T_687; // @[Mux.scala 27:72] - wire _T_653 = i0_csr_write_only_d & i0_dp_csr_imm; // @[el2_dec_decode_ctl.scala 646:40] + wire _T_653 = i0_csr_write_only_d & i0_dp_csr_imm; // @[el2_dec_decode_ctl.scala 645:26] wire [31:0] _T_683 = {27'h0,i0r_rs1}; // @[Cat.scala 29:58] wire [31:0] _T_688 = _T_653 ? _T_683 : 32'h0; // @[Mux.scala 27:72] wire [31:0] i0_immed_d = _T_691 | _T_688; // @[Mux.scala 27:72] wire [31:0] _T_564 = _T_347 ? i0_immed_d : 32'h0; // @[Mux.scala 27:72] - wire i0_d_c_mul = i0_dp_mul & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 650:44] - wire i0_d_c_load = i0_dp_load & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 651:44] - wire i0_d_c_alu = i0_dp_alu & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 652:44] + wire i0_d_c_mul = i0_dp_mul & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 649:44] + wire i0_d_c_load = i0_dp_load & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 650:44] + wire i0_d_c_alu = i0_dp_alu & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 651:44] reg i0_x_c_mul; // @[Reg.scala 15:16] reg i0_x_c_alu; // @[Reg.scala 15:16] reg i0_r_c_mul; // @[Reg.scala 15:16] reg i0_r_c_alu; // @[Reg.scala 15:16] - wire _T_710 = |i0_pipe_en[1:0]; // @[el2_dec_decode_ctl.scala 660:49] - wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[el2_dec_decode_ctl.scala 662:50] - reg x_d_i0store; // @[beh_lib.scala 366:14] - reg x_d_i0div; // @[beh_lib.scala 366:14] - reg x_d_csrwen; // @[beh_lib.scala 366:14] - reg [11:0] x_d_csrwaddr; // @[beh_lib.scala 366:14] - wire _T_733 = x_d_i0v & _T_743; // @[el2_dec_decode_ctl.scala 684:37] - wire _T_737 = x_d_i0valid & _T_743; // @[el2_dec_decode_ctl.scala 685:37] - wire _T_756 = ~r_d_i0div; // @[el2_dec_decode_ctl.scala 700:49] - wire _T_757 = i0_wen_r & _T_756; // @[el2_dec_decode_ctl.scala 700:47] - wire _T_758 = ~i0_load_kill_wen_r; // @[el2_dec_decode_ctl.scala 700:65] - wire _T_761 = x_d_i0v & x_d_i0load; // @[el2_dec_decode_ctl.scala 709:45] - wire _T_768 = io_i0_ap_predict_nt & _T_561; // @[el2_dec_decode_ctl.scala 715:52] + wire _T_710 = |i0_pipe_en[1:0]; // @[el2_dec_decode_ctl.scala 659:49] + wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[el2_dec_decode_ctl.scala 661:50] + reg x_d_i0store; // @[el2_lib.scala 501:16] + reg x_d_i0div; // @[el2_lib.scala 501:16] + reg x_d_csrwen; // @[el2_lib.scala 501:16] + reg [11:0] x_d_csrwaddr; // @[el2_lib.scala 501:16] + wire _T_733 = x_d_i0v & _T_743; // @[el2_dec_decode_ctl.scala 683:37] + wire _T_737 = x_d_i0valid & _T_743; // @[el2_dec_decode_ctl.scala 684:37] + wire _T_756 = ~r_d_i0div; // @[el2_dec_decode_ctl.scala 699:49] + wire _T_757 = i0_wen_r & _T_756; // @[el2_dec_decode_ctl.scala 699:47] + wire _T_758 = ~i0_load_kill_wen_r; // @[el2_dec_decode_ctl.scala 699:65] + wire _T_761 = x_d_i0v & x_d_i0load; // @[el2_dec_decode_ctl.scala 708:42] + wire _T_768 = io_i0_ap_predict_nt & _T_561; // @[el2_dec_decode_ctl.scala 714:52] wire [11:0] _T_781 = {10'h0,io_dec_i0_pc4_d,i0_ap_pc2}; // @[Cat.scala 29:58] - reg [11:0] last_br_immed_x; // @[beh_lib.scala 356:14] - wire _T_799 = x_d_i0div & x_d_i0valid; // @[el2_dec_decode_ctl.scala 723:40] - wire div_e1_to_r = _T_799 | _T_545; // @[el2_dec_decode_ctl.scala 723:55] - wire _T_802 = x_d_i0rd == 5'h0; // @[el2_dec_decode_ctl.scala 725:69] - wire _T_803 = _T_799 & _T_802; // @[el2_dec_decode_ctl.scala 725:57] - wire _T_805 = _T_799 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 726:30] - wire _T_806 = _T_803 | _T_805; // @[el2_dec_decode_ctl.scala 725:86] - wire _T_808 = _T_545 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 727:30] - wire _T_809 = _T_808 & io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 727:57] - wire div_flush = _T_806 | _T_809; // @[el2_dec_decode_ctl.scala 726:59] - wire _T_810 = io_dec_div_active & div_flush; // @[el2_dec_decode_ctl.scala 731:51] - wire _T_811 = ~div_e1_to_r; // @[el2_dec_decode_ctl.scala 732:26] - wire _T_812 = io_dec_div_active & _T_811; // @[el2_dec_decode_ctl.scala 732:24] - wire _T_813 = r_d_i0rd == io_div_waddr_wb; // @[el2_dec_decode_ctl.scala 732:51] - wire _T_814 = _T_812 & _T_813; // @[el2_dec_decode_ctl.scala 732:39] - wire _T_815 = _T_814 & i0_wen_r; // @[el2_dec_decode_ctl.scala 732:72] - wire nonblock_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 731:65] - wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 735:55] - wire _T_817 = ~io_exu_div_wren; // @[el2_dec_decode_ctl.scala 737:62] - wire _T_818 = io_dec_div_active & _T_817; // @[el2_dec_decode_ctl.scala 737:60] - wire _T_819 = ~nonblock_div_cancel; // @[el2_dec_decode_ctl.scala 737:81] - wire _T_820 = _T_818 & _T_819; // @[el2_dec_decode_ctl.scala 737:79] - reg _T_821; // @[el2_dec_decode_ctl.scala 739:54] + reg [11:0] last_br_immed_x; // @[el2_lib.scala 491:16] + wire _T_799 = x_d_i0div & x_d_i0valid; // @[el2_dec_decode_ctl.scala 722:40] + wire div_e1_to_r = _T_799 | _T_545; // @[el2_dec_decode_ctl.scala 722:55] + wire _T_802 = x_d_i0rd == 5'h0; // @[el2_dec_decode_ctl.scala 724:69] + wire _T_803 = _T_799 & _T_802; // @[el2_dec_decode_ctl.scala 724:57] + wire _T_805 = _T_799 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 725:30] + wire _T_806 = _T_803 | _T_805; // @[el2_dec_decode_ctl.scala 724:86] + wire _T_808 = _T_545 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 726:30] + wire _T_809 = _T_808 & io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 726:57] + wire div_flush = _T_806 | _T_809; // @[el2_dec_decode_ctl.scala 725:59] + wire _T_810 = io_dec_div_active & div_flush; // @[el2_dec_decode_ctl.scala 730:51] + wire _T_811 = ~div_e1_to_r; // @[el2_dec_decode_ctl.scala 731:26] + wire _T_812 = io_dec_div_active & _T_811; // @[el2_dec_decode_ctl.scala 731:24] + wire _T_813 = r_d_i0rd == io_div_waddr_wb; // @[el2_dec_decode_ctl.scala 731:51] + wire _T_814 = _T_812 & _T_813; // @[el2_dec_decode_ctl.scala 731:39] + wire _T_815 = _T_814 & i0_wen_r; // @[el2_dec_decode_ctl.scala 731:72] + wire nonblock_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 730:65] + wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 734:55] + wire _T_817 = ~io_exu_div_wren; // @[el2_dec_decode_ctl.scala 736:62] + wire _T_818 = io_dec_div_active & _T_817; // @[el2_dec_decode_ctl.scala 736:60] + wire _T_819 = ~nonblock_div_cancel; // @[el2_dec_decode_ctl.scala 736:81] + wire _T_820 = _T_818 & _T_819; // @[el2_dec_decode_ctl.scala 736:79] + reg _T_821; // @[el2_dec_decode_ctl.scala 738:54] reg [4:0] _T_830; // @[Reg.scala 27:20] - reg [31:0] i0_inst_x; // @[beh_lib.scala 356:14] - reg [31:0] i0_inst_r; // @[beh_lib.scala 356:14] - reg [31:0] i0_inst_wb; // @[beh_lib.scala 356:14] - reg [31:0] _T_837; // @[beh_lib.scala 356:14] - reg [30:0] i0_pc_wb; // @[beh_lib.scala 356:14] - reg [30:0] _T_840; // @[beh_lib.scala 356:14] - reg [30:0] dec_i0_pc_r; // @[beh_lib.scala 356:14] + reg [31:0] i0_inst_x; // @[el2_lib.scala 491:16] + reg [31:0] i0_inst_r; // @[el2_lib.scala 491:16] + reg [31:0] i0_inst_wb; // @[el2_lib.scala 491:16] + reg [31:0] _T_837; // @[el2_lib.scala 491:16] + reg [30:0] i0_pc_wb; // @[el2_lib.scala 491:16] + reg [30:0] _T_840; // @[el2_lib.scala 491:16] + reg [30:0] dec_i0_pc_r; // @[el2_lib.scala 491:16] wire [31:0] _T_842 = {io_exu_i0_pc_x,1'h0}; // @[Cat.scala 29:58] wire [12:0] _T_843 = {last_br_immed_x,1'h0}; // @[Cat.scala 29:58] - wire [12:0] _T_846 = _T_842[12:1] + _T_843[12:1]; // @[el2_lib.scala 310:31] - wire [18:0] _T_849 = _T_842[31:13] + 19'h1; // @[el2_lib.scala 311:27] - wire [18:0] _T_852 = _T_842[31:13] - 19'h1; // @[el2_lib.scala 312:27] - wire _T_855 = ~_T_846[12]; // @[el2_lib.scala 314:27] - wire _T_856 = _T_843[12] ^ _T_855; // @[el2_lib.scala 314:25] - wire _T_859 = ~_T_843[12]; // @[el2_lib.scala 315:8] - wire _T_861 = _T_859 & _T_846[12]; // @[el2_lib.scala 315:14] - wire _T_865 = _T_843[12] & _T_855; // @[el2_lib.scala 316:13] + wire [12:0] _T_846 = _T_842[12:1] + _T_843[12:1]; // @[el2_lib.scala 206:31] + wire [18:0] _T_849 = _T_842[31:13] + 19'h1; // @[el2_lib.scala 207:27] + wire [18:0] _T_852 = _T_842[31:13] - 19'h1; // @[el2_lib.scala 208:27] + wire _T_855 = ~_T_846[12]; // @[el2_lib.scala 210:28] + wire _T_856 = _T_843[12] ^ _T_855; // @[el2_lib.scala 210:26] + wire _T_859 = ~_T_843[12]; // @[el2_lib.scala 211:20] + wire _T_861 = _T_859 & _T_846[12]; // @[el2_lib.scala 211:26] + wire _T_865 = _T_843[12] & _T_855; // @[el2_lib.scala 212:26] wire [18:0] _T_867 = _T_856 ? _T_842[31:13] : 19'h0; // @[Mux.scala 27:72] wire [18:0] _T_868 = _T_861 ? _T_849 : 19'h0; // @[Mux.scala 27:72] wire [18:0] _T_869 = _T_865 ? _T_852 : 19'h0; // @[Mux.scala 27:72] wire [18:0] _T_870 = _T_867 | _T_868; // @[Mux.scala 27:72] wire [18:0] _T_871 = _T_870 | _T_869; // @[Mux.scala 27:72] wire [31:0] temp_pred_correct_npc_x = {_T_871,_T_846[11:0],1'h0}; // @[Cat.scala 29:58] - wire _T_887_mul = i0_rs1_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 778:61] - wire _T_887_alu = i0_rs1_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 778:61] - wire i0_rs1_class_d_mul = i0_rs1_depend_i0_x ? i0_x_c_mul : _T_887_mul; // @[el2_dec_decode_ctl.scala 778:24] - wire i0_rs1_class_d_alu = i0_rs1_depend_i0_x ? i0_x_c_alu : _T_887_alu; // @[el2_dec_decode_ctl.scala 778:24] - wire _T_896_mul = i0_rs2_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 780:61] - wire _T_896_alu = i0_rs2_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 780:61] - wire i0_rs2_class_d_mul = i0_rs2_depend_i0_x ? i0_x_c_mul : _T_896_mul; // @[el2_dec_decode_ctl.scala 780:24] - wire i0_rs2_class_d_alu = i0_rs2_depend_i0_x ? i0_x_c_alu : _T_896_alu; // @[el2_dec_decode_ctl.scala 780:24] - wire _T_909 = io_dec_i0_rs1_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 798:62] - wire _T_910 = io_dec_nonblock_load_waddr == i0r_rs1; // @[el2_dec_decode_ctl.scala 798:119] - wire i0_rs1_nonblock_load_bypass_en_d = _T_909 & _T_910; // @[el2_dec_decode_ctl.scala 798:89] - wire _T_911 = io_dec_i0_rs2_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 800:62] - wire _T_912 = io_dec_nonblock_load_waddr == i0r_rs2; // @[el2_dec_decode_ctl.scala 800:119] - wire i0_rs2_nonblock_load_bypass_en_d = _T_911 & _T_912; // @[el2_dec_decode_ctl.scala 800:89] - wire _T_914 = i0_rs1_class_d_alu | i0_rs1_class_d_mul; // @[el2_dec_decode_ctl.scala 803:69] - wire _T_915 = i0_rs1_depth_d[0] & _T_914; // @[el2_dec_decode_ctl.scala 803:48] - wire _T_917 = i0_rs1_depth_d[0] & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 803:111] - wire _T_920 = _T_914 | i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 803:199] - wire _T_921 = i0_rs1_depth_d[1] & _T_920; // @[el2_dec_decode_ctl.scala 803:156] + wire _T_887_mul = i0_rs1_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 777:61] + wire _T_887_alu = i0_rs1_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 777:61] + wire i0_rs1_class_d_mul = i0_rs1_depend_i0_x ? i0_x_c_mul : _T_887_mul; // @[el2_dec_decode_ctl.scala 777:24] + wire i0_rs1_class_d_alu = i0_rs1_depend_i0_x ? i0_x_c_alu : _T_887_alu; // @[el2_dec_decode_ctl.scala 777:24] + wire _T_896_mul = i0_rs2_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 779:61] + wire _T_896_alu = i0_rs2_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 779:61] + wire i0_rs2_class_d_mul = i0_rs2_depend_i0_x ? i0_x_c_mul : _T_896_mul; // @[el2_dec_decode_ctl.scala 779:24] + wire i0_rs2_class_d_alu = i0_rs2_depend_i0_x ? i0_x_c_alu : _T_896_alu; // @[el2_dec_decode_ctl.scala 779:24] + wire _T_909 = io_dec_i0_rs1_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 797:62] + wire _T_910 = io_dec_nonblock_load_waddr == i0r_rs1; // @[el2_dec_decode_ctl.scala 797:119] + wire i0_rs1_nonblock_load_bypass_en_d = _T_909 & _T_910; // @[el2_dec_decode_ctl.scala 797:89] + wire _T_911 = io_dec_i0_rs2_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 799:62] + wire _T_912 = io_dec_nonblock_load_waddr == i0r_rs2; // @[el2_dec_decode_ctl.scala 799:119] + wire i0_rs2_nonblock_load_bypass_en_d = _T_911 & _T_912; // @[el2_dec_decode_ctl.scala 799:89] + wire _T_914 = i0_rs1_class_d_alu | i0_rs1_class_d_mul; // @[el2_dec_decode_ctl.scala 802:66] + wire _T_915 = i0_rs1_depth_d[0] & _T_914; // @[el2_dec_decode_ctl.scala 802:45] + wire _T_917 = i0_rs1_depth_d[0] & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 802:108] + wire _T_920 = _T_914 | i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 802:196] + wire _T_921 = i0_rs1_depth_d[1] & _T_920; // @[el2_dec_decode_ctl.scala 802:153] wire [2:0] i0_rs1bypass = {_T_915,_T_917,_T_921}; // @[Cat.scala 29:58] - wire _T_925 = i0_rs2_class_d_alu | i0_rs2_class_d_mul; // @[el2_dec_decode_ctl.scala 805:70] - wire _T_926 = i0_rs2_depth_d[0] & _T_925; // @[el2_dec_decode_ctl.scala 805:48] - wire _T_928 = i0_rs2_depth_d[0] & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 805:112] - wire _T_931 = _T_925 | i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 805:199] - wire _T_932 = i0_rs2_depth_d[1] & _T_931; // @[el2_dec_decode_ctl.scala 805:156] + wire _T_925 = i0_rs2_class_d_alu | i0_rs2_class_d_mul; // @[el2_dec_decode_ctl.scala 804:67] + wire _T_926 = i0_rs2_depth_d[0] & _T_925; // @[el2_dec_decode_ctl.scala 804:45] + wire _T_928 = i0_rs2_depth_d[0] & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 804:109] + wire _T_931 = _T_925 | i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 804:196] + wire _T_932 = i0_rs2_depth_d[1] & _T_931; // @[el2_dec_decode_ctl.scala 804:153] wire [2:0] i0_rs2bypass = {_T_926,_T_928,_T_932}; // @[Cat.scala 29:58] - wire _T_938 = i0_rs1bypass[1] | i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 807:78] - wire _T_940 = ~i0_rs1bypass[2]; // @[el2_dec_decode_ctl.scala 807:99] - wire _T_941 = _T_940 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 807:116] - wire _T_942 = _T_938 | _T_941; // @[el2_dec_decode_ctl.scala 807:96] - wire _T_947 = i0_rs2bypass[1] | i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 808:78] - wire _T_949 = ~i0_rs2bypass[2]; // @[el2_dec_decode_ctl.scala 808:99] - wire _T_950 = _T_949 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 808:116] - wire _T_951 = _T_947 | _T_950; // @[el2_dec_decode_ctl.scala 808:96] - wire _T_958 = ~i0_rs1bypass[1]; // @[el2_dec_decode_ctl.scala 813:30] - wire _T_960 = ~i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 813:49] - wire _T_961 = _T_958 & _T_960; // @[el2_dec_decode_ctl.scala 813:47] - wire _T_962 = _T_961 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 813:66] + wire _T_938 = i0_rs1bypass[1] | i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 806:75] + wire _T_940 = ~i0_rs1bypass[2]; // @[el2_dec_decode_ctl.scala 806:96] + wire _T_941 = _T_940 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 806:113] + wire _T_942 = _T_938 | _T_941; // @[el2_dec_decode_ctl.scala 806:93] + wire _T_947 = i0_rs2bypass[1] | i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 807:75] + wire _T_949 = ~i0_rs2bypass[2]; // @[el2_dec_decode_ctl.scala 807:96] + wire _T_950 = _T_949 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 807:113] + wire _T_951 = _T_947 | _T_950; // @[el2_dec_decode_ctl.scala 807:93] + wire _T_958 = ~i0_rs1bypass[1]; // @[el2_dec_decode_ctl.scala 812:6] + wire _T_960 = ~i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 812:25] + wire _T_961 = _T_958 & _T_960; // @[el2_dec_decode_ctl.scala 812:23] + wire _T_962 = _T_961 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 812:42] wire [31:0] _T_964 = i0_rs1bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_965 = i0_rs1bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_966 = _T_962 ? io_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_967 = _T_964 | _T_965; // @[Mux.scala 27:72] - wire _T_975 = ~i0_rs2bypass[1]; // @[el2_dec_decode_ctl.scala 818:31] - wire _T_977 = ~i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 818:50] - wire _T_978 = _T_975 & _T_977; // @[el2_dec_decode_ctl.scala 818:48] - wire _T_979 = _T_978 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 818:67] + wire _T_975 = ~i0_rs2bypass[1]; // @[el2_dec_decode_ctl.scala 817:6] + wire _T_977 = ~i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 817:25] + wire _T_978 = _T_975 & _T_977; // @[el2_dec_decode_ctl.scala 817:23] + wire _T_979 = _T_978 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 817:42] wire [31:0] _T_981 = i0_rs2bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_982 = i0_rs2bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_983 = _T_979 ? io_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_984 = _T_981 | _T_982; // @[Mux.scala 27:72] - wire _T_987 = i0_dp_raw_load | i0_dp_raw_store; // @[el2_dec_decode_ctl.scala 820:68] - wire _T_988 = io_dec_ib0_valid_d & _T_987; // @[el2_dec_decode_ctl.scala 820:50] - wire _T_989 = ~io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 820:89] - wire _T_990 = _T_988 & _T_989; // @[el2_dec_decode_ctl.scala 820:87] - wire _T_992 = _T_990 & _T_493; // @[el2_dec_decode_ctl.scala 820:112] - wire _T_994 = ~io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 822:6] - wire _T_995 = _T_994 & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 822:27] - wire _T_996 = _T_995 & i0_dp_load; // @[el2_dec_decode_ctl.scala 822:39] - wire _T_1001 = _T_995 & i0_dp_store; // @[el2_dec_decode_ctl.scala 823:39] + wire _T_987 = i0_dp_raw_load | i0_dp_raw_store; // @[el2_dec_decode_ctl.scala 819:68] + wire _T_988 = io_dec_ib0_valid_d & _T_987; // @[el2_dec_decode_ctl.scala 819:50] + wire _T_989 = ~io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 819:89] + wire _T_990 = _T_988 & _T_989; // @[el2_dec_decode_ctl.scala 819:87] + wire _T_992 = _T_990 & _T_493; // @[el2_dec_decode_ctl.scala 819:112] + wire _T_994 = ~io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 821:6] + wire _T_995 = _T_994 & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 821:27] + wire _T_996 = _T_995 & i0_dp_load; // @[el2_dec_decode_ctl.scala 821:39] + wire _T_1001 = _T_995 & i0_dp_store; // @[el2_dec_decode_ctl.scala 822:39] wire [11:0] _T_1005 = {io_dec_i0_instr_d[31:25],i0r_rd}; // @[Cat.scala 29:58] wire [11:0] _T_1006 = _T_996 ? io_dec_i0_instr_d[31:20] : 12'h0; // @[Mux.scala 27:72] wire [11:0] _T_1007 = _T_1001 ? _T_1005 : 12'h0; // @[Mux.scala 27:72] @@ -1891,11 +1878,11 @@ module el2_dec_decode_ctl( .io_en(data_gated_cgc_io_en), .io_scan_mode(data_gated_cgc_io_scan_mode) ); - el2_dec_dec_ctl i0_dec ( // @[el2_dec_decode_ctl.scala 396:24] + el2_dec_dec_ctl i0_dec ( // @[el2_dec_decode_ctl.scala 395:22] .io_ins(i0_dec_io_ins), .io_out_alu(i0_dec_io_out_alu), - .io_out_rs2(i0_dec_io_out_rs2), .io_out_rs1(i0_dec_io_out_rs1), + .io_out_rs2(i0_dec_io_out_rs2), .io_out_imm12(i0_dec_io_out_imm12), .io_out_rd(i0_dec_io_out_rd), .io_out_shimm5(i0_dec_io_out_shimm5), @@ -1944,129 +1931,129 @@ module el2_dec_decode_ctl( .io_out_pm_alu(i0_dec_io_out_pm_alu), .io_out_legal(i0_dec_io_out_legal) ); - rvclkhdr rvclkhdr ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en), .io_scan_mode(rvclkhdr_io_scan_mode) ); - rvclkhdr rvclkhdr_1 ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr_1 ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_1_io_l1clk), .io_clk(rvclkhdr_1_io_clk), .io_en(rvclkhdr_1_io_en), .io_scan_mode(rvclkhdr_1_io_scan_mode) ); - rvclkhdr rvclkhdr_2 ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr_2 ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_2_io_l1clk), .io_clk(rvclkhdr_2_io_clk), .io_en(rvclkhdr_2_io_en), .io_scan_mode(rvclkhdr_2_io_scan_mode) ); - rvclkhdr rvclkhdr_3 ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr_3 ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_3_io_l1clk), .io_clk(rvclkhdr_3_io_clk), .io_en(rvclkhdr_3_io_en), .io_scan_mode(rvclkhdr_3_io_scan_mode) ); - rvclkhdr rvclkhdr_4 ( // @[beh_lib.scala 360:21] + rvclkhdr rvclkhdr_4 ( // @[el2_lib.scala 495:23] .io_l1clk(rvclkhdr_4_io_l1clk), .io_clk(rvclkhdr_4_io_clk), .io_en(rvclkhdr_4_io_en), .io_scan_mode(rvclkhdr_4_io_scan_mode) ); - rvclkhdr rvclkhdr_5 ( // @[beh_lib.scala 360:21] + rvclkhdr rvclkhdr_5 ( // @[el2_lib.scala 495:23] .io_l1clk(rvclkhdr_5_io_l1clk), .io_clk(rvclkhdr_5_io_clk), .io_en(rvclkhdr_5_io_en), .io_scan_mode(rvclkhdr_5_io_scan_mode) ); - rvclkhdr rvclkhdr_6 ( // @[beh_lib.scala 360:21] + rvclkhdr rvclkhdr_6 ( // @[el2_lib.scala 495:23] .io_l1clk(rvclkhdr_6_io_l1clk), .io_clk(rvclkhdr_6_io_clk), .io_en(rvclkhdr_6_io_en), .io_scan_mode(rvclkhdr_6_io_scan_mode) ); - rvclkhdr rvclkhdr_7 ( // @[beh_lib.scala 360:21] + rvclkhdr rvclkhdr_7 ( // @[el2_lib.scala 495:23] .io_l1clk(rvclkhdr_7_io_l1clk), .io_clk(rvclkhdr_7_io_clk), .io_en(rvclkhdr_7_io_en), .io_scan_mode(rvclkhdr_7_io_scan_mode) ); - rvclkhdr rvclkhdr_8 ( // @[beh_lib.scala 360:21] + rvclkhdr rvclkhdr_8 ( // @[el2_lib.scala 495:23] .io_l1clk(rvclkhdr_8_io_l1clk), .io_clk(rvclkhdr_8_io_clk), .io_en(rvclkhdr_8_io_en), .io_scan_mode(rvclkhdr_8_io_scan_mode) ); - rvclkhdr rvclkhdr_9 ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr_9 ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_9_io_l1clk), .io_clk(rvclkhdr_9_io_clk), .io_en(rvclkhdr_9_io_en), .io_scan_mode(rvclkhdr_9_io_scan_mode) ); - rvclkhdr rvclkhdr_10 ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr_10 ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_10_io_l1clk), .io_clk(rvclkhdr_10_io_clk), .io_en(rvclkhdr_10_io_en), .io_scan_mode(rvclkhdr_10_io_scan_mode) ); - rvclkhdr rvclkhdr_11 ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr_11 ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_11_io_l1clk), .io_clk(rvclkhdr_11_io_clk), .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - rvclkhdr rvclkhdr_12 ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr_12 ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_12_io_l1clk), .io_clk(rvclkhdr_12_io_clk), .io_en(rvclkhdr_12_io_en), .io_scan_mode(rvclkhdr_12_io_scan_mode) ); - rvclkhdr rvclkhdr_13 ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr_13 ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_13_io_l1clk), .io_clk(rvclkhdr_13_io_clk), .io_en(rvclkhdr_13_io_en), .io_scan_mode(rvclkhdr_13_io_scan_mode) ); - rvclkhdr rvclkhdr_14 ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr_14 ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_14_io_l1clk), .io_clk(rvclkhdr_14_io_clk), .io_en(rvclkhdr_14_io_en), .io_scan_mode(rvclkhdr_14_io_scan_mode) ); - rvclkhdr rvclkhdr_15 ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr_15 ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_15_io_l1clk), .io_clk(rvclkhdr_15_io_clk), .io_en(rvclkhdr_15_io_en), .io_scan_mode(rvclkhdr_15_io_scan_mode) ); - rvclkhdr rvclkhdr_16 ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr_16 ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_16_io_l1clk), .io_clk(rvclkhdr_16_io_clk), .io_en(rvclkhdr_16_io_en), .io_scan_mode(rvclkhdr_16_io_scan_mode) ); - rvclkhdr rvclkhdr_17 ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr_17 ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_17_io_l1clk), .io_clk(rvclkhdr_17_io_clk), .io_en(rvclkhdr_17_io_en), .io_scan_mode(rvclkhdr_17_io_scan_mode) ); - rvclkhdr rvclkhdr_18 ( // @[beh_lib.scala 350:21] + rvclkhdr rvclkhdr_18 ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_18_io_l1clk), .io_clk(rvclkhdr_18_io_clk), .io_en(rvclkhdr_18_io_en), .io_scan_mode(rvclkhdr_18_io_scan_mode) ); - assign io_dec_extint_stall = _T_339; // @[el2_dec_decode_ctl.scala 436:23] - assign io_dec_i0_inst_wb1 = _T_837; // @[el2_dec_decode_ctl.scala 757:22] - assign io_dec_i0_pc_wb1 = _T_840; // @[el2_dec_decode_ctl.scala 760:20] - assign io_dec_i0_rs1_en_d = i0_dp_rs1 & _T_556; // @[el2_dec_decode_ctl.scala 628:24] - assign io_dec_i0_rs2_en_d = i0_dp_rs2 & _T_558; // @[el2_dec_decode_ctl.scala 629:24] - assign io_dec_i0_rs1_d = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 631:19] - assign io_dec_i0_rs2_d = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 632:19] - assign io_dec_i0_immed_d = _T_563 | _T_564; // @[el2_dec_decode_ctl.scala 637:21] - assign io_dec_i0_br_immed_d = _T_768 ? i0_br_offset : _T_781; // @[el2_dec_decode_ctl.scala 715:24] + assign io_dec_extint_stall = _T_339; // @[el2_dec_decode_ctl.scala 435:23] + assign io_dec_i0_inst_wb1 = _T_837; // @[el2_dec_decode_ctl.scala 756:22] + assign io_dec_i0_pc_wb1 = _T_840; // @[el2_dec_decode_ctl.scala 759:20] + assign io_dec_i0_rs1_en_d = i0_dp_rs1 & _T_556; // @[el2_dec_decode_ctl.scala 627:24] + assign io_dec_i0_rs2_en_d = i0_dp_rs2 & _T_558; // @[el2_dec_decode_ctl.scala 628:24] + assign io_dec_i0_rs1_d = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 630:19] + assign io_dec_i0_rs2_d = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 631:19] + assign io_dec_i0_immed_d = _T_563 | _T_564; // @[el2_dec_decode_ctl.scala 636:21] + assign io_dec_i0_br_immed_d = _T_768 ? i0_br_offset : _T_781; // @[el2_dec_decode_ctl.scala 714:24] assign io_i0_ap_land = _T_40 ? 1'h0 : i0_dp_raw_land; // @[el2_dec_decode_ctl.scala 288:20] assign io_i0_ap_lor = _T_40 | i0_dp_raw_lor; // @[el2_dec_decode_ctl.scala 289:20] assign io_i0_ap_lxor = _T_40 ? 1'h0 : i0_dp_raw_lxor; // @[el2_dec_decode_ctl.scala 290:20] @@ -2086,33 +2073,33 @@ module el2_dec_decode_ctl( assign io_i0_ap_predict_nt = _T_47 & i0_predict_br; // @[el2_dec_decode_ctl.scala 283:26] assign io_i0_ap_csr_write = i0_csr_write & _T_347; // @[el2_dec_decode_ctl.scala 300:22] assign io_i0_ap_csr_imm = _T_40 ? 1'h0 : i0_dp_raw_csr_imm; // @[el2_dec_decode_ctl.scala 301:22] - assign io_dec_i0_decode_d = _T_490 & _T_467; // @[el2_dec_decode_ctl.scala 556:22 el2_dec_decode_ctl.scala 622:22] - assign io_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[el2_dec_decode_ctl.scala 576:26] - assign io_dec_i0_rs1_bypass_data_d = _T_967 | _T_966; // @[el2_dec_decode_ctl.scala 810:34] - assign io_dec_i0_rs2_bypass_data_d = _T_984 | _T_983; // @[el2_dec_decode_ctl.scala 815:34] - assign io_dec_i0_waddr_r = r_d_i0rd; // @[el2_dec_decode_ctl.scala 698:27] - assign io_dec_i0_wen_r = _T_757 & _T_758; // @[el2_dec_decode_ctl.scala 700:32] - assign io_dec_i0_wdata_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 701:26] + assign io_dec_i0_decode_d = _T_490 & _T_467; // @[el2_dec_decode_ctl.scala 555:22 el2_dec_decode_ctl.scala 621:22] + assign io_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[el2_dec_decode_ctl.scala 575:26] + assign io_dec_i0_rs1_bypass_data_d = _T_967 | _T_966; // @[el2_dec_decode_ctl.scala 809:31] + assign io_dec_i0_rs2_bypass_data_d = _T_984 | _T_983; // @[el2_dec_decode_ctl.scala 814:31] + assign io_dec_i0_waddr_r = r_d_i0rd; // @[el2_dec_decode_ctl.scala 697:27] + assign io_dec_i0_wen_r = _T_757 & _T_758; // @[el2_dec_decode_ctl.scala 699:32] + assign io_dec_i0_wdata_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 700:26] assign io_dec_i0_select_pc_d = _T_40 ? 1'h0 : i0_dp_raw_pc; // @[el2_dec_decode_ctl.scala 274:25] - assign io_dec_i0_rs1_bypass_en_d = {i0_rs1bypass[2],_T_942}; // @[el2_dec_decode_ctl.scala 807:37] - assign io_dec_i0_rs2_bypass_en_d = {i0_rs2bypass[2],_T_951}; // @[el2_dec_decode_ctl.scala 808:37] - assign io_lsu_p_fast_int = io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 438:11 el2_dec_decode_ctl.scala 442:30] - assign io_lsu_p_by = io_dec_extint_stall ? 1'h0 : i0_dp_by; // @[el2_dec_decode_ctl.scala 438:11 el2_dec_decode_ctl.scala 448:41] - assign io_lsu_p_half = io_dec_extint_stall ? 1'h0 : i0_dp_half; // @[el2_dec_decode_ctl.scala 438:11 el2_dec_decode_ctl.scala 449:41] - assign io_lsu_p_word = io_dec_extint_stall | i0_dp_word; // @[el2_dec_decode_ctl.scala 438:11 el2_dec_decode_ctl.scala 441:30 el2_dec_decode_ctl.scala 450:41] - assign io_lsu_p_dword = 1'h0; // @[el2_dec_decode_ctl.scala 438:11] - assign io_lsu_p_load = io_dec_extint_stall | i0_dp_load; // @[el2_dec_decode_ctl.scala 438:11 el2_dec_decode_ctl.scala 440:30 el2_dec_decode_ctl.scala 446:41] - assign io_lsu_p_store = io_dec_extint_stall ? 1'h0 : i0_dp_store; // @[el2_dec_decode_ctl.scala 438:11 el2_dec_decode_ctl.scala 447:41] - assign io_lsu_p_unsign = io_dec_extint_stall ? 1'h0 : i0_dp_unsign; // @[el2_dec_decode_ctl.scala 438:11 el2_dec_decode_ctl.scala 454:41] - assign io_lsu_p_dma = 1'h0; // @[el2_dec_decode_ctl.scala 438:11] - assign io_lsu_p_store_data_bypass_d = io_dec_extint_stall ? 1'h0 : store_data_bypass_d; // @[el2_dec_decode_ctl.scala 438:11 el2_dec_decode_ctl.scala 452:41] - assign io_lsu_p_load_ldst_bypass_d = io_dec_extint_stall ? 1'h0 : load_ldst_bypass_d; // @[el2_dec_decode_ctl.scala 438:11 el2_dec_decode_ctl.scala 451:41] - assign io_lsu_p_store_data_bypass_m = 1'h0; // @[el2_dec_decode_ctl.scala 438:11 el2_dec_decode_ctl.scala 453:41] - assign io_lsu_p_valid = io_dec_extint_stall | lsu_decode_d; // @[el2_dec_decode_ctl.scala 438:11 el2_dec_decode_ctl.scala 443:30 el2_dec_decode_ctl.scala 445:41] - assign io_mul_p_valid = i0_exulegal_decode_d & i0_dp_mul; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 431:21] - assign io_mul_p_rs1_sign = _T_40 ? 1'h0 : i0_dp_raw_rs1_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 432:21] - assign io_mul_p_rs2_sign = _T_40 ? 1'h0 : i0_dp_raw_rs2_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 433:21] - assign io_mul_p_low = _T_40 ? 1'h0 : i0_dp_raw_low; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 434:21] + assign io_dec_i0_rs1_bypass_en_d = {i0_rs1bypass[2],_T_942}; // @[el2_dec_decode_ctl.scala 806:34] + assign io_dec_i0_rs2_bypass_en_d = {i0_rs2bypass[2],_T_951}; // @[el2_dec_decode_ctl.scala 807:34] + assign io_lsu_p_fast_int = io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 441:24] + assign io_lsu_p_by = io_dec_extint_stall ? 1'h0 : i0_dp_by; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 447:35] + assign io_lsu_p_half = io_dec_extint_stall ? 1'h0 : i0_dp_half; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 448:35] + assign io_lsu_p_word = io_dec_extint_stall | i0_dp_word; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 440:24 el2_dec_decode_ctl.scala 449:35] + assign io_lsu_p_dword = 1'h0; // @[el2_dec_decode_ctl.scala 437:12] + assign io_lsu_p_load = io_dec_extint_stall | i0_dp_load; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 439:24 el2_dec_decode_ctl.scala 445:35] + assign io_lsu_p_store = io_dec_extint_stall ? 1'h0 : i0_dp_store; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 446:35] + assign io_lsu_p_unsign = io_dec_extint_stall ? 1'h0 : i0_dp_unsign; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 453:35] + assign io_lsu_p_dma = 1'h0; // @[el2_dec_decode_ctl.scala 437:12] + assign io_lsu_p_store_data_bypass_d = io_dec_extint_stall ? 1'h0 : store_data_bypass_d; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 451:35] + assign io_lsu_p_load_ldst_bypass_d = io_dec_extint_stall ? 1'h0 : load_ldst_bypass_d; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 450:35] + assign io_lsu_p_store_data_bypass_m = 1'h0; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 452:35] + assign io_lsu_p_valid = io_dec_extint_stall | lsu_decode_d; // @[el2_dec_decode_ctl.scala 437:12 el2_dec_decode_ctl.scala 442:24 el2_dec_decode_ctl.scala 444:35] + assign io_mul_p_valid = i0_exulegal_decode_d & i0_dp_mul; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 430:21] + assign io_mul_p_rs1_sign = _T_40 ? 1'h0 : i0_dp_raw_rs1_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 431:21] + assign io_mul_p_rs2_sign = _T_40 ? 1'h0 : i0_dp_raw_rs2_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 432:21] + assign io_mul_p_low = _T_40 ? 1'h0 : i0_dp_raw_low; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 433:21] assign io_mul_p_bext = 1'h0; // @[el2_dec_decode_ctl.scala 126:12] assign io_mul_p_bdep = 1'h0; // @[el2_dec_decode_ctl.scala 126:12] assign io_mul_p_clmul = 1'h0; // @[el2_dec_decode_ctl.scala 126:12] @@ -2128,35 +2115,35 @@ module el2_dec_decode_ctl( assign io_mul_p_crc32c_h = 1'h0; // @[el2_dec_decode_ctl.scala 126:12] assign io_mul_p_crc32c_w = 1'h0; // @[el2_dec_decode_ctl.scala 126:12] assign io_mul_p_bfp = 1'h0; // @[el2_dec_decode_ctl.scala 126:12] - assign io_div_p_valid = i0_exulegal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 427:21] - assign io_div_p_unsign = _T_40 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 428:21] - assign io_div_p_rem = _T_40 ? 1'h0 : i0_dp_raw_rem; // @[el2_dec_decode_ctl.scala 429:21] - assign io_div_waddr_wb = _T_830; // @[el2_dec_decode_ctl.scala 745:19] - assign io_dec_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 734:29] - assign io_dec_lsu_valid_raw_d = _T_992 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 820:26] - assign io_dec_lsu_offset_d = _T_1006 | _T_1007; // @[el2_dec_decode_ctl.scala 821:23] - assign io_dec_csr_ren_d = _T_40 ? 1'h0 : i0_dp_raw_csr_read; // @[el2_dec_decode_ctl.scala 458:21] - assign io_dec_csr_wen_unq_d = _T_349 | i0_csr_write; // @[el2_dec_decode_ctl.scala 467:24] - assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 533:24] - assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[el2_dec_decode_ctl.scala 470:24] - assign io_dec_csr_wen_r = _T_352 & _T_754; // @[el2_dec_decode_ctl.scala 475:20] - assign io_dec_csr_wraddr_r = r_d_csrwaddr; // @[el2_dec_decode_ctl.scala 471:23] - assign io_dec_csr_wrdata_r = r_d_csrwonly ? i0_result_corr_r : write_csr_data; // @[el2_dec_decode_ctl.scala 518:24] - assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[el2_dec_decode_ctl.scala 478:27] - assign io_dec_tlu_i0_valid_r = r_d_i0valid & _T_743; // @[el2_dec_decode_ctl.scala 582:30] - assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_icaf_type = io_dec_tlu_flush_lower_wb ? 2'h0 : r_t_icaf_type; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_fence_i = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_fence_i; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_542; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_pmu_divide = r_d_i0div & r_d_i0valid; // @[el2_dec_decode_ctl.scala 616:39 el2_dec_decode_ctl.scala 617:39] - assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[el2_dec_decode_ctl.scala 763:27] - assign io_dec_illegal_inst = _T_465; // @[el2_dec_decode_ctl.scala 540:23] - assign io_pred_correct_npc_x = temp_pred_correct_npc_x[31:1]; // @[el2_dec_decode_ctl.scala 768:25] + assign io_div_p_valid = i0_exulegal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 426:21] + assign io_div_p_unsign = _T_40 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 427:21] + assign io_div_p_rem = _T_40 ? 1'h0 : i0_dp_raw_rem; // @[el2_dec_decode_ctl.scala 428:21] + assign io_div_waddr_wb = _T_830; // @[el2_dec_decode_ctl.scala 744:19] + assign io_dec_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 733:29] + assign io_dec_lsu_valid_raw_d = _T_992 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 819:26] + assign io_dec_lsu_offset_d = _T_1006 | _T_1007; // @[el2_dec_decode_ctl.scala 820:23] + assign io_dec_csr_ren_d = _T_40 ? 1'h0 : i0_dp_raw_csr_read; // @[el2_dec_decode_ctl.scala 457:21] + assign io_dec_csr_wen_unq_d = _T_349 | i0_csr_write; // @[el2_dec_decode_ctl.scala 466:24] + assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 532:24] + assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[el2_dec_decode_ctl.scala 469:24] + assign io_dec_csr_wen_r = _T_352 & _T_754; // @[el2_dec_decode_ctl.scala 474:20] + assign io_dec_csr_wraddr_r = r_d_csrwaddr; // @[el2_dec_decode_ctl.scala 470:23] + assign io_dec_csr_wrdata_r = r_d_csrwonly ? i0_result_corr_r : write_csr_data; // @[el2_dec_decode_ctl.scala 517:24] + assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[el2_dec_decode_ctl.scala 477:27] + assign io_dec_tlu_i0_valid_r = r_d_i0valid & _T_743; // @[el2_dec_decode_ctl.scala 581:29] + assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_icaf_type = io_dec_tlu_flush_lower_wb ? 2'h0 : r_t_icaf_type; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_fence_i = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_fence_i; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_542; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_packet_r_pmu_divide = r_d_i0div & r_d_i0valid; // @[el2_dec_decode_ctl.scala 615:39 el2_dec_decode_ctl.scala 616:39] + assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 615:39] + assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[el2_dec_decode_ctl.scala 762:27] + assign io_dec_illegal_inst = _T_465; // @[el2_dec_decode_ctl.scala 539:23] + assign io_pred_correct_npc_x = temp_pred_correct_npc_x[31:1]; // @[el2_dec_decode_ctl.scala 767:25] assign io_dec_i0_predict_p_d_misp = 1'h0; // @[el2_dec_decode_ctl.scala 230:38] assign io_dec_i0_predict_p_d_ataken = 1'h0; // @[el2_dec_decode_ctl.scala 231:38] assign io_dec_i0_predict_p_d_boffset = 1'h0; // @[el2_dec_decode_ctl.scala 232:38] @@ -2174,78 +2161,78 @@ module el2_dec_decode_ctl( assign io_i0_predict_fghr_d = io_dec_i0_bp_fghr; // @[el2_dec_decode_ctl.scala 252:32] assign io_i0_predict_index_d = io_dec_i0_bp_index; // @[el2_dec_decode_ctl.scala 248:32] assign io_i0_predict_btag_d = io_dec_i0_bp_btag; // @[el2_dec_decode_ctl.scala 249:32] - assign io_dec_data_en = {i0_x_data_en,i0_r_data_en}; // @[el2_dec_decode_ctl.scala 666:27] - assign io_dec_ctl_en = {i0_x_ctl_en,i0_r_ctl_en}; // @[el2_dec_decode_ctl.scala 667:27] - assign io_dec_pmu_instr_decoded = io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 561:28] - assign io_dec_pmu_decode_stall = io_dec_ib0_valid_d & _T_498; // @[el2_dec_decode_ctl.scala 562:27] - assign io_dec_pmu_presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 564:29] - assign io_dec_pmu_postsync_stall = postsync_stall; // @[el2_dec_decode_ctl.scala 563:29] + assign io_dec_data_en = {i0_x_data_en,i0_r_data_en}; // @[el2_dec_decode_ctl.scala 665:27] + assign io_dec_ctl_en = {i0_x_ctl_en,i0_r_ctl_en}; // @[el2_dec_decode_ctl.scala 666:27] + assign io_dec_pmu_instr_decoded = io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 560:28] + assign io_dec_pmu_decode_stall = io_dec_ib0_valid_d & _T_498; // @[el2_dec_decode_ctl.scala 561:27] + assign io_dec_pmu_presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 563:29] + assign io_dec_pmu_postsync_stall = postsync_stall; // @[el2_dec_decode_ctl.scala 562:29] assign io_dec_nonblock_load_wen = _T_199 & _T_200; // @[el2_dec_decode_ctl.scala 357:28] assign io_dec_nonblock_load_waddr = _T_245 | _T_237; // @[el2_dec_decode_ctl.scala 354:29 el2_dec_decode_ctl.scala 364:29] - assign io_dec_pause_state = pause_stall; // @[el2_dec_decode_ctl.scala 502:22] - assign io_dec_pause_state_cg = pause_stall & _T_420; // @[el2_dec_decode_ctl.scala 506:25] - assign io_dec_div_active = _T_821; // @[el2_dec_decode_ctl.scala 739:21] + assign io_dec_pause_state = pause_stall; // @[el2_dec_decode_ctl.scala 501:22] + assign io_dec_pause_state_cg = pause_stall & _T_420; // @[el2_dec_decode_ctl.scala 505:25] + assign io_dec_div_active = _T_821; // @[el2_dec_decode_ctl.scala 738:21] assign data_gated_cgc_io_clk = clock; // @[el2_dec_decode_ctl.scala 224:31] assign data_gated_cgc_io_en = _T_15 | _T_16; // @[el2_dec_decode_ctl.scala 222:31] assign data_gated_cgc_io_scan_mode = io_scan_mode; // @[el2_dec_decode_ctl.scala 223:31] - assign i0_dec_io_ins = io_dec_i0_instr_d; // @[el2_dec_decode_ctl.scala 397:18] - assign rvclkhdr_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_io_en = i0_pipe_en[3] | io_clk_override; // @[beh_lib.scala 353:15] - assign rvclkhdr_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] - assign rvclkhdr_1_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_1_io_en = i0_pipe_en[3] | io_clk_override; // @[beh_lib.scala 353:15] - assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] - assign rvclkhdr_2_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_2_io_en = _T_428 | pause_stall; // @[beh_lib.scala 353:15] - assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] - assign rvclkhdr_3_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_3_io_en = shift_illegal & _T_464; // @[beh_lib.scala 353:15] - assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] - assign rvclkhdr_4_io_clk = clock; // @[beh_lib.scala 362:16] - assign rvclkhdr_4_io_en = _T_704 | io_clk_override; // @[beh_lib.scala 363:15] - assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[beh_lib.scala 364:22] - assign rvclkhdr_5_io_clk = clock; // @[beh_lib.scala 362:16] - assign rvclkhdr_5_io_en = _T_704 | io_clk_override; // @[beh_lib.scala 363:15] - assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[beh_lib.scala 364:22] - assign rvclkhdr_6_io_clk = clock; // @[beh_lib.scala 362:16] - assign rvclkhdr_6_io_en = _T_704 | io_clk_override; // @[beh_lib.scala 363:15] - assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[beh_lib.scala 364:22] - assign rvclkhdr_7_io_clk = clock; // @[beh_lib.scala 362:16] - assign rvclkhdr_7_io_en = _T_707 | io_clk_override; // @[beh_lib.scala 363:15] - assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[beh_lib.scala 364:22] - assign rvclkhdr_8_io_clk = clock; // @[beh_lib.scala 362:16] - assign rvclkhdr_8_io_en = _T_710 | io_clk_override; // @[beh_lib.scala 363:15] - assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[beh_lib.scala 364:22] - assign rvclkhdr_9_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_9_io_en = i0_pipe_en[2] | io_clk_override; // @[beh_lib.scala 353:15] - assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] - assign rvclkhdr_10_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_10_io_en = i0_pipe_en[3] | io_clk_override; // @[beh_lib.scala 353:15] - assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] - assign rvclkhdr_11_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_11_io_en = i0_legal_decode_d & i0_dp_div; // @[beh_lib.scala 353:15] - assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] - assign rvclkhdr_12_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_12_io_en = i0_pipe_en[3] | io_clk_override; // @[beh_lib.scala 353:15] - assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] - assign rvclkhdr_13_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_13_io_en = i0_pipe_en[2] | io_clk_override; // @[beh_lib.scala 353:15] - assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] - assign rvclkhdr_14_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_14_io_en = i0_pipe_en[1] | io_clk_override; // @[beh_lib.scala 353:15] - assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] - assign rvclkhdr_15_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_15_io_en = i0_pipe_en[0] | io_clk_override; // @[beh_lib.scala 353:15] - assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] - assign rvclkhdr_16_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_16_io_en = i0_pipe_en[1] | io_clk_override; // @[beh_lib.scala 353:15] - assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] - assign rvclkhdr_17_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_17_io_en = i0_pipe_en[0] | io_clk_override; // @[beh_lib.scala 353:15] - assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] - assign rvclkhdr_18_io_clk = clock; // @[beh_lib.scala 352:16] - assign rvclkhdr_18_io_en = i0_pipe_en[2] | io_clk_override; // @[beh_lib.scala 353:15] - assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[beh_lib.scala 354:22] + assign i0_dec_io_ins = io_dec_i0_instr_d; // @[el2_dec_decode_ctl.scala 396:16] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_1_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_2_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_2_io_en = _T_428 | pause_stall; // @[el2_lib.scala 488:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_3_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_3_io_en = shift_illegal & _T_464; // @[el2_lib.scala 488:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 497:18] + assign rvclkhdr_4_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 498:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 499:24] + assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 497:18] + assign rvclkhdr_5_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 498:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 499:24] + assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 497:18] + assign rvclkhdr_6_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 498:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 499:24] + assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 497:18] + assign rvclkhdr_7_io_en = _T_707 | io_clk_override; // @[el2_lib.scala 498:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 499:24] + assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 497:18] + assign rvclkhdr_8_io_en = _T_710 | io_clk_override; // @[el2_lib.scala 498:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 499:24] + assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_9_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_10_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_11_io_en = i0_legal_decode_d & i0_dp_div; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_12_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_12_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_13_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_13_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_14_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_14_io_en = i0_pipe_en[1] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_15_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_15_io_en = i0_pipe_en[0] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_16_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_16_io_en = i0_pipe_en[1] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_17_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_17_io_en = i0_pipe_en[0] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_18_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_18_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 488:17] + assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -2823,7 +2810,7 @@ end // initial if (reset) begin cam_raw_0_tag <= 3'h0; end else if (cam_wen[0]) begin - cam_raw_0_tag <= io_lsu_nonblock_load_tag_m; + cam_raw_0_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; end else if (_T_106) begin cam_raw_0_tag <= 3'h0; end @@ -2841,7 +2828,7 @@ end // initial if (reset) begin cam_raw_1_tag <= 3'h0; end else if (cam_wen[1]) begin - cam_raw_1_tag <= io_lsu_nonblock_load_tag_m; + cam_raw_1_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; end else if (_T_132) begin cam_raw_1_tag <= 3'h0; end @@ -2859,7 +2846,7 @@ end // initial if (reset) begin cam_raw_2_tag <= 3'h0; end else if (cam_wen[2]) begin - cam_raw_2_tag <= io_lsu_nonblock_load_tag_m; + cam_raw_2_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; end else if (_T_158) begin cam_raw_2_tag <= 3'h0; end @@ -2877,7 +2864,7 @@ end // initial if (reset) begin cam_raw_3_tag <= 3'h0; end else if (cam_wen[3]) begin - cam_raw_3_tag <= io_lsu_nonblock_load_tag_m; + cam_raw_3_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; end else if (_T_184) begin cam_raw_3_tag <= 3'h0; end @@ -3397,4 +3384,3 @@ end // initial end end endmodule - diff --git a/el2_dec_gpr_ctl.anno.json b/el2_dec_gpr_ctl.anno.json new file mode 100644 index 00000000..33d139f2 --- /dev/null +++ b/el2_dec_gpr_ctl.anno.json @@ -0,0 +1,37 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_gpr_ctl|el2_dec_gpr_ctl>io_rd1", + "sources":[ + "~el2_dec_gpr_ctl|el2_dec_gpr_ctl>io_raddr1" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_gpr_ctl|el2_dec_gpr_ctl>io_rd0", + "sources":[ + "~el2_dec_gpr_ctl|el2_dec_gpr_ctl>io_raddr0" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"el2_dec_gpr_ctl.TEC_RV_ICG", + "resourceId":"/vsrc/TEC_RV_ICG.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"el2_dec_gpr_ctl" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/el2_dec_gpr_ctl.fir b/el2_dec_gpr_ctl.fir new file mode 100644 index 00000000..0fd1bbfe --- /dev/null +++ b/el2_dec_gpr_ctl.fir @@ -0,0 +1,2074 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit el2_dec_gpr_ctl : + extmodule TEC_RV_ICG : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_1 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_2 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_3 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_4 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_5 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_6 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_7 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_8 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_9 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_10 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_11 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_12 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_13 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_14 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_15 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_15 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_15 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_16 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_16 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_16 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_17 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_17 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_17 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_18 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_18 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_18 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_19 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_19 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_19 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_20 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_20 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_20 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_21 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_21 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_21 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_22 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_22 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_22 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_23 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_23 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_23 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_24 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_24 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_24 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_25 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_25 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_25 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_26 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_26 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_26 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_27 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_27 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_27 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_28 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_28 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_28 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_29 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_29 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_29 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_30 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_30 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_30 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + module el2_dec_gpr_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip raddr0 : UInt<5>, flip raddr1 : UInt<5>, flip wen0 : UInt<1>, flip waddr0 : UInt<5>, flip wd0 : UInt<32>, flip wen1 : UInt<1>, flip waddr1 : UInt<5>, flip wd1 : UInt<32>, flip wen2 : UInt<1>, flip waddr2 : UInt<5>, flip wd2 : UInt<32>, rd0 : UInt<32>, rd1 : UInt<32>, flip scan_mode : UInt<1>} + + wire w0v : UInt<1>[32] @[el2_dec_gpr_ctl.scala 10:30] + wire w1v : UInt<1>[32] @[el2_dec_gpr_ctl.scala 11:30] + wire w2v : UInt<1>[32] @[el2_dec_gpr_ctl.scala 12:30] + wire gpr_in : UInt<32>[32] @[el2_dec_gpr_ctl.scala 13:22] + wire gpr_out : UInt<32>[32] @[el2_dec_gpr_ctl.scala 14:22] + wire gpr_wr_en : UInt<32> @[el2_dec_gpr_ctl.scala 15:22] + w0v[0] <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 16:9] + w1v[0] <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 17:9] + w2v[0] <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 18:9] + gpr_out[0] <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 19:13] + gpr_in[0] <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 20:12] + io.rd0 <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 21:9] + io.rd1 <= UInt<1>("h00") @[el2_dec_gpr_ctl.scala 22:9] + node _T = cat(w0v[1], w0v[0]) @[Cat.scala 29:58] + node _T_1 = cat(w0v[2], _T) @[Cat.scala 29:58] + node _T_2 = cat(w0v[3], _T_1) @[Cat.scala 29:58] + node _T_3 = cat(w0v[4], _T_2) @[Cat.scala 29:58] + node _T_4 = cat(w0v[5], _T_3) @[Cat.scala 29:58] + node _T_5 = cat(w0v[6], _T_4) @[Cat.scala 29:58] + node _T_6 = cat(w0v[7], _T_5) @[Cat.scala 29:58] + node _T_7 = cat(w0v[8], _T_6) @[Cat.scala 29:58] + node _T_8 = cat(w0v[9], _T_7) @[Cat.scala 29:58] + node _T_9 = cat(w0v[10], _T_8) @[Cat.scala 29:58] + node _T_10 = cat(w0v[11], _T_9) @[Cat.scala 29:58] + node _T_11 = cat(w0v[12], _T_10) @[Cat.scala 29:58] + node _T_12 = cat(w0v[13], _T_11) @[Cat.scala 29:58] + node _T_13 = cat(w0v[14], _T_12) @[Cat.scala 29:58] + node _T_14 = cat(w0v[15], _T_13) @[Cat.scala 29:58] + node _T_15 = cat(w0v[16], _T_14) @[Cat.scala 29:58] + node _T_16 = cat(w0v[17], _T_15) @[Cat.scala 29:58] + node _T_17 = cat(w0v[18], _T_16) @[Cat.scala 29:58] + node _T_18 = cat(w0v[19], _T_17) @[Cat.scala 29:58] + node _T_19 = cat(w0v[20], _T_18) @[Cat.scala 29:58] + node _T_20 = cat(w0v[21], _T_19) @[Cat.scala 29:58] + node _T_21 = cat(w0v[22], _T_20) @[Cat.scala 29:58] + node _T_22 = cat(w0v[23], _T_21) @[Cat.scala 29:58] + node _T_23 = cat(w0v[24], _T_22) @[Cat.scala 29:58] + node _T_24 = cat(w0v[25], _T_23) @[Cat.scala 29:58] + node _T_25 = cat(w0v[26], _T_24) @[Cat.scala 29:58] + node _T_26 = cat(w0v[27], _T_25) @[Cat.scala 29:58] + node _T_27 = cat(w0v[28], _T_26) @[Cat.scala 29:58] + node _T_28 = cat(w0v[29], _T_27) @[Cat.scala 29:58] + node _T_29 = cat(w0v[30], _T_28) @[Cat.scala 29:58] + node _T_30 = cat(w0v[31], _T_29) @[Cat.scala 29:58] + node _T_31 = cat(w1v[1], w1v[0]) @[Cat.scala 29:58] + node _T_32 = cat(w1v[2], _T_31) @[Cat.scala 29:58] + node _T_33 = cat(w1v[3], _T_32) @[Cat.scala 29:58] + node _T_34 = cat(w1v[4], _T_33) @[Cat.scala 29:58] + node _T_35 = cat(w1v[5], _T_34) @[Cat.scala 29:58] + node _T_36 = cat(w1v[6], _T_35) @[Cat.scala 29:58] + node _T_37 = cat(w1v[7], _T_36) @[Cat.scala 29:58] + node _T_38 = cat(w1v[8], _T_37) @[Cat.scala 29:58] + node _T_39 = cat(w1v[9], _T_38) @[Cat.scala 29:58] + node _T_40 = cat(w1v[10], _T_39) @[Cat.scala 29:58] + node _T_41 = cat(w1v[11], _T_40) @[Cat.scala 29:58] + node _T_42 = cat(w1v[12], _T_41) @[Cat.scala 29:58] + node _T_43 = cat(w1v[13], _T_42) @[Cat.scala 29:58] + node _T_44 = cat(w1v[14], _T_43) @[Cat.scala 29:58] + node _T_45 = cat(w1v[15], _T_44) @[Cat.scala 29:58] + node _T_46 = cat(w1v[16], _T_45) @[Cat.scala 29:58] + node _T_47 = cat(w1v[17], _T_46) @[Cat.scala 29:58] + node _T_48 = cat(w1v[18], _T_47) @[Cat.scala 29:58] + node _T_49 = cat(w1v[19], _T_48) @[Cat.scala 29:58] + node _T_50 = cat(w1v[20], _T_49) @[Cat.scala 29:58] + node _T_51 = cat(w1v[21], _T_50) @[Cat.scala 29:58] + node _T_52 = cat(w1v[22], _T_51) @[Cat.scala 29:58] + node _T_53 = cat(w1v[23], _T_52) @[Cat.scala 29:58] + node _T_54 = cat(w1v[24], _T_53) @[Cat.scala 29:58] + node _T_55 = cat(w1v[25], _T_54) @[Cat.scala 29:58] + node _T_56 = cat(w1v[26], _T_55) @[Cat.scala 29:58] + node _T_57 = cat(w1v[27], _T_56) @[Cat.scala 29:58] + node _T_58 = cat(w1v[28], _T_57) @[Cat.scala 29:58] + node _T_59 = cat(w1v[29], _T_58) @[Cat.scala 29:58] + node _T_60 = cat(w1v[30], _T_59) @[Cat.scala 29:58] + node _T_61 = cat(w1v[31], _T_60) @[Cat.scala 29:58] + node _T_62 = or(_T_30, _T_61) @[el2_dec_gpr_ctl.scala 23:51] + node _T_63 = cat(w2v[1], w2v[0]) @[Cat.scala 29:58] + node _T_64 = cat(w2v[2], _T_63) @[Cat.scala 29:58] + node _T_65 = cat(w2v[3], _T_64) @[Cat.scala 29:58] + node _T_66 = cat(w2v[4], _T_65) @[Cat.scala 29:58] + node _T_67 = cat(w2v[5], _T_66) @[Cat.scala 29:58] + node _T_68 = cat(w2v[6], _T_67) @[Cat.scala 29:58] + node _T_69 = cat(w2v[7], _T_68) @[Cat.scala 29:58] + node _T_70 = cat(w2v[8], _T_69) @[Cat.scala 29:58] + node _T_71 = cat(w2v[9], _T_70) @[Cat.scala 29:58] + node _T_72 = cat(w2v[10], _T_71) @[Cat.scala 29:58] + node _T_73 = cat(w2v[11], _T_72) @[Cat.scala 29:58] + node _T_74 = cat(w2v[12], _T_73) @[Cat.scala 29:58] + node _T_75 = cat(w2v[13], _T_74) @[Cat.scala 29:58] + node _T_76 = cat(w2v[14], _T_75) @[Cat.scala 29:58] + node _T_77 = cat(w2v[15], _T_76) @[Cat.scala 29:58] + node _T_78 = cat(w2v[16], _T_77) @[Cat.scala 29:58] + node _T_79 = cat(w2v[17], _T_78) @[Cat.scala 29:58] + node _T_80 = cat(w2v[18], _T_79) @[Cat.scala 29:58] + node _T_81 = cat(w2v[19], _T_80) @[Cat.scala 29:58] + node _T_82 = cat(w2v[20], _T_81) @[Cat.scala 29:58] + node _T_83 = cat(w2v[21], _T_82) @[Cat.scala 29:58] + node _T_84 = cat(w2v[22], _T_83) @[Cat.scala 29:58] + node _T_85 = cat(w2v[23], _T_84) @[Cat.scala 29:58] + node _T_86 = cat(w2v[24], _T_85) @[Cat.scala 29:58] + node _T_87 = cat(w2v[25], _T_86) @[Cat.scala 29:58] + node _T_88 = cat(w2v[26], _T_87) @[Cat.scala 29:58] + node _T_89 = cat(w2v[27], _T_88) @[Cat.scala 29:58] + node _T_90 = cat(w2v[28], _T_89) @[Cat.scala 29:58] + node _T_91 = cat(w2v[29], _T_90) @[Cat.scala 29:58] + node _T_92 = cat(w2v[30], _T_91) @[Cat.scala 29:58] + node _T_93 = cat(w2v[31], _T_92) @[Cat.scala 29:58] + node _T_94 = or(_T_62, _T_93) @[el2_dec_gpr_ctl.scala 23:89] + gpr_wr_en <= _T_94 @[el2_dec_gpr_ctl.scala 23:12] + node _T_95 = eq(io.waddr0, UInt<1>("h01")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_96 = and(io.wen0, _T_95) @[el2_dec_gpr_ctl.scala 26:28] + w0v[1] <= _T_96 @[el2_dec_gpr_ctl.scala 26:16] + node _T_97 = eq(io.waddr1, UInt<1>("h01")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_98 = and(io.wen1, _T_97) @[el2_dec_gpr_ctl.scala 27:28] + w1v[1] <= _T_98 @[el2_dec_gpr_ctl.scala 27:16] + node _T_99 = eq(io.waddr2, UInt<1>("h01")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_100 = and(io.wen2, _T_99) @[el2_dec_gpr_ctl.scala 28:28] + w2v[1] <= _T_100 @[el2_dec_gpr_ctl.scala 28:16] + node _T_101 = bits(w0v[1], 0, 0) @[Bitwise.scala 72:15] + node _T_102 = mux(_T_101, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_103 = and(_T_102, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_104 = bits(w1v[1], 0, 0) @[Bitwise.scala 72:15] + node _T_105 = mux(_T_104, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_106 = and(_T_105, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_107 = or(_T_103, _T_106) @[el2_dec_gpr_ctl.scala 29:47] + node _T_108 = bits(w2v[1], 0, 0) @[Bitwise.scala 72:15] + node _T_109 = mux(_T_108, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_110 = and(_T_109, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_111 = or(_T_107, _T_110) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[1] <= _T_111 @[el2_dec_gpr_ctl.scala 29:16] + node _T_112 = eq(io.waddr0, UInt<2>("h02")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_113 = and(io.wen0, _T_112) @[el2_dec_gpr_ctl.scala 26:28] + w0v[2] <= _T_113 @[el2_dec_gpr_ctl.scala 26:16] + node _T_114 = eq(io.waddr1, UInt<2>("h02")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_115 = and(io.wen1, _T_114) @[el2_dec_gpr_ctl.scala 27:28] + w1v[2] <= _T_115 @[el2_dec_gpr_ctl.scala 27:16] + node _T_116 = eq(io.waddr2, UInt<2>("h02")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_117 = and(io.wen2, _T_116) @[el2_dec_gpr_ctl.scala 28:28] + w2v[2] <= _T_117 @[el2_dec_gpr_ctl.scala 28:16] + node _T_118 = bits(w0v[2], 0, 0) @[Bitwise.scala 72:15] + node _T_119 = mux(_T_118, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_120 = and(_T_119, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_121 = bits(w1v[2], 0, 0) @[Bitwise.scala 72:15] + node _T_122 = mux(_T_121, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_123 = and(_T_122, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_124 = or(_T_120, _T_123) @[el2_dec_gpr_ctl.scala 29:47] + node _T_125 = bits(w2v[2], 0, 0) @[Bitwise.scala 72:15] + node _T_126 = mux(_T_125, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_127 = and(_T_126, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_128 = or(_T_124, _T_127) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[2] <= _T_128 @[el2_dec_gpr_ctl.scala 29:16] + node _T_129 = eq(io.waddr0, UInt<2>("h03")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_130 = and(io.wen0, _T_129) @[el2_dec_gpr_ctl.scala 26:28] + w0v[3] <= _T_130 @[el2_dec_gpr_ctl.scala 26:16] + node _T_131 = eq(io.waddr1, UInt<2>("h03")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_132 = and(io.wen1, _T_131) @[el2_dec_gpr_ctl.scala 27:28] + w1v[3] <= _T_132 @[el2_dec_gpr_ctl.scala 27:16] + node _T_133 = eq(io.waddr2, UInt<2>("h03")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_134 = and(io.wen2, _T_133) @[el2_dec_gpr_ctl.scala 28:28] + w2v[3] <= _T_134 @[el2_dec_gpr_ctl.scala 28:16] + node _T_135 = bits(w0v[3], 0, 0) @[Bitwise.scala 72:15] + node _T_136 = mux(_T_135, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_137 = and(_T_136, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_138 = bits(w1v[3], 0, 0) @[Bitwise.scala 72:15] + node _T_139 = mux(_T_138, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_140 = and(_T_139, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_141 = or(_T_137, _T_140) @[el2_dec_gpr_ctl.scala 29:47] + node _T_142 = bits(w2v[3], 0, 0) @[Bitwise.scala 72:15] + node _T_143 = mux(_T_142, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_144 = and(_T_143, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_145 = or(_T_141, _T_144) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[3] <= _T_145 @[el2_dec_gpr_ctl.scala 29:16] + node _T_146 = eq(io.waddr0, UInt<3>("h04")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_147 = and(io.wen0, _T_146) @[el2_dec_gpr_ctl.scala 26:28] + w0v[4] <= _T_147 @[el2_dec_gpr_ctl.scala 26:16] + node _T_148 = eq(io.waddr1, UInt<3>("h04")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_149 = and(io.wen1, _T_148) @[el2_dec_gpr_ctl.scala 27:28] + w1v[4] <= _T_149 @[el2_dec_gpr_ctl.scala 27:16] + node _T_150 = eq(io.waddr2, UInt<3>("h04")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_151 = and(io.wen2, _T_150) @[el2_dec_gpr_ctl.scala 28:28] + w2v[4] <= _T_151 @[el2_dec_gpr_ctl.scala 28:16] + node _T_152 = bits(w0v[4], 0, 0) @[Bitwise.scala 72:15] + node _T_153 = mux(_T_152, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_154 = and(_T_153, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_155 = bits(w1v[4], 0, 0) @[Bitwise.scala 72:15] + node _T_156 = mux(_T_155, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_157 = and(_T_156, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_158 = or(_T_154, _T_157) @[el2_dec_gpr_ctl.scala 29:47] + node _T_159 = bits(w2v[4], 0, 0) @[Bitwise.scala 72:15] + node _T_160 = mux(_T_159, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_161 = and(_T_160, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_162 = or(_T_158, _T_161) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[4] <= _T_162 @[el2_dec_gpr_ctl.scala 29:16] + node _T_163 = eq(io.waddr0, UInt<3>("h05")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_164 = and(io.wen0, _T_163) @[el2_dec_gpr_ctl.scala 26:28] + w0v[5] <= _T_164 @[el2_dec_gpr_ctl.scala 26:16] + node _T_165 = eq(io.waddr1, UInt<3>("h05")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_166 = and(io.wen1, _T_165) @[el2_dec_gpr_ctl.scala 27:28] + w1v[5] <= _T_166 @[el2_dec_gpr_ctl.scala 27:16] + node _T_167 = eq(io.waddr2, UInt<3>("h05")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_168 = and(io.wen2, _T_167) @[el2_dec_gpr_ctl.scala 28:28] + w2v[5] <= _T_168 @[el2_dec_gpr_ctl.scala 28:16] + node _T_169 = bits(w0v[5], 0, 0) @[Bitwise.scala 72:15] + node _T_170 = mux(_T_169, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_171 = and(_T_170, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_172 = bits(w1v[5], 0, 0) @[Bitwise.scala 72:15] + node _T_173 = mux(_T_172, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_174 = and(_T_173, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_175 = or(_T_171, _T_174) @[el2_dec_gpr_ctl.scala 29:47] + node _T_176 = bits(w2v[5], 0, 0) @[Bitwise.scala 72:15] + node _T_177 = mux(_T_176, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_178 = and(_T_177, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_179 = or(_T_175, _T_178) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[5] <= _T_179 @[el2_dec_gpr_ctl.scala 29:16] + node _T_180 = eq(io.waddr0, UInt<3>("h06")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_181 = and(io.wen0, _T_180) @[el2_dec_gpr_ctl.scala 26:28] + w0v[6] <= _T_181 @[el2_dec_gpr_ctl.scala 26:16] + node _T_182 = eq(io.waddr1, UInt<3>("h06")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_183 = and(io.wen1, _T_182) @[el2_dec_gpr_ctl.scala 27:28] + w1v[6] <= _T_183 @[el2_dec_gpr_ctl.scala 27:16] + node _T_184 = eq(io.waddr2, UInt<3>("h06")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_185 = and(io.wen2, _T_184) @[el2_dec_gpr_ctl.scala 28:28] + w2v[6] <= _T_185 @[el2_dec_gpr_ctl.scala 28:16] + node _T_186 = bits(w0v[6], 0, 0) @[Bitwise.scala 72:15] + node _T_187 = mux(_T_186, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_188 = and(_T_187, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_189 = bits(w1v[6], 0, 0) @[Bitwise.scala 72:15] + node _T_190 = mux(_T_189, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_191 = and(_T_190, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_192 = or(_T_188, _T_191) @[el2_dec_gpr_ctl.scala 29:47] + node _T_193 = bits(w2v[6], 0, 0) @[Bitwise.scala 72:15] + node _T_194 = mux(_T_193, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_195 = and(_T_194, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_196 = or(_T_192, _T_195) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[6] <= _T_196 @[el2_dec_gpr_ctl.scala 29:16] + node _T_197 = eq(io.waddr0, UInt<3>("h07")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_198 = and(io.wen0, _T_197) @[el2_dec_gpr_ctl.scala 26:28] + w0v[7] <= _T_198 @[el2_dec_gpr_ctl.scala 26:16] + node _T_199 = eq(io.waddr1, UInt<3>("h07")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_200 = and(io.wen1, _T_199) @[el2_dec_gpr_ctl.scala 27:28] + w1v[7] <= _T_200 @[el2_dec_gpr_ctl.scala 27:16] + node _T_201 = eq(io.waddr2, UInt<3>("h07")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_202 = and(io.wen2, _T_201) @[el2_dec_gpr_ctl.scala 28:28] + w2v[7] <= _T_202 @[el2_dec_gpr_ctl.scala 28:16] + node _T_203 = bits(w0v[7], 0, 0) @[Bitwise.scala 72:15] + node _T_204 = mux(_T_203, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_205 = and(_T_204, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_206 = bits(w1v[7], 0, 0) @[Bitwise.scala 72:15] + node _T_207 = mux(_T_206, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_208 = and(_T_207, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_209 = or(_T_205, _T_208) @[el2_dec_gpr_ctl.scala 29:47] + node _T_210 = bits(w2v[7], 0, 0) @[Bitwise.scala 72:15] + node _T_211 = mux(_T_210, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_212 = and(_T_211, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_213 = or(_T_209, _T_212) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[7] <= _T_213 @[el2_dec_gpr_ctl.scala 29:16] + node _T_214 = eq(io.waddr0, UInt<4>("h08")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_215 = and(io.wen0, _T_214) @[el2_dec_gpr_ctl.scala 26:28] + w0v[8] <= _T_215 @[el2_dec_gpr_ctl.scala 26:16] + node _T_216 = eq(io.waddr1, UInt<4>("h08")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_217 = and(io.wen1, _T_216) @[el2_dec_gpr_ctl.scala 27:28] + w1v[8] <= _T_217 @[el2_dec_gpr_ctl.scala 27:16] + node _T_218 = eq(io.waddr2, UInt<4>("h08")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_219 = and(io.wen2, _T_218) @[el2_dec_gpr_ctl.scala 28:28] + w2v[8] <= _T_219 @[el2_dec_gpr_ctl.scala 28:16] + node _T_220 = bits(w0v[8], 0, 0) @[Bitwise.scala 72:15] + node _T_221 = mux(_T_220, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_222 = and(_T_221, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_223 = bits(w1v[8], 0, 0) @[Bitwise.scala 72:15] + node _T_224 = mux(_T_223, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_225 = and(_T_224, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_226 = or(_T_222, _T_225) @[el2_dec_gpr_ctl.scala 29:47] + node _T_227 = bits(w2v[8], 0, 0) @[Bitwise.scala 72:15] + node _T_228 = mux(_T_227, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_229 = and(_T_228, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_230 = or(_T_226, _T_229) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[8] <= _T_230 @[el2_dec_gpr_ctl.scala 29:16] + node _T_231 = eq(io.waddr0, UInt<4>("h09")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_232 = and(io.wen0, _T_231) @[el2_dec_gpr_ctl.scala 26:28] + w0v[9] <= _T_232 @[el2_dec_gpr_ctl.scala 26:16] + node _T_233 = eq(io.waddr1, UInt<4>("h09")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_234 = and(io.wen1, _T_233) @[el2_dec_gpr_ctl.scala 27:28] + w1v[9] <= _T_234 @[el2_dec_gpr_ctl.scala 27:16] + node _T_235 = eq(io.waddr2, UInt<4>("h09")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_236 = and(io.wen2, _T_235) @[el2_dec_gpr_ctl.scala 28:28] + w2v[9] <= _T_236 @[el2_dec_gpr_ctl.scala 28:16] + node _T_237 = bits(w0v[9], 0, 0) @[Bitwise.scala 72:15] + node _T_238 = mux(_T_237, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_239 = and(_T_238, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_240 = bits(w1v[9], 0, 0) @[Bitwise.scala 72:15] + node _T_241 = mux(_T_240, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_242 = and(_T_241, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_243 = or(_T_239, _T_242) @[el2_dec_gpr_ctl.scala 29:47] + node _T_244 = bits(w2v[9], 0, 0) @[Bitwise.scala 72:15] + node _T_245 = mux(_T_244, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_246 = and(_T_245, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_247 = or(_T_243, _T_246) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[9] <= _T_247 @[el2_dec_gpr_ctl.scala 29:16] + node _T_248 = eq(io.waddr0, UInt<4>("h0a")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_249 = and(io.wen0, _T_248) @[el2_dec_gpr_ctl.scala 26:28] + w0v[10] <= _T_249 @[el2_dec_gpr_ctl.scala 26:16] + node _T_250 = eq(io.waddr1, UInt<4>("h0a")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_251 = and(io.wen1, _T_250) @[el2_dec_gpr_ctl.scala 27:28] + w1v[10] <= _T_251 @[el2_dec_gpr_ctl.scala 27:16] + node _T_252 = eq(io.waddr2, UInt<4>("h0a")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_253 = and(io.wen2, _T_252) @[el2_dec_gpr_ctl.scala 28:28] + w2v[10] <= _T_253 @[el2_dec_gpr_ctl.scala 28:16] + node _T_254 = bits(w0v[10], 0, 0) @[Bitwise.scala 72:15] + node _T_255 = mux(_T_254, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_256 = and(_T_255, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_257 = bits(w1v[10], 0, 0) @[Bitwise.scala 72:15] + node _T_258 = mux(_T_257, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_259 = and(_T_258, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_260 = or(_T_256, _T_259) @[el2_dec_gpr_ctl.scala 29:47] + node _T_261 = bits(w2v[10], 0, 0) @[Bitwise.scala 72:15] + node _T_262 = mux(_T_261, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_263 = and(_T_262, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_264 = or(_T_260, _T_263) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[10] <= _T_264 @[el2_dec_gpr_ctl.scala 29:16] + node _T_265 = eq(io.waddr0, UInt<4>("h0b")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_266 = and(io.wen0, _T_265) @[el2_dec_gpr_ctl.scala 26:28] + w0v[11] <= _T_266 @[el2_dec_gpr_ctl.scala 26:16] + node _T_267 = eq(io.waddr1, UInt<4>("h0b")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_268 = and(io.wen1, _T_267) @[el2_dec_gpr_ctl.scala 27:28] + w1v[11] <= _T_268 @[el2_dec_gpr_ctl.scala 27:16] + node _T_269 = eq(io.waddr2, UInt<4>("h0b")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_270 = and(io.wen2, _T_269) @[el2_dec_gpr_ctl.scala 28:28] + w2v[11] <= _T_270 @[el2_dec_gpr_ctl.scala 28:16] + node _T_271 = bits(w0v[11], 0, 0) @[Bitwise.scala 72:15] + node _T_272 = mux(_T_271, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_273 = and(_T_272, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_274 = bits(w1v[11], 0, 0) @[Bitwise.scala 72:15] + node _T_275 = mux(_T_274, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_276 = and(_T_275, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_277 = or(_T_273, _T_276) @[el2_dec_gpr_ctl.scala 29:47] + node _T_278 = bits(w2v[11], 0, 0) @[Bitwise.scala 72:15] + node _T_279 = mux(_T_278, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_280 = and(_T_279, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_281 = or(_T_277, _T_280) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[11] <= _T_281 @[el2_dec_gpr_ctl.scala 29:16] + node _T_282 = eq(io.waddr0, UInt<4>("h0c")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_283 = and(io.wen0, _T_282) @[el2_dec_gpr_ctl.scala 26:28] + w0v[12] <= _T_283 @[el2_dec_gpr_ctl.scala 26:16] + node _T_284 = eq(io.waddr1, UInt<4>("h0c")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_285 = and(io.wen1, _T_284) @[el2_dec_gpr_ctl.scala 27:28] + w1v[12] <= _T_285 @[el2_dec_gpr_ctl.scala 27:16] + node _T_286 = eq(io.waddr2, UInt<4>("h0c")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_287 = and(io.wen2, _T_286) @[el2_dec_gpr_ctl.scala 28:28] + w2v[12] <= _T_287 @[el2_dec_gpr_ctl.scala 28:16] + node _T_288 = bits(w0v[12], 0, 0) @[Bitwise.scala 72:15] + node _T_289 = mux(_T_288, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_290 = and(_T_289, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_291 = bits(w1v[12], 0, 0) @[Bitwise.scala 72:15] + node _T_292 = mux(_T_291, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_293 = and(_T_292, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_294 = or(_T_290, _T_293) @[el2_dec_gpr_ctl.scala 29:47] + node _T_295 = bits(w2v[12], 0, 0) @[Bitwise.scala 72:15] + node _T_296 = mux(_T_295, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_297 = and(_T_296, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_298 = or(_T_294, _T_297) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[12] <= _T_298 @[el2_dec_gpr_ctl.scala 29:16] + node _T_299 = eq(io.waddr0, UInt<4>("h0d")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_300 = and(io.wen0, _T_299) @[el2_dec_gpr_ctl.scala 26:28] + w0v[13] <= _T_300 @[el2_dec_gpr_ctl.scala 26:16] + node _T_301 = eq(io.waddr1, UInt<4>("h0d")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_302 = and(io.wen1, _T_301) @[el2_dec_gpr_ctl.scala 27:28] + w1v[13] <= _T_302 @[el2_dec_gpr_ctl.scala 27:16] + node _T_303 = eq(io.waddr2, UInt<4>("h0d")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_304 = and(io.wen2, _T_303) @[el2_dec_gpr_ctl.scala 28:28] + w2v[13] <= _T_304 @[el2_dec_gpr_ctl.scala 28:16] + node _T_305 = bits(w0v[13], 0, 0) @[Bitwise.scala 72:15] + node _T_306 = mux(_T_305, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_307 = and(_T_306, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_308 = bits(w1v[13], 0, 0) @[Bitwise.scala 72:15] + node _T_309 = mux(_T_308, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_310 = and(_T_309, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_311 = or(_T_307, _T_310) @[el2_dec_gpr_ctl.scala 29:47] + node _T_312 = bits(w2v[13], 0, 0) @[Bitwise.scala 72:15] + node _T_313 = mux(_T_312, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_314 = and(_T_313, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_315 = or(_T_311, _T_314) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[13] <= _T_315 @[el2_dec_gpr_ctl.scala 29:16] + node _T_316 = eq(io.waddr0, UInt<4>("h0e")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_317 = and(io.wen0, _T_316) @[el2_dec_gpr_ctl.scala 26:28] + w0v[14] <= _T_317 @[el2_dec_gpr_ctl.scala 26:16] + node _T_318 = eq(io.waddr1, UInt<4>("h0e")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_319 = and(io.wen1, _T_318) @[el2_dec_gpr_ctl.scala 27:28] + w1v[14] <= _T_319 @[el2_dec_gpr_ctl.scala 27:16] + node _T_320 = eq(io.waddr2, UInt<4>("h0e")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_321 = and(io.wen2, _T_320) @[el2_dec_gpr_ctl.scala 28:28] + w2v[14] <= _T_321 @[el2_dec_gpr_ctl.scala 28:16] + node _T_322 = bits(w0v[14], 0, 0) @[Bitwise.scala 72:15] + node _T_323 = mux(_T_322, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_324 = and(_T_323, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_325 = bits(w1v[14], 0, 0) @[Bitwise.scala 72:15] + node _T_326 = mux(_T_325, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_327 = and(_T_326, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_328 = or(_T_324, _T_327) @[el2_dec_gpr_ctl.scala 29:47] + node _T_329 = bits(w2v[14], 0, 0) @[Bitwise.scala 72:15] + node _T_330 = mux(_T_329, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_331 = and(_T_330, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_332 = or(_T_328, _T_331) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[14] <= _T_332 @[el2_dec_gpr_ctl.scala 29:16] + node _T_333 = eq(io.waddr0, UInt<4>("h0f")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_334 = and(io.wen0, _T_333) @[el2_dec_gpr_ctl.scala 26:28] + w0v[15] <= _T_334 @[el2_dec_gpr_ctl.scala 26:16] + node _T_335 = eq(io.waddr1, UInt<4>("h0f")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_336 = and(io.wen1, _T_335) @[el2_dec_gpr_ctl.scala 27:28] + w1v[15] <= _T_336 @[el2_dec_gpr_ctl.scala 27:16] + node _T_337 = eq(io.waddr2, UInt<4>("h0f")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_338 = and(io.wen2, _T_337) @[el2_dec_gpr_ctl.scala 28:28] + w2v[15] <= _T_338 @[el2_dec_gpr_ctl.scala 28:16] + node _T_339 = bits(w0v[15], 0, 0) @[Bitwise.scala 72:15] + node _T_340 = mux(_T_339, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_341 = and(_T_340, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_342 = bits(w1v[15], 0, 0) @[Bitwise.scala 72:15] + node _T_343 = mux(_T_342, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_344 = and(_T_343, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_345 = or(_T_341, _T_344) @[el2_dec_gpr_ctl.scala 29:47] + node _T_346 = bits(w2v[15], 0, 0) @[Bitwise.scala 72:15] + node _T_347 = mux(_T_346, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_348 = and(_T_347, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_349 = or(_T_345, _T_348) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[15] <= _T_349 @[el2_dec_gpr_ctl.scala 29:16] + node _T_350 = eq(io.waddr0, UInt<5>("h010")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_351 = and(io.wen0, _T_350) @[el2_dec_gpr_ctl.scala 26:28] + w0v[16] <= _T_351 @[el2_dec_gpr_ctl.scala 26:16] + node _T_352 = eq(io.waddr1, UInt<5>("h010")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_353 = and(io.wen1, _T_352) @[el2_dec_gpr_ctl.scala 27:28] + w1v[16] <= _T_353 @[el2_dec_gpr_ctl.scala 27:16] + node _T_354 = eq(io.waddr2, UInt<5>("h010")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_355 = and(io.wen2, _T_354) @[el2_dec_gpr_ctl.scala 28:28] + w2v[16] <= _T_355 @[el2_dec_gpr_ctl.scala 28:16] + node _T_356 = bits(w0v[16], 0, 0) @[Bitwise.scala 72:15] + node _T_357 = mux(_T_356, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_358 = and(_T_357, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_359 = bits(w1v[16], 0, 0) @[Bitwise.scala 72:15] + node _T_360 = mux(_T_359, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_361 = and(_T_360, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_362 = or(_T_358, _T_361) @[el2_dec_gpr_ctl.scala 29:47] + node _T_363 = bits(w2v[16], 0, 0) @[Bitwise.scala 72:15] + node _T_364 = mux(_T_363, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_365 = and(_T_364, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_366 = or(_T_362, _T_365) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[16] <= _T_366 @[el2_dec_gpr_ctl.scala 29:16] + node _T_367 = eq(io.waddr0, UInt<5>("h011")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_368 = and(io.wen0, _T_367) @[el2_dec_gpr_ctl.scala 26:28] + w0v[17] <= _T_368 @[el2_dec_gpr_ctl.scala 26:16] + node _T_369 = eq(io.waddr1, UInt<5>("h011")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_370 = and(io.wen1, _T_369) @[el2_dec_gpr_ctl.scala 27:28] + w1v[17] <= _T_370 @[el2_dec_gpr_ctl.scala 27:16] + node _T_371 = eq(io.waddr2, UInt<5>("h011")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_372 = and(io.wen2, _T_371) @[el2_dec_gpr_ctl.scala 28:28] + w2v[17] <= _T_372 @[el2_dec_gpr_ctl.scala 28:16] + node _T_373 = bits(w0v[17], 0, 0) @[Bitwise.scala 72:15] + node _T_374 = mux(_T_373, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_375 = and(_T_374, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_376 = bits(w1v[17], 0, 0) @[Bitwise.scala 72:15] + node _T_377 = mux(_T_376, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_378 = and(_T_377, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_379 = or(_T_375, _T_378) @[el2_dec_gpr_ctl.scala 29:47] + node _T_380 = bits(w2v[17], 0, 0) @[Bitwise.scala 72:15] + node _T_381 = mux(_T_380, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_382 = and(_T_381, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_383 = or(_T_379, _T_382) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[17] <= _T_383 @[el2_dec_gpr_ctl.scala 29:16] + node _T_384 = eq(io.waddr0, UInt<5>("h012")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_385 = and(io.wen0, _T_384) @[el2_dec_gpr_ctl.scala 26:28] + w0v[18] <= _T_385 @[el2_dec_gpr_ctl.scala 26:16] + node _T_386 = eq(io.waddr1, UInt<5>("h012")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_387 = and(io.wen1, _T_386) @[el2_dec_gpr_ctl.scala 27:28] + w1v[18] <= _T_387 @[el2_dec_gpr_ctl.scala 27:16] + node _T_388 = eq(io.waddr2, UInt<5>("h012")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_389 = and(io.wen2, _T_388) @[el2_dec_gpr_ctl.scala 28:28] + w2v[18] <= _T_389 @[el2_dec_gpr_ctl.scala 28:16] + node _T_390 = bits(w0v[18], 0, 0) @[Bitwise.scala 72:15] + node _T_391 = mux(_T_390, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_392 = and(_T_391, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_393 = bits(w1v[18], 0, 0) @[Bitwise.scala 72:15] + node _T_394 = mux(_T_393, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_395 = and(_T_394, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_396 = or(_T_392, _T_395) @[el2_dec_gpr_ctl.scala 29:47] + node _T_397 = bits(w2v[18], 0, 0) @[Bitwise.scala 72:15] + node _T_398 = mux(_T_397, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_399 = and(_T_398, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_400 = or(_T_396, _T_399) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[18] <= _T_400 @[el2_dec_gpr_ctl.scala 29:16] + node _T_401 = eq(io.waddr0, UInt<5>("h013")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_402 = and(io.wen0, _T_401) @[el2_dec_gpr_ctl.scala 26:28] + w0v[19] <= _T_402 @[el2_dec_gpr_ctl.scala 26:16] + node _T_403 = eq(io.waddr1, UInt<5>("h013")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_404 = and(io.wen1, _T_403) @[el2_dec_gpr_ctl.scala 27:28] + w1v[19] <= _T_404 @[el2_dec_gpr_ctl.scala 27:16] + node _T_405 = eq(io.waddr2, UInt<5>("h013")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_406 = and(io.wen2, _T_405) @[el2_dec_gpr_ctl.scala 28:28] + w2v[19] <= _T_406 @[el2_dec_gpr_ctl.scala 28:16] + node _T_407 = bits(w0v[19], 0, 0) @[Bitwise.scala 72:15] + node _T_408 = mux(_T_407, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_409 = and(_T_408, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_410 = bits(w1v[19], 0, 0) @[Bitwise.scala 72:15] + node _T_411 = mux(_T_410, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_412 = and(_T_411, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_413 = or(_T_409, _T_412) @[el2_dec_gpr_ctl.scala 29:47] + node _T_414 = bits(w2v[19], 0, 0) @[Bitwise.scala 72:15] + node _T_415 = mux(_T_414, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_416 = and(_T_415, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_417 = or(_T_413, _T_416) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[19] <= _T_417 @[el2_dec_gpr_ctl.scala 29:16] + node _T_418 = eq(io.waddr0, UInt<5>("h014")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_419 = and(io.wen0, _T_418) @[el2_dec_gpr_ctl.scala 26:28] + w0v[20] <= _T_419 @[el2_dec_gpr_ctl.scala 26:16] + node _T_420 = eq(io.waddr1, UInt<5>("h014")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_421 = and(io.wen1, _T_420) @[el2_dec_gpr_ctl.scala 27:28] + w1v[20] <= _T_421 @[el2_dec_gpr_ctl.scala 27:16] + node _T_422 = eq(io.waddr2, UInt<5>("h014")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_423 = and(io.wen2, _T_422) @[el2_dec_gpr_ctl.scala 28:28] + w2v[20] <= _T_423 @[el2_dec_gpr_ctl.scala 28:16] + node _T_424 = bits(w0v[20], 0, 0) @[Bitwise.scala 72:15] + node _T_425 = mux(_T_424, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_426 = and(_T_425, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_427 = bits(w1v[20], 0, 0) @[Bitwise.scala 72:15] + node _T_428 = mux(_T_427, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_429 = and(_T_428, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_430 = or(_T_426, _T_429) @[el2_dec_gpr_ctl.scala 29:47] + node _T_431 = bits(w2v[20], 0, 0) @[Bitwise.scala 72:15] + node _T_432 = mux(_T_431, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_433 = and(_T_432, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_434 = or(_T_430, _T_433) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[20] <= _T_434 @[el2_dec_gpr_ctl.scala 29:16] + node _T_435 = eq(io.waddr0, UInt<5>("h015")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_436 = and(io.wen0, _T_435) @[el2_dec_gpr_ctl.scala 26:28] + w0v[21] <= _T_436 @[el2_dec_gpr_ctl.scala 26:16] + node _T_437 = eq(io.waddr1, UInt<5>("h015")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_438 = and(io.wen1, _T_437) @[el2_dec_gpr_ctl.scala 27:28] + w1v[21] <= _T_438 @[el2_dec_gpr_ctl.scala 27:16] + node _T_439 = eq(io.waddr2, UInt<5>("h015")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_440 = and(io.wen2, _T_439) @[el2_dec_gpr_ctl.scala 28:28] + w2v[21] <= _T_440 @[el2_dec_gpr_ctl.scala 28:16] + node _T_441 = bits(w0v[21], 0, 0) @[Bitwise.scala 72:15] + node _T_442 = mux(_T_441, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_443 = and(_T_442, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_444 = bits(w1v[21], 0, 0) @[Bitwise.scala 72:15] + node _T_445 = mux(_T_444, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_446 = and(_T_445, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_447 = or(_T_443, _T_446) @[el2_dec_gpr_ctl.scala 29:47] + node _T_448 = bits(w2v[21], 0, 0) @[Bitwise.scala 72:15] + node _T_449 = mux(_T_448, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_450 = and(_T_449, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_451 = or(_T_447, _T_450) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[21] <= _T_451 @[el2_dec_gpr_ctl.scala 29:16] + node _T_452 = eq(io.waddr0, UInt<5>("h016")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_453 = and(io.wen0, _T_452) @[el2_dec_gpr_ctl.scala 26:28] + w0v[22] <= _T_453 @[el2_dec_gpr_ctl.scala 26:16] + node _T_454 = eq(io.waddr1, UInt<5>("h016")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_455 = and(io.wen1, _T_454) @[el2_dec_gpr_ctl.scala 27:28] + w1v[22] <= _T_455 @[el2_dec_gpr_ctl.scala 27:16] + node _T_456 = eq(io.waddr2, UInt<5>("h016")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_457 = and(io.wen2, _T_456) @[el2_dec_gpr_ctl.scala 28:28] + w2v[22] <= _T_457 @[el2_dec_gpr_ctl.scala 28:16] + node _T_458 = bits(w0v[22], 0, 0) @[Bitwise.scala 72:15] + node _T_459 = mux(_T_458, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_460 = and(_T_459, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_461 = bits(w1v[22], 0, 0) @[Bitwise.scala 72:15] + node _T_462 = mux(_T_461, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_463 = and(_T_462, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_464 = or(_T_460, _T_463) @[el2_dec_gpr_ctl.scala 29:47] + node _T_465 = bits(w2v[22], 0, 0) @[Bitwise.scala 72:15] + node _T_466 = mux(_T_465, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_467 = and(_T_466, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_468 = or(_T_464, _T_467) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[22] <= _T_468 @[el2_dec_gpr_ctl.scala 29:16] + node _T_469 = eq(io.waddr0, UInt<5>("h017")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_470 = and(io.wen0, _T_469) @[el2_dec_gpr_ctl.scala 26:28] + w0v[23] <= _T_470 @[el2_dec_gpr_ctl.scala 26:16] + node _T_471 = eq(io.waddr1, UInt<5>("h017")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_472 = and(io.wen1, _T_471) @[el2_dec_gpr_ctl.scala 27:28] + w1v[23] <= _T_472 @[el2_dec_gpr_ctl.scala 27:16] + node _T_473 = eq(io.waddr2, UInt<5>("h017")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_474 = and(io.wen2, _T_473) @[el2_dec_gpr_ctl.scala 28:28] + w2v[23] <= _T_474 @[el2_dec_gpr_ctl.scala 28:16] + node _T_475 = bits(w0v[23], 0, 0) @[Bitwise.scala 72:15] + node _T_476 = mux(_T_475, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_477 = and(_T_476, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_478 = bits(w1v[23], 0, 0) @[Bitwise.scala 72:15] + node _T_479 = mux(_T_478, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_480 = and(_T_479, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_481 = or(_T_477, _T_480) @[el2_dec_gpr_ctl.scala 29:47] + node _T_482 = bits(w2v[23], 0, 0) @[Bitwise.scala 72:15] + node _T_483 = mux(_T_482, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_484 = and(_T_483, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_485 = or(_T_481, _T_484) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[23] <= _T_485 @[el2_dec_gpr_ctl.scala 29:16] + node _T_486 = eq(io.waddr0, UInt<5>("h018")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_487 = and(io.wen0, _T_486) @[el2_dec_gpr_ctl.scala 26:28] + w0v[24] <= _T_487 @[el2_dec_gpr_ctl.scala 26:16] + node _T_488 = eq(io.waddr1, UInt<5>("h018")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_489 = and(io.wen1, _T_488) @[el2_dec_gpr_ctl.scala 27:28] + w1v[24] <= _T_489 @[el2_dec_gpr_ctl.scala 27:16] + node _T_490 = eq(io.waddr2, UInt<5>("h018")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_491 = and(io.wen2, _T_490) @[el2_dec_gpr_ctl.scala 28:28] + w2v[24] <= _T_491 @[el2_dec_gpr_ctl.scala 28:16] + node _T_492 = bits(w0v[24], 0, 0) @[Bitwise.scala 72:15] + node _T_493 = mux(_T_492, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_494 = and(_T_493, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_495 = bits(w1v[24], 0, 0) @[Bitwise.scala 72:15] + node _T_496 = mux(_T_495, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_497 = and(_T_496, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_498 = or(_T_494, _T_497) @[el2_dec_gpr_ctl.scala 29:47] + node _T_499 = bits(w2v[24], 0, 0) @[Bitwise.scala 72:15] + node _T_500 = mux(_T_499, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_501 = and(_T_500, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_502 = or(_T_498, _T_501) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[24] <= _T_502 @[el2_dec_gpr_ctl.scala 29:16] + node _T_503 = eq(io.waddr0, UInt<5>("h019")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_504 = and(io.wen0, _T_503) @[el2_dec_gpr_ctl.scala 26:28] + w0v[25] <= _T_504 @[el2_dec_gpr_ctl.scala 26:16] + node _T_505 = eq(io.waddr1, UInt<5>("h019")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_506 = and(io.wen1, _T_505) @[el2_dec_gpr_ctl.scala 27:28] + w1v[25] <= _T_506 @[el2_dec_gpr_ctl.scala 27:16] + node _T_507 = eq(io.waddr2, UInt<5>("h019")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_508 = and(io.wen2, _T_507) @[el2_dec_gpr_ctl.scala 28:28] + w2v[25] <= _T_508 @[el2_dec_gpr_ctl.scala 28:16] + node _T_509 = bits(w0v[25], 0, 0) @[Bitwise.scala 72:15] + node _T_510 = mux(_T_509, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_511 = and(_T_510, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_512 = bits(w1v[25], 0, 0) @[Bitwise.scala 72:15] + node _T_513 = mux(_T_512, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_514 = and(_T_513, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_515 = or(_T_511, _T_514) @[el2_dec_gpr_ctl.scala 29:47] + node _T_516 = bits(w2v[25], 0, 0) @[Bitwise.scala 72:15] + node _T_517 = mux(_T_516, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_518 = and(_T_517, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_519 = or(_T_515, _T_518) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[25] <= _T_519 @[el2_dec_gpr_ctl.scala 29:16] + node _T_520 = eq(io.waddr0, UInt<5>("h01a")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_521 = and(io.wen0, _T_520) @[el2_dec_gpr_ctl.scala 26:28] + w0v[26] <= _T_521 @[el2_dec_gpr_ctl.scala 26:16] + node _T_522 = eq(io.waddr1, UInt<5>("h01a")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_523 = and(io.wen1, _T_522) @[el2_dec_gpr_ctl.scala 27:28] + w1v[26] <= _T_523 @[el2_dec_gpr_ctl.scala 27:16] + node _T_524 = eq(io.waddr2, UInt<5>("h01a")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_525 = and(io.wen2, _T_524) @[el2_dec_gpr_ctl.scala 28:28] + w2v[26] <= _T_525 @[el2_dec_gpr_ctl.scala 28:16] + node _T_526 = bits(w0v[26], 0, 0) @[Bitwise.scala 72:15] + node _T_527 = mux(_T_526, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_528 = and(_T_527, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_529 = bits(w1v[26], 0, 0) @[Bitwise.scala 72:15] + node _T_530 = mux(_T_529, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_531 = and(_T_530, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_532 = or(_T_528, _T_531) @[el2_dec_gpr_ctl.scala 29:47] + node _T_533 = bits(w2v[26], 0, 0) @[Bitwise.scala 72:15] + node _T_534 = mux(_T_533, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_535 = and(_T_534, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_536 = or(_T_532, _T_535) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[26] <= _T_536 @[el2_dec_gpr_ctl.scala 29:16] + node _T_537 = eq(io.waddr0, UInt<5>("h01b")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_538 = and(io.wen0, _T_537) @[el2_dec_gpr_ctl.scala 26:28] + w0v[27] <= _T_538 @[el2_dec_gpr_ctl.scala 26:16] + node _T_539 = eq(io.waddr1, UInt<5>("h01b")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_540 = and(io.wen1, _T_539) @[el2_dec_gpr_ctl.scala 27:28] + w1v[27] <= _T_540 @[el2_dec_gpr_ctl.scala 27:16] + node _T_541 = eq(io.waddr2, UInt<5>("h01b")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_542 = and(io.wen2, _T_541) @[el2_dec_gpr_ctl.scala 28:28] + w2v[27] <= _T_542 @[el2_dec_gpr_ctl.scala 28:16] + node _T_543 = bits(w0v[27], 0, 0) @[Bitwise.scala 72:15] + node _T_544 = mux(_T_543, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_545 = and(_T_544, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_546 = bits(w1v[27], 0, 0) @[Bitwise.scala 72:15] + node _T_547 = mux(_T_546, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_548 = and(_T_547, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_549 = or(_T_545, _T_548) @[el2_dec_gpr_ctl.scala 29:47] + node _T_550 = bits(w2v[27], 0, 0) @[Bitwise.scala 72:15] + node _T_551 = mux(_T_550, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_552 = and(_T_551, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_553 = or(_T_549, _T_552) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[27] <= _T_553 @[el2_dec_gpr_ctl.scala 29:16] + node _T_554 = eq(io.waddr0, UInt<5>("h01c")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_555 = and(io.wen0, _T_554) @[el2_dec_gpr_ctl.scala 26:28] + w0v[28] <= _T_555 @[el2_dec_gpr_ctl.scala 26:16] + node _T_556 = eq(io.waddr1, UInt<5>("h01c")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_557 = and(io.wen1, _T_556) @[el2_dec_gpr_ctl.scala 27:28] + w1v[28] <= _T_557 @[el2_dec_gpr_ctl.scala 27:16] + node _T_558 = eq(io.waddr2, UInt<5>("h01c")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_559 = and(io.wen2, _T_558) @[el2_dec_gpr_ctl.scala 28:28] + w2v[28] <= _T_559 @[el2_dec_gpr_ctl.scala 28:16] + node _T_560 = bits(w0v[28], 0, 0) @[Bitwise.scala 72:15] + node _T_561 = mux(_T_560, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_562 = and(_T_561, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_563 = bits(w1v[28], 0, 0) @[Bitwise.scala 72:15] + node _T_564 = mux(_T_563, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_565 = and(_T_564, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_566 = or(_T_562, _T_565) @[el2_dec_gpr_ctl.scala 29:47] + node _T_567 = bits(w2v[28], 0, 0) @[Bitwise.scala 72:15] + node _T_568 = mux(_T_567, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_569 = and(_T_568, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_570 = or(_T_566, _T_569) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[28] <= _T_570 @[el2_dec_gpr_ctl.scala 29:16] + node _T_571 = eq(io.waddr0, UInt<5>("h01d")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_572 = and(io.wen0, _T_571) @[el2_dec_gpr_ctl.scala 26:28] + w0v[29] <= _T_572 @[el2_dec_gpr_ctl.scala 26:16] + node _T_573 = eq(io.waddr1, UInt<5>("h01d")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_574 = and(io.wen1, _T_573) @[el2_dec_gpr_ctl.scala 27:28] + w1v[29] <= _T_574 @[el2_dec_gpr_ctl.scala 27:16] + node _T_575 = eq(io.waddr2, UInt<5>("h01d")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_576 = and(io.wen2, _T_575) @[el2_dec_gpr_ctl.scala 28:28] + w2v[29] <= _T_576 @[el2_dec_gpr_ctl.scala 28:16] + node _T_577 = bits(w0v[29], 0, 0) @[Bitwise.scala 72:15] + node _T_578 = mux(_T_577, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_579 = and(_T_578, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_580 = bits(w1v[29], 0, 0) @[Bitwise.scala 72:15] + node _T_581 = mux(_T_580, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_582 = and(_T_581, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_583 = or(_T_579, _T_582) @[el2_dec_gpr_ctl.scala 29:47] + node _T_584 = bits(w2v[29], 0, 0) @[Bitwise.scala 72:15] + node _T_585 = mux(_T_584, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_586 = and(_T_585, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_587 = or(_T_583, _T_586) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[29] <= _T_587 @[el2_dec_gpr_ctl.scala 29:16] + node _T_588 = eq(io.waddr0, UInt<5>("h01e")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_589 = and(io.wen0, _T_588) @[el2_dec_gpr_ctl.scala 26:28] + w0v[30] <= _T_589 @[el2_dec_gpr_ctl.scala 26:16] + node _T_590 = eq(io.waddr1, UInt<5>("h01e")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_591 = and(io.wen1, _T_590) @[el2_dec_gpr_ctl.scala 27:28] + w1v[30] <= _T_591 @[el2_dec_gpr_ctl.scala 27:16] + node _T_592 = eq(io.waddr2, UInt<5>("h01e")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_593 = and(io.wen2, _T_592) @[el2_dec_gpr_ctl.scala 28:28] + w2v[30] <= _T_593 @[el2_dec_gpr_ctl.scala 28:16] + node _T_594 = bits(w0v[30], 0, 0) @[Bitwise.scala 72:15] + node _T_595 = mux(_T_594, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_596 = and(_T_595, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_597 = bits(w1v[30], 0, 0) @[Bitwise.scala 72:15] + node _T_598 = mux(_T_597, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_599 = and(_T_598, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_600 = or(_T_596, _T_599) @[el2_dec_gpr_ctl.scala 29:47] + node _T_601 = bits(w2v[30], 0, 0) @[Bitwise.scala 72:15] + node _T_602 = mux(_T_601, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_603 = and(_T_602, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_604 = or(_T_600, _T_603) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[30] <= _T_604 @[el2_dec_gpr_ctl.scala 29:16] + node _T_605 = eq(io.waddr0, UInt<5>("h01f")) @[el2_dec_gpr_ctl.scala 26:40] + node _T_606 = and(io.wen0, _T_605) @[el2_dec_gpr_ctl.scala 26:28] + w0v[31] <= _T_606 @[el2_dec_gpr_ctl.scala 26:16] + node _T_607 = eq(io.waddr1, UInt<5>("h01f")) @[el2_dec_gpr_ctl.scala 27:40] + node _T_608 = and(io.wen1, _T_607) @[el2_dec_gpr_ctl.scala 27:28] + w1v[31] <= _T_608 @[el2_dec_gpr_ctl.scala 27:16] + node _T_609 = eq(io.waddr2, UInt<5>("h01f")) @[el2_dec_gpr_ctl.scala 28:40] + node _T_610 = and(io.wen2, _T_609) @[el2_dec_gpr_ctl.scala 28:28] + w2v[31] <= _T_610 @[el2_dec_gpr_ctl.scala 28:16] + node _T_611 = bits(w0v[31], 0, 0) @[Bitwise.scala 72:15] + node _T_612 = mux(_T_611, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_613 = and(_T_612, io.wd0) @[el2_dec_gpr_ctl.scala 29:37] + node _T_614 = bits(w1v[31], 0, 0) @[Bitwise.scala 72:15] + node _T_615 = mux(_T_614, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_616 = and(_T_615, io.wd1) @[el2_dec_gpr_ctl.scala 29:66] + node _T_617 = or(_T_613, _T_616) @[el2_dec_gpr_ctl.scala 29:47] + node _T_618 = bits(w2v[31], 0, 0) @[Bitwise.scala 72:15] + node _T_619 = mux(_T_618, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_620 = and(_T_619, io.wd2) @[el2_dec_gpr_ctl.scala 29:95] + node _T_621 = or(_T_617, _T_620) @[el2_dec_gpr_ctl.scala 29:76] + gpr_in[31] <= _T_621 @[el2_dec_gpr_ctl.scala 29:16] + node _T_622 = bits(gpr_wr_en, 1, 1) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr of rvclkhdr @[el2_lib.scala 485:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr.io.en <= _T_622 @[el2_lib.scala 488:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_623 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_623 <= gpr_in[1] @[el2_lib.scala 491:16] + gpr_out[1] <= _T_623 @[el2_dec_gpr_ctl.scala 33:15] + node _T_624 = bits(gpr_wr_en, 2, 2) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_1.io.en <= _T_624 @[el2_lib.scala 488:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_625 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_625 <= gpr_in[2] @[el2_lib.scala 491:16] + gpr_out[2] <= _T_625 @[el2_dec_gpr_ctl.scala 33:15] + node _T_626 = bits(gpr_wr_en, 3, 3) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_2 of rvclkhdr_2 @[el2_lib.scala 485:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_2.io.en <= _T_626 @[el2_lib.scala 488:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_627 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_627 <= gpr_in[3] @[el2_lib.scala 491:16] + gpr_out[3] <= _T_627 @[el2_dec_gpr_ctl.scala 33:15] + node _T_628 = bits(gpr_wr_en, 4, 4) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_3 of rvclkhdr_3 @[el2_lib.scala 485:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_3.io.en <= _T_628 @[el2_lib.scala 488:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_629 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_629 <= gpr_in[4] @[el2_lib.scala 491:16] + gpr_out[4] <= _T_629 @[el2_dec_gpr_ctl.scala 33:15] + node _T_630 = bits(gpr_wr_en, 5, 5) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_4.io.en <= _T_630 @[el2_lib.scala 488:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_631 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_631 <= gpr_in[5] @[el2_lib.scala 491:16] + gpr_out[5] <= _T_631 @[el2_dec_gpr_ctl.scala 33:15] + node _T_632 = bits(gpr_wr_en, 6, 6) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_5.io.en <= _T_632 @[el2_lib.scala 488:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_633 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_633 <= gpr_in[6] @[el2_lib.scala 491:16] + gpr_out[6] <= _T_633 @[el2_dec_gpr_ctl.scala 33:15] + node _T_634 = bits(gpr_wr_en, 7, 7) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_6.io.en <= _T_634 @[el2_lib.scala 488:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_635 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_635 <= gpr_in[7] @[el2_lib.scala 491:16] + gpr_out[7] <= _T_635 @[el2_dec_gpr_ctl.scala 33:15] + node _T_636 = bits(gpr_wr_en, 8, 8) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_7.io.en <= _T_636 @[el2_lib.scala 488:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_637 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_637 <= gpr_in[8] @[el2_lib.scala 491:16] + gpr_out[8] <= _T_637 @[el2_dec_gpr_ctl.scala 33:15] + node _T_638 = bits(gpr_wr_en, 9, 9) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_8.io.en <= _T_638 @[el2_lib.scala 488:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_639 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_639 <= gpr_in[9] @[el2_lib.scala 491:16] + gpr_out[9] <= _T_639 @[el2_dec_gpr_ctl.scala 33:15] + node _T_640 = bits(gpr_wr_en, 10, 10) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 485:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_9.io.en <= _T_640 @[el2_lib.scala 488:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_641 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_641 <= gpr_in[10] @[el2_lib.scala 491:16] + gpr_out[10] <= _T_641 @[el2_dec_gpr_ctl.scala 33:15] + node _T_642 = bits(gpr_wr_en, 11, 11) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 485:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_10.io.en <= _T_642 @[el2_lib.scala 488:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_643 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_643 <= gpr_in[11] @[el2_lib.scala 491:16] + gpr_out[11] <= _T_643 @[el2_dec_gpr_ctl.scala 33:15] + node _T_644 = bits(gpr_wr_en, 12, 12) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 485:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_11.io.en <= _T_644 @[el2_lib.scala 488:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_645 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_645 <= gpr_in[12] @[el2_lib.scala 491:16] + gpr_out[12] <= _T_645 @[el2_dec_gpr_ctl.scala 33:15] + node _T_646 = bits(gpr_wr_en, 13, 13) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_12 of rvclkhdr_12 @[el2_lib.scala 485:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_12.io.en <= _T_646 @[el2_lib.scala 488:17] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_647 : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_647 <= gpr_in[13] @[el2_lib.scala 491:16] + gpr_out[13] <= _T_647 @[el2_dec_gpr_ctl.scala 33:15] + node _T_648 = bits(gpr_wr_en, 14, 14) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_13 of rvclkhdr_13 @[el2_lib.scala 485:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_13.io.en <= _T_648 @[el2_lib.scala 488:17] + rvclkhdr_13.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_649 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_649 <= gpr_in[14] @[el2_lib.scala 491:16] + gpr_out[14] <= _T_649 @[el2_dec_gpr_ctl.scala 33:15] + node _T_650 = bits(gpr_wr_en, 15, 15) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_14 of rvclkhdr_14 @[el2_lib.scala 485:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_14.io.en <= _T_650 @[el2_lib.scala 488:17] + rvclkhdr_14.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_651 : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_651 <= gpr_in[15] @[el2_lib.scala 491:16] + gpr_out[15] <= _T_651 @[el2_dec_gpr_ctl.scala 33:15] + node _T_652 = bits(gpr_wr_en, 16, 16) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_15 of rvclkhdr_15 @[el2_lib.scala 485:23] + rvclkhdr_15.clock <= clock + rvclkhdr_15.reset <= reset + rvclkhdr_15.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_15.io.en <= _T_652 @[el2_lib.scala 488:17] + rvclkhdr_15.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_653 : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_653 <= gpr_in[16] @[el2_lib.scala 491:16] + gpr_out[16] <= _T_653 @[el2_dec_gpr_ctl.scala 33:15] + node _T_654 = bits(gpr_wr_en, 17, 17) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_16 of rvclkhdr_16 @[el2_lib.scala 485:23] + rvclkhdr_16.clock <= clock + rvclkhdr_16.reset <= reset + rvclkhdr_16.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_16.io.en <= _T_654 @[el2_lib.scala 488:17] + rvclkhdr_16.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_655 : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_655 <= gpr_in[17] @[el2_lib.scala 491:16] + gpr_out[17] <= _T_655 @[el2_dec_gpr_ctl.scala 33:15] + node _T_656 = bits(gpr_wr_en, 18, 18) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_17 of rvclkhdr_17 @[el2_lib.scala 485:23] + rvclkhdr_17.clock <= clock + rvclkhdr_17.reset <= reset + rvclkhdr_17.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_17.io.en <= _T_656 @[el2_lib.scala 488:17] + rvclkhdr_17.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_657 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_657 <= gpr_in[18] @[el2_lib.scala 491:16] + gpr_out[18] <= _T_657 @[el2_dec_gpr_ctl.scala 33:15] + node _T_658 = bits(gpr_wr_en, 19, 19) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_18 of rvclkhdr_18 @[el2_lib.scala 485:23] + rvclkhdr_18.clock <= clock + rvclkhdr_18.reset <= reset + rvclkhdr_18.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_18.io.en <= _T_658 @[el2_lib.scala 488:17] + rvclkhdr_18.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_659 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_659 <= gpr_in[19] @[el2_lib.scala 491:16] + gpr_out[19] <= _T_659 @[el2_dec_gpr_ctl.scala 33:15] + node _T_660 = bits(gpr_wr_en, 20, 20) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_19 of rvclkhdr_19 @[el2_lib.scala 485:23] + rvclkhdr_19.clock <= clock + rvclkhdr_19.reset <= reset + rvclkhdr_19.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_19.io.en <= _T_660 @[el2_lib.scala 488:17] + rvclkhdr_19.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_661 : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_661 <= gpr_in[20] @[el2_lib.scala 491:16] + gpr_out[20] <= _T_661 @[el2_dec_gpr_ctl.scala 33:15] + node _T_662 = bits(gpr_wr_en, 21, 21) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_20 of rvclkhdr_20 @[el2_lib.scala 485:23] + rvclkhdr_20.clock <= clock + rvclkhdr_20.reset <= reset + rvclkhdr_20.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_20.io.en <= _T_662 @[el2_lib.scala 488:17] + rvclkhdr_20.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_663 : UInt, rvclkhdr_20.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_663 <= gpr_in[21] @[el2_lib.scala 491:16] + gpr_out[21] <= _T_663 @[el2_dec_gpr_ctl.scala 33:15] + node _T_664 = bits(gpr_wr_en, 22, 22) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_21 of rvclkhdr_21 @[el2_lib.scala 485:23] + rvclkhdr_21.clock <= clock + rvclkhdr_21.reset <= reset + rvclkhdr_21.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_21.io.en <= _T_664 @[el2_lib.scala 488:17] + rvclkhdr_21.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_665 : UInt, rvclkhdr_21.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_665 <= gpr_in[22] @[el2_lib.scala 491:16] + gpr_out[22] <= _T_665 @[el2_dec_gpr_ctl.scala 33:15] + node _T_666 = bits(gpr_wr_en, 23, 23) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_22 of rvclkhdr_22 @[el2_lib.scala 485:23] + rvclkhdr_22.clock <= clock + rvclkhdr_22.reset <= reset + rvclkhdr_22.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_22.io.en <= _T_666 @[el2_lib.scala 488:17] + rvclkhdr_22.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_667 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_667 <= gpr_in[23] @[el2_lib.scala 491:16] + gpr_out[23] <= _T_667 @[el2_dec_gpr_ctl.scala 33:15] + node _T_668 = bits(gpr_wr_en, 24, 24) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_23 of rvclkhdr_23 @[el2_lib.scala 485:23] + rvclkhdr_23.clock <= clock + rvclkhdr_23.reset <= reset + rvclkhdr_23.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_23.io.en <= _T_668 @[el2_lib.scala 488:17] + rvclkhdr_23.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_669 : UInt, rvclkhdr_23.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_669 <= gpr_in[24] @[el2_lib.scala 491:16] + gpr_out[24] <= _T_669 @[el2_dec_gpr_ctl.scala 33:15] + node _T_670 = bits(gpr_wr_en, 25, 25) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_24 of rvclkhdr_24 @[el2_lib.scala 485:23] + rvclkhdr_24.clock <= clock + rvclkhdr_24.reset <= reset + rvclkhdr_24.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_24.io.en <= _T_670 @[el2_lib.scala 488:17] + rvclkhdr_24.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_671 : UInt, rvclkhdr_24.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_671 <= gpr_in[25] @[el2_lib.scala 491:16] + gpr_out[25] <= _T_671 @[el2_dec_gpr_ctl.scala 33:15] + node _T_672 = bits(gpr_wr_en, 26, 26) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_25 of rvclkhdr_25 @[el2_lib.scala 485:23] + rvclkhdr_25.clock <= clock + rvclkhdr_25.reset <= reset + rvclkhdr_25.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_25.io.en <= _T_672 @[el2_lib.scala 488:17] + rvclkhdr_25.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_673 : UInt, rvclkhdr_25.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_673 <= gpr_in[26] @[el2_lib.scala 491:16] + gpr_out[26] <= _T_673 @[el2_dec_gpr_ctl.scala 33:15] + node _T_674 = bits(gpr_wr_en, 27, 27) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_26 of rvclkhdr_26 @[el2_lib.scala 485:23] + rvclkhdr_26.clock <= clock + rvclkhdr_26.reset <= reset + rvclkhdr_26.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_26.io.en <= _T_674 @[el2_lib.scala 488:17] + rvclkhdr_26.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_675 : UInt, rvclkhdr_26.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_675 <= gpr_in[27] @[el2_lib.scala 491:16] + gpr_out[27] <= _T_675 @[el2_dec_gpr_ctl.scala 33:15] + node _T_676 = bits(gpr_wr_en, 28, 28) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_27 of rvclkhdr_27 @[el2_lib.scala 485:23] + rvclkhdr_27.clock <= clock + rvclkhdr_27.reset <= reset + rvclkhdr_27.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_27.io.en <= _T_676 @[el2_lib.scala 488:17] + rvclkhdr_27.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_677 : UInt, rvclkhdr_27.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_677 <= gpr_in[28] @[el2_lib.scala 491:16] + gpr_out[28] <= _T_677 @[el2_dec_gpr_ctl.scala 33:15] + node _T_678 = bits(gpr_wr_en, 29, 29) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_28 of rvclkhdr_28 @[el2_lib.scala 485:23] + rvclkhdr_28.clock <= clock + rvclkhdr_28.reset <= reset + rvclkhdr_28.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_28.io.en <= _T_678 @[el2_lib.scala 488:17] + rvclkhdr_28.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_679 : UInt, rvclkhdr_28.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_679 <= gpr_in[29] @[el2_lib.scala 491:16] + gpr_out[29] <= _T_679 @[el2_dec_gpr_ctl.scala 33:15] + node _T_680 = bits(gpr_wr_en, 30, 30) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_29 of rvclkhdr_29 @[el2_lib.scala 485:23] + rvclkhdr_29.clock <= clock + rvclkhdr_29.reset <= reset + rvclkhdr_29.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_29.io.en <= _T_680 @[el2_lib.scala 488:17] + rvclkhdr_29.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_681 : UInt, rvclkhdr_29.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_681 <= gpr_in[30] @[el2_lib.scala 491:16] + gpr_out[30] <= _T_681 @[el2_dec_gpr_ctl.scala 33:15] + node _T_682 = bits(gpr_wr_en, 31, 31) @[el2_dec_gpr_ctl.scala 33:43] + inst rvclkhdr_30 of rvclkhdr_30 @[el2_lib.scala 485:23] + rvclkhdr_30.clock <= clock + rvclkhdr_30.reset <= reset + rvclkhdr_30.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_30.io.en <= _T_682 @[el2_lib.scala 488:17] + rvclkhdr_30.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_683 : UInt, rvclkhdr_30.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_683 <= gpr_in[31] @[el2_lib.scala 491:16] + gpr_out[31] <= _T_683 @[el2_dec_gpr_ctl.scala 33:15] + node _T_684 = eq(io.raddr0, UInt<1>("h01")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_685 = bits(_T_684, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_686 = eq(io.raddr0, UInt<2>("h02")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_687 = bits(_T_686, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_688 = eq(io.raddr0, UInt<2>("h03")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_689 = bits(_T_688, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_690 = eq(io.raddr0, UInt<3>("h04")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_691 = bits(_T_690, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_692 = eq(io.raddr0, UInt<3>("h05")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_693 = bits(_T_692, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_694 = eq(io.raddr0, UInt<3>("h06")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_695 = bits(_T_694, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_696 = eq(io.raddr0, UInt<3>("h07")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_697 = bits(_T_696, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_698 = eq(io.raddr0, UInt<4>("h08")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_699 = bits(_T_698, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_700 = eq(io.raddr0, UInt<4>("h09")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_701 = bits(_T_700, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_702 = eq(io.raddr0, UInt<4>("h0a")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_703 = bits(_T_702, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_704 = eq(io.raddr0, UInt<4>("h0b")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_705 = bits(_T_704, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_706 = eq(io.raddr0, UInt<4>("h0c")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_707 = bits(_T_706, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_708 = eq(io.raddr0, UInt<4>("h0d")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_709 = bits(_T_708, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_710 = eq(io.raddr0, UInt<4>("h0e")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_711 = bits(_T_710, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_712 = eq(io.raddr0, UInt<4>("h0f")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_713 = bits(_T_712, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_714 = eq(io.raddr0, UInt<5>("h010")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_715 = bits(_T_714, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_716 = eq(io.raddr0, UInt<5>("h011")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_717 = bits(_T_716, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_718 = eq(io.raddr0, UInt<5>("h012")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_719 = bits(_T_718, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_720 = eq(io.raddr0, UInt<5>("h013")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_721 = bits(_T_720, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_722 = eq(io.raddr0, UInt<5>("h014")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_723 = bits(_T_722, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_724 = eq(io.raddr0, UInt<5>("h015")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_725 = bits(_T_724, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_726 = eq(io.raddr0, UInt<5>("h016")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_727 = bits(_T_726, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_728 = eq(io.raddr0, UInt<5>("h017")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_729 = bits(_T_728, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_730 = eq(io.raddr0, UInt<5>("h018")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_731 = bits(_T_730, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_732 = eq(io.raddr0, UInt<5>("h019")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_733 = bits(_T_732, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_734 = eq(io.raddr0, UInt<5>("h01a")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_735 = bits(_T_734, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_736 = eq(io.raddr0, UInt<5>("h01b")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_737 = bits(_T_736, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_738 = eq(io.raddr0, UInt<5>("h01c")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_739 = bits(_T_738, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_740 = eq(io.raddr0, UInt<5>("h01d")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_741 = bits(_T_740, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_742 = eq(io.raddr0, UInt<5>("h01e")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_743 = bits(_T_742, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_744 = eq(io.raddr0, UInt<5>("h01f")) @[el2_dec_gpr_ctl.scala 36:49] + node _T_745 = bits(_T_744, 0, 0) @[el2_dec_gpr_ctl.scala 36:57] + node _T_746 = mux(_T_685, gpr_out[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_747 = mux(_T_687, gpr_out[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_748 = mux(_T_689, gpr_out[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_749 = mux(_T_691, gpr_out[4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_750 = mux(_T_693, gpr_out[5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_751 = mux(_T_695, gpr_out[6], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_752 = mux(_T_697, gpr_out[7], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_753 = mux(_T_699, gpr_out[8], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_754 = mux(_T_701, gpr_out[9], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_755 = mux(_T_703, gpr_out[10], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_756 = mux(_T_705, gpr_out[11], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_757 = mux(_T_707, gpr_out[12], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_758 = mux(_T_709, gpr_out[13], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_759 = mux(_T_711, gpr_out[14], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_760 = mux(_T_713, gpr_out[15], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_761 = mux(_T_715, gpr_out[16], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_762 = mux(_T_717, gpr_out[17], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_763 = mux(_T_719, gpr_out[18], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_764 = mux(_T_721, gpr_out[19], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_765 = mux(_T_723, gpr_out[20], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_766 = mux(_T_725, gpr_out[21], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_767 = mux(_T_727, gpr_out[22], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_768 = mux(_T_729, gpr_out[23], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_769 = mux(_T_731, gpr_out[24], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_770 = mux(_T_733, gpr_out[25], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_771 = mux(_T_735, gpr_out[26], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_772 = mux(_T_737, gpr_out[27], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_773 = mux(_T_739, gpr_out[28], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_774 = mux(_T_741, gpr_out[29], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_775 = mux(_T_743, gpr_out[30], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_776 = mux(_T_745, gpr_out[31], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_777 = or(_T_746, _T_747) @[Mux.scala 27:72] + node _T_778 = or(_T_777, _T_748) @[Mux.scala 27:72] + node _T_779 = or(_T_778, _T_749) @[Mux.scala 27:72] + node _T_780 = or(_T_779, _T_750) @[Mux.scala 27:72] + node _T_781 = or(_T_780, _T_751) @[Mux.scala 27:72] + node _T_782 = or(_T_781, _T_752) @[Mux.scala 27:72] + node _T_783 = or(_T_782, _T_753) @[Mux.scala 27:72] + node _T_784 = or(_T_783, _T_754) @[Mux.scala 27:72] + node _T_785 = or(_T_784, _T_755) @[Mux.scala 27:72] + node _T_786 = or(_T_785, _T_756) @[Mux.scala 27:72] + node _T_787 = or(_T_786, _T_757) @[Mux.scala 27:72] + node _T_788 = or(_T_787, _T_758) @[Mux.scala 27:72] + node _T_789 = or(_T_788, _T_759) @[Mux.scala 27:72] + node _T_790 = or(_T_789, _T_760) @[Mux.scala 27:72] + node _T_791 = or(_T_790, _T_761) @[Mux.scala 27:72] + node _T_792 = or(_T_791, _T_762) @[Mux.scala 27:72] + node _T_793 = or(_T_792, _T_763) @[Mux.scala 27:72] + node _T_794 = or(_T_793, _T_764) @[Mux.scala 27:72] + node _T_795 = or(_T_794, _T_765) @[Mux.scala 27:72] + node _T_796 = or(_T_795, _T_766) @[Mux.scala 27:72] + node _T_797 = or(_T_796, _T_767) @[Mux.scala 27:72] + node _T_798 = or(_T_797, _T_768) @[Mux.scala 27:72] + node _T_799 = or(_T_798, _T_769) @[Mux.scala 27:72] + node _T_800 = or(_T_799, _T_770) @[Mux.scala 27:72] + node _T_801 = or(_T_800, _T_771) @[Mux.scala 27:72] + node _T_802 = or(_T_801, _T_772) @[Mux.scala 27:72] + node _T_803 = or(_T_802, _T_773) @[Mux.scala 27:72] + node _T_804 = or(_T_803, _T_774) @[Mux.scala 27:72] + node _T_805 = or(_T_804, _T_775) @[Mux.scala 27:72] + node _T_806 = or(_T_805, _T_776) @[Mux.scala 27:72] + wire _T_807 : UInt<32> @[Mux.scala 27:72] + _T_807 <= _T_806 @[Mux.scala 27:72] + io.rd0 <= _T_807 @[el2_dec_gpr_ctl.scala 36:9] + node _T_808 = eq(io.raddr1, UInt<1>("h01")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_809 = bits(_T_808, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_810 = eq(io.raddr1, UInt<2>("h02")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_811 = bits(_T_810, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_812 = eq(io.raddr1, UInt<2>("h03")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_813 = bits(_T_812, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_814 = eq(io.raddr1, UInt<3>("h04")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_815 = bits(_T_814, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_816 = eq(io.raddr1, UInt<3>("h05")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_817 = bits(_T_816, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_818 = eq(io.raddr1, UInt<3>("h06")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_819 = bits(_T_818, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_820 = eq(io.raddr1, UInt<3>("h07")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_821 = bits(_T_820, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_822 = eq(io.raddr1, UInt<4>("h08")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_823 = bits(_T_822, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_824 = eq(io.raddr1, UInt<4>("h09")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_825 = bits(_T_824, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_826 = eq(io.raddr1, UInt<4>("h0a")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_827 = bits(_T_826, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_828 = eq(io.raddr1, UInt<4>("h0b")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_829 = bits(_T_828, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_830 = eq(io.raddr1, UInt<4>("h0c")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_831 = bits(_T_830, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_832 = eq(io.raddr1, UInt<4>("h0d")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_833 = bits(_T_832, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_834 = eq(io.raddr1, UInt<4>("h0e")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_835 = bits(_T_834, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_836 = eq(io.raddr1, UInt<4>("h0f")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_837 = bits(_T_836, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_838 = eq(io.raddr1, UInt<5>("h010")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_839 = bits(_T_838, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_840 = eq(io.raddr1, UInt<5>("h011")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_841 = bits(_T_840, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_842 = eq(io.raddr1, UInt<5>("h012")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_843 = bits(_T_842, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_844 = eq(io.raddr1, UInt<5>("h013")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_845 = bits(_T_844, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_846 = eq(io.raddr1, UInt<5>("h014")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_847 = bits(_T_846, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_848 = eq(io.raddr1, UInt<5>("h015")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_849 = bits(_T_848, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_850 = eq(io.raddr1, UInt<5>("h016")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_851 = bits(_T_850, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_852 = eq(io.raddr1, UInt<5>("h017")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_853 = bits(_T_852, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_854 = eq(io.raddr1, UInt<5>("h018")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_855 = bits(_T_854, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_856 = eq(io.raddr1, UInt<5>("h019")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_857 = bits(_T_856, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_858 = eq(io.raddr1, UInt<5>("h01a")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_859 = bits(_T_858, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_860 = eq(io.raddr1, UInt<5>("h01b")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_861 = bits(_T_860, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_862 = eq(io.raddr1, UInt<5>("h01c")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_863 = bits(_T_862, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_864 = eq(io.raddr1, UInt<5>("h01d")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_865 = bits(_T_864, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_866 = eq(io.raddr1, UInt<5>("h01e")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_867 = bits(_T_866, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_868 = eq(io.raddr1, UInt<5>("h01f")) @[el2_dec_gpr_ctl.scala 37:49] + node _T_869 = bits(_T_868, 0, 0) @[el2_dec_gpr_ctl.scala 37:57] + node _T_870 = mux(_T_809, gpr_out[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_871 = mux(_T_811, gpr_out[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_872 = mux(_T_813, gpr_out[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_873 = mux(_T_815, gpr_out[4], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_874 = mux(_T_817, gpr_out[5], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_875 = mux(_T_819, gpr_out[6], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_876 = mux(_T_821, gpr_out[7], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_877 = mux(_T_823, gpr_out[8], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_878 = mux(_T_825, gpr_out[9], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_879 = mux(_T_827, gpr_out[10], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_880 = mux(_T_829, gpr_out[11], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_881 = mux(_T_831, gpr_out[12], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_882 = mux(_T_833, gpr_out[13], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_883 = mux(_T_835, gpr_out[14], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_884 = mux(_T_837, gpr_out[15], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_885 = mux(_T_839, gpr_out[16], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_886 = mux(_T_841, gpr_out[17], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_887 = mux(_T_843, gpr_out[18], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_888 = mux(_T_845, gpr_out[19], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_889 = mux(_T_847, gpr_out[20], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_890 = mux(_T_849, gpr_out[21], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_891 = mux(_T_851, gpr_out[22], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_892 = mux(_T_853, gpr_out[23], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_893 = mux(_T_855, gpr_out[24], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_894 = mux(_T_857, gpr_out[25], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_895 = mux(_T_859, gpr_out[26], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_896 = mux(_T_861, gpr_out[27], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_897 = mux(_T_863, gpr_out[28], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_898 = mux(_T_865, gpr_out[29], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_899 = mux(_T_867, gpr_out[30], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_900 = mux(_T_869, gpr_out[31], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_901 = or(_T_870, _T_871) @[Mux.scala 27:72] + node _T_902 = or(_T_901, _T_872) @[Mux.scala 27:72] + node _T_903 = or(_T_902, _T_873) @[Mux.scala 27:72] + node _T_904 = or(_T_903, _T_874) @[Mux.scala 27:72] + node _T_905 = or(_T_904, _T_875) @[Mux.scala 27:72] + node _T_906 = or(_T_905, _T_876) @[Mux.scala 27:72] + node _T_907 = or(_T_906, _T_877) @[Mux.scala 27:72] + node _T_908 = or(_T_907, _T_878) @[Mux.scala 27:72] + node _T_909 = or(_T_908, _T_879) @[Mux.scala 27:72] + node _T_910 = or(_T_909, _T_880) @[Mux.scala 27:72] + node _T_911 = or(_T_910, _T_881) @[Mux.scala 27:72] + node _T_912 = or(_T_911, _T_882) @[Mux.scala 27:72] + node _T_913 = or(_T_912, _T_883) @[Mux.scala 27:72] + node _T_914 = or(_T_913, _T_884) @[Mux.scala 27:72] + node _T_915 = or(_T_914, _T_885) @[Mux.scala 27:72] + node _T_916 = or(_T_915, _T_886) @[Mux.scala 27:72] + node _T_917 = or(_T_916, _T_887) @[Mux.scala 27:72] + node _T_918 = or(_T_917, _T_888) @[Mux.scala 27:72] + node _T_919 = or(_T_918, _T_889) @[Mux.scala 27:72] + node _T_920 = or(_T_919, _T_890) @[Mux.scala 27:72] + node _T_921 = or(_T_920, _T_891) @[Mux.scala 27:72] + node _T_922 = or(_T_921, _T_892) @[Mux.scala 27:72] + node _T_923 = or(_T_922, _T_893) @[Mux.scala 27:72] + node _T_924 = or(_T_923, _T_894) @[Mux.scala 27:72] + node _T_925 = or(_T_924, _T_895) @[Mux.scala 27:72] + node _T_926 = or(_T_925, _T_896) @[Mux.scala 27:72] + node _T_927 = or(_T_926, _T_897) @[Mux.scala 27:72] + node _T_928 = or(_T_927, _T_898) @[Mux.scala 27:72] + node _T_929 = or(_T_928, _T_899) @[Mux.scala 27:72] + node _T_930 = or(_T_929, _T_900) @[Mux.scala 27:72] + wire _T_931 : UInt<32> @[Mux.scala 27:72] + _T_931 <= _T_930 @[Mux.scala 27:72] + io.rd1 <= _T_931 @[el2_dec_gpr_ctl.scala 37:9] + diff --git a/el2_dec_gpr_ctl.v b/el2_dec_gpr_ctl.v new file mode 100644 index 00000000..e9696eb7 --- /dev/null +++ b/el2_dec_gpr_ctl.v @@ -0,0 +1,1522 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[el2_lib.scala 465:26] + wire clkhdr_CK; // @[el2_lib.scala 465:26] + wire clkhdr_EN; // @[el2_lib.scala 465:26] + wire clkhdr_SE; // @[el2_lib.scala 465:26] + TEC_RV_ICG clkhdr ( // @[el2_lib.scala 465:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[el2_lib.scala 466:14] + assign clkhdr_CK = io_clk; // @[el2_lib.scala 467:18] + assign clkhdr_EN = io_en; // @[el2_lib.scala 468:18] + assign clkhdr_SE = io_scan_mode; // @[el2_lib.scala 469:18] +endmodule +module el2_dec_gpr_ctl( + input clock, + input reset, + input [4:0] io_raddr0, + input [4:0] io_raddr1, + input io_wen0, + input [4:0] io_waddr0, + input [31:0] io_wd0, + input io_wen1, + input [4:0] io_waddr1, + input [31:0] io_wd1, + input io_wen2, + input [4:0] io_waddr2, + input [31:0] io_wd2, + output [31:0] io_rd0, + output [31:0] io_rd1, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_scan_mode; // @[el2_lib.scala 485:23] + wire _T_95 = io_waddr0 == 5'h1; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_1 = io_wen0 & _T_95; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_112 = io_waddr0 == 5'h2; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_2 = io_wen0 & _T_112; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_129 = io_waddr0 == 5'h3; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_3 = io_wen0 & _T_129; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_146 = io_waddr0 == 5'h4; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_4 = io_wen0 & _T_146; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_163 = io_waddr0 == 5'h5; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_5 = io_wen0 & _T_163; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_180 = io_waddr0 == 5'h6; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_6 = io_wen0 & _T_180; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_197 = io_waddr0 == 5'h7; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_7 = io_wen0 & _T_197; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_214 = io_waddr0 == 5'h8; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_8 = io_wen0 & _T_214; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_231 = io_waddr0 == 5'h9; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_9 = io_wen0 & _T_231; // @[el2_dec_gpr_ctl.scala 26:28] + wire [9:0] _T_8 = {w0v_9,w0v_8,w0v_7,w0v_6,w0v_5,w0v_4,w0v_3,w0v_2,w0v_1,1'h0}; // @[Cat.scala 29:58] + wire _T_248 = io_waddr0 == 5'ha; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_10 = io_wen0 & _T_248; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_265 = io_waddr0 == 5'hb; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_11 = io_wen0 & _T_265; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_282 = io_waddr0 == 5'hc; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_12 = io_wen0 & _T_282; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_299 = io_waddr0 == 5'hd; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_13 = io_wen0 & _T_299; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_316 = io_waddr0 == 5'he; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_14 = io_wen0 & _T_316; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_333 = io_waddr0 == 5'hf; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_15 = io_wen0 & _T_333; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_350 = io_waddr0 == 5'h10; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_16 = io_wen0 & _T_350; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_367 = io_waddr0 == 5'h11; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_17 = io_wen0 & _T_367; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_384 = io_waddr0 == 5'h12; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_18 = io_wen0 & _T_384; // @[el2_dec_gpr_ctl.scala 26:28] + wire [18:0] _T_17 = {w0v_18,w0v_17,w0v_16,w0v_15,w0v_14,w0v_13,w0v_12,w0v_11,w0v_10,_T_8}; // @[Cat.scala 29:58] + wire _T_401 = io_waddr0 == 5'h13; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_19 = io_wen0 & _T_401; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_418 = io_waddr0 == 5'h14; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_20 = io_wen0 & _T_418; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_435 = io_waddr0 == 5'h15; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_21 = io_wen0 & _T_435; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_452 = io_waddr0 == 5'h16; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_22 = io_wen0 & _T_452; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_469 = io_waddr0 == 5'h17; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_23 = io_wen0 & _T_469; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_486 = io_waddr0 == 5'h18; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_24 = io_wen0 & _T_486; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_503 = io_waddr0 == 5'h19; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_25 = io_wen0 & _T_503; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_520 = io_waddr0 == 5'h1a; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_26 = io_wen0 & _T_520; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_537 = io_waddr0 == 5'h1b; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_27 = io_wen0 & _T_537; // @[el2_dec_gpr_ctl.scala 26:28] + wire [27:0] _T_26 = {w0v_27,w0v_26,w0v_25,w0v_24,w0v_23,w0v_22,w0v_21,w0v_20,w0v_19,_T_17}; // @[Cat.scala 29:58] + wire _T_554 = io_waddr0 == 5'h1c; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_28 = io_wen0 & _T_554; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_571 = io_waddr0 == 5'h1d; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_29 = io_wen0 & _T_571; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_588 = io_waddr0 == 5'h1e; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_30 = io_wen0 & _T_588; // @[el2_dec_gpr_ctl.scala 26:28] + wire _T_605 = io_waddr0 == 5'h1f; // @[el2_dec_gpr_ctl.scala 26:40] + wire w0v_31 = io_wen0 & _T_605; // @[el2_dec_gpr_ctl.scala 26:28] + wire [31:0] _T_30 = {w0v_31,w0v_30,w0v_29,w0v_28,_T_26}; // @[Cat.scala 29:58] + wire _T_97 = io_waddr1 == 5'h1; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_1 = io_wen1 & _T_97; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_114 = io_waddr1 == 5'h2; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_2 = io_wen1 & _T_114; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_131 = io_waddr1 == 5'h3; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_3 = io_wen1 & _T_131; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_148 = io_waddr1 == 5'h4; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_4 = io_wen1 & _T_148; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_165 = io_waddr1 == 5'h5; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_5 = io_wen1 & _T_165; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_182 = io_waddr1 == 5'h6; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_6 = io_wen1 & _T_182; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_199 = io_waddr1 == 5'h7; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_7 = io_wen1 & _T_199; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_216 = io_waddr1 == 5'h8; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_8 = io_wen1 & _T_216; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_233 = io_waddr1 == 5'h9; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_9 = io_wen1 & _T_233; // @[el2_dec_gpr_ctl.scala 27:28] + wire [9:0] _T_39 = {w1v_9,w1v_8,w1v_7,w1v_6,w1v_5,w1v_4,w1v_3,w1v_2,w1v_1,1'h0}; // @[Cat.scala 29:58] + wire _T_250 = io_waddr1 == 5'ha; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_10 = io_wen1 & _T_250; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_267 = io_waddr1 == 5'hb; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_11 = io_wen1 & _T_267; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_284 = io_waddr1 == 5'hc; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_12 = io_wen1 & _T_284; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_301 = io_waddr1 == 5'hd; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_13 = io_wen1 & _T_301; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_318 = io_waddr1 == 5'he; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_14 = io_wen1 & _T_318; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_335 = io_waddr1 == 5'hf; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_15 = io_wen1 & _T_335; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_352 = io_waddr1 == 5'h10; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_16 = io_wen1 & _T_352; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_369 = io_waddr1 == 5'h11; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_17 = io_wen1 & _T_369; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_386 = io_waddr1 == 5'h12; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_18 = io_wen1 & _T_386; // @[el2_dec_gpr_ctl.scala 27:28] + wire [18:0] _T_48 = {w1v_18,w1v_17,w1v_16,w1v_15,w1v_14,w1v_13,w1v_12,w1v_11,w1v_10,_T_39}; // @[Cat.scala 29:58] + wire _T_403 = io_waddr1 == 5'h13; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_19 = io_wen1 & _T_403; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_420 = io_waddr1 == 5'h14; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_20 = io_wen1 & _T_420; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_437 = io_waddr1 == 5'h15; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_21 = io_wen1 & _T_437; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_454 = io_waddr1 == 5'h16; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_22 = io_wen1 & _T_454; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_471 = io_waddr1 == 5'h17; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_23 = io_wen1 & _T_471; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_488 = io_waddr1 == 5'h18; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_24 = io_wen1 & _T_488; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_505 = io_waddr1 == 5'h19; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_25 = io_wen1 & _T_505; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_522 = io_waddr1 == 5'h1a; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_26 = io_wen1 & _T_522; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_539 = io_waddr1 == 5'h1b; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_27 = io_wen1 & _T_539; // @[el2_dec_gpr_ctl.scala 27:28] + wire [27:0] _T_57 = {w1v_27,w1v_26,w1v_25,w1v_24,w1v_23,w1v_22,w1v_21,w1v_20,w1v_19,_T_48}; // @[Cat.scala 29:58] + wire _T_556 = io_waddr1 == 5'h1c; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_28 = io_wen1 & _T_556; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_573 = io_waddr1 == 5'h1d; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_29 = io_wen1 & _T_573; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_590 = io_waddr1 == 5'h1e; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_30 = io_wen1 & _T_590; // @[el2_dec_gpr_ctl.scala 27:28] + wire _T_607 = io_waddr1 == 5'h1f; // @[el2_dec_gpr_ctl.scala 27:40] + wire w1v_31 = io_wen1 & _T_607; // @[el2_dec_gpr_ctl.scala 27:28] + wire [31:0] _T_61 = {w1v_31,w1v_30,w1v_29,w1v_28,_T_57}; // @[Cat.scala 29:58] + wire [31:0] _T_62 = _T_30 | _T_61; // @[el2_dec_gpr_ctl.scala 23:51] + wire _T_99 = io_waddr2 == 5'h1; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_1 = io_wen2 & _T_99; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_116 = io_waddr2 == 5'h2; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_2 = io_wen2 & _T_116; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_133 = io_waddr2 == 5'h3; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_3 = io_wen2 & _T_133; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_150 = io_waddr2 == 5'h4; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_4 = io_wen2 & _T_150; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_167 = io_waddr2 == 5'h5; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_5 = io_wen2 & _T_167; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_184 = io_waddr2 == 5'h6; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_6 = io_wen2 & _T_184; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_201 = io_waddr2 == 5'h7; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_7 = io_wen2 & _T_201; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_218 = io_waddr2 == 5'h8; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_8 = io_wen2 & _T_218; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_235 = io_waddr2 == 5'h9; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_9 = io_wen2 & _T_235; // @[el2_dec_gpr_ctl.scala 28:28] + wire [9:0] _T_71 = {w2v_9,w2v_8,w2v_7,w2v_6,w2v_5,w2v_4,w2v_3,w2v_2,w2v_1,1'h0}; // @[Cat.scala 29:58] + wire _T_252 = io_waddr2 == 5'ha; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_10 = io_wen2 & _T_252; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_269 = io_waddr2 == 5'hb; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_11 = io_wen2 & _T_269; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_286 = io_waddr2 == 5'hc; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_12 = io_wen2 & _T_286; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_303 = io_waddr2 == 5'hd; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_13 = io_wen2 & _T_303; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_320 = io_waddr2 == 5'he; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_14 = io_wen2 & _T_320; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_337 = io_waddr2 == 5'hf; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_15 = io_wen2 & _T_337; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_354 = io_waddr2 == 5'h10; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_16 = io_wen2 & _T_354; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_371 = io_waddr2 == 5'h11; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_17 = io_wen2 & _T_371; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_388 = io_waddr2 == 5'h12; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_18 = io_wen2 & _T_388; // @[el2_dec_gpr_ctl.scala 28:28] + wire [18:0] _T_80 = {w2v_18,w2v_17,w2v_16,w2v_15,w2v_14,w2v_13,w2v_12,w2v_11,w2v_10,_T_71}; // @[Cat.scala 29:58] + wire _T_405 = io_waddr2 == 5'h13; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_19 = io_wen2 & _T_405; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_422 = io_waddr2 == 5'h14; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_20 = io_wen2 & _T_422; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_439 = io_waddr2 == 5'h15; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_21 = io_wen2 & _T_439; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_456 = io_waddr2 == 5'h16; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_22 = io_wen2 & _T_456; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_473 = io_waddr2 == 5'h17; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_23 = io_wen2 & _T_473; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_490 = io_waddr2 == 5'h18; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_24 = io_wen2 & _T_490; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_507 = io_waddr2 == 5'h19; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_25 = io_wen2 & _T_507; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_524 = io_waddr2 == 5'h1a; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_26 = io_wen2 & _T_524; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_541 = io_waddr2 == 5'h1b; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_27 = io_wen2 & _T_541; // @[el2_dec_gpr_ctl.scala 28:28] + wire [27:0] _T_89 = {w2v_27,w2v_26,w2v_25,w2v_24,w2v_23,w2v_22,w2v_21,w2v_20,w2v_19,_T_80}; // @[Cat.scala 29:58] + wire _T_558 = io_waddr2 == 5'h1c; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_28 = io_wen2 & _T_558; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_575 = io_waddr2 == 5'h1d; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_29 = io_wen2 & _T_575; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_592 = io_waddr2 == 5'h1e; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_30 = io_wen2 & _T_592; // @[el2_dec_gpr_ctl.scala 28:28] + wire _T_609 = io_waddr2 == 5'h1f; // @[el2_dec_gpr_ctl.scala 28:40] + wire w2v_31 = io_wen2 & _T_609; // @[el2_dec_gpr_ctl.scala 28:28] + wire [31:0] _T_93 = {w2v_31,w2v_30,w2v_29,w2v_28,_T_89}; // @[Cat.scala 29:58] + wire [31:0] gpr_wr_en = _T_62 | _T_93; // @[el2_dec_gpr_ctl.scala 23:89] + wire [31:0] _T_102 = w0v_1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_103 = _T_102 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_105 = w1v_1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_106 = _T_105 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_107 = _T_103 | _T_106; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_109 = w2v_1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_110 = _T_109 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_119 = w0v_2 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_120 = _T_119 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_122 = w1v_2 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_123 = _T_122 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_124 = _T_120 | _T_123; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_126 = w2v_2 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_127 = _T_126 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_136 = w0v_3 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_137 = _T_136 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_139 = w1v_3 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_140 = _T_139 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_141 = _T_137 | _T_140; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_143 = w2v_3 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_144 = _T_143 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_153 = w0v_4 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_154 = _T_153 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_156 = w1v_4 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_157 = _T_156 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_158 = _T_154 | _T_157; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_160 = w2v_4 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_161 = _T_160 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_170 = w0v_5 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_171 = _T_170 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_173 = w1v_5 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_174 = _T_173 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_175 = _T_171 | _T_174; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_177 = w2v_5 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_178 = _T_177 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_187 = w0v_6 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_188 = _T_187 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_190 = w1v_6 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_191 = _T_190 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_192 = _T_188 | _T_191; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_194 = w2v_6 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_195 = _T_194 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_204 = w0v_7 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_205 = _T_204 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_207 = w1v_7 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_208 = _T_207 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_209 = _T_205 | _T_208; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_211 = w2v_7 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_212 = _T_211 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_221 = w0v_8 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_222 = _T_221 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_224 = w1v_8 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_225 = _T_224 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_226 = _T_222 | _T_225; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_228 = w2v_8 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_229 = _T_228 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_238 = w0v_9 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_239 = _T_238 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_241 = w1v_9 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_242 = _T_241 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_243 = _T_239 | _T_242; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_245 = w2v_9 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_246 = _T_245 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_255 = w0v_10 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_256 = _T_255 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_258 = w1v_10 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_259 = _T_258 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_260 = _T_256 | _T_259; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_262 = w2v_10 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_263 = _T_262 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_272 = w0v_11 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_273 = _T_272 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_275 = w1v_11 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_276 = _T_275 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_277 = _T_273 | _T_276; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_279 = w2v_11 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_280 = _T_279 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_289 = w0v_12 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_290 = _T_289 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_292 = w1v_12 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_293 = _T_292 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_294 = _T_290 | _T_293; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_296 = w2v_12 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_297 = _T_296 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_306 = w0v_13 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_307 = _T_306 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_309 = w1v_13 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_310 = _T_309 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_311 = _T_307 | _T_310; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_313 = w2v_13 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_314 = _T_313 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_323 = w0v_14 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_324 = _T_323 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_326 = w1v_14 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_327 = _T_326 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_328 = _T_324 | _T_327; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_330 = w2v_14 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_331 = _T_330 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_340 = w0v_15 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_341 = _T_340 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_343 = w1v_15 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_344 = _T_343 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_345 = _T_341 | _T_344; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_347 = w2v_15 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_348 = _T_347 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_357 = w0v_16 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_358 = _T_357 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_360 = w1v_16 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_361 = _T_360 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_362 = _T_358 | _T_361; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_364 = w2v_16 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_365 = _T_364 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_374 = w0v_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_375 = _T_374 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_377 = w1v_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_378 = _T_377 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_379 = _T_375 | _T_378; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_381 = w2v_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_382 = _T_381 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_391 = w0v_18 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_392 = _T_391 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_394 = w1v_18 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_395 = _T_394 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_396 = _T_392 | _T_395; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_398 = w2v_18 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_399 = _T_398 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_408 = w0v_19 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_409 = _T_408 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_411 = w1v_19 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_412 = _T_411 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_413 = _T_409 | _T_412; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_415 = w2v_19 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_416 = _T_415 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_425 = w0v_20 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_426 = _T_425 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_428 = w1v_20 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_429 = _T_428 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_430 = _T_426 | _T_429; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_432 = w2v_20 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_433 = _T_432 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_442 = w0v_21 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_443 = _T_442 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_445 = w1v_21 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_446 = _T_445 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_447 = _T_443 | _T_446; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_449 = w2v_21 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_450 = _T_449 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_459 = w0v_22 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_460 = _T_459 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_462 = w1v_22 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_463 = _T_462 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_464 = _T_460 | _T_463; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_466 = w2v_22 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_467 = _T_466 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_476 = w0v_23 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_477 = _T_476 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_479 = w1v_23 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_480 = _T_479 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_481 = _T_477 | _T_480; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_483 = w2v_23 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_484 = _T_483 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_493 = w0v_24 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_494 = _T_493 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_496 = w1v_24 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_497 = _T_496 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_498 = _T_494 | _T_497; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_500 = w2v_24 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_501 = _T_500 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_510 = w0v_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_511 = _T_510 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_513 = w1v_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_514 = _T_513 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_515 = _T_511 | _T_514; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_517 = w2v_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_518 = _T_517 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_527 = w0v_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_528 = _T_527 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_530 = w1v_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_531 = _T_530 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_532 = _T_528 | _T_531; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_534 = w2v_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_535 = _T_534 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_544 = w0v_27 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_545 = _T_544 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_547 = w1v_27 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_548 = _T_547 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_549 = _T_545 | _T_548; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_551 = w2v_27 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_552 = _T_551 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_561 = w0v_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_562 = _T_561 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_564 = w1v_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_565 = _T_564 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_566 = _T_562 | _T_565; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_568 = w2v_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_569 = _T_568 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_578 = w0v_29 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_579 = _T_578 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_581 = w1v_29 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_582 = _T_581 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_583 = _T_579 | _T_582; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_585 = w2v_29 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_586 = _T_585 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_595 = w0v_30 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_596 = _T_595 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_598 = w1v_30 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_599 = _T_598 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_600 = _T_596 | _T_599; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_602 = w2v_30 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_603 = _T_602 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + wire [31:0] _T_612 = w0v_31 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_613 = _T_612 & io_wd0; // @[el2_dec_gpr_ctl.scala 29:37] + wire [31:0] _T_615 = w1v_31 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_616 = _T_615 & io_wd1; // @[el2_dec_gpr_ctl.scala 29:66] + wire [31:0] _T_617 = _T_613 | _T_616; // @[el2_dec_gpr_ctl.scala 29:47] + wire [31:0] _T_619 = w2v_31 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_620 = _T_619 & io_wd2; // @[el2_dec_gpr_ctl.scala 29:95] + reg [31:0] gpr_out_1; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_2; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_3; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_4; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_5; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_6; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_7; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_8; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_9; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_10; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_11; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_12; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_13; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_14; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_15; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_16; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_17; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_18; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_19; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_20; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_21; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_22; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_23; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_24; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_25; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_26; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_27; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_28; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_29; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_30; // @[el2_lib.scala 491:16] + reg [31:0] gpr_out_31; // @[el2_lib.scala 491:16] + wire _T_684 = io_raddr0 == 5'h1; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_686 = io_raddr0 == 5'h2; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_688 = io_raddr0 == 5'h3; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_690 = io_raddr0 == 5'h4; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_692 = io_raddr0 == 5'h5; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_694 = io_raddr0 == 5'h6; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_696 = io_raddr0 == 5'h7; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_698 = io_raddr0 == 5'h8; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_700 = io_raddr0 == 5'h9; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_702 = io_raddr0 == 5'ha; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_704 = io_raddr0 == 5'hb; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_706 = io_raddr0 == 5'hc; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_708 = io_raddr0 == 5'hd; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_710 = io_raddr0 == 5'he; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_712 = io_raddr0 == 5'hf; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_714 = io_raddr0 == 5'h10; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_716 = io_raddr0 == 5'h11; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_718 = io_raddr0 == 5'h12; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_720 = io_raddr0 == 5'h13; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_722 = io_raddr0 == 5'h14; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_724 = io_raddr0 == 5'h15; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_726 = io_raddr0 == 5'h16; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_728 = io_raddr0 == 5'h17; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_730 = io_raddr0 == 5'h18; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_732 = io_raddr0 == 5'h19; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_734 = io_raddr0 == 5'h1a; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_736 = io_raddr0 == 5'h1b; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_738 = io_raddr0 == 5'h1c; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_740 = io_raddr0 == 5'h1d; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_742 = io_raddr0 == 5'h1e; // @[el2_dec_gpr_ctl.scala 36:49] + wire _T_744 = io_raddr0 == 5'h1f; // @[el2_dec_gpr_ctl.scala 36:49] + wire [31:0] _T_746 = _T_684 ? gpr_out_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_747 = _T_686 ? gpr_out_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_748 = _T_688 ? gpr_out_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_749 = _T_690 ? gpr_out_4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_750 = _T_692 ? gpr_out_5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_751 = _T_694 ? gpr_out_6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_752 = _T_696 ? gpr_out_7 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_753 = _T_698 ? gpr_out_8 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_754 = _T_700 ? gpr_out_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_755 = _T_702 ? gpr_out_10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_756 = _T_704 ? gpr_out_11 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_757 = _T_706 ? gpr_out_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_758 = _T_708 ? gpr_out_13 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_759 = _T_710 ? gpr_out_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_760 = _T_712 ? gpr_out_15 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_761 = _T_714 ? gpr_out_16 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_762 = _T_716 ? gpr_out_17 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_763 = _T_718 ? gpr_out_18 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_764 = _T_720 ? gpr_out_19 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_765 = _T_722 ? gpr_out_20 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_766 = _T_724 ? gpr_out_21 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_767 = _T_726 ? gpr_out_22 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_768 = _T_728 ? gpr_out_23 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_769 = _T_730 ? gpr_out_24 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_770 = _T_732 ? gpr_out_25 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_771 = _T_734 ? gpr_out_26 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_772 = _T_736 ? gpr_out_27 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_773 = _T_738 ? gpr_out_28 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_774 = _T_740 ? gpr_out_29 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_775 = _T_742 ? gpr_out_30 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_776 = _T_744 ? gpr_out_31 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_777 = _T_746 | _T_747; // @[Mux.scala 27:72] + wire [31:0] _T_778 = _T_777 | _T_748; // @[Mux.scala 27:72] + wire [31:0] _T_779 = _T_778 | _T_749; // @[Mux.scala 27:72] + wire [31:0] _T_780 = _T_779 | _T_750; // @[Mux.scala 27:72] + wire [31:0] _T_781 = _T_780 | _T_751; // @[Mux.scala 27:72] + wire [31:0] _T_782 = _T_781 | _T_752; // @[Mux.scala 27:72] + wire [31:0] _T_783 = _T_782 | _T_753; // @[Mux.scala 27:72] + wire [31:0] _T_784 = _T_783 | _T_754; // @[Mux.scala 27:72] + wire [31:0] _T_785 = _T_784 | _T_755; // @[Mux.scala 27:72] + wire [31:0] _T_786 = _T_785 | _T_756; // @[Mux.scala 27:72] + wire [31:0] _T_787 = _T_786 | _T_757; // @[Mux.scala 27:72] + wire [31:0] _T_788 = _T_787 | _T_758; // @[Mux.scala 27:72] + wire [31:0] _T_789 = _T_788 | _T_759; // @[Mux.scala 27:72] + wire [31:0] _T_790 = _T_789 | _T_760; // @[Mux.scala 27:72] + wire [31:0] _T_791 = _T_790 | _T_761; // @[Mux.scala 27:72] + wire [31:0] _T_792 = _T_791 | _T_762; // @[Mux.scala 27:72] + wire [31:0] _T_793 = _T_792 | _T_763; // @[Mux.scala 27:72] + wire [31:0] _T_794 = _T_793 | _T_764; // @[Mux.scala 27:72] + wire [31:0] _T_795 = _T_794 | _T_765; // @[Mux.scala 27:72] + wire [31:0] _T_796 = _T_795 | _T_766; // @[Mux.scala 27:72] + wire [31:0] _T_797 = _T_796 | _T_767; // @[Mux.scala 27:72] + wire [31:0] _T_798 = _T_797 | _T_768; // @[Mux.scala 27:72] + wire [31:0] _T_799 = _T_798 | _T_769; // @[Mux.scala 27:72] + wire [31:0] _T_800 = _T_799 | _T_770; // @[Mux.scala 27:72] + wire [31:0] _T_801 = _T_800 | _T_771; // @[Mux.scala 27:72] + wire [31:0] _T_802 = _T_801 | _T_772; // @[Mux.scala 27:72] + wire [31:0] _T_803 = _T_802 | _T_773; // @[Mux.scala 27:72] + wire [31:0] _T_804 = _T_803 | _T_774; // @[Mux.scala 27:72] + wire [31:0] _T_805 = _T_804 | _T_775; // @[Mux.scala 27:72] + wire _T_808 = io_raddr1 == 5'h1; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_810 = io_raddr1 == 5'h2; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_812 = io_raddr1 == 5'h3; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_814 = io_raddr1 == 5'h4; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_816 = io_raddr1 == 5'h5; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_818 = io_raddr1 == 5'h6; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_820 = io_raddr1 == 5'h7; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_822 = io_raddr1 == 5'h8; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_824 = io_raddr1 == 5'h9; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_826 = io_raddr1 == 5'ha; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_828 = io_raddr1 == 5'hb; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_830 = io_raddr1 == 5'hc; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_832 = io_raddr1 == 5'hd; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_834 = io_raddr1 == 5'he; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_836 = io_raddr1 == 5'hf; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_838 = io_raddr1 == 5'h10; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_840 = io_raddr1 == 5'h11; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_842 = io_raddr1 == 5'h12; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_844 = io_raddr1 == 5'h13; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_846 = io_raddr1 == 5'h14; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_848 = io_raddr1 == 5'h15; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_850 = io_raddr1 == 5'h16; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_852 = io_raddr1 == 5'h17; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_854 = io_raddr1 == 5'h18; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_856 = io_raddr1 == 5'h19; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_858 = io_raddr1 == 5'h1a; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_860 = io_raddr1 == 5'h1b; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_862 = io_raddr1 == 5'h1c; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_864 = io_raddr1 == 5'h1d; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_866 = io_raddr1 == 5'h1e; // @[el2_dec_gpr_ctl.scala 37:49] + wire _T_868 = io_raddr1 == 5'h1f; // @[el2_dec_gpr_ctl.scala 37:49] + wire [31:0] _T_870 = _T_808 ? gpr_out_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_871 = _T_810 ? gpr_out_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_872 = _T_812 ? gpr_out_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_873 = _T_814 ? gpr_out_4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_874 = _T_816 ? gpr_out_5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_875 = _T_818 ? gpr_out_6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_876 = _T_820 ? gpr_out_7 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_877 = _T_822 ? gpr_out_8 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_878 = _T_824 ? gpr_out_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_879 = _T_826 ? gpr_out_10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_880 = _T_828 ? gpr_out_11 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_881 = _T_830 ? gpr_out_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_882 = _T_832 ? gpr_out_13 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_883 = _T_834 ? gpr_out_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_884 = _T_836 ? gpr_out_15 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_885 = _T_838 ? gpr_out_16 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_886 = _T_840 ? gpr_out_17 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_887 = _T_842 ? gpr_out_18 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_888 = _T_844 ? gpr_out_19 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_889 = _T_846 ? gpr_out_20 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_890 = _T_848 ? gpr_out_21 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_891 = _T_850 ? gpr_out_22 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_892 = _T_852 ? gpr_out_23 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_893 = _T_854 ? gpr_out_24 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_894 = _T_856 ? gpr_out_25 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_895 = _T_858 ? gpr_out_26 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_896 = _T_860 ? gpr_out_27 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_897 = _T_862 ? gpr_out_28 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_898 = _T_864 ? gpr_out_29 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_899 = _T_866 ? gpr_out_30 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_900 = _T_868 ? gpr_out_31 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_901 = _T_870 | _T_871; // @[Mux.scala 27:72] + wire [31:0] _T_902 = _T_901 | _T_872; // @[Mux.scala 27:72] + wire [31:0] _T_903 = _T_902 | _T_873; // @[Mux.scala 27:72] + wire [31:0] _T_904 = _T_903 | _T_874; // @[Mux.scala 27:72] + wire [31:0] _T_905 = _T_904 | _T_875; // @[Mux.scala 27:72] + wire [31:0] _T_906 = _T_905 | _T_876; // @[Mux.scala 27:72] + wire [31:0] _T_907 = _T_906 | _T_877; // @[Mux.scala 27:72] + wire [31:0] _T_908 = _T_907 | _T_878; // @[Mux.scala 27:72] + wire [31:0] _T_909 = _T_908 | _T_879; // @[Mux.scala 27:72] + wire [31:0] _T_910 = _T_909 | _T_880; // @[Mux.scala 27:72] + wire [31:0] _T_911 = _T_910 | _T_881; // @[Mux.scala 27:72] + wire [31:0] _T_912 = _T_911 | _T_882; // @[Mux.scala 27:72] + wire [31:0] _T_913 = _T_912 | _T_883; // @[Mux.scala 27:72] + wire [31:0] _T_914 = _T_913 | _T_884; // @[Mux.scala 27:72] + wire [31:0] _T_915 = _T_914 | _T_885; // @[Mux.scala 27:72] + wire [31:0] _T_916 = _T_915 | _T_886; // @[Mux.scala 27:72] + wire [31:0] _T_917 = _T_916 | _T_887; // @[Mux.scala 27:72] + wire [31:0] _T_918 = _T_917 | _T_888; // @[Mux.scala 27:72] + wire [31:0] _T_919 = _T_918 | _T_889; // @[Mux.scala 27:72] + wire [31:0] _T_920 = _T_919 | _T_890; // @[Mux.scala 27:72] + wire [31:0] _T_921 = _T_920 | _T_891; // @[Mux.scala 27:72] + wire [31:0] _T_922 = _T_921 | _T_892; // @[Mux.scala 27:72] + wire [31:0] _T_923 = _T_922 | _T_893; // @[Mux.scala 27:72] + wire [31:0] _T_924 = _T_923 | _T_894; // @[Mux.scala 27:72] + wire [31:0] _T_925 = _T_924 | _T_895; // @[Mux.scala 27:72] + wire [31:0] _T_926 = _T_925 | _T_896; // @[Mux.scala 27:72] + wire [31:0] _T_927 = _T_926 | _T_897; // @[Mux.scala 27:72] + wire [31:0] _T_928 = _T_927 | _T_898; // @[Mux.scala 27:72] + wire [31:0] _T_929 = _T_928 | _T_899; // @[Mux.scala 27:72] + rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + rvclkhdr rvclkhdr_12 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_12_io_l1clk), + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en), + .io_scan_mode(rvclkhdr_12_io_scan_mode) + ); + rvclkhdr rvclkhdr_13 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_13_io_l1clk), + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en), + .io_scan_mode(rvclkhdr_13_io_scan_mode) + ); + rvclkhdr rvclkhdr_14 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_14_io_l1clk), + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en), + .io_scan_mode(rvclkhdr_14_io_scan_mode) + ); + rvclkhdr rvclkhdr_15 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_15_io_l1clk), + .io_clk(rvclkhdr_15_io_clk), + .io_en(rvclkhdr_15_io_en), + .io_scan_mode(rvclkhdr_15_io_scan_mode) + ); + rvclkhdr rvclkhdr_16 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_16_io_l1clk), + .io_clk(rvclkhdr_16_io_clk), + .io_en(rvclkhdr_16_io_en), + .io_scan_mode(rvclkhdr_16_io_scan_mode) + ); + rvclkhdr rvclkhdr_17 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_17_io_l1clk), + .io_clk(rvclkhdr_17_io_clk), + .io_en(rvclkhdr_17_io_en), + .io_scan_mode(rvclkhdr_17_io_scan_mode) + ); + rvclkhdr rvclkhdr_18 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_18_io_l1clk), + .io_clk(rvclkhdr_18_io_clk), + .io_en(rvclkhdr_18_io_en), + .io_scan_mode(rvclkhdr_18_io_scan_mode) + ); + rvclkhdr rvclkhdr_19 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_19_io_l1clk), + .io_clk(rvclkhdr_19_io_clk), + .io_en(rvclkhdr_19_io_en), + .io_scan_mode(rvclkhdr_19_io_scan_mode) + ); + rvclkhdr rvclkhdr_20 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_20_io_l1clk), + .io_clk(rvclkhdr_20_io_clk), + .io_en(rvclkhdr_20_io_en), + .io_scan_mode(rvclkhdr_20_io_scan_mode) + ); + rvclkhdr rvclkhdr_21 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_21_io_l1clk), + .io_clk(rvclkhdr_21_io_clk), + .io_en(rvclkhdr_21_io_en), + .io_scan_mode(rvclkhdr_21_io_scan_mode) + ); + rvclkhdr rvclkhdr_22 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_22_io_l1clk), + .io_clk(rvclkhdr_22_io_clk), + .io_en(rvclkhdr_22_io_en), + .io_scan_mode(rvclkhdr_22_io_scan_mode) + ); + rvclkhdr rvclkhdr_23 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_23_io_l1clk), + .io_clk(rvclkhdr_23_io_clk), + .io_en(rvclkhdr_23_io_en), + .io_scan_mode(rvclkhdr_23_io_scan_mode) + ); + rvclkhdr rvclkhdr_24 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_24_io_l1clk), + .io_clk(rvclkhdr_24_io_clk), + .io_en(rvclkhdr_24_io_en), + .io_scan_mode(rvclkhdr_24_io_scan_mode) + ); + rvclkhdr rvclkhdr_25 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_25_io_l1clk), + .io_clk(rvclkhdr_25_io_clk), + .io_en(rvclkhdr_25_io_en), + .io_scan_mode(rvclkhdr_25_io_scan_mode) + ); + rvclkhdr rvclkhdr_26 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_26_io_l1clk), + .io_clk(rvclkhdr_26_io_clk), + .io_en(rvclkhdr_26_io_en), + .io_scan_mode(rvclkhdr_26_io_scan_mode) + ); + rvclkhdr rvclkhdr_27 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_27_io_l1clk), + .io_clk(rvclkhdr_27_io_clk), + .io_en(rvclkhdr_27_io_en), + .io_scan_mode(rvclkhdr_27_io_scan_mode) + ); + rvclkhdr rvclkhdr_28 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_28_io_l1clk), + .io_clk(rvclkhdr_28_io_clk), + .io_en(rvclkhdr_28_io_en), + .io_scan_mode(rvclkhdr_28_io_scan_mode) + ); + rvclkhdr rvclkhdr_29 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_29_io_l1clk), + .io_clk(rvclkhdr_29_io_clk), + .io_en(rvclkhdr_29_io_en), + .io_scan_mode(rvclkhdr_29_io_scan_mode) + ); + rvclkhdr rvclkhdr_30 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_30_io_l1clk), + .io_clk(rvclkhdr_30_io_clk), + .io_en(rvclkhdr_30_io_en), + .io_scan_mode(rvclkhdr_30_io_scan_mode) + ); + assign io_rd0 = _T_805 | _T_776; // @[el2_dec_gpr_ctl.scala 21:9 el2_dec_gpr_ctl.scala 36:9] + assign io_rd1 = _T_929 | _T_900; // @[el2_dec_gpr_ctl.scala 22:9 el2_dec_gpr_ctl.scala 37:9] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_io_en = gpr_wr_en[1]; // @[el2_lib.scala 488:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_1_io_en = gpr_wr_en[2]; // @[el2_lib.scala 488:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_2_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_2_io_en = gpr_wr_en[3]; // @[el2_lib.scala 488:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_3_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_3_io_en = gpr_wr_en[4]; // @[el2_lib.scala 488:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_4_io_en = gpr_wr_en[5]; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_5_io_en = gpr_wr_en[6]; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_6_io_en = gpr_wr_en[7]; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_7_io_en = gpr_wr_en[8]; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_8_io_en = gpr_wr_en[9]; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_9_io_en = gpr_wr_en[10]; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_10_io_en = gpr_wr_en[11]; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_11_io_en = gpr_wr_en[12]; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_12_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_12_io_en = gpr_wr_en[13]; // @[el2_lib.scala 488:17] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_13_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_13_io_en = gpr_wr_en[14]; // @[el2_lib.scala 488:17] + assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_14_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_14_io_en = gpr_wr_en[15]; // @[el2_lib.scala 488:17] + assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_15_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_15_io_en = gpr_wr_en[16]; // @[el2_lib.scala 488:17] + assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_16_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_16_io_en = gpr_wr_en[17]; // @[el2_lib.scala 488:17] + assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_17_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_17_io_en = gpr_wr_en[18]; // @[el2_lib.scala 488:17] + assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_18_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_18_io_en = gpr_wr_en[19]; // @[el2_lib.scala 488:17] + assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_19_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_19_io_en = gpr_wr_en[20]; // @[el2_lib.scala 488:17] + assign rvclkhdr_19_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_20_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_20_io_en = gpr_wr_en[21]; // @[el2_lib.scala 488:17] + assign rvclkhdr_20_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_21_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_21_io_en = gpr_wr_en[22]; // @[el2_lib.scala 488:17] + assign rvclkhdr_21_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_22_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_22_io_en = gpr_wr_en[23]; // @[el2_lib.scala 488:17] + assign rvclkhdr_22_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_23_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_23_io_en = gpr_wr_en[24]; // @[el2_lib.scala 488:17] + assign rvclkhdr_23_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_24_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_24_io_en = gpr_wr_en[25]; // @[el2_lib.scala 488:17] + assign rvclkhdr_24_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_25_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_25_io_en = gpr_wr_en[26]; // @[el2_lib.scala 488:17] + assign rvclkhdr_25_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_26_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_26_io_en = gpr_wr_en[27]; // @[el2_lib.scala 488:17] + assign rvclkhdr_26_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_27_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_27_io_en = gpr_wr_en[28]; // @[el2_lib.scala 488:17] + assign rvclkhdr_27_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_28_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_28_io_en = gpr_wr_en[29]; // @[el2_lib.scala 488:17] + assign rvclkhdr_28_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_29_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_29_io_en = gpr_wr_en[30]; // @[el2_lib.scala 488:17] + assign rvclkhdr_29_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_30_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_30_io_en = gpr_wr_en[31]; // @[el2_lib.scala 488:17] + assign rvclkhdr_30_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + gpr_out_1 = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + gpr_out_2 = _RAND_1[31:0]; + _RAND_2 = {1{`RANDOM}}; + gpr_out_3 = _RAND_2[31:0]; + _RAND_3 = {1{`RANDOM}}; + gpr_out_4 = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + gpr_out_5 = _RAND_4[31:0]; + _RAND_5 = {1{`RANDOM}}; + gpr_out_6 = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + gpr_out_7 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + gpr_out_8 = _RAND_7[31:0]; + _RAND_8 = {1{`RANDOM}}; + gpr_out_9 = _RAND_8[31:0]; + _RAND_9 = {1{`RANDOM}}; + gpr_out_10 = _RAND_9[31:0]; + _RAND_10 = {1{`RANDOM}}; + gpr_out_11 = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + gpr_out_12 = _RAND_11[31:0]; + _RAND_12 = {1{`RANDOM}}; + gpr_out_13 = _RAND_12[31:0]; + _RAND_13 = {1{`RANDOM}}; + gpr_out_14 = _RAND_13[31:0]; + _RAND_14 = {1{`RANDOM}}; + gpr_out_15 = _RAND_14[31:0]; + _RAND_15 = {1{`RANDOM}}; + gpr_out_16 = _RAND_15[31:0]; + _RAND_16 = {1{`RANDOM}}; + gpr_out_17 = _RAND_16[31:0]; + _RAND_17 = {1{`RANDOM}}; + gpr_out_18 = _RAND_17[31:0]; + _RAND_18 = {1{`RANDOM}}; + gpr_out_19 = _RAND_18[31:0]; + _RAND_19 = {1{`RANDOM}}; + gpr_out_20 = _RAND_19[31:0]; + _RAND_20 = {1{`RANDOM}}; + gpr_out_21 = _RAND_20[31:0]; + _RAND_21 = {1{`RANDOM}}; + gpr_out_22 = _RAND_21[31:0]; + _RAND_22 = {1{`RANDOM}}; + gpr_out_23 = _RAND_22[31:0]; + _RAND_23 = {1{`RANDOM}}; + gpr_out_24 = _RAND_23[31:0]; + _RAND_24 = {1{`RANDOM}}; + gpr_out_25 = _RAND_24[31:0]; + _RAND_25 = {1{`RANDOM}}; + gpr_out_26 = _RAND_25[31:0]; + _RAND_26 = {1{`RANDOM}}; + gpr_out_27 = _RAND_26[31:0]; + _RAND_27 = {1{`RANDOM}}; + gpr_out_28 = _RAND_27[31:0]; + _RAND_28 = {1{`RANDOM}}; + gpr_out_29 = _RAND_28[31:0]; + _RAND_29 = {1{`RANDOM}}; + gpr_out_30 = _RAND_29[31:0]; + _RAND_30 = {1{`RANDOM}}; + gpr_out_31 = _RAND_30[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + gpr_out_1 = 32'h0; + end + if (reset) begin + gpr_out_2 = 32'h0; + end + if (reset) begin + gpr_out_3 = 32'h0; + end + if (reset) begin + gpr_out_4 = 32'h0; + end + if (reset) begin + gpr_out_5 = 32'h0; + end + if (reset) begin + gpr_out_6 = 32'h0; + end + if (reset) begin + gpr_out_7 = 32'h0; + end + if (reset) begin + gpr_out_8 = 32'h0; + end + if (reset) begin + gpr_out_9 = 32'h0; + end + if (reset) begin + gpr_out_10 = 32'h0; + end + if (reset) begin + gpr_out_11 = 32'h0; + end + if (reset) begin + gpr_out_12 = 32'h0; + end + if (reset) begin + gpr_out_13 = 32'h0; + end + if (reset) begin + gpr_out_14 = 32'h0; + end + if (reset) begin + gpr_out_15 = 32'h0; + end + if (reset) begin + gpr_out_16 = 32'h0; + end + if (reset) begin + gpr_out_17 = 32'h0; + end + if (reset) begin + gpr_out_18 = 32'h0; + end + if (reset) begin + gpr_out_19 = 32'h0; + end + if (reset) begin + gpr_out_20 = 32'h0; + end + if (reset) begin + gpr_out_21 = 32'h0; + end + if (reset) begin + gpr_out_22 = 32'h0; + end + if (reset) begin + gpr_out_23 = 32'h0; + end + if (reset) begin + gpr_out_24 = 32'h0; + end + if (reset) begin + gpr_out_25 = 32'h0; + end + if (reset) begin + gpr_out_26 = 32'h0; + end + if (reset) begin + gpr_out_27 = 32'h0; + end + if (reset) begin + gpr_out_28 = 32'h0; + end + if (reset) begin + gpr_out_29 = 32'h0; + end + if (reset) begin + gpr_out_30 = 32'h0; + end + if (reset) begin + gpr_out_31 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_1 <= 32'h0; + end else begin + gpr_out_1 <= _T_107 | _T_110; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_2 <= 32'h0; + end else begin + gpr_out_2 <= _T_124 | _T_127; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_3 <= 32'h0; + end else begin + gpr_out_3 <= _T_141 | _T_144; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_4 <= 32'h0; + end else begin + gpr_out_4 <= _T_158 | _T_161; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_5 <= 32'h0; + end else begin + gpr_out_5 <= _T_175 | _T_178; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_6 <= 32'h0; + end else begin + gpr_out_6 <= _T_192 | _T_195; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_7 <= 32'h0; + end else begin + gpr_out_7 <= _T_209 | _T_212; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_8 <= 32'h0; + end else begin + gpr_out_8 <= _T_226 | _T_229; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_9 <= 32'h0; + end else begin + gpr_out_9 <= _T_243 | _T_246; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_10 <= 32'h0; + end else begin + gpr_out_10 <= _T_260 | _T_263; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_11 <= 32'h0; + end else begin + gpr_out_11 <= _T_277 | _T_280; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_12 <= 32'h0; + end else begin + gpr_out_12 <= _T_294 | _T_297; + end + end + always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_13 <= 32'h0; + end else begin + gpr_out_13 <= _T_311 | _T_314; + end + end + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_14 <= 32'h0; + end else begin + gpr_out_14 <= _T_328 | _T_331; + end + end + always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_15 <= 32'h0; + end else begin + gpr_out_15 <= _T_345 | _T_348; + end + end + always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_16 <= 32'h0; + end else begin + gpr_out_16 <= _T_362 | _T_365; + end + end + always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_17 <= 32'h0; + end else begin + gpr_out_17 <= _T_379 | _T_382; + end + end + always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_18 <= 32'h0; + end else begin + gpr_out_18 <= _T_396 | _T_399; + end + end + always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_19 <= 32'h0; + end else begin + gpr_out_19 <= _T_413 | _T_416; + end + end + always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_20 <= 32'h0; + end else begin + gpr_out_20 <= _T_430 | _T_433; + end + end + always @(posedge rvclkhdr_20_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_21 <= 32'h0; + end else begin + gpr_out_21 <= _T_447 | _T_450; + end + end + always @(posedge rvclkhdr_21_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_22 <= 32'h0; + end else begin + gpr_out_22 <= _T_464 | _T_467; + end + end + always @(posedge rvclkhdr_22_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_23 <= 32'h0; + end else begin + gpr_out_23 <= _T_481 | _T_484; + end + end + always @(posedge rvclkhdr_23_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_24 <= 32'h0; + end else begin + gpr_out_24 <= _T_498 | _T_501; + end + end + always @(posedge rvclkhdr_24_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_25 <= 32'h0; + end else begin + gpr_out_25 <= _T_515 | _T_518; + end + end + always @(posedge rvclkhdr_25_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_26 <= 32'h0; + end else begin + gpr_out_26 <= _T_532 | _T_535; + end + end + always @(posedge rvclkhdr_26_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_27 <= 32'h0; + end else begin + gpr_out_27 <= _T_549 | _T_552; + end + end + always @(posedge rvclkhdr_27_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_28 <= 32'h0; + end else begin + gpr_out_28 <= _T_566 | _T_569; + end + end + always @(posedge rvclkhdr_28_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_29 <= 32'h0; + end else begin + gpr_out_29 <= _T_583 | _T_586; + end + end + always @(posedge rvclkhdr_29_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_30 <= 32'h0; + end else begin + gpr_out_30 <= _T_600 | _T_603; + end + end + always @(posedge rvclkhdr_30_io_l1clk or posedge reset) begin + if (reset) begin + gpr_out_31 <= 32'h0; + end else begin + gpr_out_31 <= _T_617 | _T_620; + end + end +endmodule diff --git a/el2_dec_ib_ctl.anno.json b/el2_dec_ib_ctl.anno.json new file mode 100644 index 00000000..75a87d80 --- /dev/null +++ b/el2_dec_ib_ctl.anno.json @@ -0,0 +1,183 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_debug_wdata_rs1_d", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dbg_cmd_write", + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dbg_cmd_type", + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dbg_cmd_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_brp_ret", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_i0_brp_ret" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_dbecc_d", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_ifu_i0_dbecc" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_brp_br_error", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_i0_brp_br_error" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_pc_d", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_ifu_i0_pc" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_brp_toffset", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_i0_brp_toffset" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_icaf_f1_d", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_ifu_i0_icaf_f1" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_bp_btag", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_ifu_i0_bp_btag" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_brp_way", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_i0_brp_way" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_pc4_d", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_ifu_i0_pc4" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_brp_hist", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_i0_brp_hist" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_ib0_valid_d", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_ifu_i0_valid", + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dbg_cmd_valid", + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dbg_cmd_type" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_instr_d", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_ifu_i0_instr", + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dbg_cmd_valid", + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dbg_cmd_type", + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dbg_cmd_addr", + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dbg_cmd_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_brp_br_start_error", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_i0_brp_br_start_error" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_bp_fghr", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_ifu_i0_bp_fghr" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_brp_prett", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_i0_brp_prett" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_brp_bank", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_i0_brp_bank" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_icaf_d", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_ifu_i0_icaf" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_bp_index", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_ifu_i0_bp_index" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_icaf_type_d", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_ifu_i0_icaf_type" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_i0_brp_valid", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_i0_brp_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dec_debug_fence_d", + "sources":[ + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dbg_cmd_write", + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dbg_cmd_type", + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dbg_cmd_addr", + "~el2_dec_ib_ctl|el2_dec_ib_ctl>io_dbg_cmd_valid" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"el2_dec_ib_ctl" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/el2_dec_ib_ctl.fir b/el2_dec_ib_ctl.fir new file mode 100644 index 00000000..fee63b0a --- /dev/null +++ b/el2_dec_ib_ctl.fir @@ -0,0 +1,71 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit el2_dec_ib_ctl : + module el2_dec_ib_ctl : + input clock : Clock + input reset : UInt<1> + output io : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>, flip i0_brp : {valid : UInt<1>, toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}, flip ifu_i0_bp_index : UInt<8>, flip ifu_i0_bp_fghr : UInt<8>, flip ifu_i0_bp_btag : UInt<5>, flip ifu_i0_pc4 : UInt<1>, flip ifu_i0_valid : UInt<1>, flip ifu_i0_icaf : UInt<1>, flip ifu_i0_icaf_type : UInt<2>, flip ifu_i0_icaf_f1 : UInt<1>, flip ifu_i0_dbecc : UInt<1>, flip ifu_i0_instr : UInt<32>, flip ifu_i0_pc : UInt<31>, dec_ib0_valid_d : UInt<1>, dec_i0_icaf_type_d : UInt<2>, dec_i0_instr_d : UInt<32>, dec_i0_pc_d : UInt<31>, dec_i0_pc4_d : UInt<1>, dec_i0_brp : {valid : UInt<1>, toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}, dec_i0_bp_index : UInt<8>, dec_i0_bp_fghr : UInt<8>, dec_i0_bp_btag : UInt<5>, dec_i0_icaf_d : UInt<1>, dec_i0_icaf_f1_d : UInt<1>, dec_i0_dbecc_d : UInt<1>, dec_debug_wdata_rs1_d : UInt<1>, dec_debug_fence_d : UInt<1>} + + io.dec_i0_icaf_f1_d <= io.ifu_i0_icaf_f1 @[el2_dec_ib_ctl.scala 43:31] + io.dec_i0_dbecc_d <= io.ifu_i0_dbecc @[el2_dec_ib_ctl.scala 44:31] + io.dec_i0_icaf_d <= io.ifu_i0_icaf @[el2_dec_ib_ctl.scala 45:31] + io.dec_i0_pc_d <= io.ifu_i0_pc @[el2_dec_ib_ctl.scala 46:31] + io.dec_i0_pc4_d <= io.ifu_i0_pc4 @[el2_dec_ib_ctl.scala 47:31] + io.dec_i0_icaf_type_d <= io.ifu_i0_icaf_type @[el2_dec_ib_ctl.scala 48:31] + io.dec_i0_brp.ret <= io.i0_brp.ret @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.way <= io.i0_brp.way @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.prett <= io.i0_brp.prett @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.bank <= io.i0_brp.bank @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.br_start_error <= io.i0_brp.br_start_error @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.br_error <= io.i0_brp.br_error @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.hist <= io.i0_brp.hist @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.toffset <= io.i0_brp.toffset @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_brp.valid <= io.i0_brp.valid @[el2_dec_ib_ctl.scala 49:31] + io.dec_i0_bp_index <= io.ifu_i0_bp_index @[el2_dec_ib_ctl.scala 50:31] + io.dec_i0_bp_fghr <= io.ifu_i0_bp_fghr @[el2_dec_ib_ctl.scala 51:31] + io.dec_i0_bp_btag <= io.ifu_i0_bp_btag @[el2_dec_ib_ctl.scala 52:31] + node _T = neq(io.dbg_cmd_type, UInt<2>("h02")) @[el2_dec_ib_ctl.scala 66:60] + node debug_valid = and(io.dbg_cmd_valid, _T) @[el2_dec_ib_ctl.scala 66:41] + node _T_1 = eq(io.dbg_cmd_write, UInt<1>("h00")) @[el2_dec_ib_ctl.scala 67:38] + node debug_read = and(debug_valid, _T_1) @[el2_dec_ib_ctl.scala 67:36] + node debug_write = and(debug_valid, io.dbg_cmd_write) @[el2_dec_ib_ctl.scala 68:36] + node _T_2 = eq(io.dbg_cmd_type, UInt<1>("h00")) @[el2_dec_ib_ctl.scala 70:55] + node debug_read_gpr = and(debug_read, _T_2) @[el2_dec_ib_ctl.scala 70:37] + node _T_3 = eq(io.dbg_cmd_type, UInt<1>("h00")) @[el2_dec_ib_ctl.scala 71:55] + node debug_write_gpr = and(debug_write, _T_3) @[el2_dec_ib_ctl.scala 71:37] + node _T_4 = eq(io.dbg_cmd_type, UInt<1>("h01")) @[el2_dec_ib_ctl.scala 72:55] + node debug_read_csr = and(debug_read, _T_4) @[el2_dec_ib_ctl.scala 72:37] + node _T_5 = eq(io.dbg_cmd_type, UInt<1>("h01")) @[el2_dec_ib_ctl.scala 73:55] + node debug_write_csr = and(debug_write, _T_5) @[el2_dec_ib_ctl.scala 73:37] + node dreg = bits(io.dbg_cmd_addr, 4, 0) @[el2_dec_ib_ctl.scala 75:40] + node dcsr = bits(io.dbg_cmd_addr, 11, 0) @[el2_dec_ib_ctl.scala 76:40] + node _T_6 = bits(debug_read_gpr, 0, 0) @[el2_dec_ib_ctl.scala 79:20] + node _T_7 = mux(UInt<1>("h00"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_8 = cat(_T_7, dreg) @[Cat.scala 29:58] + node _T_9 = cat(_T_8, UInt<15>("h06033")) @[Cat.scala 29:58] + node _T_10 = bits(debug_write_gpr, 0, 0) @[el2_dec_ib_ctl.scala 80:21] + node _T_11 = cat(UInt<20>("h06"), dreg) @[Cat.scala 29:58] + node _T_12 = cat(_T_11, UInt<7>("h033")) @[Cat.scala 29:58] + node _T_13 = bits(debug_read_csr, 0, 0) @[el2_dec_ib_ctl.scala 81:20] + node _T_14 = cat(dcsr, UInt<20>("h02073")) @[Cat.scala 29:58] + node _T_15 = bits(debug_write_csr, 0, 0) @[el2_dec_ib_ctl.scala 82:21] + node _T_16 = cat(dcsr, UInt<20>("h01073")) @[Cat.scala 29:58] + node _T_17 = mux(_T_6, _T_9, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_18 = mux(_T_10, _T_12, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_19 = mux(_T_13, _T_14, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_20 = mux(_T_15, _T_16, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21 = or(_T_17, _T_18) @[Mux.scala 27:72] + node _T_22 = or(_T_21, _T_19) @[Mux.scala 27:72] + node _T_23 = or(_T_22, _T_20) @[Mux.scala 27:72] + wire ib0_debug_in : UInt<32> @[Mux.scala 27:72] + ib0_debug_in <= _T_23 @[Mux.scala 27:72] + node _T_24 = or(debug_write_gpr, debug_write_csr) @[el2_dec_ib_ctl.scala 86:47] + io.dec_debug_wdata_rs1_d <= _T_24 @[el2_dec_ib_ctl.scala 86:28] + node _T_25 = eq(dcsr, UInt<11>("h07c4")) @[el2_dec_ib_ctl.scala 89:51] + node _T_26 = and(debug_write_csr, _T_25) @[el2_dec_ib_ctl.scala 89:43] + io.dec_debug_fence_d <= _T_26 @[el2_dec_ib_ctl.scala 89:24] + node _T_27 = or(io.ifu_i0_valid, debug_valid) @[el2_dec_ib_ctl.scala 91:41] + io.dec_ib0_valid_d <= _T_27 @[el2_dec_ib_ctl.scala 91:22] + node _T_28 = bits(debug_valid, 0, 0) @[el2_dec_ib_ctl.scala 92:41] + node _T_29 = mux(_T_28, ib0_debug_in, io.ifu_i0_instr) @[el2_dec_ib_ctl.scala 92:28] + io.dec_i0_instr_d <= _T_29 @[el2_dec_ib_ctl.scala 92:22] + diff --git a/el2_dec_ib_ctl.v b/el2_dec_ib_ctl.v new file mode 100644 index 00000000..bb4981d0 --- /dev/null +++ b/el2_dec_ib_ctl.v @@ -0,0 +1,98 @@ +module el2_dec_ib_ctl( + input clock, + input reset, + input io_dbg_cmd_valid, + input io_dbg_cmd_write, + input [1:0] io_dbg_cmd_type, + input [31:0] io_dbg_cmd_addr, + input io_i0_brp_valid, + input [11:0] io_i0_brp_toffset, + input [1:0] io_i0_brp_hist, + input io_i0_brp_br_error, + input io_i0_brp_br_start_error, + input io_i0_brp_bank, + input [30:0] io_i0_brp_prett, + input io_i0_brp_way, + input io_i0_brp_ret, + input [7:0] io_ifu_i0_bp_index, + input [7:0] io_ifu_i0_bp_fghr, + input [4:0] io_ifu_i0_bp_btag, + input io_ifu_i0_pc4, + input io_ifu_i0_valid, + input io_ifu_i0_icaf, + input [1:0] io_ifu_i0_icaf_type, + input io_ifu_i0_icaf_f1, + input io_ifu_i0_dbecc, + input [31:0] io_ifu_i0_instr, + input [30:0] io_ifu_i0_pc, + output io_dec_ib0_valid_d, + output [1:0] io_dec_i0_icaf_type_d, + output [31:0] io_dec_i0_instr_d, + output [30:0] io_dec_i0_pc_d, + output io_dec_i0_pc4_d, + output io_dec_i0_brp_valid, + output [11:0] io_dec_i0_brp_toffset, + output [1:0] io_dec_i0_brp_hist, + output io_dec_i0_brp_br_error, + output io_dec_i0_brp_br_start_error, + output io_dec_i0_brp_bank, + output [30:0] io_dec_i0_brp_prett, + output io_dec_i0_brp_way, + output io_dec_i0_brp_ret, + output [7:0] io_dec_i0_bp_index, + output [7:0] io_dec_i0_bp_fghr, + output [4:0] io_dec_i0_bp_btag, + output io_dec_i0_icaf_d, + output io_dec_i0_icaf_f1_d, + output io_dec_i0_dbecc_d, + output io_dec_debug_wdata_rs1_d, + output io_dec_debug_fence_d +); + wire _T = io_dbg_cmd_type != 2'h2; // @[el2_dec_ib_ctl.scala 66:60] + wire debug_valid = io_dbg_cmd_valid & _T; // @[el2_dec_ib_ctl.scala 66:41] + wire _T_1 = ~io_dbg_cmd_write; // @[el2_dec_ib_ctl.scala 67:38] + wire debug_read = debug_valid & _T_1; // @[el2_dec_ib_ctl.scala 67:36] + wire debug_write = debug_valid & io_dbg_cmd_write; // @[el2_dec_ib_ctl.scala 68:36] + wire _T_2 = io_dbg_cmd_type == 2'h0; // @[el2_dec_ib_ctl.scala 70:55] + wire debug_read_gpr = debug_read & _T_2; // @[el2_dec_ib_ctl.scala 70:37] + wire debug_write_gpr = debug_write & _T_2; // @[el2_dec_ib_ctl.scala 71:37] + wire _T_4 = io_dbg_cmd_type == 2'h1; // @[el2_dec_ib_ctl.scala 72:55] + wire debug_read_csr = debug_read & _T_4; // @[el2_dec_ib_ctl.scala 72:37] + wire debug_write_csr = debug_write & _T_4; // @[el2_dec_ib_ctl.scala 73:37] + wire [4:0] dreg = io_dbg_cmd_addr[4:0]; // @[el2_dec_ib_ctl.scala 75:40] + wire [11:0] dcsr = io_dbg_cmd_addr[11:0]; // @[el2_dec_ib_ctl.scala 76:40] + wire [31:0] _T_9 = {12'h0,dreg,15'h6033}; // @[Cat.scala 29:58] + wire [31:0] _T_12 = {20'h6,dreg,7'h33}; // @[Cat.scala 29:58] + wire [31:0] _T_14 = {dcsr,20'h2073}; // @[Cat.scala 29:58] + wire [31:0] _T_16 = {dcsr,20'h1073}; // @[Cat.scala 29:58] + wire [31:0] _T_17 = debug_read_gpr ? _T_9 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_18 = debug_write_gpr ? _T_12 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_19 = debug_read_csr ? _T_14 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_20 = debug_write_csr ? _T_16 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_21 = _T_17 | _T_18; // @[Mux.scala 27:72] + wire [31:0] _T_22 = _T_21 | _T_19; // @[Mux.scala 27:72] + wire [31:0] ib0_debug_in = _T_22 | _T_20; // @[Mux.scala 27:72] + wire _T_25 = dcsr == 12'h7c4; // @[el2_dec_ib_ctl.scala 89:51] + assign io_dec_ib0_valid_d = io_ifu_i0_valid | debug_valid; // @[el2_dec_ib_ctl.scala 91:22] + assign io_dec_i0_icaf_type_d = io_ifu_i0_icaf_type; // @[el2_dec_ib_ctl.scala 48:31] + assign io_dec_i0_instr_d = debug_valid ? ib0_debug_in : io_ifu_i0_instr; // @[el2_dec_ib_ctl.scala 92:22] + assign io_dec_i0_pc_d = io_ifu_i0_pc; // @[el2_dec_ib_ctl.scala 46:31] + assign io_dec_i0_pc4_d = io_ifu_i0_pc4; // @[el2_dec_ib_ctl.scala 47:31] + assign io_dec_i0_brp_valid = io_i0_brp_valid; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_toffset = io_i0_brp_toffset; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_hist = io_i0_brp_hist; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_br_error = io_i0_brp_br_error; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_br_start_error = io_i0_brp_br_start_error; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_bank = io_i0_brp_bank; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_prett = io_i0_brp_prett; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_way = io_i0_brp_way; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_brp_ret = io_i0_brp_ret; // @[el2_dec_ib_ctl.scala 49:31] + assign io_dec_i0_bp_index = io_ifu_i0_bp_index; // @[el2_dec_ib_ctl.scala 50:31] + assign io_dec_i0_bp_fghr = io_ifu_i0_bp_fghr; // @[el2_dec_ib_ctl.scala 51:31] + assign io_dec_i0_bp_btag = io_ifu_i0_bp_btag; // @[el2_dec_ib_ctl.scala 52:31] + assign io_dec_i0_icaf_d = io_ifu_i0_icaf; // @[el2_dec_ib_ctl.scala 45:31] + assign io_dec_i0_icaf_f1_d = io_ifu_i0_icaf_f1; // @[el2_dec_ib_ctl.scala 43:31] + assign io_dec_i0_dbecc_d = io_ifu_i0_dbecc; // @[el2_dec_ib_ctl.scala 44:31] + assign io_dec_debug_wdata_rs1_d = debug_write_gpr | debug_write_csr; // @[el2_dec_ib_ctl.scala 86:28] + assign io_dec_debug_fence_d = debug_write_csr & _T_25; // @[el2_dec_ib_ctl.scala 89:24] +endmodule diff --git a/el2_dec_tlu_ctl.anno.json b/el2_dec_tlu_ctl.anno.json new file mode 100644 index 00000000..eceaf22a --- /dev/null +++ b/el2_dec_tlu_ctl.anno.json @@ -0,0 +1,508 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_br0_r_pkt_hist", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_hist_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_wr_pause_r", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wraddr_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wen_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fastint_stall_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_start_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_inst_type", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_stall_int_ff", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wrdata_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_pmu_i0_itype", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fir_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mpc_reset_run_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_i0trigger", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_exc_valid", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_o_cpu_halt_status", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_icaf", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_fence_i", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mhwakeup", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_load_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_store_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_pause_state", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_debug_mode", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_legal", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_div_active", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dbg_halt_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_ifu_miss_state_idle", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_idle_any" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_kill_writeb_r", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_exc_valid", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_icaf", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_legal", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_start_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_inst_type", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_i0trigger" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_rddata_d", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_core_id", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_rdaddr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_dbg_cmd_done", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_fence_i_r", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_fence_i", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_start_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_inst_type", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_i0trigger", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_exc_valid", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_icaf" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_perfcnt2", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_leak_one_r", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_noredir_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_resume_ack", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_pause_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mpc_reset_run_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fastint_stall_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_fence_i", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_stall_int_ff", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wrdata_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wraddr_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wen_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_pmu_i0_itype", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_start_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_inst_type", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_i0trigger", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fir_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_o_cpu_halt_status", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_exc_valid", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mhwakeup", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_div_active", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_pause_state", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dbg_halt_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_icaf", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_legal", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_ifu_miss_state_idle", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_idle_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_debug_mode", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_load_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_store_any" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_perfcnt1", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_presync_d", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_any_unq_d", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wen_unq_d", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_rdaddr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_extint", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fastint_stall_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_stall_int_ff", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wrdata_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wraddr_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wen_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_pmu_i0_itype", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fir_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_start_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_inst_type", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mpc_reset_run_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_o_cpu_halt_status", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_fence_i", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mhwakeup", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_exc_valid", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_pause_state", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_i0trigger", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_debug_mode", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_legal", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_load_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_store_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_div_active", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_icaf", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dbg_halt_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_ifu_miss_state_idle", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_idle_any" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_br0_r_pkt_br_error", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_path_r", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_rst_vec", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mpc_reset_run_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_pc_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_nmi_vec", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fir_addr", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fir_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_o_cpu_halt_status", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_npc_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_pmu_i0_itype", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mhwakeup", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_pause_state", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_exc_valid", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_start_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_inst_type", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_fence_i", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wraddr_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_stall_int_ff", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_icaf", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wrdata_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wen_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fastint_stall_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_exc_type", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_legal", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_load_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_store_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_i0trigger", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_debug_mode", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_div_active", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dbg_halt_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_ifu_miss_state_idle", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_idle_any" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_br0_r_pkt_middle", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_middle_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_pause_r", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fastint_stall_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_stall_int_ff", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wrdata_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wraddr_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wen_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_pmu_i0_itype", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fir_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mpc_reset_run_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_start_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_inst_type", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_o_cpu_halt_status", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_fence_i", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mhwakeup", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_load_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_store_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_exc_valid", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_pause_state", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_i0trigger", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_debug_mode", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_legal", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_div_active", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_icaf", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dbg_halt_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_ifu_miss_state_idle", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_idle_any" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_br0_r_pkt_way", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_way_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_noredir_r", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_pause_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fastint_stall_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mpc_reset_run_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_fence_i", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_stall_int_ff", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wrdata_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wraddr_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wen_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_pmu_i0_itype", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_start_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_inst_type", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_i0trigger", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fir_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_exc_valid", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_div_active", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_o_cpu_halt_status", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dbg_halt_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_icaf", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mhwakeup", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_ifu_miss_state_idle", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_pause_state", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_idle_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_debug_mode", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_legal", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_load_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_store_any" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_perfcnt0", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_err_r", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mpc_reset_run_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fastint_stall_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_stall_int_ff", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_pmu_i0_itype", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wrdata_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wraddr_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wen_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_start_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_inst_type", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_o_cpu_halt_status", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fir_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_fence_i", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mhwakeup", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_exc_valid", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_pause_state", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_i0trigger", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_legal", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_icaf", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_debug_mode", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_load_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_store_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_div_active", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dbg_halt_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_ifu_miss_state_idle", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_idle_any" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_r", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mpc_reset_run_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fastint_stall_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_stall_int_ff", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_pmu_i0_itype", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wrdata_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wraddr_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wen_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_start_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_inst_type", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_o_cpu_halt_status", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_fir_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_fence_i", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_mhwakeup", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_exc_valid", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_pause_state", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_i0trigger", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_legal", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_icaf", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_debug_mode", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_load_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_imprecise_error_store_any", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_div_active", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dbg_halt_req", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_ifu_miss_state_idle", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_idle_any" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_postsync_d", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_any_unq_d", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_rdaddr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_legal_d", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_any_unq_d", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_wen_unq_d", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_csr_rdaddr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_commit_cmt", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_exc_valid", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_icaf", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_start_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_inst_type", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_i0trigger" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_br0_r_pkt_br_start_error", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_start_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_dbg_cmd_fail", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_dbg_cmd_done", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_legal", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_single_ecc_error", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_start_error_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_inst_type", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_flush_lower_wb", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_i0trigger", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_lsu_error_pkt_r_exc_valid", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_packet_r_icaf" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_perfcnt3", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_dbg_halted" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_br0_r_pkt_valid", + "sources":[ + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_dec_tlu_i0_valid_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_i0_br_mp_r", + "~el2_dec_tlu_ctl|el2_dec_tlu_ctl>io_exu_pmu_i0_br_ataken" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"el2_dec_tlu_ctl.TEC_RV_ICG", + "resourceId":"/vsrc/TEC_RV_ICG.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"el2_dec_tlu_ctl" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/el2_dec_tlu_ctl.fir b/el2_dec_tlu_ctl.fir new file mode 100644 index 00000000..2320e158 --- /dev/null +++ b/el2_dec_tlu_ctl.fir @@ -0,0 +1,8034 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit el2_dec_tlu_ctl : + extmodule TEC_RV_ICG : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_1 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_2 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_3 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + module el2_dec_timer_ctl : + input clock : Clock + input reset : Reset + output io : {flip free_clk : Clock, flip scan_mode : UInt<1>, flip dec_csr_wen_r_mod : UInt<1>, flip dec_csr_rdaddr_d : UInt<12>, flip dec_csr_wraddr_r : UInt<12>, flip dec_csr_wrdata_r : UInt<32>, flip csr_mitctl0 : UInt<1>, flip csr_mitctl1 : UInt<1>, flip csr_mitb0 : UInt<1>, flip csr_mitb1 : UInt<1>, flip csr_mitcnt0 : UInt<1>, flip csr_mitcnt1 : UInt<1>, flip dec_pause_state : UInt<1>, flip dec_tlu_pmu_fw_halted : UInt<1>, flip internal_dbg_halt_timers : UInt<1>, dec_timer_rddata_d : UInt<32>, dec_timer_read_d : UInt<1>, dec_timer_t0_pulse : UInt<1>, dec_timer_t1_pulse : UInt<1>} + + wire mitctl1 : UInt<4> @[el2_dec_tlu_ctl.scala 2745:19] + wire mitctl0 : UInt<3> @[el2_dec_tlu_ctl.scala 2746:19] + wire mitb1 : UInt<32> @[el2_dec_tlu_ctl.scala 2747:19] + wire mitb0 : UInt<32> @[el2_dec_tlu_ctl.scala 2748:19] + wire mitcnt1 : UInt<32> @[el2_dec_tlu_ctl.scala 2749:19] + wire mitcnt0 : UInt<32> @[el2_dec_tlu_ctl.scala 2750:19] + node mit0_match_ns = geq(mitcnt0, mitb0) @[el2_dec_tlu_ctl.scala 2752:30] + node mit1_match_ns = geq(mitcnt1, mitb1) @[el2_dec_tlu_ctl.scala 2753:30] + io.dec_timer_t0_pulse <= mit0_match_ns @[el2_dec_tlu_ctl.scala 2755:25] + io.dec_timer_t1_pulse <= mit1_match_ns @[el2_dec_tlu_ctl.scala 2756:25] + node _T = eq(io.dec_csr_wraddr_r, UInt<12>("h07d2")) @[el2_dec_tlu_ctl.scala 2763:66] + node wr_mitcnt0_r = and(io.dec_csr_wen_r_mod, _T) @[el2_dec_tlu_ctl.scala 2763:43] + node _T_1 = bits(mitctl0, 0, 0) @[el2_dec_tlu_ctl.scala 2765:31] + node _T_2 = not(io.dec_pause_state) @[el2_dec_tlu_ctl.scala 2765:50] + node _T_3 = bits(mitctl0, 2, 2) @[el2_dec_tlu_ctl.scala 2765:79] + node _T_4 = or(_T_2, _T_3) @[el2_dec_tlu_ctl.scala 2765:70] + node _T_5 = and(_T_1, _T_4) @[el2_dec_tlu_ctl.scala 2765:47] + node _T_6 = not(io.dec_tlu_pmu_fw_halted) @[el2_dec_tlu_ctl.scala 2765:106] + node _T_7 = bits(mitctl0, 1, 1) @[el2_dec_tlu_ctl.scala 2765:141] + node _T_8 = or(_T_6, _T_7) @[el2_dec_tlu_ctl.scala 2765:132] + node _T_9 = and(_T_5, _T_8) @[el2_dec_tlu_ctl.scala 2765:103] + node _T_10 = not(io.internal_dbg_halt_timers) @[el2_dec_tlu_ctl.scala 2765:167] + node mitcnt0_inc_ok = and(_T_9, _T_10) @[el2_dec_tlu_ctl.scala 2765:165] + node _T_11 = add(mitcnt0, UInt<32>("h01")) @[el2_dec_tlu_ctl.scala 2766:29] + node mitcnt0_inc = tail(_T_11, 1) @[el2_dec_tlu_ctl.scala 2766:29] + node _T_12 = bits(mit0_match_ns, 0, 0) @[el2_dec_tlu_ctl.scala 2767:38] + node _T_13 = bits(wr_mitcnt0_r, 0, 0) @[el2_dec_tlu_ctl.scala 2767:68] + node _T_14 = mux(_T_13, io.dec_csr_wrdata_r, mitcnt0_inc) @[el2_dec_tlu_ctl.scala 2767:54] + node mitcnt0_ns = mux(_T_12, UInt<1>("h00"), _T_14) @[el2_dec_tlu_ctl.scala 2767:23] + node _T_15 = or(wr_mitcnt0_r, mitcnt0_inc_ok) @[el2_dec_tlu_ctl.scala 2768:60] + node _T_16 = or(_T_15, mit0_match_ns) @[el2_dec_tlu_ctl.scala 2768:77] + node _T_17 = bits(_T_16, 0, 0) @[el2_dec_tlu_ctl.scala 2768:94] + inst rvclkhdr of rvclkhdr @[el2_lib.scala 485:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr.io.en <= _T_17 @[el2_lib.scala 488:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_18 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_18 <= mitcnt0_ns @[el2_lib.scala 491:16] + mitcnt0 <= _T_18 @[el2_dec_tlu_ctl.scala 2768:25] + node _T_19 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d5")) @[el2_dec_tlu_ctl.scala 2775:66] + node wr_mitcnt1_r = and(io.dec_csr_wen_r_mod, _T_19) @[el2_dec_tlu_ctl.scala 2775:43] + node _T_20 = bits(mitctl1, 0, 0) @[el2_dec_tlu_ctl.scala 2777:31] + node _T_21 = not(io.dec_pause_state) @[el2_dec_tlu_ctl.scala 2777:50] + node _T_22 = bits(mitctl1, 2, 2) @[el2_dec_tlu_ctl.scala 2777:79] + node _T_23 = or(_T_21, _T_22) @[el2_dec_tlu_ctl.scala 2777:70] + node _T_24 = and(_T_20, _T_23) @[el2_dec_tlu_ctl.scala 2777:47] + node _T_25 = not(io.dec_tlu_pmu_fw_halted) @[el2_dec_tlu_ctl.scala 2777:106] + node _T_26 = bits(mitctl1, 1, 1) @[el2_dec_tlu_ctl.scala 2777:141] + node _T_27 = or(_T_25, _T_26) @[el2_dec_tlu_ctl.scala 2777:132] + node _T_28 = and(_T_24, _T_27) @[el2_dec_tlu_ctl.scala 2777:103] + node _T_29 = not(io.internal_dbg_halt_timers) @[el2_dec_tlu_ctl.scala 2777:167] + node mitcnt1_inc_ok = and(_T_28, _T_29) @[el2_dec_tlu_ctl.scala 2777:165] + node _T_30 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_31 = bits(mitctl1, 3, 3) @[el2_dec_tlu_ctl.scala 2780:62] + node _T_32 = not(_T_31) @[el2_dec_tlu_ctl.scala 2780:54] + node _T_33 = or(_T_32, mit0_match_ns) @[el2_dec_tlu_ctl.scala 2780:66] + node _T_34 = cat(_T_30, _T_33) @[Cat.scala 29:58] + node _T_35 = add(mitcnt1, _T_34) @[el2_dec_tlu_ctl.scala 2780:29] + node mitcnt1_inc = tail(_T_35, 1) @[el2_dec_tlu_ctl.scala 2780:29] + node _T_36 = bits(mit1_match_ns, 0, 0) @[el2_dec_tlu_ctl.scala 2781:39] + node _T_37 = bits(wr_mitcnt1_r, 0, 0) @[el2_dec_tlu_ctl.scala 2781:69] + node _T_38 = mux(_T_37, io.dec_csr_wrdata_r, mitcnt1_inc) @[el2_dec_tlu_ctl.scala 2781:55] + node mitcnt1_ns = mux(_T_36, UInt<1>("h00"), _T_38) @[el2_dec_tlu_ctl.scala 2781:24] + node _T_39 = or(wr_mitcnt1_r, mitcnt1_inc_ok) @[el2_dec_tlu_ctl.scala 2782:52] + node _T_40 = or(_T_39, mit1_match_ns) @[el2_dec_tlu_ctl.scala 2782:69] + node _T_41 = bits(_T_40, 0, 0) @[el2_dec_tlu_ctl.scala 2782:86] + inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_1.io.en <= _T_41 @[el2_lib.scala 488:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_42 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_42 <= mitcnt1_ns @[el2_lib.scala 491:16] + mitcnt1 <= _T_42 @[el2_dec_tlu_ctl.scala 2782:17] + node _T_43 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d3")) @[el2_dec_tlu_ctl.scala 2789:64] + node wr_mitb0_r = and(io.dec_csr_wen_r_mod, _T_43) @[el2_dec_tlu_ctl.scala 2789:41] + node _T_44 = not(io.dec_csr_wrdata_r) @[el2_dec_tlu_ctl.scala 2790:30] + node _T_45 = bits(wr_mitb0_r, 0, 0) @[el2_dec_tlu_ctl.scala 2790:63] + inst rvclkhdr_2 of rvclkhdr_2 @[el2_lib.scala 485:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_2.io.en <= _T_45 @[el2_lib.scala 488:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg mitb0_b : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + mitb0_b <= _T_44 @[el2_lib.scala 491:16] + node _T_46 = not(mitb0_b) @[el2_dec_tlu_ctl.scala 2791:14] + mitb0 <= _T_46 @[el2_dec_tlu_ctl.scala 2791:11] + node _T_47 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d6")) @[el2_dec_tlu_ctl.scala 2798:63] + node wr_mitb1_r = and(io.dec_csr_wen_r_mod, _T_47) @[el2_dec_tlu_ctl.scala 2798:41] + node _T_48 = not(io.dec_csr_wrdata_r) @[el2_dec_tlu_ctl.scala 2799:24] + node _T_49 = bits(wr_mitb1_r, 0, 0) @[el2_dec_tlu_ctl.scala 2799:56] + inst rvclkhdr_3 of rvclkhdr_3 @[el2_lib.scala 485:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_3.io.en <= _T_49 @[el2_lib.scala 488:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg mitb1_b : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + mitb1_b <= _T_48 @[el2_lib.scala 491:16] + node _T_50 = not(mitb1_b) @[el2_dec_tlu_ctl.scala 2800:12] + mitb1 <= _T_50 @[el2_dec_tlu_ctl.scala 2800:9] + node _T_51 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d4")) @[el2_dec_tlu_ctl.scala 2811:66] + node wr_mitctl0_r = and(io.dec_csr_wen_r_mod, _T_51) @[el2_dec_tlu_ctl.scala 2811:43] + node _T_52 = bits(wr_mitctl0_r, 0, 0) @[el2_dec_tlu_ctl.scala 2812:45] + node _T_53 = bits(io.dec_csr_wrdata_r, 2, 0) @[el2_dec_tlu_ctl.scala 2812:72] + node _T_54 = bits(mitctl0, 2, 0) @[el2_dec_tlu_ctl.scala 2812:86] + node mitctl0_ns = mux(_T_52, _T_53, _T_54) @[el2_dec_tlu_ctl.scala 2812:31] + node _T_55 = bits(mitctl0_ns, 0, 0) @[el2_dec_tlu_ctl.scala 2814:35] + node mitctl0_0_b_ns = not(_T_55) @[el2_dec_tlu_ctl.scala 2814:24] + reg mitctl0_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2815:54] + mitctl0_0_b <= mitctl0_0_b_ns @[el2_dec_tlu_ctl.scala 2815:54] + node _T_56 = bits(mitctl0_ns, 2, 1) @[el2_dec_tlu_ctl.scala 2816:78] + reg _T_57 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2816:67] + _T_57 <= _T_56 @[el2_dec_tlu_ctl.scala 2816:67] + node _T_58 = not(mitctl0_0_b) @[el2_dec_tlu_ctl.scala 2816:90] + node _T_59 = cat(_T_57, _T_58) @[Cat.scala 29:58] + mitctl0 <= _T_59 @[el2_dec_tlu_ctl.scala 2816:31] + node _T_60 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d7")) @[el2_dec_tlu_ctl.scala 2826:65] + node wr_mitctl1_r = and(io.dec_csr_wen_r_mod, _T_60) @[el2_dec_tlu_ctl.scala 2826:43] + node _T_61 = bits(wr_mitctl1_r, 0, 0) @[el2_dec_tlu_ctl.scala 2827:45] + node _T_62 = bits(io.dec_csr_wrdata_r, 3, 0) @[el2_dec_tlu_ctl.scala 2827:71] + node _T_63 = bits(mitctl1, 3, 0) @[el2_dec_tlu_ctl.scala 2827:85] + node mitctl1_ns = mux(_T_61, _T_62, _T_63) @[el2_dec_tlu_ctl.scala 2827:31] + node _T_64 = bits(mitctl1_ns, 0, 0) @[el2_dec_tlu_ctl.scala 2828:34] + node mitctl1_0_b_ns = not(_T_64) @[el2_dec_tlu_ctl.scala 2828:23] + reg mitctl1_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2829:49] + mitctl1_0_b <= mitctl1_0_b_ns @[el2_dec_tlu_ctl.scala 2829:49] + node _T_65 = bits(mitctl1_ns, 3, 1) @[el2_dec_tlu_ctl.scala 2830:57] + reg _T_66 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2830:46] + _T_66 <= _T_65 @[el2_dec_tlu_ctl.scala 2830:46] + node _T_67 = not(mitctl1_0_b) @[el2_dec_tlu_ctl.scala 2830:69] + node _T_68 = cat(_T_66, _T_67) @[Cat.scala 29:58] + mitctl1 <= _T_68 @[el2_dec_tlu_ctl.scala 2830:10] + node _T_69 = or(io.csr_mitcnt1, io.csr_mitcnt0) @[el2_dec_tlu_ctl.scala 2832:43] + node _T_70 = or(_T_69, io.csr_mitb1) @[el2_dec_tlu_ctl.scala 2832:60] + node _T_71 = or(_T_70, io.csr_mitb0) @[el2_dec_tlu_ctl.scala 2832:75] + node _T_72 = or(_T_71, io.csr_mitctl0) @[el2_dec_tlu_ctl.scala 2832:90] + node _T_73 = or(_T_72, io.csr_mitctl1) @[el2_dec_tlu_ctl.scala 2832:107] + io.dec_timer_read_d <= _T_73 @[el2_dec_tlu_ctl.scala 2832:25] + node _T_74 = bits(io.csr_mitcnt0, 0, 0) @[el2_dec_tlu_ctl.scala 2834:20] + node _T_75 = bits(mitcnt0, 31, 0) @[el2_dec_tlu_ctl.scala 2834:39] + node _T_76 = bits(io.csr_mitcnt1, 0, 0) @[el2_dec_tlu_ctl.scala 2835:20] + node _T_77 = bits(io.csr_mitb0, 0, 0) @[el2_dec_tlu_ctl.scala 2836:18] + node _T_78 = bits(io.csr_mitb1, 0, 0) @[el2_dec_tlu_ctl.scala 2837:18] + node _T_79 = bits(io.csr_mitctl0, 0, 0) @[el2_dec_tlu_ctl.scala 2838:20] + node _T_80 = mux(UInt<1>("h00"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_81 = cat(_T_80, mitctl0) @[Cat.scala 29:58] + node _T_82 = bits(io.csr_mitctl1, 0, 0) @[el2_dec_tlu_ctl.scala 2839:20] + node _T_83 = mux(UInt<1>("h00"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_84 = cat(_T_83, mitctl1) @[Cat.scala 29:58] + node _T_85 = mux(_T_74, _T_75, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_86 = mux(_T_76, mitcnt1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_87 = mux(_T_77, mitb0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_88 = mux(_T_78, mitb1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_89 = mux(_T_79, _T_81, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_90 = mux(_T_82, _T_84, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_91 = or(_T_85, _T_86) @[Mux.scala 27:72] + node _T_92 = or(_T_91, _T_87) @[Mux.scala 27:72] + node _T_93 = or(_T_92, _T_88) @[Mux.scala 27:72] + node _T_94 = or(_T_93, _T_89) @[Mux.scala 27:72] + node _T_95 = or(_T_94, _T_90) @[Mux.scala 27:72] + wire _T_96 : UInt<32> @[Mux.scala 27:72] + _T_96 <= _T_95 @[Mux.scala 27:72] + io.dec_timer_rddata_d <= _T_96 @[el2_dec_tlu_ctl.scala 2833:33] + + extmodule TEC_RV_ICG_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_4 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_5 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_6 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_7 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_8 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_9 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_10 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_11 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_12 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_13 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_14 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_15 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_15 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_15 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_16 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_16 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_16 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_17 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_17 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_17 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_18 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_18 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_18 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_19 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_19 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_19 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_20 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_20 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_20 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_21 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_21 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_21 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_22 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_22 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_22 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_23 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_23 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_23 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_24 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_24 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_24 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_25 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_25 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_25 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_26 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_26 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_26 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_27 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_27 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_27 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_28 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_28 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_28 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_29 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_29 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_29 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_30 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_30 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_30 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_31 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_31 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_31 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_32 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_32 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_32 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_33 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_33 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_33 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_34 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_34 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_34 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_35 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_35 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_35 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_36 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_36 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_36 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_37 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_37 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_37 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_38 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_38 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_38 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_39 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_39 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_39 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_40 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_40 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_40 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_41 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_41 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_41 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + extmodule TEC_RV_ICG_42 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = TEC_RV_ICG + + + module rvclkhdr_42 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of TEC_RV_ICG_42 @[el2_lib.scala 465:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 466:14] + clkhdr.CK <= io.clk @[el2_lib.scala 467:18] + clkhdr.EN <= io.en @[el2_lib.scala 468:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 469:18] + + module csr_tlu : + input clock : Clock + input reset : Reset + output io : {flip free_clk : Clock, flip active_clk : Clock, flip scan_mode : UInt<1>, flip dec_csr_wrdata_r : UInt<32>, flip dec_csr_wraddr_r : UInt<12>, flip dec_csr_rdaddr_d : UInt<12>, flip dec_csr_wen_unq_d : UInt<1>, flip dec_i0_decode_d : UInt<1>, dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip ifu_ic_debug_rd_data_valid : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_ : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip ifu_pmu_bus_trxn : UInt<1>, flip dma_iccm_stall_any : UInt<1>, flip dma_dccm_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip dec_pmu_presync_stall : UInt<1>, flip dec_pmu_postsync_stall : UInt<1>, flip dec_pmu_decode_stall : UInt<1>, flip ifu_pmu_fetch_stall : UInt<1>, flip dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, flip exu_pmu_i0_br_ataken : UInt<1>, flip exu_pmu_i0_br_misp : UInt<1>, flip dec_pmu_instr_decoded : UInt<1>, flip ifu_pmu_instr_aligned : UInt<1>, flip exu_pmu_i0_pc4 : UInt<1>, flip ifu_pmu_ic_miss : UInt<1>, flip ifu_pmu_ic_hit : UInt<1>, dec_tlu_int_valid_wb1 : UInt<1>, dec_tlu_i0_exc_valid_wb1 : UInt<1>, dec_tlu_i0_valid_wb1 : UInt<1>, flip dec_csr_wen_r : UInt<1>, dec_tlu_mtval_wb1 : UInt<32>, dec_tlu_exc_cause_wb1 : UInt<5>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, flip dec_tlu_dbg_halted : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_i0_pc_r : UInt<31>, flip dec_tlu_i0_valid_r : UInt<1>, flip dec_csr_stall_int_ff : UInt<1>, flip dec_csr_any_unq_d : UInt<1>, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_dec_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, dec_csr_rddata_d : UInt<32>, dec_tlu_pipelining_disable : UInt<1>, dec_tlu_wr_pause_r : UInt<1>, flip ifu_pmu_bus_busy : UInt<1>, flip lsu_pmu_bus_error : UInt<1>, flip ifu_pmu_bus_error : UInt<1>, flip lsu_pmu_bus_misaligned : UInt<1>, flip lsu_pmu_bus_trxn : UInt<1>, flip ifu_ic_debug_rd_data : UInt<71>, dec_tlu_meipt : UInt<4>, flip pic_pl : UInt<4>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meihap : UInt<30>, flip pic_claimid : UInt<8>, flip iccm_dma_sb_error : UInt<1>, flip lsu_imprecise_error_addr_any : UInt<32>, flip lsu_imprecise_error_load_any : UInt<1>, flip lsu_imprecise_error_store_any : UInt<1>, dec_tlu_mrac_ff : UInt<32>, dec_tlu_wb_coalescing_disable : UInt<1>, dec_tlu_bpred_disable : UInt<1>, dec_tlu_sideeffect_posted_disable : UInt<1>, dec_tlu_core_ecc_disable : UInt<1>, dec_tlu_external_ldfwd_disable : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, flip dec_illegal_inst : UInt<32>, flip lsu_error_pkt_r : {exc_valid : UInt<1>, single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<1>, addr : UInt<1>}, flip mexintpend : UInt<1>, flip exu_npc_r : UInt<31>, flip mpc_reset_run_req : UInt<1>, flip rst_vec : UInt<31>, flip core_id : UInt<28>, flip dec_timer_rddata_d : UInt<32>, flip dec_timer_read_d : UInt<1>, dec_csr_wen_r_mod : UInt<1>, flip rfpc_i0_r : UInt<1>, flip i0_trigger_hit_r : UInt<1>, fw_halt_req : UInt<1>, mstatus : UInt<2>, flip exc_or_int_valid_r : UInt<1>, flip mret_r : UInt<1>, mstatus_mie_ns : UInt<1>, flip dcsr_single_step_running_f : UInt<1>, dcsr : UInt<16>, mtvec : UInt<31>, mip : UInt<6>, flip dec_timer_t0_pulse : UInt<1>, flip dec_timer_t1_pulse : UInt<1>, flip timer_int_sync : UInt<1>, flip soft_int_sync : UInt<1>, mie_ns : UInt<6>, flip csr_wr_clk : Clock, flip ebreak_to_debug_mode_r : UInt<1>, flip dec_tlu_pmu_fw_halted : UInt<1>, flip lsu_fir_error : UInt<2>, npc_r : UInt<31>, flip tlu_flush_lower_r_d1 : UInt<1>, flip dec_tlu_flush_noredir_r_d1 : UInt<1>, flip tlu_flush_path_r_d1 : UInt<31>, npc_r_d1 : UInt<31>, flip reset_delayed : UInt<1>, mepc : UInt<31>, flip interrupt_valid_r : UInt<1>, flip i0_exception_valid_r : UInt<1>, flip lsu_exc_valid_r : UInt<1>, flip mepc_trigger_hit_sel_pc_r : UInt<1>, flip e4e5_int_clk : Clock, flip lsu_i0_exc_r : UInt<1>, flip inst_acc_r : UInt<1>, flip inst_acc_second_r : UInt<1>, flip take_nmi : UInt<1>, flip lsu_error_pkt_addr_r : UInt<32>, flip exc_cause_r : UInt<5>, flip i0_valid_wb : UInt<1>, flip exc_or_int_valid_r_d1 : UInt<1>, flip interrupt_valid_r_d1 : UInt<1>, flip clk_override : UInt<1>, flip i0_exception_valid_r_d1 : UInt<1>, flip lsu_i0_exc_r_d1 : UInt<1>, flip exc_cause_wb : UInt<5>, flip nmi_lsu_store_type : UInt<1>, flip nmi_lsu_load_type : UInt<1>, flip tlu_i0_commit_cmt : UInt<1>, flip ebreak_r : UInt<1>, flip ecall_r : UInt<1>, flip illegal_r : UInt<1>, mdseac_locked_ns : UInt<1>, flip mdseac_locked_f : UInt<1>, flip nmi_int_detected_f : UInt<1>, flip internal_dbg_halt_mode_f2 : UInt<1>, flip ext_int_freeze_d1 : UInt<1>, flip ic_perr_r_d1 : UInt<1>, flip iccm_sbecc_r_d1 : UInt<1>, flip lsu_single_ecc_error_r_d1 : UInt<1>, flip ifu_miss_state_idle_f : UInt<1>, flip lsu_idle_any_f : UInt<1>, flip dbg_tlu_halted_f : UInt<1>, flip dbg_tlu_halted : UInt<1>, flip debug_halt_req_f : UInt<1>, force_halt : UInt<1>, flip take_ext_int_start : UInt<1>, flip trigger_hit_dmode_r_d1 : UInt<1>, flip trigger_hit_r_d1 : UInt<1>, flip dcsr_single_step_done_f : UInt<1>, flip ebreak_to_debug_mode_r_d1 : UInt<1>, flip debug_halt_req : UInt<1>, flip allow_dbg_halt_csr_write : UInt<1>, flip internal_dbg_halt_mode_f : UInt<1>, flip enter_debug_halt_req : UInt<1>, flip internal_dbg_halt_mode : UInt<1>, flip request_debug_mode_done : UInt<1>, flip request_debug_mode_r : UInt<1>, dpc : UInt<31>, flip update_hit_bit_r : UInt<4>, flip take_timer_int : UInt<1>, flip take_int_timer0_int : UInt<1>, flip take_int_timer1_int : UInt<1>, flip take_ext_int : UInt<1>, flip tlu_flush_lower_r : UInt<1>, flip dec_tlu_br0_error_r : UInt<1>, flip dec_tlu_br0_start_error_r : UInt<1>, flip lsu_pmu_load_external_r : UInt<1>, flip lsu_pmu_store_external_r : UInt<1>, flip csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>}, mtdata1_t : UInt<10>[4]} + + wire miccme_ce_req : UInt<1> @[el2_dec_tlu_ctl.scala 1454:41] + wire mice_ce_req : UInt<1> @[el2_dec_tlu_ctl.scala 1455:41] + wire mdccme_ce_req : UInt<1> @[el2_dec_tlu_ctl.scala 1456:41] + wire pc_r_d1 : UInt<31> @[el2_dec_tlu_ctl.scala 1457:41] + wire mpmc_b_ns : UInt<1> @[el2_dec_tlu_ctl.scala 1458:41] + wire mpmc_b : UInt<1> @[el2_dec_tlu_ctl.scala 1459:41] + wire wr_mcycleh_r : UInt<1> + wr_mcycleh_r <= UInt<1>("h00") + wire mcycleh : UInt<32> + mcycleh <= UInt<1>("h00") + wire minstretl_inc : UInt<33> + minstretl_inc <= UInt<1>("h00") + wire wr_minstreth_r : UInt<1> + wr_minstreth_r <= UInt<1>("h00") + wire minstretl : UInt<32> + minstretl <= UInt<1>("h00") + wire minstreth_inc : UInt<32> + minstreth_inc <= UInt<1>("h00") + wire minstreth : UInt<32> + minstreth <= UInt<1>("h00") + wire mfdc_ns : UInt<15> + mfdc_ns <= UInt<1>("h00") + wire mfdc_int : UInt<15> + mfdc_int <= UInt<1>("h00") + wire mhpmc6_incr : UInt<64> + mhpmc6_incr <= UInt<1>("h00") + wire mhpmc5_incr : UInt<64> + mhpmc5_incr <= UInt<1>("h00") + wire mhpmc4_incr : UInt<64> + mhpmc4_incr <= UInt<1>("h00") + wire perfcnt_halted : UInt<1> + perfcnt_halted <= UInt<1>("h00") + wire mhpmc3_incr : UInt<64> + mhpmc3_incr <= UInt<1>("h00") + wire mhpme_vec : UInt<10>[4] @[el2_dec_tlu_ctl.scala 1474:41] + wire mtdata2_t : UInt<32>[4] @[el2_dec_tlu_ctl.scala 1475:65] + wire wr_meicpct_r : UInt<1> + wr_meicpct_r <= UInt<1>("h00") + wire force_halt_ctr_f : UInt<32> + force_halt_ctr_f <= UInt<1>("h00") + wire mdccmect_inc : UInt<27> + mdccmect_inc <= UInt<1>("h00") + wire miccmect_inc : UInt<27> + miccmect_inc <= UInt<1>("h00") + wire fw_halted : UInt<1> + fw_halted <= UInt<1>("h00") + wire micect_inc : UInt<27> + micect_inc <= UInt<1>("h00") + wire mdseac_en : UInt<1> + mdseac_en <= UInt<1>("h00") + wire mie : UInt<6> + mie <= UInt<1>("h00") + wire mcyclel : UInt<32> + mcyclel <= UInt<1>("h00") + wire mscratch : UInt<32> + mscratch <= UInt<1>("h00") + wire mcause : UInt<32> + mcause <= UInt<1>("h00") + wire mscause : UInt<4> + mscause <= UInt<1>("h00") + wire mtval : UInt<32> + mtval <= UInt<1>("h00") + wire meicurpl : UInt<4> + meicurpl <= UInt<1>("h00") + wire meicidpl : UInt<4> + meicidpl <= UInt<1>("h00") + wire meipt : UInt<4> + meipt <= UInt<1>("h00") + wire mfdc : UInt<19> + mfdc <= UInt<1>("h00") + wire mtsel : UInt<2> + mtsel <= UInt<1>("h00") + wire micect : UInt<32> + micect <= UInt<1>("h00") + wire miccmect : UInt<32> + miccmect <= UInt<1>("h00") + wire mdccmect : UInt<32> + mdccmect <= UInt<1>("h00") + wire mhpmc3h : UInt<32> + mhpmc3h <= UInt<1>("h00") + wire mhpmc3 : UInt<32> + mhpmc3 <= UInt<1>("h00") + wire mhpmc4h : UInt<32> + mhpmc4h <= UInt<1>("h00") + wire mhpmc4 : UInt<32> + mhpmc4 <= UInt<1>("h00") + wire mhpmc5h : UInt<32> + mhpmc5h <= UInt<1>("h00") + wire mhpmc5 : UInt<32> + mhpmc5 <= UInt<1>("h00") + wire mhpmc6h : UInt<32> + mhpmc6h <= UInt<1>("h00") + wire mhpmc6 : UInt<32> + mhpmc6 <= UInt<1>("h00") + wire mhpme3 : UInt<10> + mhpme3 <= UInt<1>("h00") + wire mhpme4 : UInt<10> + mhpme4 <= UInt<1>("h00") + wire mhpme5 : UInt<10> + mhpme5 <= UInt<1>("h00") + wire mhpme6 : UInt<10> + mhpme6 <= UInt<1>("h00") + wire mfdht : UInt<6> + mfdht <= UInt<1>("h00") + wire mfdhs : UInt<2> + mfdhs <= UInt<1>("h00") + wire mcountinhibit : UInt<7> + mcountinhibit <= UInt<1>("h00") + wire mpmc : UInt<1> + mpmc <= UInt<1>("h00") + wire dicad1 : UInt<32> + dicad1 <= UInt<1>("h00") + node _T = eq(io.i0_trigger_hit_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1530:46] + node _T_1 = and(io.dec_csr_wen_r, _T) @[el2_dec_tlu_ctl.scala 1530:44] + node _T_2 = eq(io.rfpc_i0_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1530:69] + node _T_3 = and(_T_1, _T_2) @[el2_dec_tlu_ctl.scala 1530:67] + io.dec_csr_wen_r_mod <= _T_3 @[el2_dec_tlu_ctl.scala 1530:24] + node _T_4 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1531:65] + node _T_5 = eq(_T_4, UInt<12>("h0300")) @[el2_dec_tlu_ctl.scala 1531:72] + node wr_mstatus_r = and(io.dec_csr_wen_r_mod, _T_5) @[el2_dec_tlu_ctl.scala 1531:43] + node _T_6 = eq(mpmc_b_ns, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1534:29] + node set_mie_pmu_fw_halt = and(_T_6, io.fw_halt_req) @[el2_dec_tlu_ctl.scala 1534:40] + node _T_7 = eq(wr_mstatus_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1537:6] + node _T_8 = and(_T_7, io.exc_or_int_valid_r) @[el2_dec_tlu_ctl.scala 1537:20] + node _T_9 = bits(_T_8, 0, 0) @[el2_dec_tlu_ctl.scala 1537:45] + node _T_10 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1537:69] + node _T_11 = bits(_T_10, 0, 0) @[el2_dec_tlu_ctl.scala 1537:69] + node _T_12 = and(wr_mstatus_r, io.exc_or_int_valid_r) @[el2_dec_tlu_ctl.scala 1538:19] + node _T_13 = bits(_T_12, 0, 0) @[el2_dec_tlu_ctl.scala 1538:44] + node _T_14 = bits(io.dec_csr_wrdata_r, 3, 3) @[el2_dec_tlu_ctl.scala 1538:77] + node _T_15 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1539:18] + node _T_16 = and(io.mret_r, _T_15) @[el2_dec_tlu_ctl.scala 1539:16] + node _T_17 = bits(_T_16, 0, 0) @[el2_dec_tlu_ctl.scala 1539:42] + node _T_18 = bits(io.mstatus, 1, 1) @[el2_dec_tlu_ctl.scala 1539:71] + node _T_19 = cat(UInt<1>("h01"), _T_18) @[Cat.scala 29:58] + node _T_20 = bits(set_mie_pmu_fw_halt, 0, 0) @[el2_dec_tlu_ctl.scala 1540:27] + node _T_21 = bits(io.mstatus, 1, 1) @[el2_dec_tlu_ctl.scala 1540:51] + node _T_22 = cat(_T_21, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_23 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1541:21] + node _T_24 = and(wr_mstatus_r, _T_23) @[el2_dec_tlu_ctl.scala 1541:19] + node _T_25 = bits(_T_24, 0, 0) @[el2_dec_tlu_ctl.scala 1541:45] + node _T_26 = bits(io.dec_csr_wrdata_r, 7, 7) @[el2_dec_tlu_ctl.scala 1541:78] + node _T_27 = bits(io.dec_csr_wrdata_r, 3, 3) @[el2_dec_tlu_ctl.scala 1541:102] + node _T_28 = cat(_T_26, _T_27) @[Cat.scala 29:58] + node _T_29 = eq(wr_mstatus_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1542:6] + node _T_30 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1542:22] + node _T_31 = and(_T_29, _T_30) @[el2_dec_tlu_ctl.scala 1542:20] + node _T_32 = eq(io.mret_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1542:47] + node _T_33 = and(_T_31, _T_32) @[el2_dec_tlu_ctl.scala 1542:45] + node _T_34 = eq(set_mie_pmu_fw_halt, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1542:60] + node _T_35 = and(_T_33, _T_34) @[el2_dec_tlu_ctl.scala 1542:58] + node _T_36 = bits(_T_35, 0, 0) @[el2_dec_tlu_ctl.scala 1542:82] + node _T_37 = mux(_T_9, _T_11, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_38 = mux(_T_13, _T_14, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_39 = mux(_T_17, _T_19, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_40 = mux(_T_20, _T_22, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_41 = mux(_T_25, _T_28, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_42 = mux(_T_36, io.mstatus, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_43 = or(_T_37, _T_38) @[Mux.scala 27:72] + node _T_44 = or(_T_43, _T_39) @[Mux.scala 27:72] + node _T_45 = or(_T_44, _T_40) @[Mux.scala 27:72] + node _T_46 = or(_T_45, _T_41) @[Mux.scala 27:72] + node _T_47 = or(_T_46, _T_42) @[Mux.scala 27:72] + wire mstatus_ns : UInt<2> @[Mux.scala 27:72] + mstatus_ns <= _T_47 @[Mux.scala 27:72] + node _T_48 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1545:34] + node _T_49 = bits(_T_48, 0, 0) @[el2_dec_tlu_ctl.scala 1545:34] + node _T_50 = not(io.dcsr_single_step_running_f) @[el2_dec_tlu_ctl.scala 1545:51] + node _T_51 = bits(io.dcsr, 11, 11) @[el2_dec_tlu_ctl.scala 1545:91] + node _T_52 = or(_T_50, _T_51) @[el2_dec_tlu_ctl.scala 1545:82] + node _T_53 = and(_T_49, _T_52) @[el2_dec_tlu_ctl.scala 1545:48] + io.mstatus_mie_ns <= _T_53 @[el2_dec_tlu_ctl.scala 1545:21] + reg _T_54 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1547:12] + _T_54 <= mstatus_ns @[el2_dec_tlu_ctl.scala 1547:12] + io.mstatus <= _T_54 @[el2_dec_tlu_ctl.scala 1546:14] + node _T_55 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1556:63] + node _T_56 = eq(_T_55, UInt<12>("h0305")) @[el2_dec_tlu_ctl.scala 1556:70] + node wr_mtvec_r = and(io.dec_csr_wen_r_mod, _T_56) @[el2_dec_tlu_ctl.scala 1556:41] + node _T_57 = bits(io.dec_csr_wrdata_r, 31, 2) @[el2_dec_tlu_ctl.scala 1557:41] + node _T_58 = bits(io.dec_csr_wrdata_r, 0, 0) @[el2_dec_tlu_ctl.scala 1557:69] + node mtvec_ns = cat(_T_57, _T_58) @[Cat.scala 29:58] + node _T_59 = bits(wr_mtvec_r, 0, 0) @[el2_dec_tlu_ctl.scala 1558:43] + inst rvclkhdr of rvclkhdr_8 @[el2_lib.scala 485:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr.io.en <= _T_59 @[el2_lib.scala 488:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_60 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_60 <= mtvec_ns @[el2_lib.scala 491:16] + io.mtvec <= _T_60 @[el2_dec_tlu_ctl.scala 1558:12] + node _T_61 = or(mdccme_ce_req, miccme_ce_req) @[el2_dec_tlu_ctl.scala 1570:31] + node ce_int = or(_T_61, mice_ce_req) @[el2_dec_tlu_ctl.scala 1570:47] + node _T_62 = cat(io.mexintpend, io.timer_int_sync) @[Cat.scala 29:58] + node _T_63 = cat(_T_62, io.soft_int_sync) @[Cat.scala 29:58] + node _T_64 = cat(ce_int, io.dec_timer_t0_pulse) @[Cat.scala 29:58] + node _T_65 = cat(_T_64, io.dec_timer_t1_pulse) @[Cat.scala 29:58] + node mip_ns = cat(_T_65, _T_63) @[Cat.scala 29:58] + reg _T_66 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1574:12] + _T_66 <= mip_ns @[el2_dec_tlu_ctl.scala 1574:12] + io.mip <= _T_66 @[el2_dec_tlu_ctl.scala 1573:10] + node _T_67 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1586:61] + node _T_68 = eq(_T_67, UInt<12>("h0304")) @[el2_dec_tlu_ctl.scala 1586:68] + node wr_mie_r = and(io.dec_csr_wen_r_mod, _T_68) @[el2_dec_tlu_ctl.scala 1586:39] + node _T_69 = bits(wr_mie_r, 0, 0) @[el2_dec_tlu_ctl.scala 1587:29] + node _T_70 = bits(io.dec_csr_wrdata_r, 30, 28) @[el2_dec_tlu_ctl.scala 1587:60] + node _T_71 = bits(io.dec_csr_wrdata_r, 11, 11) @[el2_dec_tlu_ctl.scala 1587:89] + node _T_72 = bits(io.dec_csr_wrdata_r, 7, 7) @[el2_dec_tlu_ctl.scala 1587:114] + node _T_73 = bits(io.dec_csr_wrdata_r, 3, 3) @[el2_dec_tlu_ctl.scala 1587:138] + node _T_74 = cat(_T_72, _T_73) @[Cat.scala 29:58] + node _T_75 = cat(_T_70, _T_71) @[Cat.scala 29:58] + node _T_76 = cat(_T_75, _T_74) @[Cat.scala 29:58] + node _T_77 = mux(_T_69, _T_76, mie) @[el2_dec_tlu_ctl.scala 1587:19] + io.mie_ns <= _T_77 @[el2_dec_tlu_ctl.scala 1587:13] + reg _T_78 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1589:12] + _T_78 <= io.mie_ns @[el2_dec_tlu_ctl.scala 1589:12] + mie <= _T_78 @[el2_dec_tlu_ctl.scala 1588:7] + node _T_79 = bits(io.dcsr, 10, 10) @[el2_dec_tlu_ctl.scala 1596:64] + node kill_ebreak_count_r = and(io.ebreak_to_debug_mode_r, _T_79) @[el2_dec_tlu_ctl.scala 1596:55] + node _T_80 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1598:65] + node _T_81 = eq(_T_80, UInt<12>("h0b00")) @[el2_dec_tlu_ctl.scala 1598:72] + node wr_mcyclel_r = and(io.dec_csr_wen_r_mod, _T_81) @[el2_dec_tlu_ctl.scala 1598:43] + node _T_82 = bits(io.dcsr, 10, 10) @[el2_dec_tlu_ctl.scala 1600:81] + node _T_83 = and(io.dec_tlu_dbg_halted, _T_82) @[el2_dec_tlu_ctl.scala 1600:72] + node _T_84 = or(kill_ebreak_count_r, _T_83) @[el2_dec_tlu_ctl.scala 1600:47] + node _T_85 = or(_T_84, io.dec_tlu_pmu_fw_halted) @[el2_dec_tlu_ctl.scala 1600:95] + node _T_86 = bits(mcountinhibit, 0, 0) @[el2_dec_tlu_ctl.scala 1600:137] + node _T_87 = or(_T_85, _T_86) @[el2_dec_tlu_ctl.scala 1600:122] + node mcyclel_cout_in = not(_T_87) @[el2_dec_tlu_ctl.scala 1600:25] + wire mcyclel_inc : UInt<33> + mcyclel_inc <= UInt<1>("h00") + node _T_88 = cat(UInt<31>("h00"), mcyclel_cout_in) @[Cat.scala 29:58] + node _T_89 = add(mcyclel, _T_88) @[el2_dec_tlu_ctl.scala 1604:26] + node _T_90 = tail(_T_89, 1) @[el2_dec_tlu_ctl.scala 1604:26] + mcyclel_inc <= _T_90 @[el2_dec_tlu_ctl.scala 1604:15] + node _T_91 = bits(wr_mcyclel_r, 0, 0) @[el2_dec_tlu_ctl.scala 1605:37] + node mcyclel_ns = mux(_T_91, io.dec_csr_wrdata_r, mcyclel_inc) @[el2_dec_tlu_ctl.scala 1605:23] + node _T_92 = bits(mcyclel_inc, 32, 32) @[el2_dec_tlu_ctl.scala 1606:33] + node mcyclel_cout = bits(_T_92, 0, 0) @[el2_dec_tlu_ctl.scala 1606:38] + node _T_93 = or(wr_mcyclel_r, mcyclel_cout_in) @[el2_dec_tlu_ctl.scala 1607:47] + node _T_94 = bits(_T_93, 0, 0) @[el2_dec_tlu_ctl.scala 1607:73] + inst rvclkhdr_1 of rvclkhdr_9 @[el2_lib.scala 485:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_1.io.en <= _T_94 @[el2_lib.scala 488:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_95 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_95 <= mcyclel_ns @[el2_lib.scala 491:16] + mcyclel <= _T_95 @[el2_dec_tlu_ctl.scala 1607:11] + node _T_96 = eq(wr_mcycleh_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1608:72] + node _T_97 = and(mcyclel_cout, _T_96) @[el2_dec_tlu_ctl.scala 1608:70] + reg mcyclel_cout_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1608:55] + mcyclel_cout_f <= _T_97 @[el2_dec_tlu_ctl.scala 1608:55] + node _T_98 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1614:62] + node _T_99 = eq(_T_98, UInt<12>("h0b80")) @[el2_dec_tlu_ctl.scala 1614:69] + node _T_100 = and(io.dec_csr_wen_r_mod, _T_99) @[el2_dec_tlu_ctl.scala 1614:40] + wr_mcycleh_r <= _T_100 @[el2_dec_tlu_ctl.scala 1614:16] + node _T_101 = cat(UInt<31>("h00"), mcyclel_cout_f) @[Cat.scala 29:58] + node _T_102 = add(mcycleh, _T_101) @[el2_dec_tlu_ctl.scala 1616:29] + node mcycleh_inc = tail(_T_102, 1) @[el2_dec_tlu_ctl.scala 1616:29] + node _T_103 = bits(wr_mcycleh_r, 0, 0) @[el2_dec_tlu_ctl.scala 1617:37] + node mcycleh_ns = mux(_T_103, io.dec_csr_wrdata_r, mcycleh_inc) @[el2_dec_tlu_ctl.scala 1617:23] + node _T_104 = or(wr_mcycleh_r, mcyclel_cout_f) @[el2_dec_tlu_ctl.scala 1619:47] + node _T_105 = bits(_T_104, 0, 0) @[el2_dec_tlu_ctl.scala 1619:65] + inst rvclkhdr_2 of rvclkhdr_10 @[el2_lib.scala 485:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_2.io.en <= _T_105 @[el2_lib.scala 488:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_106 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_106 <= mcycleh_ns @[el2_lib.scala 491:16] + mcycleh <= _T_106 @[el2_dec_tlu_ctl.scala 1619:11] + node _T_107 = or(io.ebreak_r, io.ecall_r) @[el2_dec_tlu_ctl.scala 1633:73] + node _T_108 = or(_T_107, io.ebreak_to_debug_mode_r) @[el2_dec_tlu_ctl.scala 1633:86] + node _T_109 = or(_T_108, io.illegal_r) @[el2_dec_tlu_ctl.scala 1633:114] + node _T_110 = bits(mcountinhibit, 2, 2) @[el2_dec_tlu_ctl.scala 1633:144] + node _T_111 = or(_T_109, _T_110) @[el2_dec_tlu_ctl.scala 1633:129] + node _T_112 = bits(_T_111, 0, 0) @[el2_dec_tlu_ctl.scala 1633:149] + node _T_113 = not(_T_112) @[el2_dec_tlu_ctl.scala 1633:59] + node i0_valid_no_ebreak_ecall_r = and(io.tlu_i0_commit_cmt, _T_113) @[el2_dec_tlu_ctl.scala 1633:57] + node _T_114 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1635:67] + node _T_115 = eq(_T_114, UInt<12>("h0b02")) @[el2_dec_tlu_ctl.scala 1635:74] + node wr_minstretl_r = and(io.dec_csr_wen_r_mod, _T_115) @[el2_dec_tlu_ctl.scala 1635:45] + node _T_116 = cat(UInt<31>("h00"), i0_valid_no_ebreak_ecall_r) @[Cat.scala 29:58] + node _T_117 = add(minstretl, _T_116) @[el2_dec_tlu_ctl.scala 1637:30] + node _T_118 = tail(_T_117, 1) @[el2_dec_tlu_ctl.scala 1637:30] + minstretl_inc <= _T_118 @[el2_dec_tlu_ctl.scala 1637:17] + node minstretl_cout = bits(minstretl_inc, 32, 32) @[el2_dec_tlu_ctl.scala 1638:37] + node _T_119 = or(i0_valid_no_ebreak_ecall_r, wr_minstretl_r) @[el2_dec_tlu_ctl.scala 1639:53] + node minstret_enable = bits(_T_119, 0, 0) @[el2_dec_tlu_ctl.scala 1639:71] + node _T_120 = bits(wr_minstretl_r, 0, 0) @[el2_dec_tlu_ctl.scala 1641:41] + node minstretl_ns = mux(_T_120, io.dec_csr_wrdata_r, minstretl_inc) @[el2_dec_tlu_ctl.scala 1641:25] + node _T_121 = bits(minstret_enable, 0, 0) @[el2_dec_tlu_ctl.scala 1642:52] + inst rvclkhdr_3 of rvclkhdr_11 @[el2_lib.scala 485:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_3.io.en <= _T_121 @[el2_lib.scala 488:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_122 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_122 <= minstretl_ns @[el2_lib.scala 491:16] + minstretl <= _T_122 @[el2_dec_tlu_ctl.scala 1642:13] + reg minstret_enable_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1643:57] + minstret_enable_f <= minstret_enable @[el2_dec_tlu_ctl.scala 1643:57] + node _T_123 = not(wr_minstreth_r) @[el2_dec_tlu_ctl.scala 1644:76] + node _T_124 = and(minstretl_cout, _T_123) @[el2_dec_tlu_ctl.scala 1644:74] + reg minstretl_cout_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1644:57] + minstretl_cout_f <= _T_124 @[el2_dec_tlu_ctl.scala 1644:57] + node _T_125 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1652:65] + node _T_126 = eq(_T_125, UInt<12>("h0b82")) @[el2_dec_tlu_ctl.scala 1652:72] + node _T_127 = and(io.dec_csr_wen_r_mod, _T_126) @[el2_dec_tlu_ctl.scala 1652:43] + node _T_128 = bits(_T_127, 0, 0) @[el2_dec_tlu_ctl.scala 1652:88] + wr_minstreth_r <= _T_128 @[el2_dec_tlu_ctl.scala 1652:18] + node _T_129 = cat(UInt<31>("h00"), minstretl_cout_f) @[Cat.scala 29:58] + node _T_130 = add(minstreth, _T_129) @[el2_dec_tlu_ctl.scala 1655:30] + node _T_131 = tail(_T_130, 1) @[el2_dec_tlu_ctl.scala 1655:30] + minstreth_inc <= _T_131 @[el2_dec_tlu_ctl.scala 1655:17] + node _T_132 = bits(wr_minstreth_r, 0, 0) @[el2_dec_tlu_ctl.scala 1656:42] + node minstreth_ns = mux(_T_132, io.dec_csr_wrdata_r, minstreth_inc) @[el2_dec_tlu_ctl.scala 1656:26] + node _T_133 = or(minstret_enable_f, wr_minstreth_r) @[el2_dec_tlu_ctl.scala 1658:56] + node _T_134 = bits(_T_133, 0, 0) @[el2_dec_tlu_ctl.scala 1658:74] + inst rvclkhdr_4 of rvclkhdr_12 @[el2_lib.scala 485:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_4.io.en <= _T_134 @[el2_lib.scala 488:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_135 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_135 <= minstreth_ns @[el2_lib.scala 491:16] + minstreth <= _T_135 @[el2_dec_tlu_ctl.scala 1658:13] + node _T_136 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1666:66] + node _T_137 = eq(_T_136, UInt<12>("h0340")) @[el2_dec_tlu_ctl.scala 1666:73] + node wr_mscratch_r = and(io.dec_csr_wen_r_mod, _T_137) @[el2_dec_tlu_ctl.scala 1666:44] + node _T_138 = bits(wr_mscratch_r, 0, 0) @[el2_dec_tlu_ctl.scala 1668:56] + inst rvclkhdr_5 of rvclkhdr_13 @[el2_lib.scala 485:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_5.io.en <= _T_138 @[el2_lib.scala 488:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_139 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_139 <= io.dec_csr_wrdata_r @[el2_lib.scala 491:16] + mscratch <= _T_139 @[el2_dec_tlu_ctl.scala 1668:12] + node _T_140 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1677:23] + node _T_141 = eq(io.tlu_flush_lower_r_d1, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1677:48] + node _T_142 = and(_T_140, _T_141) @[el2_dec_tlu_ctl.scala 1677:46] + node sel_exu_npc_r = and(_T_142, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 1677:73] + node _T_143 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1678:25] + node _T_144 = and(_T_143, io.tlu_flush_lower_r_d1) @[el2_dec_tlu_ctl.scala 1678:48] + node _T_145 = eq(io.dec_tlu_flush_noredir_r_d1, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1678:76] + node sel_flush_npc_r = and(_T_144, _T_145) @[el2_dec_tlu_ctl.scala 1678:74] + node _T_146 = eq(sel_exu_npc_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1679:24] + node _T_147 = eq(sel_flush_npc_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1679:41] + node sel_hold_npc_r = and(_T_146, _T_147) @[el2_dec_tlu_ctl.scala 1679:39] + node _T_148 = bits(sel_exu_npc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1682:19] + node _T_149 = eq(io.mpc_reset_run_req, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1683:6] + node _T_150 = and(_T_149, io.reset_delayed) @[el2_dec_tlu_ctl.scala 1683:28] + node _T_151 = bits(_T_150, 0, 0) @[el2_dec_tlu_ctl.scala 1683:48] + node _T_152 = bits(sel_flush_npc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1684:21] + node _T_153 = bits(sel_hold_npc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1685:20] + node _T_154 = mux(_T_148, io.exu_npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_155 = mux(_T_151, io.rst_vec, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_156 = mux(_T_152, io.tlu_flush_path_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_157 = mux(_T_153, io.npc_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_158 = or(_T_154, _T_155) @[Mux.scala 27:72] + node _T_159 = or(_T_158, _T_156) @[Mux.scala 27:72] + node _T_160 = or(_T_159, _T_157) @[Mux.scala 27:72] + wire _T_161 : UInt<31> @[Mux.scala 27:72] + _T_161 <= _T_160 @[Mux.scala 27:72] + io.npc_r <= _T_161 @[el2_dec_tlu_ctl.scala 1681:12] + node _T_162 = or(sel_exu_npc_r, sel_flush_npc_r) @[el2_dec_tlu_ctl.scala 1687:49] + node _T_163 = or(_T_162, io.reset_delayed) @[el2_dec_tlu_ctl.scala 1687:67] + node _T_164 = bits(_T_163, 0, 0) @[el2_dec_tlu_ctl.scala 1687:87] + inst rvclkhdr_6 of rvclkhdr_14 @[el2_lib.scala 485:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_6.io.en <= _T_164 @[el2_lib.scala 488:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_165 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_165 <= io.npc_r @[el2_lib.scala 491:16] + io.npc_r_d1 <= _T_165 @[el2_dec_tlu_ctl.scala 1687:15] + node _T_166 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1690:22] + node _T_167 = and(_T_166, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 1690:45] + node pc0_valid_r = bits(_T_167, 0, 0) @[el2_dec_tlu_ctl.scala 1690:70] + node _T_168 = not(pc0_valid_r) @[el2_dec_tlu_ctl.scala 1694:5] + node _T_169 = mux(pc0_valid_r, io.dec_tlu_i0_pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_170 = mux(_T_168, pc_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_171 = or(_T_169, _T_170) @[Mux.scala 27:72] + wire pc_r : UInt<31> @[Mux.scala 27:72] + pc_r <= _T_171 @[Mux.scala 27:72] + inst rvclkhdr_7 of rvclkhdr_15 @[el2_lib.scala 485:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_7.io.en <= pc0_valid_r @[el2_lib.scala 488:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_172 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_172 <= pc_r @[el2_lib.scala 491:16] + pc_r_d1 <= _T_172 @[el2_dec_tlu_ctl.scala 1696:11] + node _T_173 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1698:62] + node _T_174 = eq(_T_173, UInt<12>("h0341")) @[el2_dec_tlu_ctl.scala 1698:69] + node wr_mepc_r = and(io.dec_csr_wen_r_mod, _T_174) @[el2_dec_tlu_ctl.scala 1698:40] + node _T_175 = or(io.i0_exception_valid_r, io.lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 1701:30] + node _T_176 = or(_T_175, io.mepc_trigger_hit_sel_pc_r) @[el2_dec_tlu_ctl.scala 1701:51] + node _T_177 = bits(_T_176, 0, 0) @[el2_dec_tlu_ctl.scala 1701:83] + node _T_178 = bits(io.interrupt_valid_r, 0, 0) @[el2_dec_tlu_ctl.scala 1702:28] + node _T_179 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1703:18] + node _T_180 = and(wr_mepc_r, _T_179) @[el2_dec_tlu_ctl.scala 1703:16] + node _T_181 = bits(_T_180, 0, 0) @[el2_dec_tlu_ctl.scala 1703:42] + node _T_182 = bits(io.dec_csr_wrdata_r, 31, 1) @[el2_dec_tlu_ctl.scala 1703:107] + node _T_183 = eq(wr_mepc_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1704:6] + node _T_184 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1704:19] + node _T_185 = and(_T_183, _T_184) @[el2_dec_tlu_ctl.scala 1704:17] + node _T_186 = bits(_T_185, 0, 0) @[el2_dec_tlu_ctl.scala 1704:43] + node _T_187 = mux(_T_177, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_188 = mux(_T_178, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_189 = mux(_T_181, _T_182, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_190 = mux(_T_186, io.mepc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_191 = or(_T_187, _T_188) @[Mux.scala 27:72] + node _T_192 = or(_T_191, _T_189) @[Mux.scala 27:72] + node _T_193 = or(_T_192, _T_190) @[Mux.scala 27:72] + wire mepc_ns : UInt<31> @[Mux.scala 27:72] + mepc_ns <= _T_193 @[Mux.scala 27:72] + reg _T_194 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1706:48] + _T_194 <= mepc_ns @[el2_dec_tlu_ctl.scala 1706:48] + io.mepc <= _T_194 @[el2_dec_tlu_ctl.scala 1706:11] + node _T_195 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1713:64] + node _T_196 = eq(_T_195, UInt<12>("h0342")) @[el2_dec_tlu_ctl.scala 1713:71] + node wr_mcause_r = and(io.dec_csr_wen_r_mod, _T_196) @[el2_dec_tlu_ctl.scala 1713:42] + node _T_197 = and(io.exc_or_int_valid_r, io.take_nmi) @[el2_dec_tlu_ctl.scala 1714:52] + node mcause_sel_nmi_store = and(_T_197, io.nmi_lsu_store_type) @[el2_dec_tlu_ctl.scala 1714:66] + node _T_198 = and(io.exc_or_int_valid_r, io.take_nmi) @[el2_dec_tlu_ctl.scala 1715:51] + node mcause_sel_nmi_load = and(_T_198, io.nmi_lsu_load_type) @[el2_dec_tlu_ctl.scala 1715:65] + node _T_199 = and(io.exc_or_int_valid_r, io.take_nmi) @[el2_dec_tlu_ctl.scala 1716:50] + node _T_200 = orr(io.lsu_fir_error) @[el2_dec_tlu_ctl.scala 1716:83] + node mcause_sel_nmi_ext = and(_T_199, _T_200) @[el2_dec_tlu_ctl.scala 1716:64] + node _T_201 = andr(io.lsu_fir_error) @[el2_dec_tlu_ctl.scala 1722:52] + node _T_202 = bits(io.lsu_fir_error, 1, 1) @[el2_dec_tlu_ctl.scala 1722:75] + node _T_203 = bits(io.lsu_fir_error, 0, 0) @[el2_dec_tlu_ctl.scala 1722:98] + node _T_204 = not(_T_203) @[el2_dec_tlu_ctl.scala 1722:81] + node _T_205 = and(_T_202, _T_204) @[el2_dec_tlu_ctl.scala 1722:79] + node mcause_fir_error_type = cat(_T_201, _T_205) @[Cat.scala 29:58] + node _T_206 = bits(mcause_sel_nmi_store, 0, 0) @[el2_dec_tlu_ctl.scala 1725:26] + node _T_207 = bits(mcause_sel_nmi_load, 0, 0) @[el2_dec_tlu_ctl.scala 1726:25] + node _T_208 = bits(mcause_sel_nmi_ext, 0, 0) @[el2_dec_tlu_ctl.scala 1727:24] + node _T_209 = cat(UInt<28>("h0f000100"), UInt<2>("h00")) @[Cat.scala 29:58] + node _T_210 = cat(_T_209, mcause_fir_error_type) @[Cat.scala 29:58] + node _T_211 = not(io.take_nmi) @[el2_dec_tlu_ctl.scala 1728:30] + node _T_212 = and(io.exc_or_int_valid_r, _T_211) @[el2_dec_tlu_ctl.scala 1728:28] + node _T_213 = bits(_T_212, 0, 0) @[el2_dec_tlu_ctl.scala 1728:44] + node _T_214 = cat(io.interrupt_valid_r, UInt<26>("h00")) @[Cat.scala 29:58] + node _T_215 = cat(_T_214, io.exc_cause_r) @[Cat.scala 29:58] + node _T_216 = not(io.exc_or_int_valid_r) @[el2_dec_tlu_ctl.scala 1729:20] + node _T_217 = and(wr_mcause_r, _T_216) @[el2_dec_tlu_ctl.scala 1729:18] + node _T_218 = bits(_T_217, 0, 0) @[el2_dec_tlu_ctl.scala 1729:44] + node _T_219 = not(wr_mcause_r) @[el2_dec_tlu_ctl.scala 1730:6] + node _T_220 = not(io.exc_or_int_valid_r) @[el2_dec_tlu_ctl.scala 1730:21] + node _T_221 = and(_T_219, _T_220) @[el2_dec_tlu_ctl.scala 1730:19] + node _T_222 = bits(_T_221, 0, 0) @[el2_dec_tlu_ctl.scala 1730:45] + node _T_223 = mux(_T_206, UInt<32>("h0f0000000"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_224 = mux(_T_207, UInt<32>("h0f0000001"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_225 = mux(_T_208, _T_210, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_226 = mux(_T_213, _T_215, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_227 = mux(_T_218, io.dec_csr_wrdata_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_228 = mux(_T_222, mcause, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_229 = or(_T_223, _T_224) @[Mux.scala 27:72] + node _T_230 = or(_T_229, _T_225) @[Mux.scala 27:72] + node _T_231 = or(_T_230, _T_226) @[Mux.scala 27:72] + node _T_232 = or(_T_231, _T_227) @[Mux.scala 27:72] + node _T_233 = or(_T_232, _T_228) @[Mux.scala 27:72] + wire mcause_ns : UInt<32> @[Mux.scala 27:72] + mcause_ns <= _T_233 @[Mux.scala 27:72] + reg _T_234 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1732:47] + _T_234 <= mcause_ns @[el2_dec_tlu_ctl.scala 1732:47] + mcause <= _T_234 @[el2_dec_tlu_ctl.scala 1732:10] + node _T_235 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1739:65] + node _T_236 = eq(_T_235, UInt<12>("h07ff")) @[el2_dec_tlu_ctl.scala 1739:72] + node wr_mscause_r = and(io.dec_csr_wen_r_mod, _T_236) @[el2_dec_tlu_ctl.scala 1739:43] + node _T_237 = eq(io.dec_tlu_packet_r.icaf_type, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 1741:57] + node _T_238 = cat(UInt<2>("h00"), io.dec_tlu_packet_r.icaf_type) @[Cat.scala 29:58] + node ifu_mscause = mux(_T_237, UInt<4>("h09"), _T_238) @[el2_dec_tlu_ctl.scala 1741:25] + node _T_239 = bits(io.lsu_i0_exc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1744:21] + node _T_240 = bits(io.i0_trigger_hit_r, 0, 0) @[el2_dec_tlu_ctl.scala 1745:25] + node _T_241 = bits(io.ebreak_r, 0, 0) @[el2_dec_tlu_ctl.scala 1746:17] + node _T_242 = bits(io.inst_acc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1747:19] + node _T_243 = mux(_T_239, io.lsu_error_pkt_r.mscause, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_244 = mux(_T_240, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_245 = mux(_T_241, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_246 = mux(_T_242, ifu_mscause, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_247 = or(_T_243, _T_244) @[Mux.scala 27:72] + node _T_248 = or(_T_247, _T_245) @[Mux.scala 27:72] + node _T_249 = or(_T_248, _T_246) @[Mux.scala 27:72] + wire mscause_type : UInt<4> @[Mux.scala 27:72] + mscause_type <= _T_249 @[Mux.scala 27:72] + node _T_250 = bits(io.exc_or_int_valid_r, 0, 0) @[el2_dec_tlu_ctl.scala 1751:29] + node _T_251 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1752:21] + node _T_252 = and(wr_mscause_r, _T_251) @[el2_dec_tlu_ctl.scala 1752:19] + node _T_253 = bits(_T_252, 0, 0) @[el2_dec_tlu_ctl.scala 1752:45] + node _T_254 = bits(io.dec_csr_wrdata_r, 3, 0) @[el2_dec_tlu_ctl.scala 1752:84] + node _T_255 = eq(wr_mscause_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1753:6] + node _T_256 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 1753:22] + node _T_257 = and(_T_255, _T_256) @[el2_dec_tlu_ctl.scala 1753:20] + node _T_258 = bits(_T_257, 0, 0) @[el2_dec_tlu_ctl.scala 1753:46] + node _T_259 = mux(_T_250, mscause_type, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_260 = mux(_T_253, _T_254, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_261 = mux(_T_258, mscause, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_262 = or(_T_259, _T_260) @[Mux.scala 27:72] + node _T_263 = or(_T_262, _T_261) @[Mux.scala 27:72] + wire mscause_ns : UInt<4> @[Mux.scala 27:72] + mscause_ns <= _T_263 @[Mux.scala 27:72] + reg _T_264 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1755:48] + _T_264 <= mscause_ns @[el2_dec_tlu_ctl.scala 1755:48] + mscause <= _T_264 @[el2_dec_tlu_ctl.scala 1755:11] + node _T_265 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1762:63] + node _T_266 = eq(_T_265, UInt<12>("h0343")) @[el2_dec_tlu_ctl.scala 1762:70] + node wr_mtval_r = and(io.dec_csr_wen_r_mod, _T_266) @[el2_dec_tlu_ctl.scala 1762:41] + node _T_267 = not(io.inst_acc_second_r) @[el2_dec_tlu_ctl.scala 1763:84] + node _T_268 = and(io.inst_acc_r, _T_267) @[el2_dec_tlu_ctl.scala 1763:82] + node _T_269 = or(io.ebreak_r, _T_268) @[el2_dec_tlu_ctl.scala 1763:65] + node _T_270 = or(_T_269, io.mepc_trigger_hit_sel_pc_r) @[el2_dec_tlu_ctl.scala 1763:107] + node _T_271 = and(io.exc_or_int_valid_r, _T_270) @[el2_dec_tlu_ctl.scala 1763:50] + node _T_272 = not(io.take_nmi) @[el2_dec_tlu_ctl.scala 1763:141] + node mtval_capture_pc_r = and(_T_271, _T_272) @[el2_dec_tlu_ctl.scala 1763:139] + node _T_273 = and(io.inst_acc_r, io.inst_acc_second_r) @[el2_dec_tlu_ctl.scala 1764:73] + node _T_274 = and(io.exc_or_int_valid_r, _T_273) @[el2_dec_tlu_ctl.scala 1764:56] + node _T_275 = not(io.take_nmi) @[el2_dec_tlu_ctl.scala 1764:99] + node mtval_capture_pc_plus2_r = and(_T_274, _T_275) @[el2_dec_tlu_ctl.scala 1764:97] + node _T_276 = and(io.exc_or_int_valid_r, io.illegal_r) @[el2_dec_tlu_ctl.scala 1765:52] + node _T_277 = not(io.take_nmi) @[el2_dec_tlu_ctl.scala 1765:69] + node mtval_capture_inst_r = and(_T_276, _T_277) @[el2_dec_tlu_ctl.scala 1765:67] + node _T_278 = and(io.exc_or_int_valid_r, io.lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 1766:51] + node _T_279 = not(io.take_nmi) @[el2_dec_tlu_ctl.scala 1766:74] + node mtval_capture_lsu_r = and(_T_278, _T_279) @[el2_dec_tlu_ctl.scala 1766:72] + node _T_280 = not(mtval_capture_pc_r) @[el2_dec_tlu_ctl.scala 1767:47] + node _T_281 = and(io.exc_or_int_valid_r, _T_280) @[el2_dec_tlu_ctl.scala 1767:45] + node _T_282 = not(mtval_capture_inst_r) @[el2_dec_tlu_ctl.scala 1767:69] + node _T_283 = and(_T_281, _T_282) @[el2_dec_tlu_ctl.scala 1767:67] + node _T_284 = not(mtval_capture_lsu_r) @[el2_dec_tlu_ctl.scala 1767:93] + node _T_285 = and(_T_283, _T_284) @[el2_dec_tlu_ctl.scala 1767:91] + node _T_286 = not(io.mepc_trigger_hit_sel_pc_r) @[el2_dec_tlu_ctl.scala 1767:116] + node mtval_clear_r = and(_T_285, _T_286) @[el2_dec_tlu_ctl.scala 1767:114] + node _T_287 = bits(mtval_capture_pc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1771:26] + node _T_288 = cat(pc_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_289 = bits(mtval_capture_pc_plus2_r, 0, 0) @[el2_dec_tlu_ctl.scala 1772:32] + node _T_290 = add(pc_r, UInt<31>("h01")) @[el2_dec_tlu_ctl.scala 1772:84] + node _T_291 = tail(_T_290, 1) @[el2_dec_tlu_ctl.scala 1772:84] + node _T_292 = cat(_T_291, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_293 = bits(mtval_capture_inst_r, 0, 0) @[el2_dec_tlu_ctl.scala 1773:28] + node _T_294 = bits(mtval_capture_lsu_r, 0, 0) @[el2_dec_tlu_ctl.scala 1774:27] + node _T_295 = not(io.interrupt_valid_r) @[el2_dec_tlu_ctl.scala 1775:19] + node _T_296 = and(wr_mtval_r, _T_295) @[el2_dec_tlu_ctl.scala 1775:17] + node _T_297 = bits(_T_296, 0, 0) @[el2_dec_tlu_ctl.scala 1775:49] + node _T_298 = not(io.take_nmi) @[el2_dec_tlu_ctl.scala 1776:6] + node _T_299 = not(wr_mtval_r) @[el2_dec_tlu_ctl.scala 1776:21] + node _T_300 = and(_T_298, _T_299) @[el2_dec_tlu_ctl.scala 1776:19] + node _T_301 = not(mtval_capture_pc_r) @[el2_dec_tlu_ctl.scala 1776:35] + node _T_302 = and(_T_300, _T_301) @[el2_dec_tlu_ctl.scala 1776:33] + node _T_303 = not(mtval_capture_inst_r) @[el2_dec_tlu_ctl.scala 1776:57] + node _T_304 = and(_T_302, _T_303) @[el2_dec_tlu_ctl.scala 1776:55] + node _T_305 = not(mtval_clear_r) @[el2_dec_tlu_ctl.scala 1776:81] + node _T_306 = and(_T_304, _T_305) @[el2_dec_tlu_ctl.scala 1776:79] + node _T_307 = not(mtval_capture_lsu_r) @[el2_dec_tlu_ctl.scala 1776:98] + node _T_308 = and(_T_306, _T_307) @[el2_dec_tlu_ctl.scala 1776:96] + node _T_309 = bits(_T_308, 0, 0) @[el2_dec_tlu_ctl.scala 1776:120] + node _T_310 = mux(_T_287, _T_288, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_311 = mux(_T_289, _T_292, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_312 = mux(_T_293, io.dec_illegal_inst, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_313 = mux(_T_294, io.lsu_error_pkt_addr_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_314 = mux(_T_297, io.dec_csr_wrdata_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_315 = mux(_T_309, mtval, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_316 = or(_T_310, _T_311) @[Mux.scala 27:72] + node _T_317 = or(_T_316, _T_312) @[Mux.scala 27:72] + node _T_318 = or(_T_317, _T_313) @[Mux.scala 27:72] + node _T_319 = or(_T_318, _T_314) @[Mux.scala 27:72] + node _T_320 = or(_T_319, _T_315) @[Mux.scala 27:72] + wire mtval_ns : UInt<32> @[Mux.scala 27:72] + mtval_ns <= _T_320 @[Mux.scala 27:72] + reg _T_321 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1778:47] + _T_321 <= mtval_ns @[el2_dec_tlu_ctl.scala 1778:47] + mtval <= _T_321 @[el2_dec_tlu_ctl.scala 1778:9] + node _T_322 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1793:62] + node _T_323 = eq(_T_322, UInt<12>("h07f8")) @[el2_dec_tlu_ctl.scala 1793:69] + node wr_mcgc_r = and(io.dec_csr_wen_r_mod, _T_323) @[el2_dec_tlu_ctl.scala 1793:40] + node _T_324 = bits(io.dec_csr_wrdata_r, 8, 0) @[el2_dec_tlu_ctl.scala 1795:40] + node _T_325 = bits(wr_mcgc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1795:56] + inst rvclkhdr_8 of rvclkhdr_16 @[el2_lib.scala 485:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_8.io.en <= _T_325 @[el2_lib.scala 488:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg mcgc : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + mcgc <= _T_324 @[el2_lib.scala 491:16] + node _T_326 = bits(mcgc, 8, 8) @[el2_dec_tlu_ctl.scala 1797:39] + io.dec_tlu_misc_clk_override <= _T_326 @[el2_dec_tlu_ctl.scala 1797:32] + node _T_327 = bits(mcgc, 7, 7) @[el2_dec_tlu_ctl.scala 1798:39] + io.dec_tlu_dec_clk_override <= _T_327 @[el2_dec_tlu_ctl.scala 1798:32] + node _T_328 = bits(mcgc, 5, 5) @[el2_dec_tlu_ctl.scala 1799:39] + io.dec_tlu_ifu_clk_override <= _T_328 @[el2_dec_tlu_ctl.scala 1799:32] + node _T_329 = bits(mcgc, 4, 4) @[el2_dec_tlu_ctl.scala 1800:39] + io.dec_tlu_lsu_clk_override <= _T_329 @[el2_dec_tlu_ctl.scala 1800:32] + node _T_330 = bits(mcgc, 3, 3) @[el2_dec_tlu_ctl.scala 1801:39] + io.dec_tlu_bus_clk_override <= _T_330 @[el2_dec_tlu_ctl.scala 1801:32] + node _T_331 = bits(mcgc, 2, 2) @[el2_dec_tlu_ctl.scala 1802:39] + io.dec_tlu_pic_clk_override <= _T_331 @[el2_dec_tlu_ctl.scala 1802:32] + node _T_332 = bits(mcgc, 1, 1) @[el2_dec_tlu_ctl.scala 1803:39] + io.dec_tlu_dccm_clk_override <= _T_332 @[el2_dec_tlu_ctl.scala 1803:32] + node _T_333 = bits(mcgc, 0, 0) @[el2_dec_tlu_ctl.scala 1804:39] + io.dec_tlu_icm_clk_override <= _T_333 @[el2_dec_tlu_ctl.scala 1804:32] + node _T_334 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1823:62] + node _T_335 = eq(_T_334, UInt<12>("h07f9")) @[el2_dec_tlu_ctl.scala 1823:69] + node wr_mfdc_r = and(io.dec_csr_wen_r_mod, _T_335) @[el2_dec_tlu_ctl.scala 1823:40] + node _T_336 = bits(wr_mfdc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1827:40] + inst rvclkhdr_9 of rvclkhdr_17 @[el2_lib.scala 485:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_9.io.en <= _T_336 @[el2_lib.scala 488:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_337 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_337 <= mfdc_ns @[el2_lib.scala 491:16] + mfdc_int <= _T_337 @[el2_dec_tlu_ctl.scala 1827:12] + node _T_338 = bits(io.dec_csr_wrdata_r, 18, 16) @[el2_dec_tlu_ctl.scala 1832:40] + node _T_339 = not(_T_338) @[el2_dec_tlu_ctl.scala 1832:20] + node _T_340 = bits(io.dec_csr_wrdata_r, 11, 7) @[el2_dec_tlu_ctl.scala 1832:67] + node _T_341 = bits(io.dec_csr_wrdata_r, 6, 6) @[el2_dec_tlu_ctl.scala 1832:95] + node _T_342 = not(_T_341) @[el2_dec_tlu_ctl.scala 1832:75] + node _T_343 = bits(io.dec_csr_wrdata_r, 5, 0) @[el2_dec_tlu_ctl.scala 1832:119] + node _T_344 = cat(_T_342, _T_343) @[Cat.scala 29:58] + node _T_345 = cat(_T_339, _T_340) @[Cat.scala 29:58] + node _T_346 = cat(_T_345, _T_344) @[Cat.scala 29:58] + mfdc_ns <= _T_346 @[el2_dec_tlu_ctl.scala 1832:13] + node _T_347 = bits(mfdc_int, 14, 12) @[el2_dec_tlu_ctl.scala 1833:29] + node _T_348 = not(_T_347) @[el2_dec_tlu_ctl.scala 1833:20] + node _T_349 = bits(mfdc_int, 11, 7) @[el2_dec_tlu_ctl.scala 1833:55] + node _T_350 = bits(mfdc_int, 6, 6) @[el2_dec_tlu_ctl.scala 1833:72] + node _T_351 = not(_T_350) @[el2_dec_tlu_ctl.scala 1833:63] + node _T_352 = bits(mfdc_int, 5, 0) @[el2_dec_tlu_ctl.scala 1833:85] + node _T_353 = cat(_T_351, _T_352) @[Cat.scala 29:58] + node _T_354 = cat(_T_348, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_355 = cat(_T_354, _T_349) @[Cat.scala 29:58] + node _T_356 = cat(_T_355, _T_353) @[Cat.scala 29:58] + mfdc <= _T_356 @[el2_dec_tlu_ctl.scala 1833:13] + node _T_357 = bits(mfdc, 18, 16) @[el2_dec_tlu_ctl.scala 1841:47] + io.dec_tlu_dma_qos_prty <= _T_357 @[el2_dec_tlu_ctl.scala 1841:40] + node _T_358 = bits(mfdc, 11, 11) @[el2_dec_tlu_ctl.scala 1842:47] + io.dec_tlu_external_ldfwd_disable <= _T_358 @[el2_dec_tlu_ctl.scala 1842:40] + node _T_359 = bits(mfdc, 8, 8) @[el2_dec_tlu_ctl.scala 1843:47] + io.dec_tlu_core_ecc_disable <= _T_359 @[el2_dec_tlu_ctl.scala 1843:40] + node _T_360 = bits(mfdc, 6, 6) @[el2_dec_tlu_ctl.scala 1844:47] + io.dec_tlu_sideeffect_posted_disable <= _T_360 @[el2_dec_tlu_ctl.scala 1844:40] + node _T_361 = bits(mfdc, 3, 3) @[el2_dec_tlu_ctl.scala 1845:47] + io.dec_tlu_bpred_disable <= _T_361 @[el2_dec_tlu_ctl.scala 1845:40] + node _T_362 = bits(mfdc, 2, 2) @[el2_dec_tlu_ctl.scala 1846:47] + io.dec_tlu_wb_coalescing_disable <= _T_362 @[el2_dec_tlu_ctl.scala 1846:40] + node _T_363 = bits(mfdc, 0, 0) @[el2_dec_tlu_ctl.scala 1847:47] + io.dec_tlu_pipelining_disable <= _T_363 @[el2_dec_tlu_ctl.scala 1847:40] + node _T_364 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1856:71] + node _T_365 = eq(_T_364, UInt<12>("h07c2")) @[el2_dec_tlu_ctl.scala 1856:78] + node _T_366 = and(io.dec_csr_wen_r_mod, _T_365) @[el2_dec_tlu_ctl.scala 1856:49] + node _T_367 = not(io.interrupt_valid_r) @[el2_dec_tlu_ctl.scala 1856:90] + node _T_368 = and(_T_366, _T_367) @[el2_dec_tlu_ctl.scala 1856:88] + node _T_369 = not(io.take_ext_int_start) @[el2_dec_tlu_ctl.scala 1856:114] + node _T_370 = and(_T_368, _T_369) @[el2_dec_tlu_ctl.scala 1856:112] + io.dec_tlu_wr_pause_r <= _T_370 @[el2_dec_tlu_ctl.scala 1856:25] + node _T_371 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1863:62] + node _T_372 = eq(_T_371, UInt<12>("h07c0")) @[el2_dec_tlu_ctl.scala 1863:69] + node wr_mrac_r = and(io.dec_csr_wen_r_mod, _T_372) @[el2_dec_tlu_ctl.scala 1863:40] + node _T_373 = bits(io.dec_csr_wrdata_r, 31, 31) @[el2_dec_tlu_ctl.scala 1866:40] + node _T_374 = bits(io.dec_csr_wrdata_r, 30, 30) @[el2_dec_tlu_ctl.scala 1866:65] + node _T_375 = bits(io.dec_csr_wrdata_r, 31, 31) @[el2_dec_tlu_ctl.scala 1866:92] + node _T_376 = not(_T_375) @[el2_dec_tlu_ctl.scala 1866:72] + node _T_377 = and(_T_374, _T_376) @[el2_dec_tlu_ctl.scala 1866:70] + node _T_378 = bits(io.dec_csr_wrdata_r, 29, 29) @[el2_dec_tlu_ctl.scala 1867:24] + node _T_379 = bits(io.dec_csr_wrdata_r, 28, 28) @[el2_dec_tlu_ctl.scala 1867:49] + node _T_380 = bits(io.dec_csr_wrdata_r, 29, 29) @[el2_dec_tlu_ctl.scala 1867:76] + node _T_381 = not(_T_380) @[el2_dec_tlu_ctl.scala 1867:56] + node _T_382 = and(_T_379, _T_381) @[el2_dec_tlu_ctl.scala 1867:54] + node _T_383 = bits(io.dec_csr_wrdata_r, 27, 27) @[el2_dec_tlu_ctl.scala 1868:24] + node _T_384 = bits(io.dec_csr_wrdata_r, 26, 26) @[el2_dec_tlu_ctl.scala 1868:49] + node _T_385 = bits(io.dec_csr_wrdata_r, 27, 27) @[el2_dec_tlu_ctl.scala 1868:76] + node _T_386 = not(_T_385) @[el2_dec_tlu_ctl.scala 1868:56] + node _T_387 = and(_T_384, _T_386) @[el2_dec_tlu_ctl.scala 1868:54] + node _T_388 = bits(io.dec_csr_wrdata_r, 25, 25) @[el2_dec_tlu_ctl.scala 1869:24] + node _T_389 = bits(io.dec_csr_wrdata_r, 24, 24) @[el2_dec_tlu_ctl.scala 1869:49] + node _T_390 = bits(io.dec_csr_wrdata_r, 25, 25) @[el2_dec_tlu_ctl.scala 1869:76] + node _T_391 = not(_T_390) @[el2_dec_tlu_ctl.scala 1869:56] + node _T_392 = and(_T_389, _T_391) @[el2_dec_tlu_ctl.scala 1869:54] + node _T_393 = bits(io.dec_csr_wrdata_r, 23, 23) @[el2_dec_tlu_ctl.scala 1870:24] + node _T_394 = bits(io.dec_csr_wrdata_r, 22, 22) @[el2_dec_tlu_ctl.scala 1870:49] + node _T_395 = bits(io.dec_csr_wrdata_r, 23, 23) @[el2_dec_tlu_ctl.scala 1870:76] + node _T_396 = not(_T_395) @[el2_dec_tlu_ctl.scala 1870:56] + node _T_397 = and(_T_394, _T_396) @[el2_dec_tlu_ctl.scala 1870:54] + node _T_398 = bits(io.dec_csr_wrdata_r, 21, 21) @[el2_dec_tlu_ctl.scala 1871:24] + node _T_399 = bits(io.dec_csr_wrdata_r, 20, 20) @[el2_dec_tlu_ctl.scala 1871:49] + node _T_400 = bits(io.dec_csr_wrdata_r, 21, 21) @[el2_dec_tlu_ctl.scala 1871:76] + node _T_401 = not(_T_400) @[el2_dec_tlu_ctl.scala 1871:56] + node _T_402 = and(_T_399, _T_401) @[el2_dec_tlu_ctl.scala 1871:54] + node _T_403 = bits(io.dec_csr_wrdata_r, 19, 19) @[el2_dec_tlu_ctl.scala 1872:24] + node _T_404 = bits(io.dec_csr_wrdata_r, 18, 18) @[el2_dec_tlu_ctl.scala 1872:49] + node _T_405 = bits(io.dec_csr_wrdata_r, 19, 19) @[el2_dec_tlu_ctl.scala 1872:76] + node _T_406 = not(_T_405) @[el2_dec_tlu_ctl.scala 1872:56] + node _T_407 = and(_T_404, _T_406) @[el2_dec_tlu_ctl.scala 1872:54] + node _T_408 = bits(io.dec_csr_wrdata_r, 17, 17) @[el2_dec_tlu_ctl.scala 1873:24] + node _T_409 = bits(io.dec_csr_wrdata_r, 16, 16) @[el2_dec_tlu_ctl.scala 1873:49] + node _T_410 = bits(io.dec_csr_wrdata_r, 17, 17) @[el2_dec_tlu_ctl.scala 1873:76] + node _T_411 = not(_T_410) @[el2_dec_tlu_ctl.scala 1873:56] + node _T_412 = and(_T_409, _T_411) @[el2_dec_tlu_ctl.scala 1873:54] + node _T_413 = bits(io.dec_csr_wrdata_r, 15, 15) @[el2_dec_tlu_ctl.scala 1874:24] + node _T_414 = bits(io.dec_csr_wrdata_r, 14, 14) @[el2_dec_tlu_ctl.scala 1874:49] + node _T_415 = bits(io.dec_csr_wrdata_r, 15, 15) @[el2_dec_tlu_ctl.scala 1874:76] + node _T_416 = not(_T_415) @[el2_dec_tlu_ctl.scala 1874:56] + node _T_417 = and(_T_414, _T_416) @[el2_dec_tlu_ctl.scala 1874:54] + node _T_418 = bits(io.dec_csr_wrdata_r, 13, 13) @[el2_dec_tlu_ctl.scala 1875:24] + node _T_419 = bits(io.dec_csr_wrdata_r, 12, 12) @[el2_dec_tlu_ctl.scala 1875:49] + node _T_420 = bits(io.dec_csr_wrdata_r, 13, 13) @[el2_dec_tlu_ctl.scala 1875:76] + node _T_421 = not(_T_420) @[el2_dec_tlu_ctl.scala 1875:56] + node _T_422 = and(_T_419, _T_421) @[el2_dec_tlu_ctl.scala 1875:54] + node _T_423 = bits(io.dec_csr_wrdata_r, 11, 11) @[el2_dec_tlu_ctl.scala 1876:24] + node _T_424 = bits(io.dec_csr_wrdata_r, 10, 10) @[el2_dec_tlu_ctl.scala 1876:49] + node _T_425 = bits(io.dec_csr_wrdata_r, 11, 11) @[el2_dec_tlu_ctl.scala 1876:76] + node _T_426 = not(_T_425) @[el2_dec_tlu_ctl.scala 1876:56] + node _T_427 = and(_T_424, _T_426) @[el2_dec_tlu_ctl.scala 1876:54] + node _T_428 = bits(io.dec_csr_wrdata_r, 9, 9) @[el2_dec_tlu_ctl.scala 1877:24] + node _T_429 = bits(io.dec_csr_wrdata_r, 8, 8) @[el2_dec_tlu_ctl.scala 1877:49] + node _T_430 = bits(io.dec_csr_wrdata_r, 9, 9) @[el2_dec_tlu_ctl.scala 1877:76] + node _T_431 = not(_T_430) @[el2_dec_tlu_ctl.scala 1877:56] + node _T_432 = and(_T_429, _T_431) @[el2_dec_tlu_ctl.scala 1877:53] + node _T_433 = bits(io.dec_csr_wrdata_r, 7, 7) @[el2_dec_tlu_ctl.scala 1878:24] + node _T_434 = bits(io.dec_csr_wrdata_r, 6, 6) @[el2_dec_tlu_ctl.scala 1878:49] + node _T_435 = bits(io.dec_csr_wrdata_r, 7, 7) @[el2_dec_tlu_ctl.scala 1878:76] + node _T_436 = not(_T_435) @[el2_dec_tlu_ctl.scala 1878:56] + node _T_437 = and(_T_434, _T_436) @[el2_dec_tlu_ctl.scala 1878:53] + node _T_438 = bits(io.dec_csr_wrdata_r, 5, 5) @[el2_dec_tlu_ctl.scala 1879:24] + node _T_439 = bits(io.dec_csr_wrdata_r, 4, 4) @[el2_dec_tlu_ctl.scala 1879:49] + node _T_440 = bits(io.dec_csr_wrdata_r, 5, 5) @[el2_dec_tlu_ctl.scala 1879:76] + node _T_441 = not(_T_440) @[el2_dec_tlu_ctl.scala 1879:56] + node _T_442 = and(_T_439, _T_441) @[el2_dec_tlu_ctl.scala 1879:53] + node _T_443 = bits(io.dec_csr_wrdata_r, 3, 3) @[el2_dec_tlu_ctl.scala 1880:24] + node _T_444 = bits(io.dec_csr_wrdata_r, 2, 2) @[el2_dec_tlu_ctl.scala 1880:49] + node _T_445 = bits(io.dec_csr_wrdata_r, 3, 3) @[el2_dec_tlu_ctl.scala 1880:76] + node _T_446 = not(_T_445) @[el2_dec_tlu_ctl.scala 1880:56] + node _T_447 = and(_T_444, _T_446) @[el2_dec_tlu_ctl.scala 1880:53] + node _T_448 = bits(io.dec_csr_wrdata_r, 1, 1) @[el2_dec_tlu_ctl.scala 1881:24] + node _T_449 = bits(io.dec_csr_wrdata_r, 0, 0) @[el2_dec_tlu_ctl.scala 1881:49] + node _T_450 = bits(io.dec_csr_wrdata_r, 1, 1) @[el2_dec_tlu_ctl.scala 1881:76] + node _T_451 = not(_T_450) @[el2_dec_tlu_ctl.scala 1881:56] + node _T_452 = and(_T_449, _T_451) @[el2_dec_tlu_ctl.scala 1881:53] + node _T_453 = cat(_T_448, _T_452) @[Cat.scala 29:58] + node _T_454 = cat(_T_443, _T_447) @[Cat.scala 29:58] + node _T_455 = cat(_T_454, _T_453) @[Cat.scala 29:58] + node _T_456 = cat(_T_438, _T_442) @[Cat.scala 29:58] + node _T_457 = cat(_T_433, _T_437) @[Cat.scala 29:58] + node _T_458 = cat(_T_457, _T_456) @[Cat.scala 29:58] + node _T_459 = cat(_T_458, _T_455) @[Cat.scala 29:58] + node _T_460 = cat(_T_428, _T_432) @[Cat.scala 29:58] + node _T_461 = cat(_T_423, _T_427) @[Cat.scala 29:58] + node _T_462 = cat(_T_461, _T_460) @[Cat.scala 29:58] + node _T_463 = cat(_T_418, _T_422) @[Cat.scala 29:58] + node _T_464 = cat(_T_413, _T_417) @[Cat.scala 29:58] + node _T_465 = cat(_T_464, _T_463) @[Cat.scala 29:58] + node _T_466 = cat(_T_465, _T_462) @[Cat.scala 29:58] + node _T_467 = cat(_T_466, _T_459) @[Cat.scala 29:58] + node _T_468 = cat(_T_408, _T_412) @[Cat.scala 29:58] + node _T_469 = cat(_T_403, _T_407) @[Cat.scala 29:58] + node _T_470 = cat(_T_469, _T_468) @[Cat.scala 29:58] + node _T_471 = cat(_T_398, _T_402) @[Cat.scala 29:58] + node _T_472 = cat(_T_393, _T_397) @[Cat.scala 29:58] + node _T_473 = cat(_T_472, _T_471) @[Cat.scala 29:58] + node _T_474 = cat(_T_473, _T_470) @[Cat.scala 29:58] + node _T_475 = cat(_T_388, _T_392) @[Cat.scala 29:58] + node _T_476 = cat(_T_383, _T_387) @[Cat.scala 29:58] + node _T_477 = cat(_T_476, _T_475) @[Cat.scala 29:58] + node _T_478 = cat(_T_378, _T_382) @[Cat.scala 29:58] + node _T_479 = cat(_T_373, _T_377) @[Cat.scala 29:58] + node _T_480 = cat(_T_479, _T_478) @[Cat.scala 29:58] + node _T_481 = cat(_T_480, _T_477) @[Cat.scala 29:58] + node _T_482 = cat(_T_481, _T_474) @[Cat.scala 29:58] + node mrac_in = cat(_T_482, _T_467) @[Cat.scala 29:58] + node _T_483 = bits(wr_mrac_r, 0, 0) @[el2_dec_tlu_ctl.scala 1884:39] + inst rvclkhdr_10 of rvclkhdr_18 @[el2_lib.scala 485:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_10.io.en <= _T_483 @[el2_lib.scala 488:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg mrac : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + mrac <= mrac_in @[el2_lib.scala 491:16] + io.dec_tlu_mrac_ff <= mrac @[el2_dec_tlu_ctl.scala 1886:22] + node _T_484 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1894:63] + node _T_485 = eq(_T_484, UInt<12>("h0bc0")) @[el2_dec_tlu_ctl.scala 1894:70] + node wr_mdeau_r = and(io.dec_csr_wen_r_mod, _T_485) @[el2_dec_tlu_ctl.scala 1894:41] + node _T_486 = not(wr_mdeau_r) @[el2_dec_tlu_ctl.scala 1904:60] + node _T_487 = and(io.mdseac_locked_f, _T_486) @[el2_dec_tlu_ctl.scala 1904:58] + node _T_488 = or(mdseac_en, _T_487) @[el2_dec_tlu_ctl.scala 1904:36] + io.mdseac_locked_ns <= _T_488 @[el2_dec_tlu_ctl.scala 1904:23] + node _T_489 = or(io.lsu_imprecise_error_store_any, io.lsu_imprecise_error_load_any) @[el2_dec_tlu_ctl.scala 1906:50] + node _T_490 = not(io.nmi_int_detected_f) @[el2_dec_tlu_ctl.scala 1906:87] + node _T_491 = and(_T_489, _T_490) @[el2_dec_tlu_ctl.scala 1906:85] + node _T_492 = not(io.mdseac_locked_f) @[el2_dec_tlu_ctl.scala 1906:112] + node _T_493 = and(_T_491, _T_492) @[el2_dec_tlu_ctl.scala 1906:110] + mdseac_en <= _T_493 @[el2_dec_tlu_ctl.scala 1906:13] + node _T_494 = bits(mdseac_en, 0, 0) @[el2_dec_tlu_ctl.scala 1908:65] + inst rvclkhdr_11 of rvclkhdr_19 @[el2_lib.scala 485:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_11.io.en <= _T_494 @[el2_lib.scala 488:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg mdseac : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + mdseac <= io.lsu_imprecise_error_addr_any @[el2_lib.scala 491:16] + node _T_495 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1917:62] + node _T_496 = eq(_T_495, UInt<12>("h07c6")) @[el2_dec_tlu_ctl.scala 1917:69] + node wr_mpmc_r = and(io.dec_csr_wen_r_mod, _T_496) @[el2_dec_tlu_ctl.scala 1917:40] + node _T_497 = bits(io.dec_csr_wrdata_r, 0, 0) @[el2_dec_tlu_ctl.scala 1921:52] + node _T_498 = and(wr_mpmc_r, _T_497) @[el2_dec_tlu_ctl.scala 1921:31] + node _T_499 = not(io.internal_dbg_halt_mode_f2) @[el2_dec_tlu_ctl.scala 1921:58] + node _T_500 = and(_T_498, _T_499) @[el2_dec_tlu_ctl.scala 1921:56] + node _T_501 = not(io.ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 1921:90] + node _T_502 = and(_T_500, _T_501) @[el2_dec_tlu_ctl.scala 1921:88] + io.fw_halt_req <= _T_502 @[el2_dec_tlu_ctl.scala 1921:18] + node _T_503 = or(io.fw_halt_req, fw_halted) @[el2_dec_tlu_ctl.scala 1923:38] + node _T_504 = not(set_mie_pmu_fw_halt) @[el2_dec_tlu_ctl.scala 1923:53] + node fw_halted_ns = and(_T_503, _T_504) @[el2_dec_tlu_ctl.scala 1923:51] + node _T_505 = bits(wr_mpmc_r, 0, 0) @[el2_dec_tlu_ctl.scala 1924:30] + node _T_506 = bits(io.dec_csr_wrdata_r, 1, 1) @[el2_dec_tlu_ctl.scala 1924:58] + node _T_507 = not(_T_506) @[el2_dec_tlu_ctl.scala 1924:38] + node _T_508 = not(mpmc) @[el2_dec_tlu_ctl.scala 1924:63] + node _T_509 = mux(_T_505, _T_507, _T_508) @[el2_dec_tlu_ctl.scala 1924:19] + mpmc_b_ns <= _T_509 @[el2_dec_tlu_ctl.scala 1924:13] + reg _T_510 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1926:45] + _T_510 <= mpmc_b_ns @[el2_dec_tlu_ctl.scala 1926:45] + mpmc_b <= _T_510 @[el2_dec_tlu_ctl.scala 1926:10] + reg _T_511 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1927:46] + _T_511 <= fw_halted_ns @[el2_dec_tlu_ctl.scala 1927:46] + fw_halted <= _T_511 @[el2_dec_tlu_ctl.scala 1927:13] + node _T_512 = not(mpmc_b) @[el2_dec_tlu_ctl.scala 1929:11] + mpmc <= _T_512 @[el2_dec_tlu_ctl.scala 1929:8] + node _T_513 = bits(io.dec_csr_wrdata_r, 31, 27) @[el2_dec_tlu_ctl.scala 1938:41] + node _T_514 = gt(_T_513, UInt<5>("h01a")) @[el2_dec_tlu_ctl.scala 1938:49] + node _T_515 = bits(io.dec_csr_wrdata_r, 31, 27) @[el2_dec_tlu_ctl.scala 1938:93] + node csr_sat = mux(_T_514, UInt<5>("h01a"), _T_515) @[el2_dec_tlu_ctl.scala 1938:20] + node _T_516 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1940:64] + node _T_517 = eq(_T_516, UInt<12>("h07f0")) @[el2_dec_tlu_ctl.scala 1940:71] + node wr_micect_r = and(io.dec_csr_wen_r_mod, _T_517) @[el2_dec_tlu_ctl.scala 1940:42] + node _T_518 = cat(UInt<26>("h00"), io.ic_perr_r_d1) @[Cat.scala 29:58] + node _T_519 = add(micect, _T_518) @[el2_dec_tlu_ctl.scala 1941:24] + node _T_520 = tail(_T_519, 1) @[el2_dec_tlu_ctl.scala 1941:24] + micect_inc <= _T_520 @[el2_dec_tlu_ctl.scala 1941:14] + node _T_521 = bits(wr_micect_r, 0, 0) @[el2_dec_tlu_ctl.scala 1942:36] + node _T_522 = bits(io.dec_csr_wrdata_r, 26, 0) @[el2_dec_tlu_ctl.scala 1942:76] + node _T_523 = cat(csr_sat, _T_522) @[Cat.scala 29:58] + node _T_524 = bits(micect, 31, 27) @[el2_dec_tlu_ctl.scala 1942:96] + node _T_525 = cat(_T_524, micect_inc) @[Cat.scala 29:58] + node micect_ns = mux(_T_521, _T_523, _T_525) @[el2_dec_tlu_ctl.scala 1942:23] + node _T_526 = or(wr_micect_r, io.ic_perr_r_d1) @[el2_dec_tlu_ctl.scala 1944:43] + node _T_527 = bits(_T_526, 0, 0) @[el2_dec_tlu_ctl.scala 1944:62] + inst rvclkhdr_12 of rvclkhdr_20 @[el2_lib.scala 485:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_12.io.en <= _T_527 @[el2_lib.scala 488:17] + rvclkhdr_12.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_528 : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_528 <= micect_ns @[el2_lib.scala 491:16] + micect <= _T_528 @[el2_dec_tlu_ctl.scala 1944:10] + node _T_529 = bits(micect, 31, 27) @[el2_dec_tlu_ctl.scala 1946:48] + node _T_530 = dshl(UInt<32>("h0ffffffff"), _T_529) @[el2_dec_tlu_ctl.scala 1946:39] + node _T_531 = orr(_T_530) @[el2_dec_tlu_ctl.scala 1946:57] + node _T_532 = bits(micect, 26, 0) @[el2_dec_tlu_ctl.scala 1946:83] + node _T_533 = cat(UInt<5>("h00"), _T_532) @[Cat.scala 29:58] + node _T_534 = and(_T_531, _T_533) @[el2_dec_tlu_ctl.scala 1946:61] + mice_ce_req <= _T_534 @[el2_dec_tlu_ctl.scala 1946:15] + node _T_535 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1955:70] + node _T_536 = eq(_T_535, UInt<12>("h07f1")) @[el2_dec_tlu_ctl.scala 1955:77] + node wr_miccmect_r = and(io.dec_csr_wen_r_mod, _T_536) @[el2_dec_tlu_ctl.scala 1955:48] + node _T_537 = bits(miccmect, 26, 0) @[el2_dec_tlu_ctl.scala 1956:27] + node _T_538 = or(io.iccm_sbecc_r_d1, io.iccm_dma_sb_error) @[el2_dec_tlu_ctl.scala 1956:71] + node _T_539 = cat(UInt<26>("h00"), _T_538) @[Cat.scala 29:58] + node _T_540 = add(_T_537, _T_539) @[el2_dec_tlu_ctl.scala 1956:34] + node _T_541 = tail(_T_540, 1) @[el2_dec_tlu_ctl.scala 1956:34] + miccmect_inc <= _T_541 @[el2_dec_tlu_ctl.scala 1956:16] + node _T_542 = bits(wr_miccmect_r, 0, 0) @[el2_dec_tlu_ctl.scala 1957:46] + node _T_543 = bits(io.dec_csr_wrdata_r, 26, 0) @[el2_dec_tlu_ctl.scala 1957:86] + node _T_544 = cat(csr_sat, _T_543) @[Cat.scala 29:58] + node _T_545 = bits(miccmect, 31, 27) @[el2_dec_tlu_ctl.scala 1957:108] + node _T_546 = cat(_T_545, miccmect_inc) @[Cat.scala 29:58] + node miccmect_ns = mux(_T_542, _T_544, _T_546) @[el2_dec_tlu_ctl.scala 1957:31] + node _T_547 = or(wr_miccmect_r, io.iccm_sbecc_r_d1) @[el2_dec_tlu_ctl.scala 1959:49] + node _T_548 = or(_T_547, io.iccm_dma_sb_error) @[el2_dec_tlu_ctl.scala 1959:70] + node _T_549 = bits(_T_548, 0, 0) @[el2_dec_tlu_ctl.scala 1959:94] + inst rvclkhdr_13 of rvclkhdr_21 @[el2_lib.scala 485:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_13.io.en <= _T_549 @[el2_lib.scala 488:17] + rvclkhdr_13.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_550 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_550 <= miccmect_ns @[el2_lib.scala 491:16] + miccmect <= _T_550 @[el2_dec_tlu_ctl.scala 1959:12] + node _T_551 = bits(miccmect, 31, 27) @[el2_dec_tlu_ctl.scala 1960:53] + node _T_552 = dshl(UInt<32>("h0ffffffff"), _T_551) @[el2_dec_tlu_ctl.scala 1960:42] + node _T_553 = bits(miccmect, 26, 0) @[el2_dec_tlu_ctl.scala 1960:86] + node _T_554 = cat(UInt<5>("h00"), _T_553) @[Cat.scala 29:58] + node _T_555 = and(_T_552, _T_554) @[el2_dec_tlu_ctl.scala 1960:62] + node _T_556 = orr(_T_555) @[el2_dec_tlu_ctl.scala 1960:95] + miccme_ce_req <= _T_556 @[el2_dec_tlu_ctl.scala 1960:17] + node _T_557 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1969:70] + node _T_558 = eq(_T_557, UInt<12>("h07f2")) @[el2_dec_tlu_ctl.scala 1969:77] + node wr_mdccmect_r = and(io.dec_csr_wen_r_mod, _T_558) @[el2_dec_tlu_ctl.scala 1969:48] + node _T_559 = bits(mdccmect, 26, 0) @[el2_dec_tlu_ctl.scala 1970:27] + node _T_560 = cat(UInt<26>("h00"), io.lsu_single_ecc_error_r_d1) @[Cat.scala 29:58] + node _T_561 = add(_T_559, _T_560) @[el2_dec_tlu_ctl.scala 1970:34] + node _T_562 = tail(_T_561, 1) @[el2_dec_tlu_ctl.scala 1970:34] + mdccmect_inc <= _T_562 @[el2_dec_tlu_ctl.scala 1970:16] + node _T_563 = bits(wr_mdccmect_r, 0, 0) @[el2_dec_tlu_ctl.scala 1971:46] + node _T_564 = bits(io.dec_csr_wrdata_r, 26, 0) @[el2_dec_tlu_ctl.scala 1971:86] + node _T_565 = cat(csr_sat, _T_564) @[Cat.scala 29:58] + node _T_566 = bits(mdccmect, 31, 27) @[el2_dec_tlu_ctl.scala 1971:108] + node _T_567 = cat(_T_566, mdccmect_inc) @[Cat.scala 29:58] + node mdccmect_ns = mux(_T_563, _T_565, _T_567) @[el2_dec_tlu_ctl.scala 1971:31] + node _T_568 = or(wr_mdccmect_r, io.lsu_single_ecc_error_r_d1) @[el2_dec_tlu_ctl.scala 1973:50] + node _T_569 = bits(_T_568, 0, 0) @[el2_dec_tlu_ctl.scala 1973:82] + inst rvclkhdr_14 of rvclkhdr_22 @[el2_lib.scala 485:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_14.io.en <= _T_569 @[el2_lib.scala 488:17] + rvclkhdr_14.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_570 : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_570 <= mdccmect_ns @[el2_lib.scala 491:16] + mdccmect <= _T_570 @[el2_dec_tlu_ctl.scala 1973:12] + node _T_571 = bits(mdccmect, 31, 27) @[el2_dec_tlu_ctl.scala 1975:53] + node _T_572 = dshl(UInt<32>("h0ffffffff"), _T_571) @[el2_dec_tlu_ctl.scala 1975:42] + node _T_573 = bits(mdccmect, 26, 0) @[el2_dec_tlu_ctl.scala 1975:86] + node _T_574 = cat(UInt<5>("h00"), _T_573) @[Cat.scala 29:58] + node _T_575 = and(_T_572, _T_574) @[el2_dec_tlu_ctl.scala 1975:62] + node _T_576 = orr(_T_575) @[el2_dec_tlu_ctl.scala 1975:95] + mdccme_ce_req <= _T_576 @[el2_dec_tlu_ctl.scala 1975:17] + node _T_577 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1985:63] + node _T_578 = eq(_T_577, UInt<12>("h07ce")) @[el2_dec_tlu_ctl.scala 1985:70] + node wr_mfdht_r = and(io.dec_csr_wen_r_mod, _T_578) @[el2_dec_tlu_ctl.scala 1985:41] + node _T_579 = bits(wr_mfdht_r, 0, 0) @[el2_dec_tlu_ctl.scala 1987:33] + node _T_580 = bits(io.dec_csr_wrdata_r, 5, 0) @[el2_dec_tlu_ctl.scala 1987:60] + node mfdht_ns = mux(_T_579, _T_580, mfdht) @[el2_dec_tlu_ctl.scala 1987:21] + reg _T_581 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 1989:44] + _T_581 <= mfdht_ns @[el2_dec_tlu_ctl.scala 1989:44] + mfdht <= _T_581 @[el2_dec_tlu_ctl.scala 1989:9] + node _T_582 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 1998:63] + node _T_583 = eq(_T_582, UInt<12>("h07cf")) @[el2_dec_tlu_ctl.scala 1998:70] + node wr_mfdhs_r = and(io.dec_csr_wen_r_mod, _T_583) @[el2_dec_tlu_ctl.scala 1998:41] + node _T_584 = bits(wr_mfdhs_r, 0, 0) @[el2_dec_tlu_ctl.scala 2000:33] + node _T_585 = bits(io.dec_csr_wrdata_r, 1, 0) @[el2_dec_tlu_ctl.scala 2000:61] + node _T_586 = not(io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2001:30] + node _T_587 = and(io.dbg_tlu_halted, _T_586) @[el2_dec_tlu_ctl.scala 2001:28] + node _T_588 = bits(_T_587, 0, 0) @[el2_dec_tlu_ctl.scala 2001:52] + node _T_589 = not(io.lsu_idle_any_f) @[el2_dec_tlu_ctl.scala 2001:65] + node _T_590 = not(io.ifu_miss_state_idle_f) @[el2_dec_tlu_ctl.scala 2001:85] + node _T_591 = cat(_T_589, _T_590) @[Cat.scala 29:58] + node _T_592 = mux(_T_588, _T_591, mfdhs) @[el2_dec_tlu_ctl.scala 2001:8] + node mfdhs_ns = mux(_T_584, _T_585, _T_592) @[el2_dec_tlu_ctl.scala 2000:21] + node _T_593 = or(wr_mfdhs_r, io.dbg_tlu_halted) @[el2_dec_tlu_ctl.scala 2003:72] + node _T_594 = bits(_T_593, 0, 0) @[el2_dec_tlu_ctl.scala 2003:93] + reg _T_595 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_594 : @[Reg.scala 28:19] + _T_595 <= mfdhs_ns @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mfdhs <= _T_595 @[el2_dec_tlu_ctl.scala 2003:9] + node _T_596 = bits(io.debug_halt_req_f, 0, 0) @[el2_dec_tlu_ctl.scala 2005:48] + node _T_597 = add(force_halt_ctr_f, UInt<32>("h01")) @[el2_dec_tlu_ctl.scala 2005:75] + node _T_598 = tail(_T_597, 1) @[el2_dec_tlu_ctl.scala 2005:75] + node _T_599 = bits(io.dbg_tlu_halted_f, 0, 0) @[el2_dec_tlu_ctl.scala 2006:29] + node _T_600 = mux(_T_599, UInt<32>("h00"), force_halt_ctr_f) @[el2_dec_tlu_ctl.scala 2006:8] + node force_halt_ctr = mux(_T_596, _T_598, _T_600) @[el2_dec_tlu_ctl.scala 2005:27] + node _T_601 = bits(mfdht, 0, 0) @[el2_dec_tlu_ctl.scala 2008:82] + reg _T_602 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_601 : @[Reg.scala 28:19] + _T_602 <= force_halt_ctr @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + force_halt_ctr_f <= _T_602 @[el2_dec_tlu_ctl.scala 2008:20] + node _T_603 = bits(mfdht, 0, 0) @[el2_dec_tlu_ctl.scala 2010:25] + node _T_604 = bits(mfdht, 5, 1) @[el2_dec_tlu_ctl.scala 2010:80] + node _T_605 = dshl(UInt<32>("h0ffffffff"), _T_604) @[el2_dec_tlu_ctl.scala 2010:72] + node _T_606 = and(force_halt_ctr_f, _T_605) @[el2_dec_tlu_ctl.scala 2010:49] + node _T_607 = orr(_T_606) @[el2_dec_tlu_ctl.scala 2010:88] + node _T_608 = and(_T_603, _T_607) @[el2_dec_tlu_ctl.scala 2010:29] + io.force_halt <= _T_608 @[el2_dec_tlu_ctl.scala 2010:17] + node _T_609 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2018:63] + node _T_610 = eq(_T_609, UInt<12>("h0bc8")) @[el2_dec_tlu_ctl.scala 2018:70] + node wr_meivt_r = and(io.dec_csr_wen_r_mod, _T_610) @[el2_dec_tlu_ctl.scala 2018:41] + node _T_611 = bits(io.dec_csr_wrdata_r, 31, 10) @[el2_dec_tlu_ctl.scala 2020:41] + node _T_612 = bits(wr_meivt_r, 0, 0) @[el2_dec_tlu_ctl.scala 2020:60] + inst rvclkhdr_15 of rvclkhdr_23 @[el2_lib.scala 485:23] + rvclkhdr_15.clock <= clock + rvclkhdr_15.reset <= reset + rvclkhdr_15.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_15.io.en <= _T_612 @[el2_lib.scala 488:17] + rvclkhdr_15.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg meivt : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + meivt <= _T_611 @[el2_lib.scala 491:16] + node _T_613 = bits(wr_meicpct_r, 0, 0) @[el2_dec_tlu_ctl.scala 2032:50] + inst rvclkhdr_16 of rvclkhdr_24 @[el2_lib.scala 485:23] + rvclkhdr_16.clock <= clock + rvclkhdr_16.reset <= reset + rvclkhdr_16.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_16.io.en <= _T_613 @[el2_lib.scala 488:17] + rvclkhdr_16.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg meihap : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + meihap <= io.pic_claimid @[el2_lib.scala 491:16] + node _T_614 = cat(meivt, meihap) @[Cat.scala 29:58] + node _T_615 = cat(_T_614, UInt<2>("h00")) @[Cat.scala 29:58] + io.dec_tlu_meihap <= _T_615 @[el2_dec_tlu_ctl.scala 2033:21] + node _T_616 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2042:66] + node _T_617 = eq(_T_616, UInt<12>("h0bcc")) @[el2_dec_tlu_ctl.scala 2042:73] + node wr_meicurpl_r = and(io.dec_csr_wen_r_mod, _T_617) @[el2_dec_tlu_ctl.scala 2042:44] + node _T_618 = bits(wr_meicurpl_r, 0, 0) @[el2_dec_tlu_ctl.scala 2043:39] + node _T_619 = bits(io.dec_csr_wrdata_r, 3, 0) @[el2_dec_tlu_ctl.scala 2043:66] + node meicurpl_ns = mux(_T_618, _T_619, meicurpl) @[el2_dec_tlu_ctl.scala 2043:24] + reg _T_620 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2045:47] + _T_620 <= meicurpl_ns @[el2_dec_tlu_ctl.scala 2045:47] + meicurpl <= _T_620 @[el2_dec_tlu_ctl.scala 2045:12] + io.dec_tlu_meicurpl <= meicurpl @[el2_dec_tlu_ctl.scala 2047:23] + node _T_621 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2057:67] + node _T_622 = eq(_T_621, UInt<12>("h0bcb")) @[el2_dec_tlu_ctl.scala 2057:74] + node _T_623 = and(io.dec_csr_wen_r_mod, _T_622) @[el2_dec_tlu_ctl.scala 2057:45] + node wr_meicidpl_r = or(_T_623, io.take_ext_int_start) @[el2_dec_tlu_ctl.scala 2057:89] + node _T_624 = bits(wr_meicpct_r, 0, 0) @[el2_dec_tlu_ctl.scala 2059:38] + node _T_625 = bits(wr_meicidpl_r, 0, 0) @[el2_dec_tlu_ctl.scala 2060:23] + node _T_626 = bits(io.dec_csr_wrdata_r, 3, 0) @[el2_dec_tlu_ctl.scala 2060:50] + node _T_627 = mux(_T_625, _T_626, meicidpl) @[el2_dec_tlu_ctl.scala 2060:8] + node meicidpl_ns = mux(_T_624, io.pic_pl, _T_627) @[el2_dec_tlu_ctl.scala 2059:24] + reg _T_628 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2062:45] + _T_628 <= meicidpl_ns @[el2_dec_tlu_ctl.scala 2062:45] + meicidpl <= _T_628 @[el2_dec_tlu_ctl.scala 2062:12] + node _T_629 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2069:63] + node _T_630 = eq(_T_629, UInt<12>("h0bca")) @[el2_dec_tlu_ctl.scala 2069:70] + node _T_631 = and(io.dec_csr_wen_r_mod, _T_630) @[el2_dec_tlu_ctl.scala 2069:41] + node _T_632 = or(_T_631, io.take_ext_int_start) @[el2_dec_tlu_ctl.scala 2069:84] + wr_meicpct_r <= _T_632 @[el2_dec_tlu_ctl.scala 2069:16] + node _T_633 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2078:63] + node _T_634 = eq(_T_633, UInt<12>("h0bc9")) @[el2_dec_tlu_ctl.scala 2078:70] + node wr_meipt_r = and(io.dec_csr_wen_r_mod, _T_634) @[el2_dec_tlu_ctl.scala 2078:41] + node _T_635 = bits(wr_meipt_r, 0, 0) @[el2_dec_tlu_ctl.scala 2079:33] + node _T_636 = bits(io.dec_csr_wrdata_r, 3, 0) @[el2_dec_tlu_ctl.scala 2079:60] + node meipt_ns = mux(_T_635, _T_636, meipt) @[el2_dec_tlu_ctl.scala 2079:21] + reg _T_637 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2081:44] + _T_637 <= meipt_ns @[el2_dec_tlu_ctl.scala 2081:44] + meipt <= _T_637 @[el2_dec_tlu_ctl.scala 2081:9] + io.dec_tlu_meipt <= meipt @[el2_dec_tlu_ctl.scala 2083:20] + node _T_638 = and(io.trigger_hit_r_d1, io.dcsr_single_step_done_f) @[el2_dec_tlu_ctl.scala 2109:90] + node trigger_hit_for_dscr_cause_r_d1 = or(io.trigger_hit_dmode_r_d1, _T_638) @[el2_dec_tlu_ctl.scala 2109:67] + node _T_639 = not(io.ebreak_to_debug_mode_r_d1) @[el2_dec_tlu_ctl.scala 2112:35] + node _T_640 = and(io.dcsr_single_step_done_f, _T_639) @[el2_dec_tlu_ctl.scala 2112:33] + node _T_641 = not(trigger_hit_for_dscr_cause_r_d1) @[el2_dec_tlu_ctl.scala 2112:67] + node _T_642 = and(_T_640, _T_641) @[el2_dec_tlu_ctl.scala 2112:65] + node _T_643 = not(io.debug_halt_req) @[el2_dec_tlu_ctl.scala 2112:102] + node _T_644 = and(_T_642, _T_643) @[el2_dec_tlu_ctl.scala 2112:100] + node _T_645 = bits(_T_644, 0, 0) @[el2_dec_tlu_ctl.scala 2112:122] + node _T_646 = not(io.ebreak_to_debug_mode_r_d1) @[el2_dec_tlu_ctl.scala 2113:26] + node _T_647 = and(io.debug_halt_req, _T_646) @[el2_dec_tlu_ctl.scala 2113:24] + node _T_648 = not(trigger_hit_for_dscr_cause_r_d1) @[el2_dec_tlu_ctl.scala 2113:58] + node _T_649 = and(_T_647, _T_648) @[el2_dec_tlu_ctl.scala 2113:56] + node _T_650 = bits(_T_649, 0, 0) @[el2_dec_tlu_ctl.scala 2113:92] + node _T_651 = not(trigger_hit_for_dscr_cause_r_d1) @[el2_dec_tlu_ctl.scala 2114:37] + node _T_652 = and(io.ebreak_to_debug_mode_r_d1, _T_651) @[el2_dec_tlu_ctl.scala 2114:35] + node _T_653 = bits(_T_652, 0, 0) @[el2_dec_tlu_ctl.scala 2114:71] + node _T_654 = bits(trigger_hit_for_dscr_cause_r_d1, 0, 0) @[el2_dec_tlu_ctl.scala 2115:39] + node _T_655 = mux(_T_645, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_656 = mux(_T_650, UInt<3>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_657 = mux(_T_653, UInt<3>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_658 = mux(_T_654, UInt<3>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_659 = or(_T_655, _T_656) @[Mux.scala 27:72] + node _T_660 = or(_T_659, _T_657) @[Mux.scala 27:72] + node _T_661 = or(_T_660, _T_658) @[Mux.scala 27:72] + wire dcsr_cause : UInt<3> @[Mux.scala 27:72] + dcsr_cause <= _T_661 @[Mux.scala 27:72] + node _T_662 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2117:47] + node _T_663 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2117:92] + node _T_664 = eq(_T_663, UInt<12>("h07b0")) @[el2_dec_tlu_ctl.scala 2117:99] + node wr_dcsr_r = and(_T_662, _T_664) @[el2_dec_tlu_ctl.scala 2117:70] + node _T_665 = bits(io.dcsr, 8, 6) @[el2_dec_tlu_ctl.scala 2123:70] + node _T_666 = eq(_T_665, UInt<3>("h03")) @[el2_dec_tlu_ctl.scala 2123:76] + node dcsr_cause_upgradeable = and(io.internal_dbg_halt_mode_f, _T_666) @[el2_dec_tlu_ctl.scala 2123:60] + node _T_667 = not(io.dbg_tlu_halted) @[el2_dec_tlu_ctl.scala 2124:60] + node _T_668 = or(_T_667, dcsr_cause_upgradeable) @[el2_dec_tlu_ctl.scala 2124:79] + node enter_debug_halt_req_le = and(io.enter_debug_halt_req, _T_668) @[el2_dec_tlu_ctl.scala 2124:57] + node nmi_in_debug_mode = and(io.nmi_int_detected_f, io.internal_dbg_halt_mode_f) @[el2_dec_tlu_ctl.scala 2126:49] + node _T_669 = bits(enter_debug_halt_req_le, 0, 0) @[el2_dec_tlu_ctl.scala 2127:45] + node _T_670 = bits(io.dcsr, 15, 9) @[el2_dec_tlu_ctl.scala 2127:65] + node _T_671 = bits(io.dcsr, 5, 2) @[el2_dec_tlu_ctl.scala 2127:92] + node _T_672 = cat(_T_671, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_673 = cat(_T_670, dcsr_cause) @[Cat.scala 29:58] + node _T_674 = cat(_T_673, _T_672) @[Cat.scala 29:58] + node _T_675 = bits(wr_dcsr_r, 0, 0) @[el2_dec_tlu_ctl.scala 2128:19] + node _T_676 = bits(io.dec_csr_wrdata_r, 15, 15) @[el2_dec_tlu_ctl.scala 2128:50] + node _T_677 = bits(io.dec_csr_wrdata_r, 11, 10) @[el2_dec_tlu_ctl.scala 2128:85] + node _T_678 = bits(io.dcsr, 8, 6) @[el2_dec_tlu_ctl.scala 2128:111] + node _T_679 = bits(io.dcsr, 3, 3) @[el2_dec_tlu_ctl.scala 2128:155] + node _T_680 = or(nmi_in_debug_mode, _T_679) @[el2_dec_tlu_ctl.scala 2128:146] + node _T_681 = bits(io.dec_csr_wrdata_r, 2, 2) @[el2_dec_tlu_ctl.scala 2128:179] + node _T_682 = cat(_T_681, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_683 = cat(UInt<2>("h00"), _T_680) @[Cat.scala 29:58] + node _T_684 = cat(_T_683, _T_682) @[Cat.scala 29:58] + node _T_685 = cat(UInt<1>("h00"), _T_678) @[Cat.scala 29:58] + node _T_686 = cat(_T_676, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_687 = cat(_T_686, _T_677) @[Cat.scala 29:58] + node _T_688 = cat(_T_687, _T_685) @[Cat.scala 29:58] + node _T_689 = cat(_T_688, _T_684) @[Cat.scala 29:58] + node _T_690 = bits(io.dcsr, 15, 4) @[el2_dec_tlu_ctl.scala 2128:212] + node _T_691 = bits(io.dcsr, 2, 2) @[el2_dec_tlu_ctl.scala 2128:246] + node _T_692 = cat(_T_691, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_693 = cat(_T_690, nmi_in_debug_mode) @[Cat.scala 29:58] + node _T_694 = cat(_T_693, _T_692) @[Cat.scala 29:58] + node _T_695 = mux(_T_675, _T_689, _T_694) @[el2_dec_tlu_ctl.scala 2128:8] + node dcsr_ns = mux(_T_669, _T_674, _T_695) @[el2_dec_tlu_ctl.scala 2127:20] + node _T_696 = or(enter_debug_halt_req_le, wr_dcsr_r) @[el2_dec_tlu_ctl.scala 2130:55] + node _T_697 = or(_T_696, io.internal_dbg_halt_mode) @[el2_dec_tlu_ctl.scala 2130:67] + node _T_698 = or(_T_697, io.take_nmi) @[el2_dec_tlu_ctl.scala 2130:95] + node _T_699 = bits(_T_698, 0, 0) @[el2_dec_tlu_ctl.scala 2130:110] + inst rvclkhdr_17 of rvclkhdr_25 @[el2_lib.scala 485:23] + rvclkhdr_17.clock <= clock + rvclkhdr_17.reset <= reset + rvclkhdr_17.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_17.io.en <= _T_699 @[el2_lib.scala 488:17] + rvclkhdr_17.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_700 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_700 <= dcsr_ns @[el2_lib.scala 491:16] + io.dcsr <= _T_700 @[el2_dec_tlu_ctl.scala 2130:11] + node _T_701 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2138:46] + node _T_702 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2138:91] + node _T_703 = eq(_T_702, UInt<12>("h07b1")) @[el2_dec_tlu_ctl.scala 2138:98] + node wr_dpc_r = and(_T_701, _T_703) @[el2_dec_tlu_ctl.scala 2138:69] + node _T_704 = not(io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2139:45] + node _T_705 = and(io.dbg_tlu_halted, _T_704) @[el2_dec_tlu_ctl.scala 2139:43] + node _T_706 = not(io.request_debug_mode_done) @[el2_dec_tlu_ctl.scala 2139:68] + node dpc_capture_npc = and(_T_705, _T_706) @[el2_dec_tlu_ctl.scala 2139:66] + node _T_707 = not(io.request_debug_mode_r) @[el2_dec_tlu_ctl.scala 2143:6] + node _T_708 = not(dpc_capture_npc) @[el2_dec_tlu_ctl.scala 2143:24] + node _T_709 = and(_T_707, _T_708) @[el2_dec_tlu_ctl.scala 2143:22] + node _T_710 = and(_T_709, wr_dpc_r) @[el2_dec_tlu_ctl.scala 2143:41] + node _T_711 = bits(_T_710, 0, 0) @[el2_dec_tlu_ctl.scala 2143:53] + node _T_712 = bits(io.dec_csr_wrdata_r, 31, 1) @[el2_dec_tlu_ctl.scala 2143:82] + node _T_713 = bits(io.request_debug_mode_r, 0, 0) @[el2_dec_tlu_ctl.scala 2144:22] + node _T_714 = not(io.request_debug_mode_r) @[el2_dec_tlu_ctl.scala 2145:6] + node _T_715 = and(_T_714, dpc_capture_npc) @[el2_dec_tlu_ctl.scala 2145:22] + node _T_716 = bits(_T_715, 0, 0) @[el2_dec_tlu_ctl.scala 2145:41] + node _T_717 = mux(_T_711, _T_712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_718 = mux(_T_713, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_719 = mux(_T_716, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_720 = or(_T_717, _T_718) @[Mux.scala 27:72] + node _T_721 = or(_T_720, _T_719) @[Mux.scala 27:72] + wire dpc_ns : UInt<31> @[Mux.scala 27:72] + dpc_ns <= _T_721 @[Mux.scala 27:72] + node _T_722 = or(wr_dpc_r, io.request_debug_mode_r) @[el2_dec_tlu_ctl.scala 2147:37] + node _T_723 = or(_T_722, dpc_capture_npc) @[el2_dec_tlu_ctl.scala 2147:54] + node _T_724 = bits(_T_723, 0, 0) @[el2_dec_tlu_ctl.scala 2147:73] + inst rvclkhdr_18 of rvclkhdr_26 @[el2_lib.scala 485:23] + rvclkhdr_18.clock <= clock + rvclkhdr_18.reset <= reset + rvclkhdr_18.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_18.io.en <= _T_724 @[el2_lib.scala 488:17] + rvclkhdr_18.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_725 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_725 <= dpc_ns @[el2_lib.scala 491:16] + io.dpc <= _T_725 @[el2_dec_tlu_ctl.scala 2147:10] + node _T_726 = bits(io.dec_csr_wrdata_r, 24, 24) @[el2_dec_tlu_ctl.scala 2161:44] + node _T_727 = bits(io.dec_csr_wrdata_r, 21, 20) @[el2_dec_tlu_ctl.scala 2161:69] + node _T_728 = bits(io.dec_csr_wrdata_r, 16, 3) @[el2_dec_tlu_ctl.scala 2161:97] + node _T_729 = cat(_T_726, _T_727) @[Cat.scala 29:58] + node dicawics_ns = cat(_T_729, _T_728) @[Cat.scala 29:58] + node _T_730 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2162:51] + node _T_731 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2162:96] + node _T_732 = eq(_T_731, UInt<12>("h07c8")) @[el2_dec_tlu_ctl.scala 2162:103] + node wr_dicawics_r = and(_T_730, _T_732) @[el2_dec_tlu_ctl.scala 2162:74] + node _T_733 = bits(wr_dicawics_r, 0, 0) @[el2_dec_tlu_ctl.scala 2164:51] + inst rvclkhdr_19 of rvclkhdr_27 @[el2_lib.scala 485:23] + rvclkhdr_19.clock <= clock + rvclkhdr_19.reset <= reset + rvclkhdr_19.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_19.io.en <= _T_733 @[el2_lib.scala 488:17] + rvclkhdr_19.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg dicawics : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + dicawics <= dicawics_ns @[el2_lib.scala 491:16] + node _T_734 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2180:49] + node _T_735 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2180:94] + node _T_736 = eq(_T_735, UInt<12>("h07c9")) @[el2_dec_tlu_ctl.scala 2180:101] + node wr_dicad0_r = and(_T_734, _T_736) @[el2_dec_tlu_ctl.scala 2180:72] + node _T_737 = bits(wr_dicad0_r, 0, 0) @[el2_dec_tlu_ctl.scala 2181:35] + node dicad0_ns = mux(_T_737, io.dec_csr_wrdata_r, io.ifu_ic_debug_rd_data) @[el2_dec_tlu_ctl.scala 2181:22] + node _T_738 = or(wr_dicad0_r, io.ifu_ic_debug_rd_data_valid) @[el2_dec_tlu_ctl.scala 2183:47] + node _T_739 = bits(_T_738, 0, 0) @[el2_dec_tlu_ctl.scala 2183:80] + inst rvclkhdr_20 of rvclkhdr_28 @[el2_lib.scala 485:23] + rvclkhdr_20.clock <= clock + rvclkhdr_20.reset <= reset + rvclkhdr_20.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_20.io.en <= _T_739 @[el2_lib.scala 488:17] + rvclkhdr_20.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg dicad0 : UInt, rvclkhdr_20.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + dicad0 <= dicad0_ns @[el2_lib.scala 491:16] + node _T_740 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2193:50] + node _T_741 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2193:95] + node _T_742 = eq(_T_741, UInt<12>("h07cc")) @[el2_dec_tlu_ctl.scala 2193:102] + node wr_dicad0h_r = and(_T_740, _T_742) @[el2_dec_tlu_ctl.scala 2193:73] + node _T_743 = bits(wr_dicad0h_r, 0, 0) @[el2_dec_tlu_ctl.scala 2195:37] + node _T_744 = bits(io.ifu_ic_debug_rd_data, 63, 32) @[el2_dec_tlu_ctl.scala 2195:89] + node dicad0h_ns = mux(_T_743, io.dec_csr_wrdata_r, _T_744) @[el2_dec_tlu_ctl.scala 2195:23] + node _T_745 = or(wr_dicad0h_r, io.ifu_ic_debug_rd_data_valid) @[el2_dec_tlu_ctl.scala 2197:49] + node _T_746 = bits(_T_745, 0, 0) @[el2_dec_tlu_ctl.scala 2197:82] + inst rvclkhdr_21 of rvclkhdr_29 @[el2_lib.scala 485:23] + rvclkhdr_21.clock <= clock + rvclkhdr_21.reset <= reset + rvclkhdr_21.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_21.io.en <= _T_746 @[el2_lib.scala 488:17] + rvclkhdr_21.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg dicad0h : UInt, rvclkhdr_21.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + dicad0h <= dicad0h_ns @[el2_lib.scala 491:16] + wire _T_747 : UInt<7> + _T_747 <= UInt<1>("h00") + node _T_748 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2205:51] + node _T_749 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2205:96] + node _T_750 = eq(_T_749, UInt<12>("h07ca")) @[el2_dec_tlu_ctl.scala 2205:103] + node _T_751 = and(_T_748, _T_750) @[el2_dec_tlu_ctl.scala 2205:74] + node _T_752 = bits(_T_751, 0, 0) @[el2_dec_tlu_ctl.scala 2207:37] + node _T_753 = bits(io.ifu_ic_debug_rd_data, 70, 64) @[el2_dec_tlu_ctl.scala 2207:89] + node _T_754 = mux(_T_752, io.dec_csr_wrdata_r, _T_753) @[el2_dec_tlu_ctl.scala 2207:24] + node _T_755 = or(_T_751, io.ifu_ic_debug_rd_data_valid) @[el2_dec_tlu_ctl.scala 2209:81] + node _T_756 = bits(_T_755, 0, 0) @[el2_dec_tlu_ctl.scala 2209:114] + reg _T_757 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_756 : @[Reg.scala 28:19] + _T_757 <= _T_754 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + _T_747 <= _T_757 @[el2_dec_tlu_ctl.scala 2209:16] + node _T_758 = cat(UInt<25>("h00"), _T_747) @[Cat.scala 29:58] + dicad1 <= _T_758 @[el2_dec_tlu_ctl.scala 2210:12] + node _T_759 = bits(dicad1, 6, 0) @[el2_dec_tlu_ctl.scala 2232:78] + node _T_760 = bits(dicad0h, 31, 0) @[el2_dec_tlu_ctl.scala 2232:92] + node _T_761 = bits(dicad0, 31, 0) @[el2_dec_tlu_ctl.scala 2232:106] + node _T_762 = cat(_T_759, _T_760) @[Cat.scala 29:58] + node _T_763 = cat(_T_762, _T_761) @[Cat.scala 29:58] + io.dec_tlu_ic_diag_pkt.icache_wrdata <= _T_763 @[el2_dec_tlu_ctl.scala 2232:65] + io.dec_tlu_ic_diag_pkt.icache_dicawics <= dicawics @[el2_dec_tlu_ctl.scala 2235:42] + node _T_764 = and(io.allow_dbg_halt_csr_write, io.dec_csr_any_unq_d) @[el2_dec_tlu_ctl.scala 2237:53] + node _T_765 = and(_T_764, io.dec_i0_decode_d) @[el2_dec_tlu_ctl.scala 2237:76] + node _T_766 = not(io.dec_csr_wen_unq_d) @[el2_dec_tlu_ctl.scala 2237:99] + node _T_767 = and(_T_765, _T_766) @[el2_dec_tlu_ctl.scala 2237:97] + node _T_768 = bits(io.dec_csr_rdaddr_d, 11, 0) @[el2_dec_tlu_ctl.scala 2237:143] + node _T_769 = eq(_T_768, UInt<12>("h07cb")) @[el2_dec_tlu_ctl.scala 2237:150] + node icache_rd_valid = and(_T_767, _T_769) @[el2_dec_tlu_ctl.scala 2237:121] + node _T_770 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[el2_dec_tlu_ctl.scala 2238:53] + node _T_771 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2238:98] + node _T_772 = eq(_T_771, UInt<12>("h07cb")) @[el2_dec_tlu_ctl.scala 2238:105] + node icache_wr_valid = and(_T_770, _T_772) @[el2_dec_tlu_ctl.scala 2238:76] + reg icache_rd_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2240:59] + icache_rd_valid_f <= icache_rd_valid @[el2_dec_tlu_ctl.scala 2240:59] + reg icache_wr_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2241:59] + icache_wr_valid_f <= icache_wr_valid @[el2_dec_tlu_ctl.scala 2241:59] + io.dec_tlu_ic_diag_pkt.icache_rd_valid <= icache_rd_valid_f @[el2_dec_tlu_ctl.scala 2243:42] + io.dec_tlu_ic_diag_pkt.icache_wr_valid <= icache_wr_valid_f @[el2_dec_tlu_ctl.scala 2244:42] + node _T_773 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2252:63] + node _T_774 = eq(_T_773, UInt<12>("h07a0")) @[el2_dec_tlu_ctl.scala 2252:70] + node wr_mtsel_r = and(io.dec_csr_wen_r_mod, _T_774) @[el2_dec_tlu_ctl.scala 2252:41] + node _T_775 = bits(wr_mtsel_r, 0, 0) @[el2_dec_tlu_ctl.scala 2253:33] + node _T_776 = bits(io.dec_csr_wrdata_r, 1, 0) @[el2_dec_tlu_ctl.scala 2253:60] + node mtsel_ns = mux(_T_775, _T_776, mtsel) @[el2_dec_tlu_ctl.scala 2253:21] + reg _T_777 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2255:44] + _T_777 <= mtsel_ns @[el2_dec_tlu_ctl.scala 2255:44] + mtsel <= _T_777 @[el2_dec_tlu_ctl.scala 2255:9] + node _T_778 = bits(io.dec_csr_wrdata_r, 0, 0) @[el2_dec_tlu_ctl.scala 2290:39] + node _T_779 = bits(io.dec_csr_wrdata_r, 19, 19) @[el2_dec_tlu_ctl.scala 2290:65] + node _T_780 = not(_T_779) @[el2_dec_tlu_ctl.scala 2290:45] + node tdata_load = and(_T_778, _T_780) @[el2_dec_tlu_ctl.scala 2290:43] + node _T_781 = bits(io.dec_csr_wrdata_r, 2, 2) @[el2_dec_tlu_ctl.scala 2292:41] + node _T_782 = bits(io.dec_csr_wrdata_r, 19, 19) @[el2_dec_tlu_ctl.scala 2292:67] + node _T_783 = not(_T_782) @[el2_dec_tlu_ctl.scala 2292:47] + node tdata_opcode = and(_T_781, _T_783) @[el2_dec_tlu_ctl.scala 2292:45] + node _T_784 = bits(io.dec_csr_wrdata_r, 27, 27) @[el2_dec_tlu_ctl.scala 2294:42] + node _T_785 = and(_T_784, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2294:47] + node _T_786 = bits(io.dec_csr_wrdata_r, 12, 12) @[el2_dec_tlu_ctl.scala 2294:91] + node tdata_action = and(_T_785, _T_786) @[el2_dec_tlu_ctl.scala 2294:70] + node _T_787 = bits(io.dec_csr_wrdata_r, 27, 27) @[el2_dec_tlu_ctl.scala 2296:48] + node _T_788 = and(_T_787, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2296:53] + node _T_789 = bits(io.dec_csr_wrdata_r, 20, 19) @[el2_dec_tlu_ctl.scala 2296:95] + node _T_790 = bits(io.dec_csr_wrdata_r, 11, 11) @[el2_dec_tlu_ctl.scala 2296:137] + node _T_791 = bits(io.dec_csr_wrdata_r, 7, 6) @[el2_dec_tlu_ctl.scala 2297:24] + node _T_792 = bits(io.dec_csr_wrdata_r, 1, 1) @[el2_dec_tlu_ctl.scala 2297:64] + node _T_793 = cat(_T_792, tdata_load) @[Cat.scala 29:58] + node _T_794 = cat(_T_791, tdata_opcode) @[Cat.scala 29:58] + node _T_795 = cat(_T_794, _T_793) @[Cat.scala 29:58] + node _T_796 = cat(tdata_action, _T_790) @[Cat.scala 29:58] + node _T_797 = cat(_T_788, _T_789) @[Cat.scala 29:58] + node _T_798 = cat(_T_797, _T_796) @[Cat.scala 29:58] + node tdata_wrdata_r = cat(_T_798, _T_795) @[Cat.scala 29:58] + node _T_799 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2300:93] + node _T_800 = eq(_T_799, UInt<12>("h07a1")) @[el2_dec_tlu_ctl.scala 2300:100] + node _T_801 = and(io.dec_csr_wen_r_mod, _T_800) @[el2_dec_tlu_ctl.scala 2300:71] + node _T_802 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2300:122] + node _T_803 = and(_T_801, _T_802) @[el2_dec_tlu_ctl.scala 2300:113] + node _T_804 = bits(io.mtdata1_t[0], 9, 9) @[el2_dec_tlu_ctl.scala 2300:155] + node _T_805 = not(_T_804) @[el2_dec_tlu_ctl.scala 2300:139] + node _T_806 = or(_T_805, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2300:171] + node _T_807 = and(_T_803, _T_806) @[el2_dec_tlu_ctl.scala 2300:136] + node _T_808 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2300:93] + node _T_809 = eq(_T_808, UInt<12>("h07a1")) @[el2_dec_tlu_ctl.scala 2300:100] + node _T_810 = and(io.dec_csr_wen_r_mod, _T_809) @[el2_dec_tlu_ctl.scala 2300:71] + node _T_811 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2300:122] + node _T_812 = and(_T_810, _T_811) @[el2_dec_tlu_ctl.scala 2300:113] + node _T_813 = bits(io.mtdata1_t[1], 9, 9) @[el2_dec_tlu_ctl.scala 2300:155] + node _T_814 = not(_T_813) @[el2_dec_tlu_ctl.scala 2300:139] + node _T_815 = or(_T_814, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2300:171] + node _T_816 = and(_T_812, _T_815) @[el2_dec_tlu_ctl.scala 2300:136] + node _T_817 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2300:93] + node _T_818 = eq(_T_817, UInt<12>("h07a1")) @[el2_dec_tlu_ctl.scala 2300:100] + node _T_819 = and(io.dec_csr_wen_r_mod, _T_818) @[el2_dec_tlu_ctl.scala 2300:71] + node _T_820 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2300:122] + node _T_821 = and(_T_819, _T_820) @[el2_dec_tlu_ctl.scala 2300:113] + node _T_822 = bits(io.mtdata1_t[2], 9, 9) @[el2_dec_tlu_ctl.scala 2300:155] + node _T_823 = not(_T_822) @[el2_dec_tlu_ctl.scala 2300:139] + node _T_824 = or(_T_823, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2300:171] + node _T_825 = and(_T_821, _T_824) @[el2_dec_tlu_ctl.scala 2300:136] + node _T_826 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2300:93] + node _T_827 = eq(_T_826, UInt<12>("h07a1")) @[el2_dec_tlu_ctl.scala 2300:100] + node _T_828 = and(io.dec_csr_wen_r_mod, _T_827) @[el2_dec_tlu_ctl.scala 2300:71] + node _T_829 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2300:122] + node _T_830 = and(_T_828, _T_829) @[el2_dec_tlu_ctl.scala 2300:113] + node _T_831 = bits(io.mtdata1_t[3], 9, 9) @[el2_dec_tlu_ctl.scala 2300:155] + node _T_832 = not(_T_831) @[el2_dec_tlu_ctl.scala 2300:139] + node _T_833 = or(_T_832, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2300:171] + node _T_834 = and(_T_830, _T_833) @[el2_dec_tlu_ctl.scala 2300:136] + wire wr_mtdata1_t_r : UInt<1>[4] @[el2_dec_tlu_ctl.scala 2300:43] + wr_mtdata1_t_r[0] <= _T_807 @[el2_dec_tlu_ctl.scala 2300:43] + wr_mtdata1_t_r[1] <= _T_816 @[el2_dec_tlu_ctl.scala 2300:43] + wr_mtdata1_t_r[2] <= _T_825 @[el2_dec_tlu_ctl.scala 2300:43] + wr_mtdata1_t_r[3] <= _T_834 @[el2_dec_tlu_ctl.scala 2300:43] + node _T_835 = bits(wr_mtdata1_t_r[0], 0, 0) @[el2_dec_tlu_ctl.scala 2301:69] + node _T_836 = bits(io.mtdata1_t[0], 9, 9) @[el2_dec_tlu_ctl.scala 2301:112] + node _T_837 = bits(io.update_hit_bit_r, 0, 0) @[el2_dec_tlu_ctl.scala 2301:136] + node _T_838 = bits(io.mtdata1_t[0], 8, 8) @[el2_dec_tlu_ctl.scala 2301:157] + node _T_839 = or(_T_837, _T_838) @[el2_dec_tlu_ctl.scala 2301:140] + node _T_840 = bits(io.mtdata1_t[0], 7, 0) @[el2_dec_tlu_ctl.scala 2301:177] + node _T_841 = cat(_T_836, _T_839) @[Cat.scala 29:58] + node _T_842 = cat(_T_841, _T_840) @[Cat.scala 29:58] + node _T_843 = mux(_T_835, tdata_wrdata_r, _T_842) @[el2_dec_tlu_ctl.scala 2301:50] + node _T_844 = bits(wr_mtdata1_t_r[1], 0, 0) @[el2_dec_tlu_ctl.scala 2301:69] + node _T_845 = bits(io.mtdata1_t[1], 9, 9) @[el2_dec_tlu_ctl.scala 2301:112] + node _T_846 = bits(io.update_hit_bit_r, 1, 1) @[el2_dec_tlu_ctl.scala 2301:136] + node _T_847 = bits(io.mtdata1_t[1], 8, 8) @[el2_dec_tlu_ctl.scala 2301:157] + node _T_848 = or(_T_846, _T_847) @[el2_dec_tlu_ctl.scala 2301:140] + node _T_849 = bits(io.mtdata1_t[1], 7, 0) @[el2_dec_tlu_ctl.scala 2301:177] + node _T_850 = cat(_T_845, _T_848) @[Cat.scala 29:58] + node _T_851 = cat(_T_850, _T_849) @[Cat.scala 29:58] + node _T_852 = mux(_T_844, tdata_wrdata_r, _T_851) @[el2_dec_tlu_ctl.scala 2301:50] + node _T_853 = bits(wr_mtdata1_t_r[2], 0, 0) @[el2_dec_tlu_ctl.scala 2301:69] + node _T_854 = bits(io.mtdata1_t[2], 9, 9) @[el2_dec_tlu_ctl.scala 2301:112] + node _T_855 = bits(io.update_hit_bit_r, 2, 2) @[el2_dec_tlu_ctl.scala 2301:136] + node _T_856 = bits(io.mtdata1_t[2], 8, 8) @[el2_dec_tlu_ctl.scala 2301:157] + node _T_857 = or(_T_855, _T_856) @[el2_dec_tlu_ctl.scala 2301:140] + node _T_858 = bits(io.mtdata1_t[2], 7, 0) @[el2_dec_tlu_ctl.scala 2301:177] + node _T_859 = cat(_T_854, _T_857) @[Cat.scala 29:58] + node _T_860 = cat(_T_859, _T_858) @[Cat.scala 29:58] + node _T_861 = mux(_T_853, tdata_wrdata_r, _T_860) @[el2_dec_tlu_ctl.scala 2301:50] + node _T_862 = bits(wr_mtdata1_t_r[3], 0, 0) @[el2_dec_tlu_ctl.scala 2301:69] + node _T_863 = bits(io.mtdata1_t[3], 9, 9) @[el2_dec_tlu_ctl.scala 2301:112] + node _T_864 = bits(io.update_hit_bit_r, 3, 3) @[el2_dec_tlu_ctl.scala 2301:136] + node _T_865 = bits(io.mtdata1_t[3], 8, 8) @[el2_dec_tlu_ctl.scala 2301:157] + node _T_866 = or(_T_864, _T_865) @[el2_dec_tlu_ctl.scala 2301:140] + node _T_867 = bits(io.mtdata1_t[3], 7, 0) @[el2_dec_tlu_ctl.scala 2301:177] + node _T_868 = cat(_T_863, _T_866) @[Cat.scala 29:58] + node _T_869 = cat(_T_868, _T_867) @[Cat.scala 29:58] + node _T_870 = mux(_T_862, tdata_wrdata_r, _T_869) @[el2_dec_tlu_ctl.scala 2301:50] + wire mtdata1_t_ns : UInt<10>[4] @[el2_dec_tlu_ctl.scala 2301:41] + mtdata1_t_ns[0] <= _T_843 @[el2_dec_tlu_ctl.scala 2301:41] + mtdata1_t_ns[1] <= _T_852 @[el2_dec_tlu_ctl.scala 2301:41] + mtdata1_t_ns[2] <= _T_861 @[el2_dec_tlu_ctl.scala 2301:41] + mtdata1_t_ns[3] <= _T_870 @[el2_dec_tlu_ctl.scala 2301:41] + reg _T_871 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2303:76] + _T_871 <= mtdata1_t_ns[0] @[el2_dec_tlu_ctl.scala 2303:76] + io.mtdata1_t[0] <= _T_871 @[el2_dec_tlu_ctl.scala 2303:41] + reg _T_872 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2303:76] + _T_872 <= mtdata1_t_ns[1] @[el2_dec_tlu_ctl.scala 2303:76] + io.mtdata1_t[1] <= _T_872 @[el2_dec_tlu_ctl.scala 2303:41] + reg _T_873 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2303:76] + _T_873 <= mtdata1_t_ns[2] @[el2_dec_tlu_ctl.scala 2303:76] + io.mtdata1_t[2] <= _T_873 @[el2_dec_tlu_ctl.scala 2303:41] + reg _T_874 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2303:76] + _T_874 <= mtdata1_t_ns[3] @[el2_dec_tlu_ctl.scala 2303:76] + io.mtdata1_t[3] <= _T_874 @[el2_dec_tlu_ctl.scala 2303:41] + node _T_875 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2306:60] + node _T_876 = bits(io.mtdata1_t[0], 9, 9) @[el2_dec_tlu_ctl.scala 2306:106] + node _T_877 = bits(io.mtdata1_t[0], 8, 7) @[el2_dec_tlu_ctl.scala 2306:144] + node _T_878 = bits(io.mtdata1_t[0], 6, 5) @[el2_dec_tlu_ctl.scala 2306:176] + node _T_879 = bits(io.mtdata1_t[0], 4, 3) @[el2_dec_tlu_ctl.scala 2306:208] + node _T_880 = bits(io.mtdata1_t[0], 2, 0) @[el2_dec_tlu_ctl.scala 2306:240] + node _T_881 = cat(UInt<3>("h00"), _T_880) @[Cat.scala 29:58] + node _T_882 = cat(_T_878, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_883 = cat(_T_882, _T_879) @[Cat.scala 29:58] + node _T_884 = cat(_T_883, _T_881) @[Cat.scala 29:58] + node _T_885 = cat(_T_877, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_886 = cat(UInt<4>("h02"), _T_876) @[Cat.scala 29:58] + node _T_887 = cat(_T_886, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_888 = cat(_T_887, _T_885) @[Cat.scala 29:58] + node _T_889 = cat(_T_888, _T_884) @[Cat.scala 29:58] + node _T_890 = eq(mtsel, UInt<2>("h01")) @[el2_dec_tlu_ctl.scala 2306:60] + node _T_891 = bits(io.mtdata1_t[1], 9, 9) @[el2_dec_tlu_ctl.scala 2306:106] + node _T_892 = bits(io.mtdata1_t[1], 8, 7) @[el2_dec_tlu_ctl.scala 2306:144] + node _T_893 = bits(io.mtdata1_t[1], 6, 5) @[el2_dec_tlu_ctl.scala 2306:176] + node _T_894 = bits(io.mtdata1_t[1], 4, 3) @[el2_dec_tlu_ctl.scala 2306:208] + node _T_895 = bits(io.mtdata1_t[1], 2, 0) @[el2_dec_tlu_ctl.scala 2306:240] + node _T_896 = cat(UInt<3>("h00"), _T_895) @[Cat.scala 29:58] + node _T_897 = cat(_T_893, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_898 = cat(_T_897, _T_894) @[Cat.scala 29:58] + node _T_899 = cat(_T_898, _T_896) @[Cat.scala 29:58] + node _T_900 = cat(_T_892, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_901 = cat(UInt<4>("h02"), _T_891) @[Cat.scala 29:58] + node _T_902 = cat(_T_901, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_903 = cat(_T_902, _T_900) @[Cat.scala 29:58] + node _T_904 = cat(_T_903, _T_899) @[Cat.scala 29:58] + node _T_905 = eq(mtsel, UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2306:60] + node _T_906 = bits(io.mtdata1_t[2], 9, 9) @[el2_dec_tlu_ctl.scala 2306:106] + node _T_907 = bits(io.mtdata1_t[2], 8, 7) @[el2_dec_tlu_ctl.scala 2306:144] + node _T_908 = bits(io.mtdata1_t[2], 6, 5) @[el2_dec_tlu_ctl.scala 2306:176] + node _T_909 = bits(io.mtdata1_t[2], 4, 3) @[el2_dec_tlu_ctl.scala 2306:208] + node _T_910 = bits(io.mtdata1_t[2], 2, 0) @[el2_dec_tlu_ctl.scala 2306:240] + node _T_911 = cat(UInt<3>("h00"), _T_910) @[Cat.scala 29:58] + node _T_912 = cat(_T_908, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_913 = cat(_T_912, _T_909) @[Cat.scala 29:58] + node _T_914 = cat(_T_913, _T_911) @[Cat.scala 29:58] + node _T_915 = cat(_T_907, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_916 = cat(UInt<4>("h02"), _T_906) @[Cat.scala 29:58] + node _T_917 = cat(_T_916, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_918 = cat(_T_917, _T_915) @[Cat.scala 29:58] + node _T_919 = cat(_T_918, _T_914) @[Cat.scala 29:58] + node _T_920 = eq(mtsel, UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2306:60] + node _T_921 = bits(io.mtdata1_t[3], 9, 9) @[el2_dec_tlu_ctl.scala 2306:106] + node _T_922 = bits(io.mtdata1_t[3], 8, 7) @[el2_dec_tlu_ctl.scala 2306:144] + node _T_923 = bits(io.mtdata1_t[3], 6, 5) @[el2_dec_tlu_ctl.scala 2306:176] + node _T_924 = bits(io.mtdata1_t[3], 4, 3) @[el2_dec_tlu_ctl.scala 2306:208] + node _T_925 = bits(io.mtdata1_t[3], 2, 0) @[el2_dec_tlu_ctl.scala 2306:240] + node _T_926 = cat(UInt<3>("h00"), _T_925) @[Cat.scala 29:58] + node _T_927 = cat(_T_923, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_928 = cat(_T_927, _T_924) @[Cat.scala 29:58] + node _T_929 = cat(_T_928, _T_926) @[Cat.scala 29:58] + node _T_930 = cat(_T_922, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_931 = cat(UInt<4>("h02"), _T_921) @[Cat.scala 29:58] + node _T_932 = cat(_T_931, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_933 = cat(_T_932, _T_930) @[Cat.scala 29:58] + node _T_934 = cat(_T_933, _T_929) @[Cat.scala 29:58] + node _T_935 = mux(_T_875, _T_889, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_936 = mux(_T_890, _T_904, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_937 = mux(_T_905, _T_919, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_938 = mux(_T_920, _T_934, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_939 = or(_T_935, _T_936) @[Mux.scala 27:72] + node _T_940 = or(_T_939, _T_937) @[Mux.scala 27:72] + node _T_941 = or(_T_940, _T_938) @[Mux.scala 27:72] + wire mtdata1_tsel_out : UInt<32> @[Mux.scala 27:72] + mtdata1_tsel_out <= _T_941 @[Mux.scala 27:72] + node _T_942 = bits(io.mtdata1_t[0], 7, 7) @[el2_dec_tlu_ctl.scala 2308:54] + io.trigger_pkt_any[0].select <= _T_942 @[el2_dec_tlu_ctl.scala 2308:36] + node _T_943 = bits(io.mtdata1_t[0], 4, 4) @[el2_dec_tlu_ctl.scala 2309:54] + io.trigger_pkt_any[0].match_ <= _T_943 @[el2_dec_tlu_ctl.scala 2309:36] + node _T_944 = bits(io.mtdata1_t[0], 1, 1) @[el2_dec_tlu_ctl.scala 2310:54] + io.trigger_pkt_any[0].store <= _T_944 @[el2_dec_tlu_ctl.scala 2310:36] + node _T_945 = bits(io.mtdata1_t[0], 0, 0) @[el2_dec_tlu_ctl.scala 2311:54] + io.trigger_pkt_any[0].load <= _T_945 @[el2_dec_tlu_ctl.scala 2311:36] + node _T_946 = bits(io.mtdata1_t[0], 2, 2) @[el2_dec_tlu_ctl.scala 2312:54] + io.trigger_pkt_any[0].execute <= _T_946 @[el2_dec_tlu_ctl.scala 2312:36] + node _T_947 = bits(io.mtdata1_t[0], 3, 3) @[el2_dec_tlu_ctl.scala 2313:54] + io.trigger_pkt_any[0].m <= _T_947 @[el2_dec_tlu_ctl.scala 2313:36] + node _T_948 = bits(io.mtdata1_t[1], 7, 7) @[el2_dec_tlu_ctl.scala 2308:54] + io.trigger_pkt_any[1].select <= _T_948 @[el2_dec_tlu_ctl.scala 2308:36] + node _T_949 = bits(io.mtdata1_t[1], 4, 4) @[el2_dec_tlu_ctl.scala 2309:54] + io.trigger_pkt_any[1].match_ <= _T_949 @[el2_dec_tlu_ctl.scala 2309:36] + node _T_950 = bits(io.mtdata1_t[1], 1, 1) @[el2_dec_tlu_ctl.scala 2310:54] + io.trigger_pkt_any[1].store <= _T_950 @[el2_dec_tlu_ctl.scala 2310:36] + node _T_951 = bits(io.mtdata1_t[1], 0, 0) @[el2_dec_tlu_ctl.scala 2311:54] + io.trigger_pkt_any[1].load <= _T_951 @[el2_dec_tlu_ctl.scala 2311:36] + node _T_952 = bits(io.mtdata1_t[1], 2, 2) @[el2_dec_tlu_ctl.scala 2312:54] + io.trigger_pkt_any[1].execute <= _T_952 @[el2_dec_tlu_ctl.scala 2312:36] + node _T_953 = bits(io.mtdata1_t[1], 3, 3) @[el2_dec_tlu_ctl.scala 2313:54] + io.trigger_pkt_any[1].m <= _T_953 @[el2_dec_tlu_ctl.scala 2313:36] + node _T_954 = bits(io.mtdata1_t[2], 7, 7) @[el2_dec_tlu_ctl.scala 2308:54] + io.trigger_pkt_any[2].select <= _T_954 @[el2_dec_tlu_ctl.scala 2308:36] + node _T_955 = bits(io.mtdata1_t[2], 4, 4) @[el2_dec_tlu_ctl.scala 2309:54] + io.trigger_pkt_any[2].match_ <= _T_955 @[el2_dec_tlu_ctl.scala 2309:36] + node _T_956 = bits(io.mtdata1_t[2], 1, 1) @[el2_dec_tlu_ctl.scala 2310:54] + io.trigger_pkt_any[2].store <= _T_956 @[el2_dec_tlu_ctl.scala 2310:36] + node _T_957 = bits(io.mtdata1_t[2], 0, 0) @[el2_dec_tlu_ctl.scala 2311:54] + io.trigger_pkt_any[2].load <= _T_957 @[el2_dec_tlu_ctl.scala 2311:36] + node _T_958 = bits(io.mtdata1_t[2], 2, 2) @[el2_dec_tlu_ctl.scala 2312:54] + io.trigger_pkt_any[2].execute <= _T_958 @[el2_dec_tlu_ctl.scala 2312:36] + node _T_959 = bits(io.mtdata1_t[2], 3, 3) @[el2_dec_tlu_ctl.scala 2313:54] + io.trigger_pkt_any[2].m <= _T_959 @[el2_dec_tlu_ctl.scala 2313:36] + node _T_960 = bits(io.mtdata1_t[3], 7, 7) @[el2_dec_tlu_ctl.scala 2308:54] + io.trigger_pkt_any[3].select <= _T_960 @[el2_dec_tlu_ctl.scala 2308:36] + node _T_961 = bits(io.mtdata1_t[3], 4, 4) @[el2_dec_tlu_ctl.scala 2309:54] + io.trigger_pkt_any[3].match_ <= _T_961 @[el2_dec_tlu_ctl.scala 2309:36] + node _T_962 = bits(io.mtdata1_t[3], 1, 1) @[el2_dec_tlu_ctl.scala 2310:54] + io.trigger_pkt_any[3].store <= _T_962 @[el2_dec_tlu_ctl.scala 2310:36] + node _T_963 = bits(io.mtdata1_t[3], 0, 0) @[el2_dec_tlu_ctl.scala 2311:54] + io.trigger_pkt_any[3].load <= _T_963 @[el2_dec_tlu_ctl.scala 2311:36] + node _T_964 = bits(io.mtdata1_t[3], 2, 2) @[el2_dec_tlu_ctl.scala 2312:54] + io.trigger_pkt_any[3].execute <= _T_964 @[el2_dec_tlu_ctl.scala 2312:36] + node _T_965 = bits(io.mtdata1_t[3], 3, 3) @[el2_dec_tlu_ctl.scala 2313:54] + io.trigger_pkt_any[3].m <= _T_965 @[el2_dec_tlu_ctl.scala 2313:36] + node _T_966 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2320:92] + node _T_967 = eq(_T_966, UInt<12>("h07a2")) @[el2_dec_tlu_ctl.scala 2320:99] + node _T_968 = and(io.dec_csr_wen_r_mod, _T_967) @[el2_dec_tlu_ctl.scala 2320:70] + node _T_969 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2320:121] + node _T_970 = and(_T_968, _T_969) @[el2_dec_tlu_ctl.scala 2320:112] + node _T_971 = bits(io.mtdata1_t[0], 9, 9) @[el2_dec_tlu_ctl.scala 2320:154] + node _T_972 = not(_T_971) @[el2_dec_tlu_ctl.scala 2320:138] + node _T_973 = or(_T_972, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2320:170] + node _T_974 = and(_T_970, _T_973) @[el2_dec_tlu_ctl.scala 2320:135] + node _T_975 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2320:92] + node _T_976 = eq(_T_975, UInt<12>("h07a2")) @[el2_dec_tlu_ctl.scala 2320:99] + node _T_977 = and(io.dec_csr_wen_r_mod, _T_976) @[el2_dec_tlu_ctl.scala 2320:70] + node _T_978 = eq(mtsel, UInt<2>("h01")) @[el2_dec_tlu_ctl.scala 2320:121] + node _T_979 = and(_T_977, _T_978) @[el2_dec_tlu_ctl.scala 2320:112] + node _T_980 = bits(io.mtdata1_t[1], 9, 9) @[el2_dec_tlu_ctl.scala 2320:154] + node _T_981 = not(_T_980) @[el2_dec_tlu_ctl.scala 2320:138] + node _T_982 = or(_T_981, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2320:170] + node _T_983 = and(_T_979, _T_982) @[el2_dec_tlu_ctl.scala 2320:135] + node _T_984 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2320:92] + node _T_985 = eq(_T_984, UInt<12>("h07a2")) @[el2_dec_tlu_ctl.scala 2320:99] + node _T_986 = and(io.dec_csr_wen_r_mod, _T_985) @[el2_dec_tlu_ctl.scala 2320:70] + node _T_987 = eq(mtsel, UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2320:121] + node _T_988 = and(_T_986, _T_987) @[el2_dec_tlu_ctl.scala 2320:112] + node _T_989 = bits(io.mtdata1_t[2], 9, 9) @[el2_dec_tlu_ctl.scala 2320:154] + node _T_990 = not(_T_989) @[el2_dec_tlu_ctl.scala 2320:138] + node _T_991 = or(_T_990, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2320:170] + node _T_992 = and(_T_988, _T_991) @[el2_dec_tlu_ctl.scala 2320:135] + node _T_993 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2320:92] + node _T_994 = eq(_T_993, UInt<12>("h07a2")) @[el2_dec_tlu_ctl.scala 2320:99] + node _T_995 = and(io.dec_csr_wen_r_mod, _T_994) @[el2_dec_tlu_ctl.scala 2320:70] + node _T_996 = eq(mtsel, UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2320:121] + node _T_997 = and(_T_995, _T_996) @[el2_dec_tlu_ctl.scala 2320:112] + node _T_998 = bits(io.mtdata1_t[3], 9, 9) @[el2_dec_tlu_ctl.scala 2320:154] + node _T_999 = not(_T_998) @[el2_dec_tlu_ctl.scala 2320:138] + node _T_1000 = or(_T_999, io.dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 2320:170] + node _T_1001 = and(_T_997, _T_1000) @[el2_dec_tlu_ctl.scala 2320:135] + wire wr_mtdata2_t_r : UInt<1>[4] @[el2_dec_tlu_ctl.scala 2320:43] + wr_mtdata2_t_r[0] <= _T_974 @[el2_dec_tlu_ctl.scala 2320:43] + wr_mtdata2_t_r[1] <= _T_983 @[el2_dec_tlu_ctl.scala 2320:43] + wr_mtdata2_t_r[2] <= _T_992 @[el2_dec_tlu_ctl.scala 2320:43] + wr_mtdata2_t_r[3] <= _T_1001 @[el2_dec_tlu_ctl.scala 2320:43] + node _T_1002 = bits(wr_mtdata2_t_r[0], 0, 0) @[el2_dec_tlu_ctl.scala 2321:86] + inst rvclkhdr_22 of rvclkhdr_30 @[el2_lib.scala 485:23] + rvclkhdr_22.clock <= clock + rvclkhdr_22.reset <= reset + rvclkhdr_22.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_22.io.en <= _T_1002 @[el2_lib.scala 488:17] + rvclkhdr_22.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_1003 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1003 <= io.dec_csr_wrdata_r @[el2_lib.scala 491:16] + mtdata2_t[0] <= _T_1003 @[el2_dec_tlu_ctl.scala 2321:38] + node _T_1004 = bits(wr_mtdata2_t_r[1], 0, 0) @[el2_dec_tlu_ctl.scala 2321:86] + inst rvclkhdr_23 of rvclkhdr_31 @[el2_lib.scala 485:23] + rvclkhdr_23.clock <= clock + rvclkhdr_23.reset <= reset + rvclkhdr_23.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_23.io.en <= _T_1004 @[el2_lib.scala 488:17] + rvclkhdr_23.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_1005 : UInt, rvclkhdr_23.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1005 <= io.dec_csr_wrdata_r @[el2_lib.scala 491:16] + mtdata2_t[1] <= _T_1005 @[el2_dec_tlu_ctl.scala 2321:38] + node _T_1006 = bits(wr_mtdata2_t_r[2], 0, 0) @[el2_dec_tlu_ctl.scala 2321:86] + inst rvclkhdr_24 of rvclkhdr_32 @[el2_lib.scala 485:23] + rvclkhdr_24.clock <= clock + rvclkhdr_24.reset <= reset + rvclkhdr_24.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_24.io.en <= _T_1006 @[el2_lib.scala 488:17] + rvclkhdr_24.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_1007 : UInt, rvclkhdr_24.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1007 <= io.dec_csr_wrdata_r @[el2_lib.scala 491:16] + mtdata2_t[2] <= _T_1007 @[el2_dec_tlu_ctl.scala 2321:38] + node _T_1008 = bits(wr_mtdata2_t_r[3], 0, 0) @[el2_dec_tlu_ctl.scala 2321:86] + inst rvclkhdr_25 of rvclkhdr_33 @[el2_lib.scala 485:23] + rvclkhdr_25.clock <= clock + rvclkhdr_25.reset <= reset + rvclkhdr_25.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_25.io.en <= _T_1008 @[el2_lib.scala 488:17] + rvclkhdr_25.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_1009 : UInt, rvclkhdr_25.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1009 <= io.dec_csr_wrdata_r @[el2_lib.scala 491:16] + mtdata2_t[3] <= _T_1009 @[el2_dec_tlu_ctl.scala 2321:38] + node _T_1010 = eq(mtsel, UInt<2>("h00")) @[el2_dec_tlu_ctl.scala 2325:59] + node _T_1011 = eq(mtsel, UInt<2>("h01")) @[el2_dec_tlu_ctl.scala 2325:59] + node _T_1012 = eq(mtsel, UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2325:59] + node _T_1013 = eq(mtsel, UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2325:59] + node _T_1014 = mux(_T_1010, mtdata2_t[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1015 = mux(_T_1011, mtdata2_t[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1016 = mux(_T_1012, mtdata2_t[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1017 = mux(_T_1013, mtdata2_t[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1018 = or(_T_1014, _T_1015) @[Mux.scala 27:72] + node _T_1019 = or(_T_1018, _T_1016) @[Mux.scala 27:72] + node _T_1020 = or(_T_1019, _T_1017) @[Mux.scala 27:72] + wire mtdata2_tsel_out : UInt<32> @[Mux.scala 27:72] + mtdata2_tsel_out <= _T_1020 @[Mux.scala 27:72] + io.trigger_pkt_any[0].tdata2 <= mtdata2_t[0] @[el2_dec_tlu_ctl.scala 2326:53] + io.trigger_pkt_any[1].tdata2 <= mtdata2_t[1] @[el2_dec_tlu_ctl.scala 2326:53] + io.trigger_pkt_any[2].tdata2 <= mtdata2_t[2] @[el2_dec_tlu_ctl.scala 2326:53] + io.trigger_pkt_any[3].tdata2 <= mtdata2_t[3] @[el2_dec_tlu_ctl.scala 2326:53] + mhpme_vec[0] <= mhpme3 @[el2_dec_tlu_ctl.scala 2336:16] + mhpme_vec[1] <= mhpme4 @[el2_dec_tlu_ctl.scala 2337:16] + mhpme_vec[2] <= mhpme5 @[el2_dec_tlu_ctl.scala 2338:16] + mhpme_vec[3] <= mhpme6 @[el2_dec_tlu_ctl.scala 2339:16] + node _T_1021 = bits(io.tlu_i0_commit_cmt, 0, 0) @[Bitwise.scala 72:15] + node _T_1022 = mux(_T_1021, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node pmu_i0_itype_qual = and(io.dec_tlu_packet_r.pmu_i0_itype, _T_1022) @[el2_dec_tlu_ctl.scala 2345:60] + wire mhpmc_inc_r : UInt<1>[4] @[el2_dec_tlu_ctl.scala 2346:25] + wire mhpmc_inc_r_d1 : UInt<1>[4] @[el2_dec_tlu_ctl.scala 2347:28] + node _T_1023 = bits(mcountinhibit, 3, 3) @[el2_dec_tlu_ctl.scala 2351:39] + node _T_1024 = not(_T_1023) @[el2_dec_tlu_ctl.scala 2351:25] + node _T_1025 = eq(mhpme_vec[0], UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 2352:21] + node _T_1026 = bits(_T_1025, 0, 0) @[el2_dec_tlu_ctl.scala 2352:49] + node _T_1027 = eq(mhpme_vec[0], UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2353:21] + node _T_1028 = bits(_T_1027, 0, 0) @[el2_dec_tlu_ctl.scala 2353:49] + node _T_1029 = eq(mhpme_vec[0], UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2354:21] + node _T_1030 = bits(_T_1029, 0, 0) @[el2_dec_tlu_ctl.scala 2354:49] + node _T_1031 = eq(mhpme_vec[0], UInt<3>("h04")) @[el2_dec_tlu_ctl.scala 2355:21] + node _T_1032 = bits(_T_1031, 0, 0) @[el2_dec_tlu_ctl.scala 2355:49] + node _T_1033 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2355:83] + node _T_1034 = and(io.tlu_i0_commit_cmt, _T_1033) @[el2_dec_tlu_ctl.scala 2355:81] + node _T_1035 = eq(mhpme_vec[0], UInt<3>("h05")) @[el2_dec_tlu_ctl.scala 2356:21] + node _T_1036 = bits(_T_1035, 0, 0) @[el2_dec_tlu_ctl.scala 2356:49] + node _T_1037 = not(io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2356:83] + node _T_1038 = and(io.tlu_i0_commit_cmt, _T_1037) @[el2_dec_tlu_ctl.scala 2356:81] + node _T_1039 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2356:104] + node _T_1040 = and(_T_1038, _T_1039) @[el2_dec_tlu_ctl.scala 2356:102] + node _T_1041 = eq(mhpme_vec[0], UInt<3>("h06")) @[el2_dec_tlu_ctl.scala 2357:21] + node _T_1042 = bits(_T_1041, 0, 0) @[el2_dec_tlu_ctl.scala 2357:49] + node _T_1043 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2357:81] + node _T_1044 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2357:104] + node _T_1045 = and(_T_1043, _T_1044) @[el2_dec_tlu_ctl.scala 2357:102] + node _T_1046 = eq(mhpme_vec[0], UInt<3>("h07")) @[el2_dec_tlu_ctl.scala 2358:21] + node _T_1047 = bits(_T_1046, 0, 0) @[el2_dec_tlu_ctl.scala 2358:49] + node _T_1048 = eq(mhpme_vec[0], UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2359:21] + node _T_1049 = bits(_T_1048, 0, 0) @[el2_dec_tlu_ctl.scala 2359:49] + node _T_1050 = eq(mhpme_vec[0], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2360:21] + node _T_1051 = bits(_T_1050, 0, 0) @[el2_dec_tlu_ctl.scala 2360:49] + node _T_1052 = eq(mhpme_vec[0], UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2361:21] + node _T_1053 = bits(_T_1052, 0, 0) @[el2_dec_tlu_ctl.scala 2361:49] + node _T_1054 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[el2_dec_tlu_ctl.scala 2361:78] + node _T_1055 = eq(mhpme_vec[0], UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2362:21] + node _T_1056 = bits(_T_1055, 0, 0) @[el2_dec_tlu_ctl.scala 2362:49] + node _T_1057 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2362:92] + node _T_1058 = eq(mhpme_vec[0], UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2363:21] + node _T_1059 = bits(_T_1058, 0, 0) @[el2_dec_tlu_ctl.scala 2363:49] + node _T_1060 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2363:78] + node _T_1061 = eq(mhpme_vec[0], UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2364:21] + node _T_1062 = bits(_T_1061, 0, 0) @[el2_dec_tlu_ctl.scala 2364:49] + node _T_1063 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2364:78] + node _T_1064 = eq(mhpme_vec[0], UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2365:21] + node _T_1065 = bits(_T_1064, 0, 0) @[el2_dec_tlu_ctl.scala 2365:49] + node _T_1066 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2365:79] + node _T_1067 = eq(mhpme_vec[0], UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2366:21] + node _T_1068 = dshr(io.dec_tlu_packet_r.pmu_lsu_misaligned, _T_1067) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1069 = bits(_T_1068, 0, 0) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1070 = and(_T_1066, _T_1069) @[el2_dec_tlu_ctl.scala 2365:89] + node _T_1071 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2366:66] + node _T_1072 = and(_T_1070, _T_1071) @[el2_dec_tlu_ctl.scala 2366:45] + node _T_1073 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[el2_dec_tlu_ctl.scala 2367:48] + node _T_1074 = and(_T_1072, _T_1073) @[el2_dec_tlu_ctl.scala 2366:77] + node _T_1075 = eq(mhpme_vec[0], UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2368:21] + node _T_1076 = bits(_T_1075, 0, 0) @[el2_dec_tlu_ctl.scala 2368:41] + node _T_1077 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[el2_dec_tlu_ctl.scala 2368:76] + node _T_1078 = eq(mhpme_vec[0], UInt<5>("h010")) @[el2_dec_tlu_ctl.scala 2369:21] + node _T_1079 = bits(_T_1078, 0, 0) @[el2_dec_tlu_ctl.scala 2369:46] + node _T_1080 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[el2_dec_tlu_ctl.scala 2369:76] + node _T_1081 = eq(mhpme_vec[0], UInt<5>("h012")) @[el2_dec_tlu_ctl.scala 2370:21] + node _T_1082 = bits(_T_1081, 0, 0) @[el2_dec_tlu_ctl.scala 2370:46] + node _T_1083 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[el2_dec_tlu_ctl.scala 2370:76] + node _T_1084 = eq(mhpme_vec[0], UInt<5>("h011")) @[el2_dec_tlu_ctl.scala 2371:21] + node _T_1085 = bits(_T_1084, 0, 0) @[el2_dec_tlu_ctl.scala 2371:46] + node _T_1086 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[el2_dec_tlu_ctl.scala 2371:76] + node _T_1087 = eq(mhpme_vec[0], UInt<5>("h013")) @[el2_dec_tlu_ctl.scala 2372:21] + node _T_1088 = bits(_T_1087, 0, 0) @[el2_dec_tlu_ctl.scala 2372:46] + node _T_1089 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2372:76] + node _T_1090 = eq(mhpme_vec[0], UInt<5>("h014")) @[el2_dec_tlu_ctl.scala 2373:21] + node _T_1091 = bits(_T_1090, 0, 0) @[el2_dec_tlu_ctl.scala 2373:46] + node _T_1092 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2373:76] + node _T_1093 = eq(mhpme_vec[0], UInt<5>("h015")) @[el2_dec_tlu_ctl.scala 2374:21] + node _T_1094 = bits(_T_1093, 0, 0) @[el2_dec_tlu_ctl.scala 2374:46] + node _T_1095 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2374:76] + node _T_1096 = eq(mhpme_vec[0], UInt<5>("h016")) @[el2_dec_tlu_ctl.scala 2375:21] + node _T_1097 = bits(_T_1096, 0, 0) @[el2_dec_tlu_ctl.scala 2375:46] + node _T_1098 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2375:76] + node _T_1099 = eq(mhpme_vec[0], UInt<5>("h017")) @[el2_dec_tlu_ctl.scala 2376:21] + node _T_1100 = bits(_T_1099, 0, 0) @[el2_dec_tlu_ctl.scala 2376:46] + node _T_1101 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2376:76] + node _T_1102 = eq(mhpme_vec[0], UInt<5>("h018")) @[el2_dec_tlu_ctl.scala 2377:21] + node _T_1103 = bits(_T_1102, 0, 0) @[el2_dec_tlu_ctl.scala 2377:46] + node _T_1104 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2377:76] + node _T_1105 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2377:109] + node _T_1106 = or(_T_1104, _T_1105) @[el2_dec_tlu_ctl.scala 2377:88] + node _T_1107 = eq(mhpme_vec[0], UInt<5>("h019")) @[el2_dec_tlu_ctl.scala 2378:21] + node _T_1108 = bits(_T_1107, 0, 0) @[el2_dec_tlu_ctl.scala 2378:49] + node _T_1109 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2378:82] + node _T_1110 = eq(mhpme_vec[0], UInt<5>("h01a")) @[el2_dec_tlu_ctl.scala 2379:21] + node _T_1111 = bits(_T_1110, 0, 0) @[el2_dec_tlu_ctl.scala 2379:49] + node _T_1112 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2379:84] + node _T_1113 = eq(mhpme_vec[0], UInt<5>("h01b")) @[el2_dec_tlu_ctl.scala 2380:21] + node _T_1114 = bits(_T_1113, 0, 0) @[el2_dec_tlu_ctl.scala 2380:49] + node _T_1115 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2380:97] + node _T_1116 = eq(mhpme_vec[0], UInt<5>("h01c")) @[el2_dec_tlu_ctl.scala 2381:21] + node _T_1117 = bits(_T_1116, 0, 0) @[el2_dec_tlu_ctl.scala 2381:49] + node _T_1118 = eq(mhpme_vec[0], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2382:21] + node _T_1119 = bits(_T_1118, 0, 0) @[el2_dec_tlu_ctl.scala 2382:49] + node _T_1120 = eq(mhpme_vec[0], UInt<5>("h01f")) @[el2_dec_tlu_ctl.scala 2383:21] + node _T_1121 = bits(_T_1120, 0, 0) @[el2_dec_tlu_ctl.scala 2383:49] + node _T_1122 = eq(mhpme_vec[0], UInt<6>("h020")) @[el2_dec_tlu_ctl.scala 2384:21] + node _T_1123 = bits(_T_1122, 0, 0) @[el2_dec_tlu_ctl.scala 2384:49] + node _T_1124 = eq(mhpme_vec[0], UInt<6>("h022")) @[el2_dec_tlu_ctl.scala 2385:21] + node _T_1125 = bits(_T_1124, 0, 0) @[el2_dec_tlu_ctl.scala 2385:49] + node _T_1126 = eq(mhpme_vec[0], UInt<6>("h023")) @[el2_dec_tlu_ctl.scala 2386:21] + node _T_1127 = bits(_T_1126, 0, 0) @[el2_dec_tlu_ctl.scala 2386:49] + node _T_1128 = eq(mhpme_vec[0], UInt<6>("h024")) @[el2_dec_tlu_ctl.scala 2387:21] + node _T_1129 = bits(_T_1128, 0, 0) @[el2_dec_tlu_ctl.scala 2387:49] + node _T_1130 = eq(mhpme_vec[0], UInt<6>("h025")) @[el2_dec_tlu_ctl.scala 2388:21] + node _T_1131 = bits(_T_1130, 0, 0) @[el2_dec_tlu_ctl.scala 2388:49] + node _T_1132 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 2388:85] + node _T_1133 = or(_T_1132, io.lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 2388:107] + node _T_1134 = eq(mhpme_vec[0], UInt<6>("h026")) @[el2_dec_tlu_ctl.scala 2389:21] + node _T_1135 = bits(_T_1134, 0, 0) @[el2_dec_tlu_ctl.scala 2389:49] + node _T_1136 = or(io.take_timer_int, io.take_int_timer0_int) @[el2_dec_tlu_ctl.scala 2389:79] + node _T_1137 = or(_T_1136, io.take_int_timer1_int) @[el2_dec_tlu_ctl.scala 2389:104] + node _T_1138 = eq(mhpme_vec[0], UInt<6>("h027")) @[el2_dec_tlu_ctl.scala 2390:21] + node _T_1139 = bits(_T_1138, 0, 0) @[el2_dec_tlu_ctl.scala 2390:49] + node _T_1140 = eq(mhpme_vec[0], UInt<6>("h028")) @[el2_dec_tlu_ctl.scala 2391:21] + node _T_1141 = bits(_T_1140, 0, 0) @[el2_dec_tlu_ctl.scala 2391:49] + node _T_1142 = eq(mhpme_vec[0], UInt<6>("h029")) @[el2_dec_tlu_ctl.scala 2392:21] + node _T_1143 = bits(_T_1142, 0, 0) @[el2_dec_tlu_ctl.scala 2392:49] + node _T_1144 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[el2_dec_tlu_ctl.scala 2392:84] + node _T_1145 = and(_T_1144, io.rfpc_i0_r) @[el2_dec_tlu_ctl.scala 2392:116] + node _T_1146 = eq(mhpme_vec[0], UInt<6>("h02a")) @[el2_dec_tlu_ctl.scala 2393:21] + node _T_1147 = bits(_T_1146, 0, 0) @[el2_dec_tlu_ctl.scala 2393:49] + node _T_1148 = eq(mhpme_vec[0], UInt<6>("h02b")) @[el2_dec_tlu_ctl.scala 2394:21] + node _T_1149 = bits(_T_1148, 0, 0) @[el2_dec_tlu_ctl.scala 2394:49] + node _T_1150 = eq(mhpme_vec[0], UInt<6>("h02c")) @[el2_dec_tlu_ctl.scala 2395:21] + node _T_1151 = bits(_T_1150, 0, 0) @[el2_dec_tlu_ctl.scala 2395:49] + node _T_1152 = eq(mhpme_vec[0], UInt<6>("h02d")) @[el2_dec_tlu_ctl.scala 2396:21] + node _T_1153 = bits(_T_1152, 0, 0) @[el2_dec_tlu_ctl.scala 2396:49] + node _T_1154 = eq(mhpme_vec[0], UInt<6>("h02e")) @[el2_dec_tlu_ctl.scala 2397:21] + node _T_1155 = bits(_T_1154, 0, 0) @[el2_dec_tlu_ctl.scala 2397:49] + node _T_1156 = eq(mhpme_vec[0], UInt<6>("h02f")) @[el2_dec_tlu_ctl.scala 2398:21] + node _T_1157 = bits(_T_1156, 0, 0) @[el2_dec_tlu_ctl.scala 2398:49] + node _T_1158 = eq(mhpme_vec[0], UInt<6>("h030")) @[el2_dec_tlu_ctl.scala 2399:21] + node _T_1159 = bits(_T_1158, 0, 0) @[el2_dec_tlu_ctl.scala 2399:49] + node _T_1160 = eq(mhpme_vec[0], UInt<6>("h031")) @[el2_dec_tlu_ctl.scala 2400:21] + node _T_1161 = bits(_T_1160, 0, 0) @[el2_dec_tlu_ctl.scala 2400:49] + node _T_1162 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_1163 = bits(_T_1162, 0, 0) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_1164 = not(_T_1163) @[el2_dec_tlu_ctl.scala 2400:60] + node _T_1165 = eq(mhpme_vec[0], UInt<6>("h032")) @[el2_dec_tlu_ctl.scala 2401:21] + node _T_1166 = bits(_T_1165, 0, 0) @[el2_dec_tlu_ctl.scala 2401:49] + node _T_1167 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_1168 = bits(_T_1167, 0, 0) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_1169 = not(_T_1168) @[el2_dec_tlu_ctl.scala 2401:60] + node _T_1170 = bits(io.mip, 5, 0) @[el2_dec_tlu_ctl.scala 2401:94] + node _T_1171 = bits(mie, 5, 0) @[el2_dec_tlu_ctl.scala 2401:105] + node _T_1172 = and(_T_1170, _T_1171) @[el2_dec_tlu_ctl.scala 2401:100] + node _T_1173 = and(_T_1169, _T_1172) @[el2_dec_tlu_ctl.scala 2401:85] + node _T_1174 = eq(mhpme_vec[0], UInt<6>("h036")) @[el2_dec_tlu_ctl.scala 2402:21] + node _T_1175 = bits(_T_1174, 0, 0) @[el2_dec_tlu_ctl.scala 2402:49] + node _T_1176 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2402:78] + node _T_1177 = eq(mhpme_vec[0], UInt<6>("h037")) @[el2_dec_tlu_ctl.scala 2403:21] + node _T_1178 = bits(_T_1177, 0, 0) @[el2_dec_tlu_ctl.scala 2403:49] + node _T_1179 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[el2_dec_tlu_ctl.scala 2403:81] + node _T_1180 = eq(mhpme_vec[0], UInt<6>("h038")) @[el2_dec_tlu_ctl.scala 2404:21] + node _T_1181 = bits(_T_1180, 0, 0) @[el2_dec_tlu_ctl.scala 2404:49] + node _T_1182 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[el2_dec_tlu_ctl.scala 2404:81] + node _T_1183 = eq(mhpme_vec[0], UInt<10>("h0200")) @[el2_dec_tlu_ctl.scala 2406:21] + node _T_1184 = bits(_T_1183, 0, 0) @[el2_dec_tlu_ctl.scala 2406:49] + node _T_1185 = eq(mhpme_vec[0], UInt<10>("h0201")) @[el2_dec_tlu_ctl.scala 2407:21] + node _T_1186 = bits(_T_1185, 0, 0) @[el2_dec_tlu_ctl.scala 2407:49] + node _T_1187 = eq(mhpme_vec[0], UInt<10>("h0202")) @[el2_dec_tlu_ctl.scala 2408:21] + node _T_1188 = bits(_T_1187, 0, 0) @[el2_dec_tlu_ctl.scala 2408:49] + node _T_1189 = eq(mhpme_vec[0], UInt<10>("h0203")) @[el2_dec_tlu_ctl.scala 2409:21] + node _T_1190 = bits(_T_1189, 0, 0) @[el2_dec_tlu_ctl.scala 2409:49] + node _T_1191 = eq(mhpme_vec[0], UInt<10>("h0204")) @[el2_dec_tlu_ctl.scala 2410:21] + node _T_1192 = bits(_T_1191, 0, 0) @[el2_dec_tlu_ctl.scala 2410:49] + node _T_1193 = mux(_T_1026, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1194 = mux(_T_1028, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1195 = mux(_T_1030, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1196 = mux(_T_1032, _T_1034, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = mux(_T_1036, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1198 = mux(_T_1042, _T_1045, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1047, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = mux(_T_1049, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1201 = mux(_T_1051, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1202 = mux(_T_1053, _T_1054, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1203 = mux(_T_1056, _T_1057, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1204 = mux(_T_1059, _T_1060, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1205 = mux(_T_1062, _T_1063, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1206 = mux(_T_1065, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1207 = mux(_T_1076, _T_1077, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1208 = mux(_T_1079, _T_1080, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1209 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1210 = mux(_T_1085, _T_1086, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1211 = mux(_T_1088, _T_1089, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1212 = mux(_T_1091, _T_1092, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1213 = mux(_T_1094, _T_1095, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1214 = mux(_T_1097, _T_1098, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1215 = mux(_T_1100, _T_1101, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1216 = mux(_T_1103, _T_1106, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1217 = mux(_T_1108, _T_1109, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1218 = mux(_T_1111, _T_1112, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1219 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1220 = mux(_T_1117, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1221 = mux(_T_1119, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1222 = mux(_T_1121, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = mux(_T_1123, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1224 = mux(_T_1125, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1225 = mux(_T_1127, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1226 = mux(_T_1129, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1227 = mux(_T_1131, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1228 = mux(_T_1135, _T_1137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1229 = mux(_T_1139, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1230 = mux(_T_1141, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1231 = mux(_T_1143, _T_1145, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1232 = mux(_T_1147, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1233 = mux(_T_1149, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1234 = mux(_T_1151, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1235 = mux(_T_1153, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1236 = mux(_T_1155, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1237 = mux(_T_1157, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1238 = mux(_T_1159, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1239 = mux(_T_1161, _T_1164, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1240 = mux(_T_1166, _T_1173, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1241 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1242 = mux(_T_1178, _T_1179, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1243 = mux(_T_1181, _T_1182, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1244 = mux(_T_1184, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1245 = mux(_T_1186, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1246 = mux(_T_1188, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1247 = mux(_T_1190, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1248 = mux(_T_1192, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1249 = or(_T_1193, _T_1194) @[Mux.scala 27:72] + node _T_1250 = or(_T_1249, _T_1195) @[Mux.scala 27:72] + node _T_1251 = or(_T_1250, _T_1196) @[Mux.scala 27:72] + node _T_1252 = or(_T_1251, _T_1197) @[Mux.scala 27:72] + node _T_1253 = or(_T_1252, _T_1198) @[Mux.scala 27:72] + node _T_1254 = or(_T_1253, _T_1199) @[Mux.scala 27:72] + node _T_1255 = or(_T_1254, _T_1200) @[Mux.scala 27:72] + node _T_1256 = or(_T_1255, _T_1201) @[Mux.scala 27:72] + node _T_1257 = or(_T_1256, _T_1202) @[Mux.scala 27:72] + node _T_1258 = or(_T_1257, _T_1203) @[Mux.scala 27:72] + node _T_1259 = or(_T_1258, _T_1204) @[Mux.scala 27:72] + node _T_1260 = or(_T_1259, _T_1205) @[Mux.scala 27:72] + node _T_1261 = or(_T_1260, _T_1206) @[Mux.scala 27:72] + node _T_1262 = or(_T_1261, _T_1207) @[Mux.scala 27:72] + node _T_1263 = or(_T_1262, _T_1208) @[Mux.scala 27:72] + node _T_1264 = or(_T_1263, _T_1209) @[Mux.scala 27:72] + node _T_1265 = or(_T_1264, _T_1210) @[Mux.scala 27:72] + node _T_1266 = or(_T_1265, _T_1211) @[Mux.scala 27:72] + node _T_1267 = or(_T_1266, _T_1212) @[Mux.scala 27:72] + node _T_1268 = or(_T_1267, _T_1213) @[Mux.scala 27:72] + node _T_1269 = or(_T_1268, _T_1214) @[Mux.scala 27:72] + node _T_1270 = or(_T_1269, _T_1215) @[Mux.scala 27:72] + node _T_1271 = or(_T_1270, _T_1216) @[Mux.scala 27:72] + node _T_1272 = or(_T_1271, _T_1217) @[Mux.scala 27:72] + node _T_1273 = or(_T_1272, _T_1218) @[Mux.scala 27:72] + node _T_1274 = or(_T_1273, _T_1219) @[Mux.scala 27:72] + node _T_1275 = or(_T_1274, _T_1220) @[Mux.scala 27:72] + node _T_1276 = or(_T_1275, _T_1221) @[Mux.scala 27:72] + node _T_1277 = or(_T_1276, _T_1222) @[Mux.scala 27:72] + node _T_1278 = or(_T_1277, _T_1223) @[Mux.scala 27:72] + node _T_1279 = or(_T_1278, _T_1224) @[Mux.scala 27:72] + node _T_1280 = or(_T_1279, _T_1225) @[Mux.scala 27:72] + node _T_1281 = or(_T_1280, _T_1226) @[Mux.scala 27:72] + node _T_1282 = or(_T_1281, _T_1227) @[Mux.scala 27:72] + node _T_1283 = or(_T_1282, _T_1228) @[Mux.scala 27:72] + node _T_1284 = or(_T_1283, _T_1229) @[Mux.scala 27:72] + node _T_1285 = or(_T_1284, _T_1230) @[Mux.scala 27:72] + node _T_1286 = or(_T_1285, _T_1231) @[Mux.scala 27:72] + node _T_1287 = or(_T_1286, _T_1232) @[Mux.scala 27:72] + node _T_1288 = or(_T_1287, _T_1233) @[Mux.scala 27:72] + node _T_1289 = or(_T_1288, _T_1234) @[Mux.scala 27:72] + node _T_1290 = or(_T_1289, _T_1235) @[Mux.scala 27:72] + node _T_1291 = or(_T_1290, _T_1236) @[Mux.scala 27:72] + node _T_1292 = or(_T_1291, _T_1237) @[Mux.scala 27:72] + node _T_1293 = or(_T_1292, _T_1238) @[Mux.scala 27:72] + node _T_1294 = or(_T_1293, _T_1239) @[Mux.scala 27:72] + node _T_1295 = or(_T_1294, _T_1240) @[Mux.scala 27:72] + node _T_1296 = or(_T_1295, _T_1241) @[Mux.scala 27:72] + node _T_1297 = or(_T_1296, _T_1242) @[Mux.scala 27:72] + node _T_1298 = or(_T_1297, _T_1243) @[Mux.scala 27:72] + node _T_1299 = or(_T_1298, _T_1244) @[Mux.scala 27:72] + node _T_1300 = or(_T_1299, _T_1245) @[Mux.scala 27:72] + node _T_1301 = or(_T_1300, _T_1246) @[Mux.scala 27:72] + node _T_1302 = or(_T_1301, _T_1247) @[Mux.scala 27:72] + node _T_1303 = or(_T_1302, _T_1248) @[Mux.scala 27:72] + wire _T_1304 : UInt<6> @[Mux.scala 27:72] + _T_1304 <= _T_1303 @[Mux.scala 27:72] + node _T_1305 = and(_T_1024, _T_1304) @[el2_dec_tlu_ctl.scala 2351:45] + mhpmc_inc_r[0] <= _T_1305 @[el2_dec_tlu_ctl.scala 2351:20] + node _T_1306 = bits(mcountinhibit, 4, 4) @[el2_dec_tlu_ctl.scala 2351:39] + node _T_1307 = not(_T_1306) @[el2_dec_tlu_ctl.scala 2351:25] + node _T_1308 = eq(mhpme_vec[1], UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 2352:21] + node _T_1309 = bits(_T_1308, 0, 0) @[el2_dec_tlu_ctl.scala 2352:49] + node _T_1310 = eq(mhpme_vec[1], UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2353:21] + node _T_1311 = bits(_T_1310, 0, 0) @[el2_dec_tlu_ctl.scala 2353:49] + node _T_1312 = eq(mhpme_vec[1], UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2354:21] + node _T_1313 = bits(_T_1312, 0, 0) @[el2_dec_tlu_ctl.scala 2354:49] + node _T_1314 = eq(mhpme_vec[1], UInt<3>("h04")) @[el2_dec_tlu_ctl.scala 2355:21] + node _T_1315 = bits(_T_1314, 0, 0) @[el2_dec_tlu_ctl.scala 2355:49] + node _T_1316 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2355:83] + node _T_1317 = and(io.tlu_i0_commit_cmt, _T_1316) @[el2_dec_tlu_ctl.scala 2355:81] + node _T_1318 = eq(mhpme_vec[1], UInt<3>("h05")) @[el2_dec_tlu_ctl.scala 2356:21] + node _T_1319 = bits(_T_1318, 0, 0) @[el2_dec_tlu_ctl.scala 2356:49] + node _T_1320 = not(io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2356:83] + node _T_1321 = and(io.tlu_i0_commit_cmt, _T_1320) @[el2_dec_tlu_ctl.scala 2356:81] + node _T_1322 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2356:104] + node _T_1323 = and(_T_1321, _T_1322) @[el2_dec_tlu_ctl.scala 2356:102] + node _T_1324 = eq(mhpme_vec[1], UInt<3>("h06")) @[el2_dec_tlu_ctl.scala 2357:21] + node _T_1325 = bits(_T_1324, 0, 0) @[el2_dec_tlu_ctl.scala 2357:49] + node _T_1326 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2357:81] + node _T_1327 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2357:104] + node _T_1328 = and(_T_1326, _T_1327) @[el2_dec_tlu_ctl.scala 2357:102] + node _T_1329 = eq(mhpme_vec[1], UInt<3>("h07")) @[el2_dec_tlu_ctl.scala 2358:21] + node _T_1330 = bits(_T_1329, 0, 0) @[el2_dec_tlu_ctl.scala 2358:49] + node _T_1331 = eq(mhpme_vec[1], UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2359:21] + node _T_1332 = bits(_T_1331, 0, 0) @[el2_dec_tlu_ctl.scala 2359:49] + node _T_1333 = eq(mhpme_vec[1], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2360:21] + node _T_1334 = bits(_T_1333, 0, 0) @[el2_dec_tlu_ctl.scala 2360:49] + node _T_1335 = eq(mhpme_vec[1], UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2361:21] + node _T_1336 = bits(_T_1335, 0, 0) @[el2_dec_tlu_ctl.scala 2361:49] + node _T_1337 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[el2_dec_tlu_ctl.scala 2361:78] + node _T_1338 = eq(mhpme_vec[1], UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2362:21] + node _T_1339 = bits(_T_1338, 0, 0) @[el2_dec_tlu_ctl.scala 2362:49] + node _T_1340 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2362:92] + node _T_1341 = eq(mhpme_vec[1], UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2363:21] + node _T_1342 = bits(_T_1341, 0, 0) @[el2_dec_tlu_ctl.scala 2363:49] + node _T_1343 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2363:78] + node _T_1344 = eq(mhpme_vec[1], UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2364:21] + node _T_1345 = bits(_T_1344, 0, 0) @[el2_dec_tlu_ctl.scala 2364:49] + node _T_1346 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2364:78] + node _T_1347 = eq(mhpme_vec[1], UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2365:21] + node _T_1348 = bits(_T_1347, 0, 0) @[el2_dec_tlu_ctl.scala 2365:49] + node _T_1349 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2365:79] + node _T_1350 = eq(mhpme_vec[1], UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2366:21] + node _T_1351 = dshr(io.dec_tlu_packet_r.pmu_lsu_misaligned, _T_1350) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1352 = bits(_T_1351, 0, 0) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1353 = and(_T_1349, _T_1352) @[el2_dec_tlu_ctl.scala 2365:89] + node _T_1354 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2366:66] + node _T_1355 = and(_T_1353, _T_1354) @[el2_dec_tlu_ctl.scala 2366:45] + node _T_1356 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[el2_dec_tlu_ctl.scala 2367:48] + node _T_1357 = and(_T_1355, _T_1356) @[el2_dec_tlu_ctl.scala 2366:77] + node _T_1358 = eq(mhpme_vec[1], UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2368:21] + node _T_1359 = bits(_T_1358, 0, 0) @[el2_dec_tlu_ctl.scala 2368:41] + node _T_1360 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[el2_dec_tlu_ctl.scala 2368:76] + node _T_1361 = eq(mhpme_vec[1], UInt<5>("h010")) @[el2_dec_tlu_ctl.scala 2369:21] + node _T_1362 = bits(_T_1361, 0, 0) @[el2_dec_tlu_ctl.scala 2369:46] + node _T_1363 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[el2_dec_tlu_ctl.scala 2369:76] + node _T_1364 = eq(mhpme_vec[1], UInt<5>("h012")) @[el2_dec_tlu_ctl.scala 2370:21] + node _T_1365 = bits(_T_1364, 0, 0) @[el2_dec_tlu_ctl.scala 2370:46] + node _T_1366 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[el2_dec_tlu_ctl.scala 2370:76] + node _T_1367 = eq(mhpme_vec[1], UInt<5>("h011")) @[el2_dec_tlu_ctl.scala 2371:21] + node _T_1368 = bits(_T_1367, 0, 0) @[el2_dec_tlu_ctl.scala 2371:46] + node _T_1369 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[el2_dec_tlu_ctl.scala 2371:76] + node _T_1370 = eq(mhpme_vec[1], UInt<5>("h013")) @[el2_dec_tlu_ctl.scala 2372:21] + node _T_1371 = bits(_T_1370, 0, 0) @[el2_dec_tlu_ctl.scala 2372:46] + node _T_1372 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2372:76] + node _T_1373 = eq(mhpme_vec[1], UInt<5>("h014")) @[el2_dec_tlu_ctl.scala 2373:21] + node _T_1374 = bits(_T_1373, 0, 0) @[el2_dec_tlu_ctl.scala 2373:46] + node _T_1375 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2373:76] + node _T_1376 = eq(mhpme_vec[1], UInt<5>("h015")) @[el2_dec_tlu_ctl.scala 2374:21] + node _T_1377 = bits(_T_1376, 0, 0) @[el2_dec_tlu_ctl.scala 2374:46] + node _T_1378 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2374:76] + node _T_1379 = eq(mhpme_vec[1], UInt<5>("h016")) @[el2_dec_tlu_ctl.scala 2375:21] + node _T_1380 = bits(_T_1379, 0, 0) @[el2_dec_tlu_ctl.scala 2375:46] + node _T_1381 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2375:76] + node _T_1382 = eq(mhpme_vec[1], UInt<5>("h017")) @[el2_dec_tlu_ctl.scala 2376:21] + node _T_1383 = bits(_T_1382, 0, 0) @[el2_dec_tlu_ctl.scala 2376:46] + node _T_1384 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2376:76] + node _T_1385 = eq(mhpme_vec[1], UInt<5>("h018")) @[el2_dec_tlu_ctl.scala 2377:21] + node _T_1386 = bits(_T_1385, 0, 0) @[el2_dec_tlu_ctl.scala 2377:46] + node _T_1387 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2377:76] + node _T_1388 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2377:109] + node _T_1389 = or(_T_1387, _T_1388) @[el2_dec_tlu_ctl.scala 2377:88] + node _T_1390 = eq(mhpme_vec[1], UInt<5>("h019")) @[el2_dec_tlu_ctl.scala 2378:21] + node _T_1391 = bits(_T_1390, 0, 0) @[el2_dec_tlu_ctl.scala 2378:49] + node _T_1392 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2378:82] + node _T_1393 = eq(mhpme_vec[1], UInt<5>("h01a")) @[el2_dec_tlu_ctl.scala 2379:21] + node _T_1394 = bits(_T_1393, 0, 0) @[el2_dec_tlu_ctl.scala 2379:49] + node _T_1395 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2379:84] + node _T_1396 = eq(mhpme_vec[1], UInt<5>("h01b")) @[el2_dec_tlu_ctl.scala 2380:21] + node _T_1397 = bits(_T_1396, 0, 0) @[el2_dec_tlu_ctl.scala 2380:49] + node _T_1398 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2380:97] + node _T_1399 = eq(mhpme_vec[1], UInt<5>("h01c")) @[el2_dec_tlu_ctl.scala 2381:21] + node _T_1400 = bits(_T_1399, 0, 0) @[el2_dec_tlu_ctl.scala 2381:49] + node _T_1401 = eq(mhpme_vec[1], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2382:21] + node _T_1402 = bits(_T_1401, 0, 0) @[el2_dec_tlu_ctl.scala 2382:49] + node _T_1403 = eq(mhpme_vec[1], UInt<5>("h01f")) @[el2_dec_tlu_ctl.scala 2383:21] + node _T_1404 = bits(_T_1403, 0, 0) @[el2_dec_tlu_ctl.scala 2383:49] + node _T_1405 = eq(mhpme_vec[1], UInt<6>("h020")) @[el2_dec_tlu_ctl.scala 2384:21] + node _T_1406 = bits(_T_1405, 0, 0) @[el2_dec_tlu_ctl.scala 2384:49] + node _T_1407 = eq(mhpme_vec[1], UInt<6>("h022")) @[el2_dec_tlu_ctl.scala 2385:21] + node _T_1408 = bits(_T_1407, 0, 0) @[el2_dec_tlu_ctl.scala 2385:49] + node _T_1409 = eq(mhpme_vec[1], UInt<6>("h023")) @[el2_dec_tlu_ctl.scala 2386:21] + node _T_1410 = bits(_T_1409, 0, 0) @[el2_dec_tlu_ctl.scala 2386:49] + node _T_1411 = eq(mhpme_vec[1], UInt<6>("h024")) @[el2_dec_tlu_ctl.scala 2387:21] + node _T_1412 = bits(_T_1411, 0, 0) @[el2_dec_tlu_ctl.scala 2387:49] + node _T_1413 = eq(mhpme_vec[1], UInt<6>("h025")) @[el2_dec_tlu_ctl.scala 2388:21] + node _T_1414 = bits(_T_1413, 0, 0) @[el2_dec_tlu_ctl.scala 2388:49] + node _T_1415 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 2388:85] + node _T_1416 = or(_T_1415, io.lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 2388:107] + node _T_1417 = eq(mhpme_vec[1], UInt<6>("h026")) @[el2_dec_tlu_ctl.scala 2389:21] + node _T_1418 = bits(_T_1417, 0, 0) @[el2_dec_tlu_ctl.scala 2389:49] + node _T_1419 = or(io.take_timer_int, io.take_int_timer0_int) @[el2_dec_tlu_ctl.scala 2389:79] + node _T_1420 = or(_T_1419, io.take_int_timer1_int) @[el2_dec_tlu_ctl.scala 2389:104] + node _T_1421 = eq(mhpme_vec[1], UInt<6>("h027")) @[el2_dec_tlu_ctl.scala 2390:21] + node _T_1422 = bits(_T_1421, 0, 0) @[el2_dec_tlu_ctl.scala 2390:49] + node _T_1423 = eq(mhpme_vec[1], UInt<6>("h028")) @[el2_dec_tlu_ctl.scala 2391:21] + node _T_1424 = bits(_T_1423, 0, 0) @[el2_dec_tlu_ctl.scala 2391:49] + node _T_1425 = eq(mhpme_vec[1], UInt<6>("h029")) @[el2_dec_tlu_ctl.scala 2392:21] + node _T_1426 = bits(_T_1425, 0, 0) @[el2_dec_tlu_ctl.scala 2392:49] + node _T_1427 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[el2_dec_tlu_ctl.scala 2392:84] + node _T_1428 = and(_T_1427, io.rfpc_i0_r) @[el2_dec_tlu_ctl.scala 2392:116] + node _T_1429 = eq(mhpme_vec[1], UInt<6>("h02a")) @[el2_dec_tlu_ctl.scala 2393:21] + node _T_1430 = bits(_T_1429, 0, 0) @[el2_dec_tlu_ctl.scala 2393:49] + node _T_1431 = eq(mhpme_vec[1], UInt<6>("h02b")) @[el2_dec_tlu_ctl.scala 2394:21] + node _T_1432 = bits(_T_1431, 0, 0) @[el2_dec_tlu_ctl.scala 2394:49] + node _T_1433 = eq(mhpme_vec[1], UInt<6>("h02c")) @[el2_dec_tlu_ctl.scala 2395:21] + node _T_1434 = bits(_T_1433, 0, 0) @[el2_dec_tlu_ctl.scala 2395:49] + node _T_1435 = eq(mhpme_vec[1], UInt<6>("h02d")) @[el2_dec_tlu_ctl.scala 2396:21] + node _T_1436 = bits(_T_1435, 0, 0) @[el2_dec_tlu_ctl.scala 2396:49] + node _T_1437 = eq(mhpme_vec[1], UInt<6>("h02e")) @[el2_dec_tlu_ctl.scala 2397:21] + node _T_1438 = bits(_T_1437, 0, 0) @[el2_dec_tlu_ctl.scala 2397:49] + node _T_1439 = eq(mhpme_vec[1], UInt<6>("h02f")) @[el2_dec_tlu_ctl.scala 2398:21] + node _T_1440 = bits(_T_1439, 0, 0) @[el2_dec_tlu_ctl.scala 2398:49] + node _T_1441 = eq(mhpme_vec[1], UInt<6>("h030")) @[el2_dec_tlu_ctl.scala 2399:21] + node _T_1442 = bits(_T_1441, 0, 0) @[el2_dec_tlu_ctl.scala 2399:49] + node _T_1443 = eq(mhpme_vec[1], UInt<6>("h031")) @[el2_dec_tlu_ctl.scala 2400:21] + node _T_1444 = bits(_T_1443, 0, 0) @[el2_dec_tlu_ctl.scala 2400:49] + node _T_1445 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_1446 = bits(_T_1445, 0, 0) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_1447 = not(_T_1446) @[el2_dec_tlu_ctl.scala 2400:60] + node _T_1448 = eq(mhpme_vec[1], UInt<6>("h032")) @[el2_dec_tlu_ctl.scala 2401:21] + node _T_1449 = bits(_T_1448, 0, 0) @[el2_dec_tlu_ctl.scala 2401:49] + node _T_1450 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_1451 = bits(_T_1450, 0, 0) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_1452 = not(_T_1451) @[el2_dec_tlu_ctl.scala 2401:60] + node _T_1453 = bits(io.mip, 5, 0) @[el2_dec_tlu_ctl.scala 2401:94] + node _T_1454 = bits(mie, 5, 0) @[el2_dec_tlu_ctl.scala 2401:105] + node _T_1455 = and(_T_1453, _T_1454) @[el2_dec_tlu_ctl.scala 2401:100] + node _T_1456 = and(_T_1452, _T_1455) @[el2_dec_tlu_ctl.scala 2401:85] + node _T_1457 = eq(mhpme_vec[1], UInt<6>("h036")) @[el2_dec_tlu_ctl.scala 2402:21] + node _T_1458 = bits(_T_1457, 0, 0) @[el2_dec_tlu_ctl.scala 2402:49] + node _T_1459 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2402:78] + node _T_1460 = eq(mhpme_vec[1], UInt<6>("h037")) @[el2_dec_tlu_ctl.scala 2403:21] + node _T_1461 = bits(_T_1460, 0, 0) @[el2_dec_tlu_ctl.scala 2403:49] + node _T_1462 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[el2_dec_tlu_ctl.scala 2403:81] + node _T_1463 = eq(mhpme_vec[1], UInt<6>("h038")) @[el2_dec_tlu_ctl.scala 2404:21] + node _T_1464 = bits(_T_1463, 0, 0) @[el2_dec_tlu_ctl.scala 2404:49] + node _T_1465 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[el2_dec_tlu_ctl.scala 2404:81] + node _T_1466 = eq(mhpme_vec[1], UInt<10>("h0200")) @[el2_dec_tlu_ctl.scala 2406:21] + node _T_1467 = bits(_T_1466, 0, 0) @[el2_dec_tlu_ctl.scala 2406:49] + node _T_1468 = eq(mhpme_vec[1], UInt<10>("h0201")) @[el2_dec_tlu_ctl.scala 2407:21] + node _T_1469 = bits(_T_1468, 0, 0) @[el2_dec_tlu_ctl.scala 2407:49] + node _T_1470 = eq(mhpme_vec[1], UInt<10>("h0202")) @[el2_dec_tlu_ctl.scala 2408:21] + node _T_1471 = bits(_T_1470, 0, 0) @[el2_dec_tlu_ctl.scala 2408:49] + node _T_1472 = eq(mhpme_vec[1], UInt<10>("h0203")) @[el2_dec_tlu_ctl.scala 2409:21] + node _T_1473 = bits(_T_1472, 0, 0) @[el2_dec_tlu_ctl.scala 2409:49] + node _T_1474 = eq(mhpme_vec[1], UInt<10>("h0204")) @[el2_dec_tlu_ctl.scala 2410:21] + node _T_1475 = bits(_T_1474, 0, 0) @[el2_dec_tlu_ctl.scala 2410:49] + node _T_1476 = mux(_T_1309, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1477 = mux(_T_1311, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1478 = mux(_T_1313, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1479 = mux(_T_1315, _T_1317, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1480 = mux(_T_1319, _T_1323, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1481 = mux(_T_1325, _T_1328, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1482 = mux(_T_1330, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1332, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = mux(_T_1334, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1485 = mux(_T_1336, _T_1337, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1486 = mux(_T_1339, _T_1340, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1487 = mux(_T_1342, _T_1343, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1488 = mux(_T_1345, _T_1346, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1489 = mux(_T_1348, _T_1357, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1490 = mux(_T_1359, _T_1360, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1491 = mux(_T_1362, _T_1363, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1492 = mux(_T_1365, _T_1366, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1493 = mux(_T_1368, _T_1369, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1494 = mux(_T_1371, _T_1372, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1495 = mux(_T_1374, _T_1375, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1496 = mux(_T_1377, _T_1378, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1497 = mux(_T_1380, _T_1381, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1498 = mux(_T_1383, _T_1384, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1499 = mux(_T_1386, _T_1389, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1500 = mux(_T_1391, _T_1392, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1394, _T_1395, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = mux(_T_1397, _T_1398, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1503 = mux(_T_1400, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1504 = mux(_T_1402, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1505 = mux(_T_1404, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1506 = mux(_T_1406, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1507 = mux(_T_1408, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1508 = mux(_T_1410, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1509 = mux(_T_1412, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1510 = mux(_T_1414, _T_1416, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1511 = mux(_T_1418, _T_1420, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1512 = mux(_T_1422, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1513 = mux(_T_1424, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1514 = mux(_T_1426, _T_1428, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1430, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = mux(_T_1432, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1517 = mux(_T_1434, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1518 = mux(_T_1436, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1519 = mux(_T_1438, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1520 = mux(_T_1440, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1521 = mux(_T_1442, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1522 = mux(_T_1444, _T_1447, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1523 = mux(_T_1449, _T_1456, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1524 = mux(_T_1458, _T_1459, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1525 = mux(_T_1461, _T_1462, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1526 = mux(_T_1464, _T_1465, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1527 = mux(_T_1467, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1469, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = mux(_T_1471, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1530 = mux(_T_1473, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1531 = mux(_T_1475, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1532 = or(_T_1476, _T_1477) @[Mux.scala 27:72] + node _T_1533 = or(_T_1532, _T_1478) @[Mux.scala 27:72] + node _T_1534 = or(_T_1533, _T_1479) @[Mux.scala 27:72] + node _T_1535 = or(_T_1534, _T_1480) @[Mux.scala 27:72] + node _T_1536 = or(_T_1535, _T_1481) @[Mux.scala 27:72] + node _T_1537 = or(_T_1536, _T_1482) @[Mux.scala 27:72] + node _T_1538 = or(_T_1537, _T_1483) @[Mux.scala 27:72] + node _T_1539 = or(_T_1538, _T_1484) @[Mux.scala 27:72] + node _T_1540 = or(_T_1539, _T_1485) @[Mux.scala 27:72] + node _T_1541 = or(_T_1540, _T_1486) @[Mux.scala 27:72] + node _T_1542 = or(_T_1541, _T_1487) @[Mux.scala 27:72] + node _T_1543 = or(_T_1542, _T_1488) @[Mux.scala 27:72] + node _T_1544 = or(_T_1543, _T_1489) @[Mux.scala 27:72] + node _T_1545 = or(_T_1544, _T_1490) @[Mux.scala 27:72] + node _T_1546 = or(_T_1545, _T_1491) @[Mux.scala 27:72] + node _T_1547 = or(_T_1546, _T_1492) @[Mux.scala 27:72] + node _T_1548 = or(_T_1547, _T_1493) @[Mux.scala 27:72] + node _T_1549 = or(_T_1548, _T_1494) @[Mux.scala 27:72] + node _T_1550 = or(_T_1549, _T_1495) @[Mux.scala 27:72] + node _T_1551 = or(_T_1550, _T_1496) @[Mux.scala 27:72] + node _T_1552 = or(_T_1551, _T_1497) @[Mux.scala 27:72] + node _T_1553 = or(_T_1552, _T_1498) @[Mux.scala 27:72] + node _T_1554 = or(_T_1553, _T_1499) @[Mux.scala 27:72] + node _T_1555 = or(_T_1554, _T_1500) @[Mux.scala 27:72] + node _T_1556 = or(_T_1555, _T_1501) @[Mux.scala 27:72] + node _T_1557 = or(_T_1556, _T_1502) @[Mux.scala 27:72] + node _T_1558 = or(_T_1557, _T_1503) @[Mux.scala 27:72] + node _T_1559 = or(_T_1558, _T_1504) @[Mux.scala 27:72] + node _T_1560 = or(_T_1559, _T_1505) @[Mux.scala 27:72] + node _T_1561 = or(_T_1560, _T_1506) @[Mux.scala 27:72] + node _T_1562 = or(_T_1561, _T_1507) @[Mux.scala 27:72] + node _T_1563 = or(_T_1562, _T_1508) @[Mux.scala 27:72] + node _T_1564 = or(_T_1563, _T_1509) @[Mux.scala 27:72] + node _T_1565 = or(_T_1564, _T_1510) @[Mux.scala 27:72] + node _T_1566 = or(_T_1565, _T_1511) @[Mux.scala 27:72] + node _T_1567 = or(_T_1566, _T_1512) @[Mux.scala 27:72] + node _T_1568 = or(_T_1567, _T_1513) @[Mux.scala 27:72] + node _T_1569 = or(_T_1568, _T_1514) @[Mux.scala 27:72] + node _T_1570 = or(_T_1569, _T_1515) @[Mux.scala 27:72] + node _T_1571 = or(_T_1570, _T_1516) @[Mux.scala 27:72] + node _T_1572 = or(_T_1571, _T_1517) @[Mux.scala 27:72] + node _T_1573 = or(_T_1572, _T_1518) @[Mux.scala 27:72] + node _T_1574 = or(_T_1573, _T_1519) @[Mux.scala 27:72] + node _T_1575 = or(_T_1574, _T_1520) @[Mux.scala 27:72] + node _T_1576 = or(_T_1575, _T_1521) @[Mux.scala 27:72] + node _T_1577 = or(_T_1576, _T_1522) @[Mux.scala 27:72] + node _T_1578 = or(_T_1577, _T_1523) @[Mux.scala 27:72] + node _T_1579 = or(_T_1578, _T_1524) @[Mux.scala 27:72] + node _T_1580 = or(_T_1579, _T_1525) @[Mux.scala 27:72] + node _T_1581 = or(_T_1580, _T_1526) @[Mux.scala 27:72] + node _T_1582 = or(_T_1581, _T_1527) @[Mux.scala 27:72] + node _T_1583 = or(_T_1582, _T_1528) @[Mux.scala 27:72] + node _T_1584 = or(_T_1583, _T_1529) @[Mux.scala 27:72] + node _T_1585 = or(_T_1584, _T_1530) @[Mux.scala 27:72] + node _T_1586 = or(_T_1585, _T_1531) @[Mux.scala 27:72] + wire _T_1587 : UInt<6> @[Mux.scala 27:72] + _T_1587 <= _T_1586 @[Mux.scala 27:72] + node _T_1588 = and(_T_1307, _T_1587) @[el2_dec_tlu_ctl.scala 2351:45] + mhpmc_inc_r[1] <= _T_1588 @[el2_dec_tlu_ctl.scala 2351:20] + node _T_1589 = bits(mcountinhibit, 5, 5) @[el2_dec_tlu_ctl.scala 2351:39] + node _T_1590 = not(_T_1589) @[el2_dec_tlu_ctl.scala 2351:25] + node _T_1591 = eq(mhpme_vec[2], UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 2352:21] + node _T_1592 = bits(_T_1591, 0, 0) @[el2_dec_tlu_ctl.scala 2352:49] + node _T_1593 = eq(mhpme_vec[2], UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2353:21] + node _T_1594 = bits(_T_1593, 0, 0) @[el2_dec_tlu_ctl.scala 2353:49] + node _T_1595 = eq(mhpme_vec[2], UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2354:21] + node _T_1596 = bits(_T_1595, 0, 0) @[el2_dec_tlu_ctl.scala 2354:49] + node _T_1597 = eq(mhpme_vec[2], UInt<3>("h04")) @[el2_dec_tlu_ctl.scala 2355:21] + node _T_1598 = bits(_T_1597, 0, 0) @[el2_dec_tlu_ctl.scala 2355:49] + node _T_1599 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2355:83] + node _T_1600 = and(io.tlu_i0_commit_cmt, _T_1599) @[el2_dec_tlu_ctl.scala 2355:81] + node _T_1601 = eq(mhpme_vec[2], UInt<3>("h05")) @[el2_dec_tlu_ctl.scala 2356:21] + node _T_1602 = bits(_T_1601, 0, 0) @[el2_dec_tlu_ctl.scala 2356:49] + node _T_1603 = not(io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2356:83] + node _T_1604 = and(io.tlu_i0_commit_cmt, _T_1603) @[el2_dec_tlu_ctl.scala 2356:81] + node _T_1605 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2356:104] + node _T_1606 = and(_T_1604, _T_1605) @[el2_dec_tlu_ctl.scala 2356:102] + node _T_1607 = eq(mhpme_vec[2], UInt<3>("h06")) @[el2_dec_tlu_ctl.scala 2357:21] + node _T_1608 = bits(_T_1607, 0, 0) @[el2_dec_tlu_ctl.scala 2357:49] + node _T_1609 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2357:81] + node _T_1610 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2357:104] + node _T_1611 = and(_T_1609, _T_1610) @[el2_dec_tlu_ctl.scala 2357:102] + node _T_1612 = eq(mhpme_vec[2], UInt<3>("h07")) @[el2_dec_tlu_ctl.scala 2358:21] + node _T_1613 = bits(_T_1612, 0, 0) @[el2_dec_tlu_ctl.scala 2358:49] + node _T_1614 = eq(mhpme_vec[2], UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2359:21] + node _T_1615 = bits(_T_1614, 0, 0) @[el2_dec_tlu_ctl.scala 2359:49] + node _T_1616 = eq(mhpme_vec[2], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2360:21] + node _T_1617 = bits(_T_1616, 0, 0) @[el2_dec_tlu_ctl.scala 2360:49] + node _T_1618 = eq(mhpme_vec[2], UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2361:21] + node _T_1619 = bits(_T_1618, 0, 0) @[el2_dec_tlu_ctl.scala 2361:49] + node _T_1620 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[el2_dec_tlu_ctl.scala 2361:78] + node _T_1621 = eq(mhpme_vec[2], UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2362:21] + node _T_1622 = bits(_T_1621, 0, 0) @[el2_dec_tlu_ctl.scala 2362:49] + node _T_1623 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2362:92] + node _T_1624 = eq(mhpme_vec[2], UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2363:21] + node _T_1625 = bits(_T_1624, 0, 0) @[el2_dec_tlu_ctl.scala 2363:49] + node _T_1626 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2363:78] + node _T_1627 = eq(mhpme_vec[2], UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2364:21] + node _T_1628 = bits(_T_1627, 0, 0) @[el2_dec_tlu_ctl.scala 2364:49] + node _T_1629 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2364:78] + node _T_1630 = eq(mhpme_vec[2], UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2365:21] + node _T_1631 = bits(_T_1630, 0, 0) @[el2_dec_tlu_ctl.scala 2365:49] + node _T_1632 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2365:79] + node _T_1633 = eq(mhpme_vec[2], UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2366:21] + node _T_1634 = dshr(io.dec_tlu_packet_r.pmu_lsu_misaligned, _T_1633) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1635 = bits(_T_1634, 0, 0) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1636 = and(_T_1632, _T_1635) @[el2_dec_tlu_ctl.scala 2365:89] + node _T_1637 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2366:66] + node _T_1638 = and(_T_1636, _T_1637) @[el2_dec_tlu_ctl.scala 2366:45] + node _T_1639 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[el2_dec_tlu_ctl.scala 2367:48] + node _T_1640 = and(_T_1638, _T_1639) @[el2_dec_tlu_ctl.scala 2366:77] + node _T_1641 = eq(mhpme_vec[2], UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2368:21] + node _T_1642 = bits(_T_1641, 0, 0) @[el2_dec_tlu_ctl.scala 2368:41] + node _T_1643 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[el2_dec_tlu_ctl.scala 2368:76] + node _T_1644 = eq(mhpme_vec[2], UInt<5>("h010")) @[el2_dec_tlu_ctl.scala 2369:21] + node _T_1645 = bits(_T_1644, 0, 0) @[el2_dec_tlu_ctl.scala 2369:46] + node _T_1646 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[el2_dec_tlu_ctl.scala 2369:76] + node _T_1647 = eq(mhpme_vec[2], UInt<5>("h012")) @[el2_dec_tlu_ctl.scala 2370:21] + node _T_1648 = bits(_T_1647, 0, 0) @[el2_dec_tlu_ctl.scala 2370:46] + node _T_1649 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[el2_dec_tlu_ctl.scala 2370:76] + node _T_1650 = eq(mhpme_vec[2], UInt<5>("h011")) @[el2_dec_tlu_ctl.scala 2371:21] + node _T_1651 = bits(_T_1650, 0, 0) @[el2_dec_tlu_ctl.scala 2371:46] + node _T_1652 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[el2_dec_tlu_ctl.scala 2371:76] + node _T_1653 = eq(mhpme_vec[2], UInt<5>("h013")) @[el2_dec_tlu_ctl.scala 2372:21] + node _T_1654 = bits(_T_1653, 0, 0) @[el2_dec_tlu_ctl.scala 2372:46] + node _T_1655 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2372:76] + node _T_1656 = eq(mhpme_vec[2], UInt<5>("h014")) @[el2_dec_tlu_ctl.scala 2373:21] + node _T_1657 = bits(_T_1656, 0, 0) @[el2_dec_tlu_ctl.scala 2373:46] + node _T_1658 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2373:76] + node _T_1659 = eq(mhpme_vec[2], UInt<5>("h015")) @[el2_dec_tlu_ctl.scala 2374:21] + node _T_1660 = bits(_T_1659, 0, 0) @[el2_dec_tlu_ctl.scala 2374:46] + node _T_1661 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2374:76] + node _T_1662 = eq(mhpme_vec[2], UInt<5>("h016")) @[el2_dec_tlu_ctl.scala 2375:21] + node _T_1663 = bits(_T_1662, 0, 0) @[el2_dec_tlu_ctl.scala 2375:46] + node _T_1664 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2375:76] + node _T_1665 = eq(mhpme_vec[2], UInt<5>("h017")) @[el2_dec_tlu_ctl.scala 2376:21] + node _T_1666 = bits(_T_1665, 0, 0) @[el2_dec_tlu_ctl.scala 2376:46] + node _T_1667 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2376:76] + node _T_1668 = eq(mhpme_vec[2], UInt<5>("h018")) @[el2_dec_tlu_ctl.scala 2377:21] + node _T_1669 = bits(_T_1668, 0, 0) @[el2_dec_tlu_ctl.scala 2377:46] + node _T_1670 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2377:76] + node _T_1671 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2377:109] + node _T_1672 = or(_T_1670, _T_1671) @[el2_dec_tlu_ctl.scala 2377:88] + node _T_1673 = eq(mhpme_vec[2], UInt<5>("h019")) @[el2_dec_tlu_ctl.scala 2378:21] + node _T_1674 = bits(_T_1673, 0, 0) @[el2_dec_tlu_ctl.scala 2378:49] + node _T_1675 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2378:82] + node _T_1676 = eq(mhpme_vec[2], UInt<5>("h01a")) @[el2_dec_tlu_ctl.scala 2379:21] + node _T_1677 = bits(_T_1676, 0, 0) @[el2_dec_tlu_ctl.scala 2379:49] + node _T_1678 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2379:84] + node _T_1679 = eq(mhpme_vec[2], UInt<5>("h01b")) @[el2_dec_tlu_ctl.scala 2380:21] + node _T_1680 = bits(_T_1679, 0, 0) @[el2_dec_tlu_ctl.scala 2380:49] + node _T_1681 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2380:97] + node _T_1682 = eq(mhpme_vec[2], UInt<5>("h01c")) @[el2_dec_tlu_ctl.scala 2381:21] + node _T_1683 = bits(_T_1682, 0, 0) @[el2_dec_tlu_ctl.scala 2381:49] + node _T_1684 = eq(mhpme_vec[2], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2382:21] + node _T_1685 = bits(_T_1684, 0, 0) @[el2_dec_tlu_ctl.scala 2382:49] + node _T_1686 = eq(mhpme_vec[2], UInt<5>("h01f")) @[el2_dec_tlu_ctl.scala 2383:21] + node _T_1687 = bits(_T_1686, 0, 0) @[el2_dec_tlu_ctl.scala 2383:49] + node _T_1688 = eq(mhpme_vec[2], UInt<6>("h020")) @[el2_dec_tlu_ctl.scala 2384:21] + node _T_1689 = bits(_T_1688, 0, 0) @[el2_dec_tlu_ctl.scala 2384:49] + node _T_1690 = eq(mhpme_vec[2], UInt<6>("h022")) @[el2_dec_tlu_ctl.scala 2385:21] + node _T_1691 = bits(_T_1690, 0, 0) @[el2_dec_tlu_ctl.scala 2385:49] + node _T_1692 = eq(mhpme_vec[2], UInt<6>("h023")) @[el2_dec_tlu_ctl.scala 2386:21] + node _T_1693 = bits(_T_1692, 0, 0) @[el2_dec_tlu_ctl.scala 2386:49] + node _T_1694 = eq(mhpme_vec[2], UInt<6>("h024")) @[el2_dec_tlu_ctl.scala 2387:21] + node _T_1695 = bits(_T_1694, 0, 0) @[el2_dec_tlu_ctl.scala 2387:49] + node _T_1696 = eq(mhpme_vec[2], UInt<6>("h025")) @[el2_dec_tlu_ctl.scala 2388:21] + node _T_1697 = bits(_T_1696, 0, 0) @[el2_dec_tlu_ctl.scala 2388:49] + node _T_1698 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 2388:85] + node _T_1699 = or(_T_1698, io.lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 2388:107] + node _T_1700 = eq(mhpme_vec[2], UInt<6>("h026")) @[el2_dec_tlu_ctl.scala 2389:21] + node _T_1701 = bits(_T_1700, 0, 0) @[el2_dec_tlu_ctl.scala 2389:49] + node _T_1702 = or(io.take_timer_int, io.take_int_timer0_int) @[el2_dec_tlu_ctl.scala 2389:79] + node _T_1703 = or(_T_1702, io.take_int_timer1_int) @[el2_dec_tlu_ctl.scala 2389:104] + node _T_1704 = eq(mhpme_vec[2], UInt<6>("h027")) @[el2_dec_tlu_ctl.scala 2390:21] + node _T_1705 = bits(_T_1704, 0, 0) @[el2_dec_tlu_ctl.scala 2390:49] + node _T_1706 = eq(mhpme_vec[2], UInt<6>("h028")) @[el2_dec_tlu_ctl.scala 2391:21] + node _T_1707 = bits(_T_1706, 0, 0) @[el2_dec_tlu_ctl.scala 2391:49] + node _T_1708 = eq(mhpme_vec[2], UInt<6>("h029")) @[el2_dec_tlu_ctl.scala 2392:21] + node _T_1709 = bits(_T_1708, 0, 0) @[el2_dec_tlu_ctl.scala 2392:49] + node _T_1710 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[el2_dec_tlu_ctl.scala 2392:84] + node _T_1711 = and(_T_1710, io.rfpc_i0_r) @[el2_dec_tlu_ctl.scala 2392:116] + node _T_1712 = eq(mhpme_vec[2], UInt<6>("h02a")) @[el2_dec_tlu_ctl.scala 2393:21] + node _T_1713 = bits(_T_1712, 0, 0) @[el2_dec_tlu_ctl.scala 2393:49] + node _T_1714 = eq(mhpme_vec[2], UInt<6>("h02b")) @[el2_dec_tlu_ctl.scala 2394:21] + node _T_1715 = bits(_T_1714, 0, 0) @[el2_dec_tlu_ctl.scala 2394:49] + node _T_1716 = eq(mhpme_vec[2], UInt<6>("h02c")) @[el2_dec_tlu_ctl.scala 2395:21] + node _T_1717 = bits(_T_1716, 0, 0) @[el2_dec_tlu_ctl.scala 2395:49] + node _T_1718 = eq(mhpme_vec[2], UInt<6>("h02d")) @[el2_dec_tlu_ctl.scala 2396:21] + node _T_1719 = bits(_T_1718, 0, 0) @[el2_dec_tlu_ctl.scala 2396:49] + node _T_1720 = eq(mhpme_vec[2], UInt<6>("h02e")) @[el2_dec_tlu_ctl.scala 2397:21] + node _T_1721 = bits(_T_1720, 0, 0) @[el2_dec_tlu_ctl.scala 2397:49] + node _T_1722 = eq(mhpme_vec[2], UInt<6>("h02f")) @[el2_dec_tlu_ctl.scala 2398:21] + node _T_1723 = bits(_T_1722, 0, 0) @[el2_dec_tlu_ctl.scala 2398:49] + node _T_1724 = eq(mhpme_vec[2], UInt<6>("h030")) @[el2_dec_tlu_ctl.scala 2399:21] + node _T_1725 = bits(_T_1724, 0, 0) @[el2_dec_tlu_ctl.scala 2399:49] + node _T_1726 = eq(mhpme_vec[2], UInt<6>("h031")) @[el2_dec_tlu_ctl.scala 2400:21] + node _T_1727 = bits(_T_1726, 0, 0) @[el2_dec_tlu_ctl.scala 2400:49] + node _T_1728 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_1729 = bits(_T_1728, 0, 0) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_1730 = not(_T_1729) @[el2_dec_tlu_ctl.scala 2400:60] + node _T_1731 = eq(mhpme_vec[2], UInt<6>("h032")) @[el2_dec_tlu_ctl.scala 2401:21] + node _T_1732 = bits(_T_1731, 0, 0) @[el2_dec_tlu_ctl.scala 2401:49] + node _T_1733 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_1734 = bits(_T_1733, 0, 0) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_1735 = not(_T_1734) @[el2_dec_tlu_ctl.scala 2401:60] + node _T_1736 = bits(io.mip, 5, 0) @[el2_dec_tlu_ctl.scala 2401:94] + node _T_1737 = bits(mie, 5, 0) @[el2_dec_tlu_ctl.scala 2401:105] + node _T_1738 = and(_T_1736, _T_1737) @[el2_dec_tlu_ctl.scala 2401:100] + node _T_1739 = and(_T_1735, _T_1738) @[el2_dec_tlu_ctl.scala 2401:85] + node _T_1740 = eq(mhpme_vec[2], UInt<6>("h036")) @[el2_dec_tlu_ctl.scala 2402:21] + node _T_1741 = bits(_T_1740, 0, 0) @[el2_dec_tlu_ctl.scala 2402:49] + node _T_1742 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2402:78] + node _T_1743 = eq(mhpme_vec[2], UInt<6>("h037")) @[el2_dec_tlu_ctl.scala 2403:21] + node _T_1744 = bits(_T_1743, 0, 0) @[el2_dec_tlu_ctl.scala 2403:49] + node _T_1745 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[el2_dec_tlu_ctl.scala 2403:81] + node _T_1746 = eq(mhpme_vec[2], UInt<6>("h038")) @[el2_dec_tlu_ctl.scala 2404:21] + node _T_1747 = bits(_T_1746, 0, 0) @[el2_dec_tlu_ctl.scala 2404:49] + node _T_1748 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[el2_dec_tlu_ctl.scala 2404:81] + node _T_1749 = eq(mhpme_vec[2], UInt<10>("h0200")) @[el2_dec_tlu_ctl.scala 2406:21] + node _T_1750 = bits(_T_1749, 0, 0) @[el2_dec_tlu_ctl.scala 2406:49] + node _T_1751 = eq(mhpme_vec[2], UInt<10>("h0201")) @[el2_dec_tlu_ctl.scala 2407:21] + node _T_1752 = bits(_T_1751, 0, 0) @[el2_dec_tlu_ctl.scala 2407:49] + node _T_1753 = eq(mhpme_vec[2], UInt<10>("h0202")) @[el2_dec_tlu_ctl.scala 2408:21] + node _T_1754 = bits(_T_1753, 0, 0) @[el2_dec_tlu_ctl.scala 2408:49] + node _T_1755 = eq(mhpme_vec[2], UInt<10>("h0203")) @[el2_dec_tlu_ctl.scala 2409:21] + node _T_1756 = bits(_T_1755, 0, 0) @[el2_dec_tlu_ctl.scala 2409:49] + node _T_1757 = eq(mhpme_vec[2], UInt<10>("h0204")) @[el2_dec_tlu_ctl.scala 2410:21] + node _T_1758 = bits(_T_1757, 0, 0) @[el2_dec_tlu_ctl.scala 2410:49] + node _T_1759 = mux(_T_1592, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1760 = mux(_T_1594, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1761 = mux(_T_1596, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1762 = mux(_T_1598, _T_1600, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1763 = mux(_T_1602, _T_1606, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1764 = mux(_T_1608, _T_1611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1765 = mux(_T_1613, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1766 = mux(_T_1615, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1767 = mux(_T_1617, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1768 = mux(_T_1619, _T_1620, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1769 = mux(_T_1622, _T_1623, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1770 = mux(_T_1625, _T_1626, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1771 = mux(_T_1628, _T_1629, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1772 = mux(_T_1631, _T_1640, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1773 = mux(_T_1642, _T_1643, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1774 = mux(_T_1645, _T_1646, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1775 = mux(_T_1648, _T_1649, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1776 = mux(_T_1651, _T_1652, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1777 = mux(_T_1654, _T_1655, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1778 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1779 = mux(_T_1660, _T_1661, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1780 = mux(_T_1663, _T_1664, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1781 = mux(_T_1666, _T_1667, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1782 = mux(_T_1669, _T_1672, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1783 = mux(_T_1674, _T_1675, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1784 = mux(_T_1677, _T_1678, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1785 = mux(_T_1680, _T_1681, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1786 = mux(_T_1683, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1787 = mux(_T_1685, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1788 = mux(_T_1687, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1789 = mux(_T_1689, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1790 = mux(_T_1691, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1791 = mux(_T_1693, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1792 = mux(_T_1695, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1793 = mux(_T_1697, _T_1699, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1794 = mux(_T_1701, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1795 = mux(_T_1705, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1796 = mux(_T_1707, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1797 = mux(_T_1709, _T_1711, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1798 = mux(_T_1713, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1799 = mux(_T_1715, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1800 = mux(_T_1717, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1801 = mux(_T_1719, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1802 = mux(_T_1721, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1803 = mux(_T_1723, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1804 = mux(_T_1725, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1805 = mux(_T_1727, _T_1730, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1806 = mux(_T_1732, _T_1739, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1807 = mux(_T_1741, _T_1742, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1808 = mux(_T_1744, _T_1745, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1809 = mux(_T_1747, _T_1748, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1810 = mux(_T_1750, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1811 = mux(_T_1752, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1812 = mux(_T_1754, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1813 = mux(_T_1756, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1814 = mux(_T_1758, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1815 = or(_T_1759, _T_1760) @[Mux.scala 27:72] + node _T_1816 = or(_T_1815, _T_1761) @[Mux.scala 27:72] + node _T_1817 = or(_T_1816, _T_1762) @[Mux.scala 27:72] + node _T_1818 = or(_T_1817, _T_1763) @[Mux.scala 27:72] + node _T_1819 = or(_T_1818, _T_1764) @[Mux.scala 27:72] + node _T_1820 = or(_T_1819, _T_1765) @[Mux.scala 27:72] + node _T_1821 = or(_T_1820, _T_1766) @[Mux.scala 27:72] + node _T_1822 = or(_T_1821, _T_1767) @[Mux.scala 27:72] + node _T_1823 = or(_T_1822, _T_1768) @[Mux.scala 27:72] + node _T_1824 = or(_T_1823, _T_1769) @[Mux.scala 27:72] + node _T_1825 = or(_T_1824, _T_1770) @[Mux.scala 27:72] + node _T_1826 = or(_T_1825, _T_1771) @[Mux.scala 27:72] + node _T_1827 = or(_T_1826, _T_1772) @[Mux.scala 27:72] + node _T_1828 = or(_T_1827, _T_1773) @[Mux.scala 27:72] + node _T_1829 = or(_T_1828, _T_1774) @[Mux.scala 27:72] + node _T_1830 = or(_T_1829, _T_1775) @[Mux.scala 27:72] + node _T_1831 = or(_T_1830, _T_1776) @[Mux.scala 27:72] + node _T_1832 = or(_T_1831, _T_1777) @[Mux.scala 27:72] + node _T_1833 = or(_T_1832, _T_1778) @[Mux.scala 27:72] + node _T_1834 = or(_T_1833, _T_1779) @[Mux.scala 27:72] + node _T_1835 = or(_T_1834, _T_1780) @[Mux.scala 27:72] + node _T_1836 = or(_T_1835, _T_1781) @[Mux.scala 27:72] + node _T_1837 = or(_T_1836, _T_1782) @[Mux.scala 27:72] + node _T_1838 = or(_T_1837, _T_1783) @[Mux.scala 27:72] + node _T_1839 = or(_T_1838, _T_1784) @[Mux.scala 27:72] + node _T_1840 = or(_T_1839, _T_1785) @[Mux.scala 27:72] + node _T_1841 = or(_T_1840, _T_1786) @[Mux.scala 27:72] + node _T_1842 = or(_T_1841, _T_1787) @[Mux.scala 27:72] + node _T_1843 = or(_T_1842, _T_1788) @[Mux.scala 27:72] + node _T_1844 = or(_T_1843, _T_1789) @[Mux.scala 27:72] + node _T_1845 = or(_T_1844, _T_1790) @[Mux.scala 27:72] + node _T_1846 = or(_T_1845, _T_1791) @[Mux.scala 27:72] + node _T_1847 = or(_T_1846, _T_1792) @[Mux.scala 27:72] + node _T_1848 = or(_T_1847, _T_1793) @[Mux.scala 27:72] + node _T_1849 = or(_T_1848, _T_1794) @[Mux.scala 27:72] + node _T_1850 = or(_T_1849, _T_1795) @[Mux.scala 27:72] + node _T_1851 = or(_T_1850, _T_1796) @[Mux.scala 27:72] + node _T_1852 = or(_T_1851, _T_1797) @[Mux.scala 27:72] + node _T_1853 = or(_T_1852, _T_1798) @[Mux.scala 27:72] + node _T_1854 = or(_T_1853, _T_1799) @[Mux.scala 27:72] + node _T_1855 = or(_T_1854, _T_1800) @[Mux.scala 27:72] + node _T_1856 = or(_T_1855, _T_1801) @[Mux.scala 27:72] + node _T_1857 = or(_T_1856, _T_1802) @[Mux.scala 27:72] + node _T_1858 = or(_T_1857, _T_1803) @[Mux.scala 27:72] + node _T_1859 = or(_T_1858, _T_1804) @[Mux.scala 27:72] + node _T_1860 = or(_T_1859, _T_1805) @[Mux.scala 27:72] + node _T_1861 = or(_T_1860, _T_1806) @[Mux.scala 27:72] + node _T_1862 = or(_T_1861, _T_1807) @[Mux.scala 27:72] + node _T_1863 = or(_T_1862, _T_1808) @[Mux.scala 27:72] + node _T_1864 = or(_T_1863, _T_1809) @[Mux.scala 27:72] + node _T_1865 = or(_T_1864, _T_1810) @[Mux.scala 27:72] + node _T_1866 = or(_T_1865, _T_1811) @[Mux.scala 27:72] + node _T_1867 = or(_T_1866, _T_1812) @[Mux.scala 27:72] + node _T_1868 = or(_T_1867, _T_1813) @[Mux.scala 27:72] + node _T_1869 = or(_T_1868, _T_1814) @[Mux.scala 27:72] + wire _T_1870 : UInt<6> @[Mux.scala 27:72] + _T_1870 <= _T_1869 @[Mux.scala 27:72] + node _T_1871 = and(_T_1590, _T_1870) @[el2_dec_tlu_ctl.scala 2351:45] + mhpmc_inc_r[2] <= _T_1871 @[el2_dec_tlu_ctl.scala 2351:20] + node _T_1872 = bits(mcountinhibit, 6, 6) @[el2_dec_tlu_ctl.scala 2351:39] + node _T_1873 = not(_T_1872) @[el2_dec_tlu_ctl.scala 2351:25] + node _T_1874 = eq(mhpme_vec[3], UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 2352:21] + node _T_1875 = bits(_T_1874, 0, 0) @[el2_dec_tlu_ctl.scala 2352:49] + node _T_1876 = eq(mhpme_vec[3], UInt<2>("h02")) @[el2_dec_tlu_ctl.scala 2353:21] + node _T_1877 = bits(_T_1876, 0, 0) @[el2_dec_tlu_ctl.scala 2353:49] + node _T_1878 = eq(mhpme_vec[3], UInt<2>("h03")) @[el2_dec_tlu_ctl.scala 2354:21] + node _T_1879 = bits(_T_1878, 0, 0) @[el2_dec_tlu_ctl.scala 2354:49] + node _T_1880 = eq(mhpme_vec[3], UInt<3>("h04")) @[el2_dec_tlu_ctl.scala 2355:21] + node _T_1881 = bits(_T_1880, 0, 0) @[el2_dec_tlu_ctl.scala 2355:49] + node _T_1882 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2355:83] + node _T_1883 = and(io.tlu_i0_commit_cmt, _T_1882) @[el2_dec_tlu_ctl.scala 2355:81] + node _T_1884 = eq(mhpme_vec[3], UInt<3>("h05")) @[el2_dec_tlu_ctl.scala 2356:21] + node _T_1885 = bits(_T_1884, 0, 0) @[el2_dec_tlu_ctl.scala 2356:49] + node _T_1886 = not(io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2356:83] + node _T_1887 = and(io.tlu_i0_commit_cmt, _T_1886) @[el2_dec_tlu_ctl.scala 2356:81] + node _T_1888 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2356:104] + node _T_1889 = and(_T_1887, _T_1888) @[el2_dec_tlu_ctl.scala 2356:102] + node _T_1890 = eq(mhpme_vec[3], UInt<3>("h06")) @[el2_dec_tlu_ctl.scala 2357:21] + node _T_1891 = bits(_T_1890, 0, 0) @[el2_dec_tlu_ctl.scala 2357:49] + node _T_1892 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[el2_dec_tlu_ctl.scala 2357:81] + node _T_1893 = not(io.illegal_r) @[el2_dec_tlu_ctl.scala 2357:104] + node _T_1894 = and(_T_1892, _T_1893) @[el2_dec_tlu_ctl.scala 2357:102] + node _T_1895 = eq(mhpme_vec[3], UInt<3>("h07")) @[el2_dec_tlu_ctl.scala 2358:21] + node _T_1896 = bits(_T_1895, 0, 0) @[el2_dec_tlu_ctl.scala 2358:49] + node _T_1897 = eq(mhpme_vec[3], UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2359:21] + node _T_1898 = bits(_T_1897, 0, 0) @[el2_dec_tlu_ctl.scala 2359:49] + node _T_1899 = eq(mhpme_vec[3], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2360:21] + node _T_1900 = bits(_T_1899, 0, 0) @[el2_dec_tlu_ctl.scala 2360:49] + node _T_1901 = eq(mhpme_vec[3], UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2361:21] + node _T_1902 = bits(_T_1901, 0, 0) @[el2_dec_tlu_ctl.scala 2361:49] + node _T_1903 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[el2_dec_tlu_ctl.scala 2361:78] + node _T_1904 = eq(mhpme_vec[3], UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2362:21] + node _T_1905 = bits(_T_1904, 0, 0) @[el2_dec_tlu_ctl.scala 2362:49] + node _T_1906 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2362:92] + node _T_1907 = eq(mhpme_vec[3], UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2363:21] + node _T_1908 = bits(_T_1907, 0, 0) @[el2_dec_tlu_ctl.scala 2363:49] + node _T_1909 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2363:78] + node _T_1910 = eq(mhpme_vec[3], UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2364:21] + node _T_1911 = bits(_T_1910, 0, 0) @[el2_dec_tlu_ctl.scala 2364:49] + node _T_1912 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2364:78] + node _T_1913 = eq(mhpme_vec[3], UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2365:21] + node _T_1914 = bits(_T_1913, 0, 0) @[el2_dec_tlu_ctl.scala 2365:49] + node _T_1915 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[el2_dec_tlu_ctl.scala 2365:79] + node _T_1916 = eq(mhpme_vec[3], UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2366:21] + node _T_1917 = dshr(io.dec_tlu_packet_r.pmu_lsu_misaligned, _T_1916) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1918 = bits(_T_1917, 0, 0) @[el2_dec_tlu_ctl.scala 2366:7] + node _T_1919 = and(_T_1915, _T_1918) @[el2_dec_tlu_ctl.scala 2365:89] + node _T_1920 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[el2_dec_tlu_ctl.scala 2366:66] + node _T_1921 = and(_T_1919, _T_1920) @[el2_dec_tlu_ctl.scala 2366:45] + node _T_1922 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[el2_dec_tlu_ctl.scala 2367:48] + node _T_1923 = and(_T_1921, _T_1922) @[el2_dec_tlu_ctl.scala 2366:77] + node _T_1924 = eq(mhpme_vec[3], UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2368:21] + node _T_1925 = bits(_T_1924, 0, 0) @[el2_dec_tlu_ctl.scala 2368:41] + node _T_1926 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[el2_dec_tlu_ctl.scala 2368:76] + node _T_1927 = eq(mhpme_vec[3], UInt<5>("h010")) @[el2_dec_tlu_ctl.scala 2369:21] + node _T_1928 = bits(_T_1927, 0, 0) @[el2_dec_tlu_ctl.scala 2369:46] + node _T_1929 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[el2_dec_tlu_ctl.scala 2369:76] + node _T_1930 = eq(mhpme_vec[3], UInt<5>("h012")) @[el2_dec_tlu_ctl.scala 2370:21] + node _T_1931 = bits(_T_1930, 0, 0) @[el2_dec_tlu_ctl.scala 2370:46] + node _T_1932 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[el2_dec_tlu_ctl.scala 2370:76] + node _T_1933 = eq(mhpme_vec[3], UInt<5>("h011")) @[el2_dec_tlu_ctl.scala 2371:21] + node _T_1934 = bits(_T_1933, 0, 0) @[el2_dec_tlu_ctl.scala 2371:46] + node _T_1935 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[el2_dec_tlu_ctl.scala 2371:76] + node _T_1936 = eq(mhpme_vec[3], UInt<5>("h013")) @[el2_dec_tlu_ctl.scala 2372:21] + node _T_1937 = bits(_T_1936, 0, 0) @[el2_dec_tlu_ctl.scala 2372:46] + node _T_1938 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 2372:76] + node _T_1939 = eq(mhpme_vec[3], UInt<5>("h014")) @[el2_dec_tlu_ctl.scala 2373:21] + node _T_1940 = bits(_T_1939, 0, 0) @[el2_dec_tlu_ctl.scala 2373:46] + node _T_1941 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 2373:76] + node _T_1942 = eq(mhpme_vec[3], UInt<5>("h015")) @[el2_dec_tlu_ctl.scala 2374:21] + node _T_1943 = bits(_T_1942, 0, 0) @[el2_dec_tlu_ctl.scala 2374:46] + node _T_1944 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[el2_dec_tlu_ctl.scala 2374:76] + node _T_1945 = eq(mhpme_vec[3], UInt<5>("h016")) @[el2_dec_tlu_ctl.scala 2375:21] + node _T_1946 = bits(_T_1945, 0, 0) @[el2_dec_tlu_ctl.scala 2375:46] + node _T_1947 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[el2_dec_tlu_ctl.scala 2375:76] + node _T_1948 = eq(mhpme_vec[3], UInt<5>("h017")) @[el2_dec_tlu_ctl.scala 2376:21] + node _T_1949 = bits(_T_1948, 0, 0) @[el2_dec_tlu_ctl.scala 2376:46] + node _T_1950 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 2376:76] + node _T_1951 = eq(mhpme_vec[3], UInt<5>("h018")) @[el2_dec_tlu_ctl.scala 2377:21] + node _T_1952 = bits(_T_1951, 0, 0) @[el2_dec_tlu_ctl.scala 2377:46] + node _T_1953 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[el2_dec_tlu_ctl.scala 2377:76] + node _T_1954 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[el2_dec_tlu_ctl.scala 2377:109] + node _T_1955 = or(_T_1953, _T_1954) @[el2_dec_tlu_ctl.scala 2377:88] + node _T_1956 = eq(mhpme_vec[3], UInt<5>("h019")) @[el2_dec_tlu_ctl.scala 2378:21] + node _T_1957 = bits(_T_1956, 0, 0) @[el2_dec_tlu_ctl.scala 2378:49] + node _T_1958 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2378:82] + node _T_1959 = eq(mhpme_vec[3], UInt<5>("h01a")) @[el2_dec_tlu_ctl.scala 2379:21] + node _T_1960 = bits(_T_1959, 0, 0) @[el2_dec_tlu_ctl.scala 2379:49] + node _T_1961 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2379:84] + node _T_1962 = eq(mhpme_vec[3], UInt<5>("h01b")) @[el2_dec_tlu_ctl.scala 2380:21] + node _T_1963 = bits(_T_1962, 0, 0) @[el2_dec_tlu_ctl.scala 2380:49] + node _T_1964 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[el2_dec_tlu_ctl.scala 2380:97] + node _T_1965 = eq(mhpme_vec[3], UInt<5>("h01c")) @[el2_dec_tlu_ctl.scala 2381:21] + node _T_1966 = bits(_T_1965, 0, 0) @[el2_dec_tlu_ctl.scala 2381:49] + node _T_1967 = eq(mhpme_vec[3], UInt<5>("h01e")) @[el2_dec_tlu_ctl.scala 2382:21] + node _T_1968 = bits(_T_1967, 0, 0) @[el2_dec_tlu_ctl.scala 2382:49] + node _T_1969 = eq(mhpme_vec[3], UInt<5>("h01f")) @[el2_dec_tlu_ctl.scala 2383:21] + node _T_1970 = bits(_T_1969, 0, 0) @[el2_dec_tlu_ctl.scala 2383:49] + node _T_1971 = eq(mhpme_vec[3], UInt<6>("h020")) @[el2_dec_tlu_ctl.scala 2384:21] + node _T_1972 = bits(_T_1971, 0, 0) @[el2_dec_tlu_ctl.scala 2384:49] + node _T_1973 = eq(mhpme_vec[3], UInt<6>("h022")) @[el2_dec_tlu_ctl.scala 2385:21] + node _T_1974 = bits(_T_1973, 0, 0) @[el2_dec_tlu_ctl.scala 2385:49] + node _T_1975 = eq(mhpme_vec[3], UInt<6>("h023")) @[el2_dec_tlu_ctl.scala 2386:21] + node _T_1976 = bits(_T_1975, 0, 0) @[el2_dec_tlu_ctl.scala 2386:49] + node _T_1977 = eq(mhpme_vec[3], UInt<6>("h024")) @[el2_dec_tlu_ctl.scala 2387:21] + node _T_1978 = bits(_T_1977, 0, 0) @[el2_dec_tlu_ctl.scala 2387:49] + node _T_1979 = eq(mhpme_vec[3], UInt<6>("h025")) @[el2_dec_tlu_ctl.scala 2388:21] + node _T_1980 = bits(_T_1979, 0, 0) @[el2_dec_tlu_ctl.scala 2388:49] + node _T_1981 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 2388:85] + node _T_1982 = or(_T_1981, io.lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 2388:107] + node _T_1983 = eq(mhpme_vec[3], UInt<6>("h026")) @[el2_dec_tlu_ctl.scala 2389:21] + node _T_1984 = bits(_T_1983, 0, 0) @[el2_dec_tlu_ctl.scala 2389:49] + node _T_1985 = or(io.take_timer_int, io.take_int_timer0_int) @[el2_dec_tlu_ctl.scala 2389:79] + node _T_1986 = or(_T_1985, io.take_int_timer1_int) @[el2_dec_tlu_ctl.scala 2389:104] + node _T_1987 = eq(mhpme_vec[3], UInt<6>("h027")) @[el2_dec_tlu_ctl.scala 2390:21] + node _T_1988 = bits(_T_1987, 0, 0) @[el2_dec_tlu_ctl.scala 2390:49] + node _T_1989 = eq(mhpme_vec[3], UInt<6>("h028")) @[el2_dec_tlu_ctl.scala 2391:21] + node _T_1990 = bits(_T_1989, 0, 0) @[el2_dec_tlu_ctl.scala 2391:49] + node _T_1991 = eq(mhpme_vec[3], UInt<6>("h029")) @[el2_dec_tlu_ctl.scala 2392:21] + node _T_1992 = bits(_T_1991, 0, 0) @[el2_dec_tlu_ctl.scala 2392:49] + node _T_1993 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[el2_dec_tlu_ctl.scala 2392:84] + node _T_1994 = and(_T_1993, io.rfpc_i0_r) @[el2_dec_tlu_ctl.scala 2392:116] + node _T_1995 = eq(mhpme_vec[3], UInt<6>("h02a")) @[el2_dec_tlu_ctl.scala 2393:21] + node _T_1996 = bits(_T_1995, 0, 0) @[el2_dec_tlu_ctl.scala 2393:49] + node _T_1997 = eq(mhpme_vec[3], UInt<6>("h02b")) @[el2_dec_tlu_ctl.scala 2394:21] + node _T_1998 = bits(_T_1997, 0, 0) @[el2_dec_tlu_ctl.scala 2394:49] + node _T_1999 = eq(mhpme_vec[3], UInt<6>("h02c")) @[el2_dec_tlu_ctl.scala 2395:21] + node _T_2000 = bits(_T_1999, 0, 0) @[el2_dec_tlu_ctl.scala 2395:49] + node _T_2001 = eq(mhpme_vec[3], UInt<6>("h02d")) @[el2_dec_tlu_ctl.scala 2396:21] + node _T_2002 = bits(_T_2001, 0, 0) @[el2_dec_tlu_ctl.scala 2396:49] + node _T_2003 = eq(mhpme_vec[3], UInt<6>("h02e")) @[el2_dec_tlu_ctl.scala 2397:21] + node _T_2004 = bits(_T_2003, 0, 0) @[el2_dec_tlu_ctl.scala 2397:49] + node _T_2005 = eq(mhpme_vec[3], UInt<6>("h02f")) @[el2_dec_tlu_ctl.scala 2398:21] + node _T_2006 = bits(_T_2005, 0, 0) @[el2_dec_tlu_ctl.scala 2398:49] + node _T_2007 = eq(mhpme_vec[3], UInt<6>("h030")) @[el2_dec_tlu_ctl.scala 2399:21] + node _T_2008 = bits(_T_2007, 0, 0) @[el2_dec_tlu_ctl.scala 2399:49] + node _T_2009 = eq(mhpme_vec[3], UInt<6>("h031")) @[el2_dec_tlu_ctl.scala 2400:21] + node _T_2010 = bits(_T_2009, 0, 0) @[el2_dec_tlu_ctl.scala 2400:49] + node _T_2011 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_2012 = bits(_T_2011, 0, 0) @[el2_dec_tlu_ctl.scala 2400:71] + node _T_2013 = not(_T_2012) @[el2_dec_tlu_ctl.scala 2400:60] + node _T_2014 = eq(mhpme_vec[3], UInt<6>("h032")) @[el2_dec_tlu_ctl.scala 2401:21] + node _T_2015 = bits(_T_2014, 0, 0) @[el2_dec_tlu_ctl.scala 2401:49] + node _T_2016 = dshr(io.mstatus, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_2017 = bits(_T_2016, 0, 0) @[el2_dec_tlu_ctl.scala 2401:71] + node _T_2018 = not(_T_2017) @[el2_dec_tlu_ctl.scala 2401:60] + node _T_2019 = bits(io.mip, 5, 0) @[el2_dec_tlu_ctl.scala 2401:94] + node _T_2020 = bits(mie, 5, 0) @[el2_dec_tlu_ctl.scala 2401:105] + node _T_2021 = and(_T_2019, _T_2020) @[el2_dec_tlu_ctl.scala 2401:100] + node _T_2022 = and(_T_2018, _T_2021) @[el2_dec_tlu_ctl.scala 2401:85] + node _T_2023 = eq(mhpme_vec[3], UInt<6>("h036")) @[el2_dec_tlu_ctl.scala 2402:21] + node _T_2024 = bits(_T_2023, 0, 0) @[el2_dec_tlu_ctl.scala 2402:49] + node _T_2025 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[el2_dec_tlu_ctl.scala 2402:78] + node _T_2026 = eq(mhpme_vec[3], UInt<6>("h037")) @[el2_dec_tlu_ctl.scala 2403:21] + node _T_2027 = bits(_T_2026, 0, 0) @[el2_dec_tlu_ctl.scala 2403:49] + node _T_2028 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[el2_dec_tlu_ctl.scala 2403:81] + node _T_2029 = eq(mhpme_vec[3], UInt<6>("h038")) @[el2_dec_tlu_ctl.scala 2404:21] + node _T_2030 = bits(_T_2029, 0, 0) @[el2_dec_tlu_ctl.scala 2404:49] + node _T_2031 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[el2_dec_tlu_ctl.scala 2404:81] + node _T_2032 = eq(mhpme_vec[3], UInt<10>("h0200")) @[el2_dec_tlu_ctl.scala 2406:21] + node _T_2033 = bits(_T_2032, 0, 0) @[el2_dec_tlu_ctl.scala 2406:49] + node _T_2034 = eq(mhpme_vec[3], UInt<10>("h0201")) @[el2_dec_tlu_ctl.scala 2407:21] + node _T_2035 = bits(_T_2034, 0, 0) @[el2_dec_tlu_ctl.scala 2407:49] + node _T_2036 = eq(mhpme_vec[3], UInt<10>("h0202")) @[el2_dec_tlu_ctl.scala 2408:21] + node _T_2037 = bits(_T_2036, 0, 0) @[el2_dec_tlu_ctl.scala 2408:49] + node _T_2038 = eq(mhpme_vec[3], UInt<10>("h0203")) @[el2_dec_tlu_ctl.scala 2409:21] + node _T_2039 = bits(_T_2038, 0, 0) @[el2_dec_tlu_ctl.scala 2409:49] + node _T_2040 = eq(mhpme_vec[3], UInt<10>("h0204")) @[el2_dec_tlu_ctl.scala 2410:21] + node _T_2041 = bits(_T_2040, 0, 0) @[el2_dec_tlu_ctl.scala 2410:49] + node _T_2042 = mux(_T_1875, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2043 = mux(_T_1877, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2044 = mux(_T_1879, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2045 = mux(_T_1881, _T_1883, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2046 = mux(_T_1885, _T_1889, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2047 = mux(_T_1891, _T_1894, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2048 = mux(_T_1896, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2049 = mux(_T_1898, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2050 = mux(_T_1900, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2051 = mux(_T_1902, _T_1903, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2052 = mux(_T_1905, _T_1906, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2053 = mux(_T_1908, _T_1909, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2054 = mux(_T_1911, _T_1912, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2055 = mux(_T_1914, _T_1923, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2056 = mux(_T_1925, _T_1926, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2057 = mux(_T_1928, _T_1929, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2058 = mux(_T_1931, _T_1932, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2059 = mux(_T_1934, _T_1935, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2060 = mux(_T_1937, _T_1938, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2061 = mux(_T_1940, _T_1941, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2062 = mux(_T_1943, _T_1944, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2063 = mux(_T_1946, _T_1947, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2064 = mux(_T_1949, _T_1950, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2065 = mux(_T_1952, _T_1955, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2066 = mux(_T_1957, _T_1958, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2067 = mux(_T_1960, _T_1961, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2068 = mux(_T_1963, _T_1964, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2069 = mux(_T_1966, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2070 = mux(_T_1968, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2071 = mux(_T_1970, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2072 = mux(_T_1972, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2073 = mux(_T_1974, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2074 = mux(_T_1976, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2075 = mux(_T_1978, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2076 = mux(_T_1980, _T_1982, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2077 = mux(_T_1984, _T_1986, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2078 = mux(_T_1988, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2079 = mux(_T_1990, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2080 = mux(_T_1992, _T_1994, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2081 = mux(_T_1996, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2082 = mux(_T_1998, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2083 = mux(_T_2000, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2084 = mux(_T_2002, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2085 = mux(_T_2004, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2086 = mux(_T_2006, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2087 = mux(_T_2008, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2088 = mux(_T_2010, _T_2013, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2089 = mux(_T_2015, _T_2022, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2090 = mux(_T_2024, _T_2025, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2091 = mux(_T_2027, _T_2028, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2092 = mux(_T_2030, _T_2031, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2093 = mux(_T_2033, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2094 = mux(_T_2035, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2095 = mux(_T_2037, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2096 = mux(_T_2039, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2097 = mux(_T_2041, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2098 = or(_T_2042, _T_2043) @[Mux.scala 27:72] + node _T_2099 = or(_T_2098, _T_2044) @[Mux.scala 27:72] + node _T_2100 = or(_T_2099, _T_2045) @[Mux.scala 27:72] + node _T_2101 = or(_T_2100, _T_2046) @[Mux.scala 27:72] + node _T_2102 = or(_T_2101, _T_2047) @[Mux.scala 27:72] + node _T_2103 = or(_T_2102, _T_2048) @[Mux.scala 27:72] + node _T_2104 = or(_T_2103, _T_2049) @[Mux.scala 27:72] + node _T_2105 = or(_T_2104, _T_2050) @[Mux.scala 27:72] + node _T_2106 = or(_T_2105, _T_2051) @[Mux.scala 27:72] + node _T_2107 = or(_T_2106, _T_2052) @[Mux.scala 27:72] + node _T_2108 = or(_T_2107, _T_2053) @[Mux.scala 27:72] + node _T_2109 = or(_T_2108, _T_2054) @[Mux.scala 27:72] + node _T_2110 = or(_T_2109, _T_2055) @[Mux.scala 27:72] + node _T_2111 = or(_T_2110, _T_2056) @[Mux.scala 27:72] + node _T_2112 = or(_T_2111, _T_2057) @[Mux.scala 27:72] + node _T_2113 = or(_T_2112, _T_2058) @[Mux.scala 27:72] + node _T_2114 = or(_T_2113, _T_2059) @[Mux.scala 27:72] + node _T_2115 = or(_T_2114, _T_2060) @[Mux.scala 27:72] + node _T_2116 = or(_T_2115, _T_2061) @[Mux.scala 27:72] + node _T_2117 = or(_T_2116, _T_2062) @[Mux.scala 27:72] + node _T_2118 = or(_T_2117, _T_2063) @[Mux.scala 27:72] + node _T_2119 = or(_T_2118, _T_2064) @[Mux.scala 27:72] + node _T_2120 = or(_T_2119, _T_2065) @[Mux.scala 27:72] + node _T_2121 = or(_T_2120, _T_2066) @[Mux.scala 27:72] + node _T_2122 = or(_T_2121, _T_2067) @[Mux.scala 27:72] + node _T_2123 = or(_T_2122, _T_2068) @[Mux.scala 27:72] + node _T_2124 = or(_T_2123, _T_2069) @[Mux.scala 27:72] + node _T_2125 = or(_T_2124, _T_2070) @[Mux.scala 27:72] + node _T_2126 = or(_T_2125, _T_2071) @[Mux.scala 27:72] + node _T_2127 = or(_T_2126, _T_2072) @[Mux.scala 27:72] + node _T_2128 = or(_T_2127, _T_2073) @[Mux.scala 27:72] + node _T_2129 = or(_T_2128, _T_2074) @[Mux.scala 27:72] + node _T_2130 = or(_T_2129, _T_2075) @[Mux.scala 27:72] + node _T_2131 = or(_T_2130, _T_2076) @[Mux.scala 27:72] + node _T_2132 = or(_T_2131, _T_2077) @[Mux.scala 27:72] + node _T_2133 = or(_T_2132, _T_2078) @[Mux.scala 27:72] + node _T_2134 = or(_T_2133, _T_2079) @[Mux.scala 27:72] + node _T_2135 = or(_T_2134, _T_2080) @[Mux.scala 27:72] + node _T_2136 = or(_T_2135, _T_2081) @[Mux.scala 27:72] + node _T_2137 = or(_T_2136, _T_2082) @[Mux.scala 27:72] + node _T_2138 = or(_T_2137, _T_2083) @[Mux.scala 27:72] + node _T_2139 = or(_T_2138, _T_2084) @[Mux.scala 27:72] + node _T_2140 = or(_T_2139, _T_2085) @[Mux.scala 27:72] + node _T_2141 = or(_T_2140, _T_2086) @[Mux.scala 27:72] + node _T_2142 = or(_T_2141, _T_2087) @[Mux.scala 27:72] + node _T_2143 = or(_T_2142, _T_2088) @[Mux.scala 27:72] + node _T_2144 = or(_T_2143, _T_2089) @[Mux.scala 27:72] + node _T_2145 = or(_T_2144, _T_2090) @[Mux.scala 27:72] + node _T_2146 = or(_T_2145, _T_2091) @[Mux.scala 27:72] + node _T_2147 = or(_T_2146, _T_2092) @[Mux.scala 27:72] + node _T_2148 = or(_T_2147, _T_2093) @[Mux.scala 27:72] + node _T_2149 = or(_T_2148, _T_2094) @[Mux.scala 27:72] + node _T_2150 = or(_T_2149, _T_2095) @[Mux.scala 27:72] + node _T_2151 = or(_T_2150, _T_2096) @[Mux.scala 27:72] + node _T_2152 = or(_T_2151, _T_2097) @[Mux.scala 27:72] + wire _T_2153 : UInt<6> @[Mux.scala 27:72] + _T_2153 <= _T_2152 @[Mux.scala 27:72] + node _T_2154 = and(_T_1873, _T_2153) @[el2_dec_tlu_ctl.scala 2351:45] + mhpmc_inc_r[3] <= _T_2154 @[el2_dec_tlu_ctl.scala 2351:20] + reg _T_2155 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2413:54] + _T_2155 <= mhpmc_inc_r[0] @[el2_dec_tlu_ctl.scala 2413:54] + mhpmc_inc_r_d1[0] <= _T_2155 @[el2_dec_tlu_ctl.scala 2413:21] + reg _T_2156 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2414:54] + _T_2156 <= mhpmc_inc_r[1] @[el2_dec_tlu_ctl.scala 2414:54] + mhpmc_inc_r_d1[1] <= _T_2156 @[el2_dec_tlu_ctl.scala 2414:21] + reg _T_2157 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2415:54] + _T_2157 <= mhpmc_inc_r[2] @[el2_dec_tlu_ctl.scala 2415:54] + mhpmc_inc_r_d1[2] <= _T_2157 @[el2_dec_tlu_ctl.scala 2415:21] + reg _T_2158 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2416:54] + _T_2158 <= mhpmc_inc_r[3] @[el2_dec_tlu_ctl.scala 2416:54] + mhpmc_inc_r_d1[3] <= _T_2158 @[el2_dec_tlu_ctl.scala 2416:21] + reg perfcnt_halted_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2417:57] + perfcnt_halted_d1 <= perfcnt_halted @[el2_dec_tlu_ctl.scala 2417:57] + node _T_2159 = bits(io.dcsr, 10, 10) @[el2_dec_tlu_ctl.scala 2420:54] + node _T_2160 = and(io.dec_tlu_dbg_halted, _T_2159) @[el2_dec_tlu_ctl.scala 2420:45] + node _T_2161 = or(_T_2160, io.dec_tlu_pmu_fw_halted) @[el2_dec_tlu_ctl.scala 2420:68] + perfcnt_halted <= _T_2161 @[el2_dec_tlu_ctl.scala 2420:18] + node _T_2162 = bits(io.dcsr, 10, 10) @[el2_dec_tlu_ctl.scala 2421:71] + node _T_2163 = and(io.dec_tlu_dbg_halted, _T_2162) @[el2_dec_tlu_ctl.scala 2421:62] + node _T_2164 = not(_T_2163) @[el2_dec_tlu_ctl.scala 2421:38] + node _T_2165 = bits(_T_2164, 0, 0) @[Bitwise.scala 72:15] + node _T_2166 = mux(_T_2165, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2167 = bits(mhpme_vec[3], 9, 9) @[el2_dec_tlu_ctl.scala 2421:105] + node _T_2168 = bits(mhpme_vec[2], 9, 9) @[el2_dec_tlu_ctl.scala 2421:121] + node _T_2169 = bits(mhpme_vec[1], 9, 9) @[el2_dec_tlu_ctl.scala 2421:137] + node _T_2170 = bits(mhpme_vec[0], 9, 9) @[el2_dec_tlu_ctl.scala 2421:153] + node _T_2171 = cat(_T_2169, _T_2170) @[Cat.scala 29:58] + node _T_2172 = cat(_T_2167, _T_2168) @[Cat.scala 29:58] + node _T_2173 = cat(_T_2172, _T_2171) @[Cat.scala 29:58] + node perfcnt_during_sleep = and(_T_2166, _T_2173) @[el2_dec_tlu_ctl.scala 2421:87] + node _T_2174 = bits(perfcnt_during_sleep, 0, 0) @[el2_dec_tlu_ctl.scala 2423:89] + node _T_2175 = not(_T_2174) @[el2_dec_tlu_ctl.scala 2423:68] + node _T_2176 = and(perfcnt_halted_d1, _T_2175) @[el2_dec_tlu_ctl.scala 2423:66] + node _T_2177 = not(_T_2176) @[el2_dec_tlu_ctl.scala 2423:46] + node _T_2178 = and(mhpmc_inc_r_d1[0], _T_2177) @[el2_dec_tlu_ctl.scala 2423:44] + io.dec_tlu_perfcnt0 <= _T_2178 @[el2_dec_tlu_ctl.scala 2423:23] + node _T_2179 = bits(perfcnt_during_sleep, 1, 1) @[el2_dec_tlu_ctl.scala 2424:89] + node _T_2180 = not(_T_2179) @[el2_dec_tlu_ctl.scala 2424:68] + node _T_2181 = and(perfcnt_halted_d1, _T_2180) @[el2_dec_tlu_ctl.scala 2424:66] + node _T_2182 = not(_T_2181) @[el2_dec_tlu_ctl.scala 2424:46] + node _T_2183 = and(mhpmc_inc_r_d1[1], _T_2182) @[el2_dec_tlu_ctl.scala 2424:44] + io.dec_tlu_perfcnt1 <= _T_2183 @[el2_dec_tlu_ctl.scala 2424:23] + node _T_2184 = bits(perfcnt_during_sleep, 2, 2) @[el2_dec_tlu_ctl.scala 2425:89] + node _T_2185 = not(_T_2184) @[el2_dec_tlu_ctl.scala 2425:68] + node _T_2186 = and(perfcnt_halted_d1, _T_2185) @[el2_dec_tlu_ctl.scala 2425:66] + node _T_2187 = not(_T_2186) @[el2_dec_tlu_ctl.scala 2425:46] + node _T_2188 = and(mhpmc_inc_r_d1[2], _T_2187) @[el2_dec_tlu_ctl.scala 2425:44] + io.dec_tlu_perfcnt2 <= _T_2188 @[el2_dec_tlu_ctl.scala 2425:23] + node _T_2189 = bits(perfcnt_during_sleep, 3, 3) @[el2_dec_tlu_ctl.scala 2426:89] + node _T_2190 = not(_T_2189) @[el2_dec_tlu_ctl.scala 2426:68] + node _T_2191 = and(perfcnt_halted_d1, _T_2190) @[el2_dec_tlu_ctl.scala 2426:66] + node _T_2192 = not(_T_2191) @[el2_dec_tlu_ctl.scala 2426:46] + node _T_2193 = and(mhpmc_inc_r_d1[3], _T_2192) @[el2_dec_tlu_ctl.scala 2426:44] + io.dec_tlu_perfcnt3 <= _T_2193 @[el2_dec_tlu_ctl.scala 2426:23] + node _T_2194 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2432:66] + node _T_2195 = eq(_T_2194, UInt<12>("h0b03")) @[el2_dec_tlu_ctl.scala 2432:73] + node mhpmc3_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2195) @[el2_dec_tlu_ctl.scala 2432:44] + node _T_2196 = not(perfcnt_halted) @[el2_dec_tlu_ctl.scala 2433:24] + node _T_2197 = bits(perfcnt_during_sleep, 0, 0) @[el2_dec_tlu_ctl.scala 2433:62] + node _T_2198 = or(_T_2196, _T_2197) @[el2_dec_tlu_ctl.scala 2433:40] + node _T_2199 = orr(mhpmc_inc_r[0]) @[el2_dec_tlu_ctl.scala 2433:87] + node mhpmc3_wr_en1 = and(_T_2198, _T_2199) @[el2_dec_tlu_ctl.scala 2433:67] + node mhpmc3_wr_en = or(mhpmc3_wr_en0, mhpmc3_wr_en1) @[el2_dec_tlu_ctl.scala 2434:37] + node _T_2200 = bits(mhpmc3h, 31, 0) @[el2_dec_tlu_ctl.scala 2437:29] + node _T_2201 = bits(mhpmc3, 31, 0) @[el2_dec_tlu_ctl.scala 2437:42] + node _T_2202 = cat(_T_2200, _T_2201) @[Cat.scala 29:58] + node _T_2203 = cat(UInt<63>("h00"), mhpmc_inc_r[0]) @[Cat.scala 29:58] + node _T_2204 = add(_T_2202, _T_2203) @[el2_dec_tlu_ctl.scala 2437:50] + node _T_2205 = tail(_T_2204, 1) @[el2_dec_tlu_ctl.scala 2437:50] + mhpmc3_incr <= _T_2205 @[el2_dec_tlu_ctl.scala 2437:15] + node _T_2206 = bits(mhpmc3_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2438:37] + node _T_2207 = bits(mhpmc3_incr, 31, 0) @[el2_dec_tlu_ctl.scala 2438:77] + node mhpmc3_ns = mux(_T_2206, io.dec_csr_wrdata_r, _T_2207) @[el2_dec_tlu_ctl.scala 2438:22] + node _T_2208 = bits(mhpmc3_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2440:43] + inst rvclkhdr_26 of rvclkhdr_34 @[el2_lib.scala 485:23] + rvclkhdr_26.clock <= clock + rvclkhdr_26.reset <= reset + rvclkhdr_26.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_26.io.en <= _T_2208 @[el2_lib.scala 488:17] + rvclkhdr_26.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2209 : UInt, rvclkhdr_26.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2209 <= mhpmc3_ns @[el2_lib.scala 491:16] + mhpmc3 <= _T_2209 @[el2_dec_tlu_ctl.scala 2440:10] + node _T_2210 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2442:67] + node _T_2211 = eq(_T_2210, UInt<12>("h0b83")) @[el2_dec_tlu_ctl.scala 2442:74] + node mhpmc3h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2211) @[el2_dec_tlu_ctl.scala 2442:45] + node mhpmc3h_wr_en = or(mhpmc3h_wr_en0, mhpmc3_wr_en1) @[el2_dec_tlu_ctl.scala 2443:39] + node _T_2212 = bits(mhpmc3h_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2444:39] + node _T_2213 = bits(mhpmc3_incr, 63, 32) @[el2_dec_tlu_ctl.scala 2444:79] + node mhpmc3h_ns = mux(_T_2212, io.dec_csr_wrdata_r, _T_2213) @[el2_dec_tlu_ctl.scala 2444:23] + node _T_2214 = bits(mhpmc3h_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2446:47] + inst rvclkhdr_27 of rvclkhdr_35 @[el2_lib.scala 485:23] + rvclkhdr_27.clock <= clock + rvclkhdr_27.reset <= reset + rvclkhdr_27.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_27.io.en <= _T_2214 @[el2_lib.scala 488:17] + rvclkhdr_27.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2215 : UInt, rvclkhdr_27.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2215 <= mhpmc3h_ns @[el2_lib.scala 491:16] + mhpmc3h <= _T_2215 @[el2_dec_tlu_ctl.scala 2446:11] + node _T_2216 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2451:66] + node _T_2217 = eq(_T_2216, UInt<12>("h0b04")) @[el2_dec_tlu_ctl.scala 2451:73] + node mhpmc4_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2217) @[el2_dec_tlu_ctl.scala 2451:44] + node _T_2218 = not(perfcnt_halted) @[el2_dec_tlu_ctl.scala 2452:24] + node _T_2219 = bits(perfcnt_during_sleep, 1, 1) @[el2_dec_tlu_ctl.scala 2452:62] + node _T_2220 = or(_T_2218, _T_2219) @[el2_dec_tlu_ctl.scala 2452:40] + node _T_2221 = orr(mhpmc_inc_r[1]) @[el2_dec_tlu_ctl.scala 2452:87] + node mhpmc4_wr_en1 = and(_T_2220, _T_2221) @[el2_dec_tlu_ctl.scala 2452:67] + node mhpmc4_wr_en = or(mhpmc4_wr_en0, mhpmc4_wr_en1) @[el2_dec_tlu_ctl.scala 2453:37] + node _T_2222 = bits(mhpmc4h, 31, 0) @[el2_dec_tlu_ctl.scala 2457:29] + node _T_2223 = bits(mhpmc4, 31, 0) @[el2_dec_tlu_ctl.scala 2457:42] + node _T_2224 = cat(_T_2222, _T_2223) @[Cat.scala 29:58] + node _T_2225 = cat(UInt<63>("h00"), mhpmc_inc_r[1]) @[Cat.scala 29:58] + node _T_2226 = add(_T_2224, _T_2225) @[el2_dec_tlu_ctl.scala 2457:50] + node _T_2227 = tail(_T_2226, 1) @[el2_dec_tlu_ctl.scala 2457:50] + mhpmc4_incr <= _T_2227 @[el2_dec_tlu_ctl.scala 2457:15] + node _T_2228 = bits(mhpmc4_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2458:37] + node _T_2229 = bits(io.dec_csr_wrdata_r, 31, 0) @[el2_dec_tlu_ctl.scala 2458:64] + node _T_2230 = bits(mhpmc4_incr, 31, 0) @[el2_dec_tlu_ctl.scala 2458:83] + node mhpmc4_ns = mux(_T_2228, _T_2229, _T_2230) @[el2_dec_tlu_ctl.scala 2458:22] + node _T_2231 = bits(mhpmc4_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2459:44] + inst rvclkhdr_28 of rvclkhdr_36 @[el2_lib.scala 485:23] + rvclkhdr_28.clock <= clock + rvclkhdr_28.reset <= reset + rvclkhdr_28.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_28.io.en <= _T_2231 @[el2_lib.scala 488:17] + rvclkhdr_28.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2232 : UInt, rvclkhdr_28.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2232 <= mhpmc4_ns @[el2_lib.scala 491:16] + mhpmc4 <= _T_2232 @[el2_dec_tlu_ctl.scala 2459:10] + node _T_2233 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2461:67] + node _T_2234 = eq(_T_2233, UInt<12>("h0b84")) @[el2_dec_tlu_ctl.scala 2461:74] + node mhpmc4h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2234) @[el2_dec_tlu_ctl.scala 2461:45] + node mhpmc4h_wr_en = or(mhpmc4h_wr_en0, mhpmc4_wr_en1) @[el2_dec_tlu_ctl.scala 2462:39] + node _T_2235 = bits(mhpmc4h_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2463:39] + node _T_2236 = bits(mhpmc4_incr, 63, 32) @[el2_dec_tlu_ctl.scala 2463:79] + node mhpmc4h_ns = mux(_T_2235, io.dec_csr_wrdata_r, _T_2236) @[el2_dec_tlu_ctl.scala 2463:23] + node _T_2237 = bits(mhpmc4h_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2464:47] + inst rvclkhdr_29 of rvclkhdr_37 @[el2_lib.scala 485:23] + rvclkhdr_29.clock <= clock + rvclkhdr_29.reset <= reset + rvclkhdr_29.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_29.io.en <= _T_2237 @[el2_lib.scala 488:17] + rvclkhdr_29.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2238 : UInt, rvclkhdr_29.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2238 <= mhpmc4h_ns @[el2_lib.scala 491:16] + mhpmc4h <= _T_2238 @[el2_dec_tlu_ctl.scala 2464:11] + node _T_2239 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2470:66] + node _T_2240 = eq(_T_2239, UInt<12>("h0b05")) @[el2_dec_tlu_ctl.scala 2470:73] + node mhpmc5_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2240) @[el2_dec_tlu_ctl.scala 2470:44] + node _T_2241 = not(perfcnt_halted) @[el2_dec_tlu_ctl.scala 2471:24] + node _T_2242 = bits(perfcnt_during_sleep, 2, 2) @[el2_dec_tlu_ctl.scala 2471:62] + node _T_2243 = or(_T_2241, _T_2242) @[el2_dec_tlu_ctl.scala 2471:40] + node _T_2244 = orr(mhpmc_inc_r[2]) @[el2_dec_tlu_ctl.scala 2471:87] + node mhpmc5_wr_en1 = and(_T_2243, _T_2244) @[el2_dec_tlu_ctl.scala 2471:67] + node mhpmc5_wr_en = or(mhpmc5_wr_en0, mhpmc5_wr_en1) @[el2_dec_tlu_ctl.scala 2472:37] + node _T_2245 = bits(mhpmc5h, 31, 0) @[el2_dec_tlu_ctl.scala 2474:29] + node _T_2246 = bits(mhpmc5, 31, 0) @[el2_dec_tlu_ctl.scala 2474:42] + node _T_2247 = cat(_T_2245, _T_2246) @[Cat.scala 29:58] + node _T_2248 = cat(UInt<63>("h00"), mhpmc_inc_r[2]) @[Cat.scala 29:58] + node _T_2249 = add(_T_2247, _T_2248) @[el2_dec_tlu_ctl.scala 2474:50] + node _T_2250 = tail(_T_2249, 1) @[el2_dec_tlu_ctl.scala 2474:50] + mhpmc5_incr <= _T_2250 @[el2_dec_tlu_ctl.scala 2474:15] + node _T_2251 = bits(mhpmc5_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2475:37] + node _T_2252 = bits(mhpmc5_incr, 31, 0) @[el2_dec_tlu_ctl.scala 2475:77] + node mhpmc5_ns = mux(_T_2251, io.dec_csr_wrdata_r, _T_2252) @[el2_dec_tlu_ctl.scala 2475:22] + node _T_2253 = bits(mhpmc5_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2477:44] + inst rvclkhdr_30 of rvclkhdr_38 @[el2_lib.scala 485:23] + rvclkhdr_30.clock <= clock + rvclkhdr_30.reset <= reset + rvclkhdr_30.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_30.io.en <= _T_2253 @[el2_lib.scala 488:17] + rvclkhdr_30.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2254 : UInt, rvclkhdr_30.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2254 <= mhpmc5_ns @[el2_lib.scala 491:16] + mhpmc5 <= _T_2254 @[el2_dec_tlu_ctl.scala 2477:10] + node _T_2255 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2479:67] + node _T_2256 = eq(_T_2255, UInt<12>("h0b85")) @[el2_dec_tlu_ctl.scala 2479:74] + node mhpmc5h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2256) @[el2_dec_tlu_ctl.scala 2479:45] + node mhpmc5h_wr_en = or(mhpmc5h_wr_en0, mhpmc5_wr_en1) @[el2_dec_tlu_ctl.scala 2480:39] + node _T_2257 = bits(mhpmc5h_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2481:39] + node _T_2258 = bits(mhpmc5_incr, 63, 32) @[el2_dec_tlu_ctl.scala 2481:79] + node mhpmc5h_ns = mux(_T_2257, io.dec_csr_wrdata_r, _T_2258) @[el2_dec_tlu_ctl.scala 2481:23] + node _T_2259 = bits(mhpmc5h_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2483:47] + inst rvclkhdr_31 of rvclkhdr_39 @[el2_lib.scala 485:23] + rvclkhdr_31.clock <= clock + rvclkhdr_31.reset <= reset + rvclkhdr_31.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_31.io.en <= _T_2259 @[el2_lib.scala 488:17] + rvclkhdr_31.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2260 : UInt, rvclkhdr_31.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2260 <= mhpmc5h_ns @[el2_lib.scala 491:16] + mhpmc5h <= _T_2260 @[el2_dec_tlu_ctl.scala 2483:11] + node _T_2261 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2488:66] + node _T_2262 = eq(_T_2261, UInt<12>("h0b06")) @[el2_dec_tlu_ctl.scala 2488:73] + node mhpmc6_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2262) @[el2_dec_tlu_ctl.scala 2488:44] + node _T_2263 = not(perfcnt_halted) @[el2_dec_tlu_ctl.scala 2489:24] + node _T_2264 = bits(perfcnt_during_sleep, 3, 3) @[el2_dec_tlu_ctl.scala 2489:62] + node _T_2265 = or(_T_2263, _T_2264) @[el2_dec_tlu_ctl.scala 2489:40] + node _T_2266 = orr(mhpmc_inc_r[3]) @[el2_dec_tlu_ctl.scala 2489:87] + node mhpmc6_wr_en1 = and(_T_2265, _T_2266) @[el2_dec_tlu_ctl.scala 2489:67] + node mhpmc6_wr_en = or(mhpmc6_wr_en0, mhpmc6_wr_en1) @[el2_dec_tlu_ctl.scala 2490:37] + node _T_2267 = bits(mhpmc6h, 31, 0) @[el2_dec_tlu_ctl.scala 2492:29] + node _T_2268 = bits(mhpmc6, 31, 0) @[el2_dec_tlu_ctl.scala 2492:42] + node _T_2269 = cat(_T_2267, _T_2268) @[Cat.scala 29:58] + node _T_2270 = cat(UInt<63>("h00"), mhpmc_inc_r[3]) @[Cat.scala 29:58] + node _T_2271 = add(_T_2269, _T_2270) @[el2_dec_tlu_ctl.scala 2492:50] + node _T_2272 = tail(_T_2271, 1) @[el2_dec_tlu_ctl.scala 2492:50] + mhpmc6_incr <= _T_2272 @[el2_dec_tlu_ctl.scala 2492:15] + node _T_2273 = bits(mhpmc6_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2493:37] + node _T_2274 = bits(mhpmc6_incr, 31, 0) @[el2_dec_tlu_ctl.scala 2493:77] + node mhpmc6_ns = mux(_T_2273, io.dec_csr_wrdata_r, _T_2274) @[el2_dec_tlu_ctl.scala 2493:22] + node _T_2275 = bits(mhpmc6_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2495:44] + inst rvclkhdr_32 of rvclkhdr_40 @[el2_lib.scala 485:23] + rvclkhdr_32.clock <= clock + rvclkhdr_32.reset <= reset + rvclkhdr_32.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_32.io.en <= _T_2275 @[el2_lib.scala 488:17] + rvclkhdr_32.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2276 : UInt, rvclkhdr_32.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2276 <= mhpmc6_ns @[el2_lib.scala 491:16] + mhpmc6 <= _T_2276 @[el2_dec_tlu_ctl.scala 2495:10] + node _T_2277 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2497:67] + node _T_2278 = eq(_T_2277, UInt<12>("h0b86")) @[el2_dec_tlu_ctl.scala 2497:74] + node mhpmc6h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2278) @[el2_dec_tlu_ctl.scala 2497:45] + node mhpmc6h_wr_en = or(mhpmc6h_wr_en0, mhpmc6_wr_en1) @[el2_dec_tlu_ctl.scala 2498:39] + node _T_2279 = bits(mhpmc6h_wr_en0, 0, 0) @[el2_dec_tlu_ctl.scala 2499:39] + node _T_2280 = bits(mhpmc6_incr, 63, 32) @[el2_dec_tlu_ctl.scala 2499:79] + node mhpmc6h_ns = mux(_T_2279, io.dec_csr_wrdata_r, _T_2280) @[el2_dec_tlu_ctl.scala 2499:23] + node _T_2281 = bits(mhpmc6h_wr_en, 0, 0) @[el2_dec_tlu_ctl.scala 2501:47] + inst rvclkhdr_33 of rvclkhdr_41 @[el2_lib.scala 485:23] + rvclkhdr_33.clock <= clock + rvclkhdr_33.reset <= reset + rvclkhdr_33.io.clk <= clock @[el2_lib.scala 487:18] + rvclkhdr_33.io.en <= _T_2281 @[el2_lib.scala 488:17] + rvclkhdr_33.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] + reg _T_2282 : UInt, rvclkhdr_33.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_2282 <= mhpmc6h_ns @[el2_lib.scala 491:16] + mhpmc6h <= _T_2282 @[el2_dec_tlu_ctl.scala 2501:11] + node _T_2283 = bits(io.dec_csr_wrdata_r, 9, 0) @[el2_dec_tlu_ctl.scala 2508:51] + node _T_2284 = gt(_T_2283, UInt<10>("h0204")) @[el2_dec_tlu_ctl.scala 2508:57] + node _T_2285 = bits(io.dec_csr_wrdata_r, 31, 10) @[el2_dec_tlu_ctl.scala 2508:94] + node _T_2286 = orr(_T_2285) @[el2_dec_tlu_ctl.scala 2508:103] + node _T_2287 = or(_T_2284, _T_2286) @[el2_dec_tlu_ctl.scala 2508:72] + node _T_2288 = bits(io.dec_csr_wrdata_r, 9, 0) @[el2_dec_tlu_ctl.scala 2508:142] + node event_saturate_r = mux(_T_2287, UInt<10>("h0204"), _T_2288) @[el2_dec_tlu_ctl.scala 2508:29] + node _T_2289 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2510:64] + node _T_2290 = eq(_T_2289, UInt<12>("h0323")) @[el2_dec_tlu_ctl.scala 2510:71] + node wr_mhpme3_r = and(io.dec_csr_wen_r_mod, _T_2290) @[el2_dec_tlu_ctl.scala 2510:42] + node _T_2291 = bits(wr_mhpme3_r, 0, 0) @[el2_dec_tlu_ctl.scala 2512:81] + reg _T_2292 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2291 : @[Reg.scala 28:19] + _T_2292 <= event_saturate_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mhpme3 <= _T_2292 @[el2_dec_tlu_ctl.scala 2512:10] + node _T_2293 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2517:64] + node _T_2294 = eq(_T_2293, UInt<12>("h0324")) @[el2_dec_tlu_ctl.scala 2517:71] + node wr_mhpme4_r = and(io.dec_csr_wen_r_mod, _T_2294) @[el2_dec_tlu_ctl.scala 2517:42] + node _T_2295 = bits(wr_mhpme4_r, 0, 0) @[el2_dec_tlu_ctl.scala 2518:81] + reg _T_2296 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2295 : @[Reg.scala 28:19] + _T_2296 <= event_saturate_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mhpme4 <= _T_2296 @[el2_dec_tlu_ctl.scala 2518:10] + node _T_2297 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2524:64] + node _T_2298 = eq(_T_2297, UInt<12>("h0325")) @[el2_dec_tlu_ctl.scala 2524:71] + node wr_mhpme5_r = and(io.dec_csr_wen_r_mod, _T_2298) @[el2_dec_tlu_ctl.scala 2524:42] + node _T_2299 = bits(wr_mhpme5_r, 0, 0) @[el2_dec_tlu_ctl.scala 2525:81] + reg _T_2300 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2299 : @[Reg.scala 28:19] + _T_2300 <= event_saturate_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mhpme5 <= _T_2300 @[el2_dec_tlu_ctl.scala 2525:10] + node _T_2301 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2531:64] + node _T_2302 = eq(_T_2301, UInt<12>("h0326")) @[el2_dec_tlu_ctl.scala 2531:71] + node wr_mhpme6_r = and(io.dec_csr_wen_r_mod, _T_2302) @[el2_dec_tlu_ctl.scala 2531:42] + node _T_2303 = bits(wr_mhpme6_r, 0, 0) @[el2_dec_tlu_ctl.scala 2532:81] + reg _T_2304 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2303 : @[Reg.scala 28:19] + _T_2304 <= event_saturate_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + mhpme6 <= _T_2304 @[el2_dec_tlu_ctl.scala 2532:10] + node _T_2305 = bits(io.dec_csr_wraddr_r, 11, 0) @[el2_dec_tlu_ctl.scala 2548:71] + node _T_2306 = eq(_T_2305, UInt<12>("h0320")) @[el2_dec_tlu_ctl.scala 2548:78] + node wr_mcountinhibit_r = and(io.dec_csr_wen_r_mod, _T_2306) @[el2_dec_tlu_ctl.scala 2548:49] + node _T_2307 = bits(mcountinhibit, 0, 0) @[el2_dec_tlu_ctl.scala 2550:55] + wire temp_ncount0 : UInt<1> + temp_ncount0 <= _T_2307 + node _T_2308 = bits(mcountinhibit, 1, 1) @[el2_dec_tlu_ctl.scala 2551:55] + wire temp_ncount1 : UInt<1> + temp_ncount1 <= _T_2308 + node _T_2309 = bits(mcountinhibit, 6, 2) @[el2_dec_tlu_ctl.scala 2552:56] + wire temp_ncount6_2 : UInt<5> + temp_ncount6_2 <= _T_2309 + node _T_2310 = bits(io.dec_csr_wrdata_r, 6, 2) @[el2_dec_tlu_ctl.scala 2553:75] + node _T_2311 = bits(wr_mcountinhibit_r, 0, 0) @[el2_dec_tlu_ctl.scala 2553:104] + reg _T_2312 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2311 : @[Reg.scala 28:19] + _T_2312 <= _T_2310 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + temp_ncount6_2 <= _T_2312 @[el2_dec_tlu_ctl.scala 2553:18] + node _T_2313 = bits(io.dec_csr_wrdata_r, 0, 0) @[el2_dec_tlu_ctl.scala 2555:73] + node _T_2314 = bits(wr_mcountinhibit_r, 0, 0) @[el2_dec_tlu_ctl.scala 2555:100] + reg _T_2315 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2314 : @[Reg.scala 28:19] + _T_2315 <= _T_2313 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + temp_ncount0 <= _T_2315 @[el2_dec_tlu_ctl.scala 2555:16] + node _T_2316 = cat(temp_ncount6_2, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2317 = cat(_T_2316, temp_ncount0) @[Cat.scala 29:58] + mcountinhibit <= _T_2317 @[el2_dec_tlu_ctl.scala 2556:17] + node _T_2318 = or(io.i0_valid_wb, io.exc_or_int_valid_r_d1) @[el2_dec_tlu_ctl.scala 2563:52] + node _T_2319 = or(_T_2318, io.interrupt_valid_r_d1) @[el2_dec_tlu_ctl.scala 2563:79] + node _T_2320 = or(_T_2319, io.dec_tlu_i0_valid_wb1) @[el2_dec_tlu_ctl.scala 2563:105] + node _T_2321 = or(_T_2320, io.dec_tlu_i0_exc_valid_wb1) @[el2_dec_tlu_ctl.scala 2563:131] + node _T_2322 = or(_T_2321, io.dec_tlu_int_valid_wb1) @[el2_dec_tlu_ctl.scala 2564:33] + node _T_2323 = or(_T_2322, io.clk_override) @[el2_dec_tlu_ctl.scala 2564:60] + node _T_2324 = bits(_T_2323, 0, 0) @[el2_dec_tlu_ctl.scala 2564:79] + inst rvclkhdr_34 of rvclkhdr_42 @[el2_lib.scala 474:22] + rvclkhdr_34.clock <= clock + rvclkhdr_34.reset <= reset + rvclkhdr_34.io.clk <= clock @[el2_lib.scala 475:17] + rvclkhdr_34.io.en <= _T_2324 @[el2_lib.scala 476:16] + rvclkhdr_34.io.scan_mode <= io.scan_mode @[el2_lib.scala 477:23] + reg _T_2325 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2566:63] + _T_2325 <= io.i0_valid_wb @[el2_dec_tlu_ctl.scala 2566:63] + io.dec_tlu_i0_valid_wb1 <= _T_2325 @[el2_dec_tlu_ctl.scala 2566:31] + node _T_2326 = or(io.i0_exception_valid_r_d1, io.lsu_i0_exc_r_d1) @[el2_dec_tlu_ctl.scala 2567:92] + node _T_2327 = not(io.trigger_hit_dmode_r_d1) @[el2_dec_tlu_ctl.scala 2567:138] + node _T_2328 = and(io.trigger_hit_r_d1, _T_2327) @[el2_dec_tlu_ctl.scala 2567:136] + node _T_2329 = or(_T_2326, _T_2328) @[el2_dec_tlu_ctl.scala 2567:113] + reg _T_2330 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2567:63] + _T_2330 <= _T_2329 @[el2_dec_tlu_ctl.scala 2567:63] + io.dec_tlu_i0_exc_valid_wb1 <= _T_2330 @[el2_dec_tlu_ctl.scala 2567:31] + reg _T_2331 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2568:63] + _T_2331 <= io.exc_cause_wb @[el2_dec_tlu_ctl.scala 2568:63] + io.dec_tlu_exc_cause_wb1 <= _T_2331 @[el2_dec_tlu_ctl.scala 2568:31] + reg _T_2332 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 2569:63] + _T_2332 <= io.interrupt_valid_r_d1 @[el2_dec_tlu_ctl.scala 2569:63] + io.dec_tlu_int_valid_wb1 <= _T_2332 @[el2_dec_tlu_ctl.scala 2569:31] + io.dec_tlu_mtval_wb1 <= mtval @[el2_dec_tlu_ctl.scala 2571:25] + node _T_2333 = bits(io.csr_pkt.csr_misa, 0, 0) @[el2_dec_tlu_ctl.scala 2577:25] + node _T_2334 = bits(io.csr_pkt.csr_mvendorid, 0, 0) @[el2_dec_tlu_ctl.scala 2578:30] + node _T_2335 = bits(io.csr_pkt.csr_marchid, 0, 0) @[el2_dec_tlu_ctl.scala 2579:28] + node _T_2336 = bits(io.csr_pkt.csr_mimpid, 0, 0) @[el2_dec_tlu_ctl.scala 2580:27] + node _T_2337 = bits(io.csr_pkt.csr_mhartid, 0, 0) @[el2_dec_tlu_ctl.scala 2581:28] + node _T_2338 = cat(io.core_id, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_2339 = bits(io.csr_pkt.csr_mstatus, 0, 0) @[el2_dec_tlu_ctl.scala 2582:28] + node _T_2340 = bits(io.mstatus, 1, 1) @[el2_dec_tlu_ctl.scala 2582:91] + node _T_2341 = bits(io.mstatus, 0, 0) @[el2_dec_tlu_ctl.scala 2582:116] + node _T_2342 = cat(UInt<3>("h00"), _T_2341) @[Cat.scala 29:58] + node _T_2343 = cat(_T_2342, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2344 = cat(UInt<3>("h00"), _T_2340) @[Cat.scala 29:58] + node _T_2345 = cat(UInt<19>("h00"), UInt<2>("h03")) @[Cat.scala 29:58] + node _T_2346 = cat(_T_2345, _T_2344) @[Cat.scala 29:58] + node _T_2347 = cat(_T_2346, _T_2343) @[Cat.scala 29:58] + node _T_2348 = bits(io.csr_pkt.csr_mtvec, 0, 0) @[el2_dec_tlu_ctl.scala 2583:26] + node _T_2349 = bits(io.mtvec, 30, 1) @[el2_dec_tlu_ctl.scala 2583:58] + node _T_2350 = bits(io.mtvec, 0, 0) @[el2_dec_tlu_ctl.scala 2583:84] + node _T_2351 = cat(_T_2349, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2352 = cat(_T_2351, _T_2350) @[Cat.scala 29:58] + node _T_2353 = bits(io.csr_pkt.csr_mip, 0, 0) @[el2_dec_tlu_ctl.scala 2584:24] + node _T_2354 = bits(io.mip, 5, 3) @[el2_dec_tlu_ctl.scala 2584:66] + node _T_2355 = bits(io.mip, 2, 2) @[el2_dec_tlu_ctl.scala 2584:90] + node _T_2356 = bits(io.mip, 1, 1) @[el2_dec_tlu_ctl.scala 2584:111] + node _T_2357 = bits(io.mip, 0, 0) @[el2_dec_tlu_ctl.scala 2584:132] + node _T_2358 = cat(_T_2357, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2359 = cat(_T_2356, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2360 = cat(_T_2359, _T_2358) @[Cat.scala 29:58] + node _T_2361 = cat(_T_2355, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2362 = cat(UInt<1>("h00"), _T_2354) @[Cat.scala 29:58] + node _T_2363 = cat(_T_2362, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_2364 = cat(_T_2363, _T_2361) @[Cat.scala 29:58] + node _T_2365 = cat(_T_2364, _T_2360) @[Cat.scala 29:58] + node _T_2366 = bits(io.csr_pkt.csr_mie, 0, 0) @[el2_dec_tlu_ctl.scala 2585:24] + node _T_2367 = bits(mie, 5, 3) @[el2_dec_tlu_ctl.scala 2585:63] + node _T_2368 = bits(mie, 2, 2) @[el2_dec_tlu_ctl.scala 2585:84] + node _T_2369 = bits(mie, 1, 1) @[el2_dec_tlu_ctl.scala 2585:102] + node _T_2370 = bits(mie, 0, 0) @[el2_dec_tlu_ctl.scala 2585:120] + node _T_2371 = cat(_T_2370, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2372 = cat(_T_2369, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2373 = cat(_T_2372, _T_2371) @[Cat.scala 29:58] + node _T_2374 = cat(_T_2368, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2375 = cat(UInt<1>("h00"), _T_2367) @[Cat.scala 29:58] + node _T_2376 = cat(_T_2375, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_2377 = cat(_T_2376, _T_2374) @[Cat.scala 29:58] + node _T_2378 = cat(_T_2377, _T_2373) @[Cat.scala 29:58] + node _T_2379 = bits(io.csr_pkt.csr_mcyclel, 0, 0) @[el2_dec_tlu_ctl.scala 2586:28] + node _T_2380 = bits(mcyclel, 31, 0) @[el2_dec_tlu_ctl.scala 2586:53] + node _T_2381 = bits(io.csr_pkt.csr_mcycleh, 0, 0) @[el2_dec_tlu_ctl.scala 2587:28] + node _T_2382 = bits(mcycleh_inc, 31, 0) @[el2_dec_tlu_ctl.scala 2587:57] + node _T_2383 = bits(io.csr_pkt.csr_minstretl, 0, 0) @[el2_dec_tlu_ctl.scala 2588:30] + node _T_2384 = bits(minstretl, 31, 0) @[el2_dec_tlu_ctl.scala 2588:60] + node _T_2385 = bits(io.csr_pkt.csr_minstreth, 0, 0) @[el2_dec_tlu_ctl.scala 2589:30] + node _T_2386 = bits(minstreth_inc, 31, 0) @[el2_dec_tlu_ctl.scala 2589:60] + node _T_2387 = bits(io.csr_pkt.csr_mscratch, 0, 0) @[el2_dec_tlu_ctl.scala 2590:29] + node _T_2388 = bits(mscratch, 31, 0) @[el2_dec_tlu_ctl.scala 2590:54] + node _T_2389 = bits(io.csr_pkt.csr_mepc, 0, 0) @[el2_dec_tlu_ctl.scala 2591:25] + node _T_2390 = cat(io.mepc, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2391 = bits(io.csr_pkt.csr_mcause, 0, 0) @[el2_dec_tlu_ctl.scala 2592:27] + node _T_2392 = bits(mcause, 31, 0) @[el2_dec_tlu_ctl.scala 2592:52] + node _T_2393 = bits(io.csr_pkt.csr_mscause, 0, 0) @[el2_dec_tlu_ctl.scala 2593:28] + node _T_2394 = bits(mscause, 3, 0) @[el2_dec_tlu_ctl.scala 2593:68] + node _T_2395 = cat(UInt<28>("h00"), _T_2394) @[Cat.scala 29:58] + node _T_2396 = bits(io.csr_pkt.csr_mtval, 0, 0) @[el2_dec_tlu_ctl.scala 2594:26] + node _T_2397 = bits(mtval, 31, 0) @[el2_dec_tlu_ctl.scala 2594:51] + node _T_2398 = bits(io.csr_pkt.csr_mrac, 0, 0) @[el2_dec_tlu_ctl.scala 2595:25] + node _T_2399 = bits(mrac, 31, 0) @[el2_dec_tlu_ctl.scala 2595:50] + node _T_2400 = bits(io.csr_pkt.csr_mdseac, 0, 0) @[el2_dec_tlu_ctl.scala 2596:27] + node _T_2401 = bits(mdseac, 31, 0) @[el2_dec_tlu_ctl.scala 2596:52] + node _T_2402 = bits(io.csr_pkt.csr_meivt, 0, 0) @[el2_dec_tlu_ctl.scala 2597:26] + node _T_2403 = cat(meivt, UInt<10>("h00")) @[Cat.scala 29:58] + node _T_2404 = bits(io.csr_pkt.csr_meihap, 0, 0) @[el2_dec_tlu_ctl.scala 2598:27] + node _T_2405 = cat(meivt, meihap) @[Cat.scala 29:58] + node _T_2406 = cat(_T_2405, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_2407 = bits(io.csr_pkt.csr_meicurpl, 0, 0) @[el2_dec_tlu_ctl.scala 2599:29] + node _T_2408 = bits(meicurpl, 3, 0) @[el2_dec_tlu_ctl.scala 2599:69] + node _T_2409 = cat(UInt<28>("h00"), _T_2408) @[Cat.scala 29:58] + node _T_2410 = bits(io.csr_pkt.csr_meicidpl, 0, 0) @[el2_dec_tlu_ctl.scala 2600:29] + node _T_2411 = bits(meicidpl, 3, 0) @[el2_dec_tlu_ctl.scala 2600:69] + node _T_2412 = cat(UInt<28>("h00"), _T_2411) @[Cat.scala 29:58] + node _T_2413 = bits(io.csr_pkt.csr_meipt, 0, 0) @[el2_dec_tlu_ctl.scala 2601:26] + node _T_2414 = bits(meipt, 3, 0) @[el2_dec_tlu_ctl.scala 2601:66] + node _T_2415 = cat(UInt<28>("h00"), _T_2414) @[Cat.scala 29:58] + node _T_2416 = bits(io.csr_pkt.csr_mcgc, 0, 0) @[el2_dec_tlu_ctl.scala 2602:25] + node _T_2417 = bits(mcgc, 8, 0) @[el2_dec_tlu_ctl.scala 2602:65] + node _T_2418 = cat(UInt<23>("h00"), _T_2417) @[Cat.scala 29:58] + node _T_2419 = bits(io.csr_pkt.csr_mfdc, 0, 0) @[el2_dec_tlu_ctl.scala 2603:25] + node _T_2420 = bits(mfdc, 18, 0) @[el2_dec_tlu_ctl.scala 2603:65] + node _T_2421 = cat(UInt<13>("h00"), _T_2420) @[Cat.scala 29:58] + node _T_2422 = bits(io.csr_pkt.csr_dcsr, 0, 0) @[el2_dec_tlu_ctl.scala 2604:25] + node _T_2423 = bits(io.dcsr, 15, 2) @[el2_dec_tlu_ctl.scala 2604:73] + node _T_2424 = cat(UInt<16>("h04000"), _T_2423) @[Cat.scala 29:58] + node _T_2425 = cat(_T_2424, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_2426 = bits(io.csr_pkt.csr_dpc, 0, 0) @[el2_dec_tlu_ctl.scala 2605:24] + node _T_2427 = cat(io.dpc, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2428 = bits(io.csr_pkt.csr_dicad0, 0, 0) @[el2_dec_tlu_ctl.scala 2606:27] + node _T_2429 = bits(dicad0, 31, 0) @[el2_dec_tlu_ctl.scala 2606:52] + node _T_2430 = bits(io.csr_pkt.csr_dicad0h, 0, 0) @[el2_dec_tlu_ctl.scala 2607:28] + node _T_2431 = bits(dicad0h, 31, 0) @[el2_dec_tlu_ctl.scala 2607:53] + node _T_2432 = bits(io.csr_pkt.csr_dicad1, 0, 0) @[el2_dec_tlu_ctl.scala 2608:27] + node _T_2433 = bits(dicad1, 31, 0) @[el2_dec_tlu_ctl.scala 2608:52] + node _T_2434 = bits(io.csr_pkt.csr_dicawics, 0, 0) @[el2_dec_tlu_ctl.scala 2609:29] + node _T_2435 = bits(dicawics, 16, 16) @[el2_dec_tlu_ctl.scala 2609:68] + node _T_2436 = bits(dicawics, 15, 14) @[el2_dec_tlu_ctl.scala 2609:92] + node _T_2437 = bits(dicawics, 13, 0) @[el2_dec_tlu_ctl.scala 2609:119] + node _T_2438 = cat(UInt<3>("h00"), _T_2437) @[Cat.scala 29:58] + node _T_2439 = cat(_T_2438, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2440 = cat(UInt<2>("h00"), _T_2436) @[Cat.scala 29:58] + node _T_2441 = cat(UInt<7>("h00"), _T_2435) @[Cat.scala 29:58] + node _T_2442 = cat(_T_2441, _T_2440) @[Cat.scala 29:58] + node _T_2443 = cat(_T_2442, _T_2439) @[Cat.scala 29:58] + node _T_2444 = bits(io.csr_pkt.csr_mtsel, 0, 0) @[el2_dec_tlu_ctl.scala 2610:26] + node _T_2445 = bits(mtsel, 1, 0) @[el2_dec_tlu_ctl.scala 2610:66] + node _T_2446 = cat(UInt<30>("h00"), _T_2445) @[Cat.scala 29:58] + node _T_2447 = bits(io.csr_pkt.csr_mtdata1, 0, 0) @[el2_dec_tlu_ctl.scala 2611:28] + node _T_2448 = bits(mtdata1_tsel_out, 31, 0) @[el2_dec_tlu_ctl.scala 2611:62] + node _T_2449 = bits(io.csr_pkt.csr_mtdata2, 0, 0) @[el2_dec_tlu_ctl.scala 2612:28] + node _T_2450 = bits(mtdata2_tsel_out, 31, 0) @[el2_dec_tlu_ctl.scala 2612:62] + node _T_2451 = bits(io.csr_pkt.csr_micect, 0, 0) @[el2_dec_tlu_ctl.scala 2613:27] + node _T_2452 = bits(micect, 31, 0) @[el2_dec_tlu_ctl.scala 2613:52] + node _T_2453 = bits(io.csr_pkt.csr_miccmect, 0, 0) @[el2_dec_tlu_ctl.scala 2614:29] + node _T_2454 = bits(miccmect, 31, 0) @[el2_dec_tlu_ctl.scala 2614:54] + node _T_2455 = bits(io.csr_pkt.csr_mdccmect, 0, 0) @[el2_dec_tlu_ctl.scala 2615:29] + node _T_2456 = bits(mdccmect, 31, 0) @[el2_dec_tlu_ctl.scala 2615:54] + node _T_2457 = bits(io.csr_pkt.csr_mhpmc3, 0, 0) @[el2_dec_tlu_ctl.scala 2616:27] + node _T_2458 = bits(mhpmc3, 31, 0) @[el2_dec_tlu_ctl.scala 2616:52] + node _T_2459 = bits(io.csr_pkt.csr_mhpmc4, 0, 0) @[el2_dec_tlu_ctl.scala 2617:27] + node _T_2460 = bits(mhpmc4, 31, 0) @[el2_dec_tlu_ctl.scala 2617:52] + node _T_2461 = bits(io.csr_pkt.csr_mhpmc5, 0, 0) @[el2_dec_tlu_ctl.scala 2618:27] + node _T_2462 = bits(mhpmc5, 31, 0) @[el2_dec_tlu_ctl.scala 2618:52] + node _T_2463 = bits(io.csr_pkt.csr_mhpmc6, 0, 0) @[el2_dec_tlu_ctl.scala 2619:27] + node _T_2464 = bits(mhpmc6, 31, 0) @[el2_dec_tlu_ctl.scala 2619:52] + node _T_2465 = bits(io.csr_pkt.csr_mhpmc3h, 0, 0) @[el2_dec_tlu_ctl.scala 2620:28] + node _T_2466 = bits(mhpmc3h, 31, 0) @[el2_dec_tlu_ctl.scala 2620:53] + node _T_2467 = bits(io.csr_pkt.csr_mhpmc4h, 0, 0) @[el2_dec_tlu_ctl.scala 2621:28] + node _T_2468 = bits(mhpmc4h, 31, 0) @[el2_dec_tlu_ctl.scala 2621:53] + node _T_2469 = bits(io.csr_pkt.csr_mhpmc5h, 0, 0) @[el2_dec_tlu_ctl.scala 2622:28] + node _T_2470 = bits(mhpmc5h, 31, 0) @[el2_dec_tlu_ctl.scala 2622:53] + node _T_2471 = bits(io.csr_pkt.csr_mhpmc6h, 0, 0) @[el2_dec_tlu_ctl.scala 2623:28] + node _T_2472 = bits(mhpmc6h, 31, 0) @[el2_dec_tlu_ctl.scala 2623:53] + node _T_2473 = bits(io.csr_pkt.csr_mfdht, 0, 0) @[el2_dec_tlu_ctl.scala 2624:26] + node _T_2474 = bits(mfdht, 5, 0) @[el2_dec_tlu_ctl.scala 2624:66] + node _T_2475 = cat(UInt<26>("h00"), _T_2474) @[Cat.scala 29:58] + node _T_2476 = bits(io.csr_pkt.csr_mfdhs, 0, 0) @[el2_dec_tlu_ctl.scala 2625:26] + node _T_2477 = bits(mfdhs, 1, 0) @[el2_dec_tlu_ctl.scala 2625:66] + node _T_2478 = cat(UInt<30>("h00"), _T_2477) @[Cat.scala 29:58] + node _T_2479 = bits(io.csr_pkt.csr_mhpme3, 0, 0) @[el2_dec_tlu_ctl.scala 2626:27] + node _T_2480 = bits(mhpme3, 9, 0) @[el2_dec_tlu_ctl.scala 2626:67] + node _T_2481 = cat(UInt<22>("h00"), _T_2480) @[Cat.scala 29:58] + node _T_2482 = bits(io.csr_pkt.csr_mhpme4, 0, 0) @[el2_dec_tlu_ctl.scala 2627:27] + node _T_2483 = bits(mhpme4, 9, 0) @[el2_dec_tlu_ctl.scala 2627:67] + node _T_2484 = cat(UInt<22>("h00"), _T_2483) @[Cat.scala 29:58] + node _T_2485 = bits(io.csr_pkt.csr_mhpme5, 0, 0) @[el2_dec_tlu_ctl.scala 2628:27] + node _T_2486 = bits(mhpme5, 9, 0) @[el2_dec_tlu_ctl.scala 2628:66] + node _T_2487 = cat(UInt<22>("h00"), _T_2486) @[Cat.scala 29:58] + node _T_2488 = bits(io.csr_pkt.csr_mhpme6, 0, 0) @[el2_dec_tlu_ctl.scala 2629:27] + node _T_2489 = bits(mhpme6, 9, 0) @[el2_dec_tlu_ctl.scala 2629:66] + node _T_2490 = cat(UInt<22>("h00"), _T_2489) @[Cat.scala 29:58] + node _T_2491 = bits(io.csr_pkt.csr_mcountinhibit, 0, 0) @[el2_dec_tlu_ctl.scala 2630:34] + node _T_2492 = bits(mcountinhibit, 6, 0) @[el2_dec_tlu_ctl.scala 2630:74] + node _T_2493 = cat(UInt<25>("h00"), _T_2492) @[Cat.scala 29:58] + node _T_2494 = bits(io.csr_pkt.csr_mpmc, 0, 0) @[el2_dec_tlu_ctl.scala 2631:25] + node _T_2495 = cat(UInt<30>("h00"), mpmc) @[Cat.scala 29:58] + node _T_2496 = cat(_T_2495, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2497 = bits(io.dec_timer_read_d, 0, 0) @[el2_dec_tlu_ctl.scala 2632:25] + node _T_2498 = bits(io.dec_timer_rddata_d, 31, 0) @[el2_dec_tlu_ctl.scala 2632:64] + node _T_2499 = mux(_T_2333, UInt<32>("h040001104"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2500 = mux(_T_2334, UInt<32>("h045"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2501 = mux(_T_2335, UInt<32>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2502 = mux(_T_2336, UInt<32>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2503 = mux(_T_2337, _T_2338, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2504 = mux(_T_2339, _T_2347, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2505 = mux(_T_2348, _T_2352, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2506 = mux(_T_2353, _T_2365, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2507 = mux(_T_2366, _T_2378, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2508 = mux(_T_2379, _T_2380, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2509 = mux(_T_2381, _T_2382, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2510 = mux(_T_2383, _T_2384, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2511 = mux(_T_2385, _T_2386, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2512 = mux(_T_2387, _T_2388, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2513 = mux(_T_2389, _T_2390, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2514 = mux(_T_2391, _T_2392, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2515 = mux(_T_2393, _T_2395, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2516 = mux(_T_2396, _T_2397, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2517 = mux(_T_2398, _T_2399, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2518 = mux(_T_2400, _T_2401, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2519 = mux(_T_2402, _T_2403, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2520 = mux(_T_2404, _T_2406, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2521 = mux(_T_2407, _T_2409, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2522 = mux(_T_2410, _T_2412, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2523 = mux(_T_2413, _T_2415, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2524 = mux(_T_2416, _T_2418, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2525 = mux(_T_2419, _T_2421, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2526 = mux(_T_2422, _T_2425, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2527 = mux(_T_2426, _T_2427, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2528 = mux(_T_2428, _T_2429, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2529 = mux(_T_2430, _T_2431, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2530 = mux(_T_2432, _T_2433, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2531 = mux(_T_2434, _T_2443, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2532 = mux(_T_2444, _T_2446, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2533 = mux(_T_2447, _T_2448, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2534 = mux(_T_2449, _T_2450, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2535 = mux(_T_2451, _T_2452, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2536 = mux(_T_2453, _T_2454, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2537 = mux(_T_2455, _T_2456, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2538 = mux(_T_2457, _T_2458, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2539 = mux(_T_2459, _T_2460, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2540 = mux(_T_2461, _T_2462, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2541 = mux(_T_2463, _T_2464, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2542 = mux(_T_2465, _T_2466, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2543 = mux(_T_2467, _T_2468, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2544 = mux(_T_2469, _T_2470, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2545 = mux(_T_2471, _T_2472, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2546 = mux(_T_2473, _T_2475, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2547 = mux(_T_2476, _T_2478, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2548 = mux(_T_2479, _T_2481, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2549 = mux(_T_2482, _T_2484, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2550 = mux(_T_2485, _T_2487, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2551 = mux(_T_2488, _T_2490, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2552 = mux(_T_2491, _T_2493, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2553 = mux(_T_2494, _T_2496, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2554 = mux(_T_2497, _T_2498, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2555 = or(_T_2499, _T_2500) @[Mux.scala 27:72] + node _T_2556 = or(_T_2555, _T_2501) @[Mux.scala 27:72] + node _T_2557 = or(_T_2556, _T_2502) @[Mux.scala 27:72] + node _T_2558 = or(_T_2557, _T_2503) @[Mux.scala 27:72] + node _T_2559 = or(_T_2558, _T_2504) @[Mux.scala 27:72] + node _T_2560 = or(_T_2559, _T_2505) @[Mux.scala 27:72] + node _T_2561 = or(_T_2560, _T_2506) @[Mux.scala 27:72] + node _T_2562 = or(_T_2561, _T_2507) @[Mux.scala 27:72] + node _T_2563 = or(_T_2562, _T_2508) @[Mux.scala 27:72] + node _T_2564 = or(_T_2563, _T_2509) @[Mux.scala 27:72] + node _T_2565 = or(_T_2564, _T_2510) @[Mux.scala 27:72] + node _T_2566 = or(_T_2565, _T_2511) @[Mux.scala 27:72] + node _T_2567 = or(_T_2566, _T_2512) @[Mux.scala 27:72] + node _T_2568 = or(_T_2567, _T_2513) @[Mux.scala 27:72] + node _T_2569 = or(_T_2568, _T_2514) @[Mux.scala 27:72] + node _T_2570 = or(_T_2569, _T_2515) @[Mux.scala 27:72] + node _T_2571 = or(_T_2570, _T_2516) @[Mux.scala 27:72] + node _T_2572 = or(_T_2571, _T_2517) @[Mux.scala 27:72] + node _T_2573 = or(_T_2572, _T_2518) @[Mux.scala 27:72] + node _T_2574 = or(_T_2573, _T_2519) @[Mux.scala 27:72] + node _T_2575 = or(_T_2574, _T_2520) @[Mux.scala 27:72] + node _T_2576 = or(_T_2575, _T_2521) @[Mux.scala 27:72] + node _T_2577 = or(_T_2576, _T_2522) @[Mux.scala 27:72] + node _T_2578 = or(_T_2577, _T_2523) @[Mux.scala 27:72] + node _T_2579 = or(_T_2578, _T_2524) @[Mux.scala 27:72] + node _T_2580 = or(_T_2579, _T_2525) @[Mux.scala 27:72] + node _T_2581 = or(_T_2580, _T_2526) @[Mux.scala 27:72] + node _T_2582 = or(_T_2581, _T_2527) @[Mux.scala 27:72] + node _T_2583 = or(_T_2582, _T_2528) @[Mux.scala 27:72] + node _T_2584 = or(_T_2583, _T_2529) @[Mux.scala 27:72] + node _T_2585 = or(_T_2584, _T_2530) @[Mux.scala 27:72] + node _T_2586 = or(_T_2585, _T_2531) @[Mux.scala 27:72] + node _T_2587 = or(_T_2586, _T_2532) @[Mux.scala 27:72] + node _T_2588 = or(_T_2587, _T_2533) @[Mux.scala 27:72] + node _T_2589 = or(_T_2588, _T_2534) @[Mux.scala 27:72] + node _T_2590 = or(_T_2589, _T_2535) @[Mux.scala 27:72] + node _T_2591 = or(_T_2590, _T_2536) @[Mux.scala 27:72] + node _T_2592 = or(_T_2591, _T_2537) @[Mux.scala 27:72] + node _T_2593 = or(_T_2592, _T_2538) @[Mux.scala 27:72] + node _T_2594 = or(_T_2593, _T_2539) @[Mux.scala 27:72] + node _T_2595 = or(_T_2594, _T_2540) @[Mux.scala 27:72] + node _T_2596 = or(_T_2595, _T_2541) @[Mux.scala 27:72] + node _T_2597 = or(_T_2596, _T_2542) @[Mux.scala 27:72] + node _T_2598 = or(_T_2597, _T_2543) @[Mux.scala 27:72] + node _T_2599 = or(_T_2598, _T_2544) @[Mux.scala 27:72] + node _T_2600 = or(_T_2599, _T_2545) @[Mux.scala 27:72] + node _T_2601 = or(_T_2600, _T_2546) @[Mux.scala 27:72] + node _T_2602 = or(_T_2601, _T_2547) @[Mux.scala 27:72] + node _T_2603 = or(_T_2602, _T_2548) @[Mux.scala 27:72] + node _T_2604 = or(_T_2603, _T_2549) @[Mux.scala 27:72] + node _T_2605 = or(_T_2604, _T_2550) @[Mux.scala 27:72] + node _T_2606 = or(_T_2605, _T_2551) @[Mux.scala 27:72] + node _T_2607 = or(_T_2606, _T_2552) @[Mux.scala 27:72] + node _T_2608 = or(_T_2607, _T_2553) @[Mux.scala 27:72] + node _T_2609 = or(_T_2608, _T_2554) @[Mux.scala 27:72] + wire _T_2610 : UInt @[Mux.scala 27:72] + _T_2610 <= _T_2609 @[Mux.scala 27:72] + io.dec_csr_rddata_d <= _T_2610 @[el2_dec_tlu_ctl.scala 2576:22] + + module el2_dec_decode_csr_read : + input clock : Clock + input reset : Reset + output io : {flip dec_csr_rdaddr_d : UInt<12>, csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>}} + + node _T = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1 = eq(_T, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_2 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_3 = eq(_T_2, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_4 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_5 = eq(_T_4, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_6 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_7 = eq(_T_6, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_8 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_9 = and(_T_1, _T_3) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_10 = and(_T_9, _T_5) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_11 = and(_T_10, _T_7) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_12 = and(_T_11, _T_8) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_misa <= _T_12 @[el2_dec_tlu_ctl.scala 2650:49] + node _T_13 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_14 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_16 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_17 = eq(_T_16, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_18 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_19 = and(_T_13, _T_15) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_20 = and(_T_19, _T_17) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_21 = and(_T_20, _T_18) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mvendorid <= _T_21 @[el2_dec_tlu_ctl.scala 2651:49] + node _T_22 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_23 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_24 = eq(_T_23, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_25 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_26 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_27 = eq(_T_26, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_28 = and(_T_22, _T_24) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_29 = and(_T_28, _T_25) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_30 = and(_T_29, _T_27) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_marchid <= _T_30 @[el2_dec_tlu_ctl.scala 2652:57] + node _T_31 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_32 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_34 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_35 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_36 = and(_T_31, _T_33) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_37 = and(_T_36, _T_34) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_38 = and(_T_37, _T_35) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mimpid <= _T_38 @[el2_dec_tlu_ctl.scala 2653:57] + node _T_39 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_40 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_41 = eq(_T_40, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_42 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_43 = and(_T_39, _T_41) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_44 = and(_T_43, _T_42) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhartid <= _T_44 @[el2_dec_tlu_ctl.scala 2654:57] + node _T_45 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_46 = eq(_T_45, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_47 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_49 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_50 = eq(_T_49, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_51 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_52 = eq(_T_51, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_53 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_54 = eq(_T_53, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_55 = and(_T_46, _T_48) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_56 = and(_T_55, _T_50) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_57 = and(_T_56, _T_52) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_58 = and(_T_57, _T_54) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mstatus <= _T_58 @[el2_dec_tlu_ctl.scala 2655:57] + node _T_59 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_60 = eq(_T_59, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_61 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_62 = eq(_T_61, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_63 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_64 = eq(_T_63, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_65 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_66 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_67 = and(_T_60, _T_62) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_68 = and(_T_67, _T_64) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_69 = and(_T_68, _T_65) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_70 = and(_T_69, _T_66) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mtvec <= _T_70 @[el2_dec_tlu_ctl.scala 2656:49] + node _T_71 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_72 = eq(_T_71, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_73 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_74 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_75 = and(_T_72, _T_73) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_76 = and(_T_75, _T_74) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mip <= _T_76 @[el2_dec_tlu_ctl.scala 2657:57] + node _T_77 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_78 = eq(_T_77, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_79 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_80 = eq(_T_79, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_81 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_82 = eq(_T_81, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_83 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_84 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_85 = eq(_T_84, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_86 = and(_T_78, _T_80) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_87 = and(_T_86, _T_82) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_88 = and(_T_87, _T_83) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_89 = and(_T_88, _T_85) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mie <= _T_89 @[el2_dec_tlu_ctl.scala 2658:57] + node _T_90 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_91 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_92 = eq(_T_91, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_93 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_94 = eq(_T_93, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_95 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_96 = eq(_T_95, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_97 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_98 = eq(_T_97, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_99 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_100 = eq(_T_99, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_101 = and(_T_90, _T_92) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_102 = and(_T_101, _T_94) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_103 = and(_T_102, _T_96) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_104 = and(_T_103, _T_98) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_105 = and(_T_104, _T_100) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mcyclel <= _T_105 @[el2_dec_tlu_ctl.scala 2659:57] + node _T_106 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_107 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_108 = eq(_T_107, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_109 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_111 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_112 = eq(_T_111, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_113 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_114 = eq(_T_113, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_115 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_116 = eq(_T_115, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_117 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_118 = eq(_T_117, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_119 = and(_T_106, _T_108) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_120 = and(_T_119, _T_110) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_121 = and(_T_120, _T_112) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_122 = and(_T_121, _T_114) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_123 = and(_T_122, _T_116) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_124 = and(_T_123, _T_118) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mcycleh <= _T_124 @[el2_dec_tlu_ctl.scala 2660:57] + node _T_125 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_127 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_128 = eq(_T_127, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_129 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_130 = eq(_T_129, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_131 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_132 = eq(_T_131, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_133 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_134 = eq(_T_133, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_135 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_136 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_137 = eq(_T_136, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_138 = and(_T_126, _T_128) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_139 = and(_T_138, _T_130) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_140 = and(_T_139, _T_132) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_141 = and(_T_140, _T_134) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_142 = and(_T_141, _T_135) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_143 = and(_T_142, _T_137) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_minstretl <= _T_143 @[el2_dec_tlu_ctl.scala 2661:49] + node _T_144 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_145 = eq(_T_144, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_146 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_147 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_148 = eq(_T_147, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_149 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_150 = eq(_T_149, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_151 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_152 = eq(_T_151, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_153 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_154 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_155 = eq(_T_154, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_156 = and(_T_145, _T_146) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_157 = and(_T_156, _T_148) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_158 = and(_T_157, _T_150) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_159 = and(_T_158, _T_152) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_160 = and(_T_159, _T_153) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_161 = and(_T_160, _T_155) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_minstreth <= _T_161 @[el2_dec_tlu_ctl.scala 2662:49] + node _T_162 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_163 = eq(_T_162, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_164 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_165 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_166 = eq(_T_165, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_167 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_168 = eq(_T_167, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_169 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_170 = eq(_T_169, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_171 = and(_T_163, _T_164) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_172 = and(_T_171, _T_166) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_173 = and(_T_172, _T_168) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_174 = and(_T_173, _T_170) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mscratch <= _T_174 @[el2_dec_tlu_ctl.scala 2663:49] + node _T_175 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_176 = eq(_T_175, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_177 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_178 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_179 = eq(_T_178, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_180 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_181 = and(_T_176, _T_177) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_182 = and(_T_181, _T_179) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_183 = and(_T_182, _T_180) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mepc <= _T_183 @[el2_dec_tlu_ctl.scala 2664:49] + node _T_184 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_185 = eq(_T_184, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_186 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_187 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_188 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_189 = eq(_T_188, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_190 = and(_T_185, _T_186) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_191 = and(_T_190, _T_187) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_192 = and(_T_191, _T_189) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mcause <= _T_192 @[el2_dec_tlu_ctl.scala 2665:57] + node _T_193 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_194 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_195 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_196 = and(_T_193, _T_194) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_197 = and(_T_196, _T_195) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mscause <= _T_197 @[el2_dec_tlu_ctl.scala 2666:57] + node _T_198 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_199 = eq(_T_198, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_200 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_201 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_202 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_203 = and(_T_199, _T_200) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_204 = and(_T_203, _T_201) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_205 = and(_T_204, _T_202) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mtval <= _T_205 @[el2_dec_tlu_ctl.scala 2667:49] + node _T_206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_208 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_209 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_210 = eq(_T_209, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_211 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_212 = eq(_T_211, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_213 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_214 = eq(_T_213, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_215 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_216 = eq(_T_215, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_217 = and(_T_207, _T_208) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_218 = and(_T_217, _T_210) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_219 = and(_T_218, _T_212) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_220 = and(_T_219, _T_214) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_221 = and(_T_220, _T_216) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mrac <= _T_221 @[el2_dec_tlu_ctl.scala 2668:49] + node _T_222 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_223 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_224 = eq(_T_223, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_225 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_226 = eq(_T_225, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_227 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_228 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_229 = eq(_T_228, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_230 = and(_T_222, _T_224) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_231 = and(_T_230, _T_226) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_232 = and(_T_231, _T_227) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_233 = and(_T_232, _T_229) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dmst <= _T_233 @[el2_dec_tlu_ctl.scala 2669:49] + node _T_234 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_235 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_236 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_237 = eq(_T_236, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_238 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_239 = eq(_T_238, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_240 = and(_T_234, _T_235) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_241 = and(_T_240, _T_237) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_242 = and(_T_241, _T_239) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mdseac <= _T_242 @[el2_dec_tlu_ctl.scala 2670:57] + node _T_243 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_244 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_245 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_246 = and(_T_243, _T_244) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_247 = and(_T_246, _T_245) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_meihap <= _T_247 @[el2_dec_tlu_ctl.scala 2671:57] + node _T_248 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_250 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_251 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_252 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_253 = eq(_T_252, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_254 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_255 = eq(_T_254, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_256 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_258 = and(_T_249, _T_250) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_259 = and(_T_258, _T_251) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_260 = and(_T_259, _T_253) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_261 = and(_T_260, _T_255) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_262 = and(_T_261, _T_257) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_meivt <= _T_262 @[el2_dec_tlu_ctl.scala 2672:49] + node _T_263 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_264 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_265 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_266 = eq(_T_265, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_267 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_268 = and(_T_263, _T_264) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_269 = and(_T_268, _T_266) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_270 = and(_T_269, _T_267) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_meipt <= _T_270 @[el2_dec_tlu_ctl.scala 2673:49] + node _T_271 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_272 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_273 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_274 = and(_T_271, _T_272) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_275 = and(_T_274, _T_273) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_meicurpl <= _T_275 @[el2_dec_tlu_ctl.scala 2674:49] + node _T_276 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_277 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_278 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_279 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_280 = and(_T_276, _T_277) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_281 = and(_T_280, _T_278) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_282 = and(_T_281, _T_279) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_meicidpl <= _T_282 @[el2_dec_tlu_ctl.scala 2675:49] + node _T_283 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_284 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_285 = eq(_T_284, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_286 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_287 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_288 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_289 = eq(_T_288, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_290 = and(_T_283, _T_285) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_291 = and(_T_290, _T_286) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_292 = and(_T_291, _T_287) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_293 = and(_T_292, _T_289) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dcsr <= _T_293 @[el2_dec_tlu_ctl.scala 2676:49] + node _T_294 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_295 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_296 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_297 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_298 = eq(_T_297, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_299 = and(_T_294, _T_295) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_300 = and(_T_299, _T_296) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_301 = and(_T_300, _T_298) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mcgc <= _T_301 @[el2_dec_tlu_ctl.scala 2677:49] + node _T_302 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_303 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_304 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_305 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_307 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_308 = and(_T_302, _T_303) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_309 = and(_T_308, _T_304) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_310 = and(_T_309, _T_306) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_311 = and(_T_310, _T_307) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mfdc <= _T_311 @[el2_dec_tlu_ctl.scala 2678:49] + node _T_312 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_313 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_315 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_316 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_317 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_318 = and(_T_312, _T_314) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_319 = and(_T_318, _T_315) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_320 = and(_T_319, _T_316) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_321 = and(_T_320, _T_317) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dpc <= _T_321 @[el2_dec_tlu_ctl.scala 2679:57] + node _T_322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_323 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_324 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_326 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_328 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_330 = and(_T_322, _T_323) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_331 = and(_T_330, _T_325) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_332 = and(_T_331, _T_327) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_333 = and(_T_332, _T_329) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mtsel <= _T_333 @[el2_dec_tlu_ctl.scala 2680:49] + node _T_334 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_335 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_336 = eq(_T_335, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_337 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_339 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_340 = and(_T_334, _T_336) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_341 = and(_T_340, _T_338) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_342 = and(_T_341, _T_339) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mtdata1 <= _T_342 @[el2_dec_tlu_ctl.scala 2681:57] + node _T_343 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_344 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_345 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_347 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_348 = and(_T_343, _T_344) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_349 = and(_T_348, _T_346) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_350 = and(_T_349, _T_347) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mtdata2 <= _T_350 @[el2_dec_tlu_ctl.scala 2682:57] + node _T_351 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_352 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_354 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_356 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_358 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_359 = eq(_T_358, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_360 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_361 = and(_T_351, _T_353) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_362 = and(_T_361, _T_355) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_363 = and(_T_362, _T_357) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_364 = and(_T_363, _T_359) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_365 = and(_T_364, _T_360) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc3 <= _T_365 @[el2_dec_tlu_ctl.scala 2683:57] + node _T_366 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_367 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_368 = eq(_T_367, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_369 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_370 = eq(_T_369, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_371 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_372 = eq(_T_371, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_373 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_374 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_375 = eq(_T_374, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_376 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_377 = eq(_T_376, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_378 = and(_T_366, _T_368) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_379 = and(_T_378, _T_370) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_380 = and(_T_379, _T_372) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_381 = and(_T_380, _T_373) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_382 = and(_T_381, _T_375) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_383 = and(_T_382, _T_377) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc4 <= _T_383 @[el2_dec_tlu_ctl.scala 2684:57] + node _T_384 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_385 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_386 = eq(_T_385, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_387 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_388 = eq(_T_387, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_389 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_390 = eq(_T_389, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_391 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_393 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_394 = and(_T_384, _T_386) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_395 = and(_T_394, _T_388) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_396 = and(_T_395, _T_390) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_397 = and(_T_396, _T_392) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_398 = and(_T_397, _T_393) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc5 <= _T_398 @[el2_dec_tlu_ctl.scala 2685:57] + node _T_399 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_401 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_402 = eq(_T_401, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_403 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_404 = eq(_T_403, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_405 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_406 = eq(_T_405, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_407 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_408 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_409 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_410 = eq(_T_409, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_411 = and(_T_400, _T_402) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_412 = and(_T_411, _T_404) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_413 = and(_T_412, _T_406) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_414 = and(_T_413, _T_407) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_415 = and(_T_414, _T_408) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_416 = and(_T_415, _T_410) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc6 <= _T_416 @[el2_dec_tlu_ctl.scala 2686:57] + node _T_417 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_418 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_420 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_421 = eq(_T_420, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_422 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_423 = eq(_T_422, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_424 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_425 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_426 = and(_T_417, _T_419) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_427 = and(_T_426, _T_421) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_428 = and(_T_427, _T_423) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_429 = and(_T_428, _T_424) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_430 = and(_T_429, _T_425) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc3h <= _T_430 @[el2_dec_tlu_ctl.scala 2687:57] + node _T_431 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_432 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_433 = eq(_T_432, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_434 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_436 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_437 = eq(_T_436, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_438 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_439 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_440 = eq(_T_439, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_441 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_442 = eq(_T_441, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_443 = and(_T_431, _T_433) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_444 = and(_T_443, _T_435) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_445 = and(_T_444, _T_437) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_446 = and(_T_445, _T_438) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_447 = and(_T_446, _T_440) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_448 = and(_T_447, _T_442) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc4h <= _T_448 @[el2_dec_tlu_ctl.scala 2688:57] + node _T_449 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_450 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_452 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_454 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_455 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_456 = eq(_T_455, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_457 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_458 = and(_T_449, _T_451) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_459 = and(_T_458, _T_453) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_460 = and(_T_459, _T_454) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_461 = and(_T_460, _T_456) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_462 = and(_T_461, _T_457) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc5h <= _T_462 @[el2_dec_tlu_ctl.scala 2689:57] + node _T_463 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_464 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_465 = eq(_T_464, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_466 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_468 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_469 = eq(_T_468, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_470 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_471 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_472 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_473 = eq(_T_472, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_474 = and(_T_463, _T_465) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_475 = and(_T_474, _T_467) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_476 = and(_T_475, _T_469) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_477 = and(_T_476, _T_470) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_478 = and(_T_477, _T_471) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_479 = and(_T_478, _T_473) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpmc6h <= _T_479 @[el2_dec_tlu_ctl.scala 2690:57] + node _T_480 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_482 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_483 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_484 = eq(_T_483, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_485 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_487 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_489 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_490 = and(_T_481, _T_482) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_491 = and(_T_490, _T_484) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_492 = and(_T_491, _T_486) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_493 = and(_T_492, _T_488) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_494 = and(_T_493, _T_489) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpme3 <= _T_494 @[el2_dec_tlu_ctl.scala 2691:57] + node _T_495 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_496 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_498 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_499 = eq(_T_498, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_500 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_501 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_503 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_504 = eq(_T_503, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_505 = and(_T_495, _T_497) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_506 = and(_T_505, _T_499) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_507 = and(_T_506, _T_500) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_508 = and(_T_507, _T_502) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_509 = and(_T_508, _T_504) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpme4 <= _T_509 @[el2_dec_tlu_ctl.scala 2692:57] + node _T_510 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_511 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_512 = eq(_T_511, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_513 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_515 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_516 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_517 = eq(_T_516, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_518 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_519 = and(_T_510, _T_512) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_520 = and(_T_519, _T_514) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_521 = and(_T_520, _T_515) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_522 = and(_T_521, _T_517) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_523 = and(_T_522, _T_518) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpme5 <= _T_523 @[el2_dec_tlu_ctl.scala 2693:57] + node _T_524 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_525 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_526 = eq(_T_525, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_527 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_528 = eq(_T_527, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_529 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_530 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_531 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_532 = eq(_T_531, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_533 = and(_T_524, _T_526) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_534 = and(_T_533, _T_528) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_535 = and(_T_534, _T_529) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_536 = and(_T_535, _T_530) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_537 = and(_T_536, _T_532) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mhpme6 <= _T_537 @[el2_dec_tlu_ctl.scala 2694:57] + node _T_538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_539 = eq(_T_538, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_540 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_541 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_542 = eq(_T_541, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_544 = eq(_T_543, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_545 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_546 = eq(_T_545, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_547 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_548 = eq(_T_547, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_549 = and(_T_539, _T_540) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_550 = and(_T_549, _T_542) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_551 = and(_T_550, _T_544) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_552 = and(_T_551, _T_546) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_553 = and(_T_552, _T_548) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mcountinhibit <= _T_553 @[el2_dec_tlu_ctl.scala 2695:41] + node _T_554 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_555 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_556 = eq(_T_555, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_557 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_558 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_559 = eq(_T_558, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_560 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_561 = eq(_T_560, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_562 = and(_T_554, _T_556) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_563 = and(_T_562, _T_557) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_564 = and(_T_563, _T_559) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_565 = and(_T_564, _T_561) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mitctl0 <= _T_565 @[el2_dec_tlu_ctl.scala 2696:57] + node _T_566 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_567 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_568 = eq(_T_567, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_569 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_570 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_571 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_572 = and(_T_566, _T_568) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_573 = and(_T_572, _T_569) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_574 = and(_T_573, _T_570) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_575 = and(_T_574, _T_571) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mitctl1 <= _T_575 @[el2_dec_tlu_ctl.scala 2697:57] + node _T_576 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_577 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_578 = eq(_T_577, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_579 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_580 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_581 = eq(_T_580, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_582 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_583 = and(_T_576, _T_578) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_584 = and(_T_583, _T_579) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_585 = and(_T_584, _T_581) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_586 = and(_T_585, _T_582) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mitb0 <= _T_586 @[el2_dec_tlu_ctl.scala 2698:49] + node _T_587 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_588 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_589 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_590 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_591 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_592 = eq(_T_591, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_593 = and(_T_587, _T_588) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_594 = and(_T_593, _T_589) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_595 = and(_T_594, _T_590) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_596 = and(_T_595, _T_592) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mitb1 <= _T_596 @[el2_dec_tlu_ctl.scala 2699:49] + node _T_597 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_598 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_599 = eq(_T_598, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_600 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_601 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_602 = eq(_T_601, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_603 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_605 = and(_T_597, _T_599) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_606 = and(_T_605, _T_600) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_607 = and(_T_606, _T_602) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_608 = and(_T_607, _T_604) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mitcnt0 <= _T_608 @[el2_dec_tlu_ctl.scala 2700:57] + node _T_609 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_610 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_611 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_612 = eq(_T_611, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_613 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_614 = and(_T_609, _T_610) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_615 = and(_T_614, _T_612) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_616 = and(_T_615, _T_613) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mitcnt1 <= _T_616 @[el2_dec_tlu_ctl.scala 2701:57] + node _T_617 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_618 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_619 = eq(_T_618, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_620 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_621 = eq(_T_620, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_622 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_623 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_624 = and(_T_617, _T_619) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_625 = and(_T_624, _T_621) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_626 = and(_T_625, _T_622) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_627 = and(_T_626, _T_623) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mpmc <= _T_627 @[el2_dec_tlu_ctl.scala 2702:49] + node _T_628 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_629 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_630 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_631 = eq(_T_630, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_632 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_634 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_635 = eq(_T_634, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_636 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_637 = and(_T_628, _T_629) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_638 = and(_T_637, _T_631) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_639 = and(_T_638, _T_633) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_640 = and(_T_639, _T_635) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_641 = and(_T_640, _T_636) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mcpc <= _T_641 @[el2_dec_tlu_ctl.scala 2703:49] + node _T_642 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_643 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_644 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_645 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_646 = eq(_T_645, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_647 = and(_T_642, _T_643) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_648 = and(_T_647, _T_644) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_649 = and(_T_648, _T_646) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_meicpct <= _T_649 @[el2_dec_tlu_ctl.scala 2704:57] + node _T_650 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_651 = eq(_T_650, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_652 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_653 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_654 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_655 = eq(_T_654, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_656 = and(_T_651, _T_652) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_657 = and(_T_656, _T_653) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_658 = and(_T_657, _T_655) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mdeau <= _T_658 @[el2_dec_tlu_ctl.scala 2705:49] + node _T_659 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_660 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_661 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_662 = eq(_T_661, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_663 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_664 = eq(_T_663, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_665 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_666 = eq(_T_665, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_667 = and(_T_659, _T_660) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_668 = and(_T_667, _T_662) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_669 = and(_T_668, _T_664) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_670 = and(_T_669, _T_666) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_micect <= _T_670 @[el2_dec_tlu_ctl.scala 2706:57] + node _T_671 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_672 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_673 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_674 = eq(_T_673, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_675 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_676 = and(_T_671, _T_672) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_677 = and(_T_676, _T_674) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_678 = and(_T_677, _T_675) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_miccmect <= _T_678 @[el2_dec_tlu_ctl.scala 2707:49] + node _T_679 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_680 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_681 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_682 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_683 = eq(_T_682, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_684 = and(_T_679, _T_680) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_685 = and(_T_684, _T_681) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_686 = and(_T_685, _T_683) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mdccmect <= _T_686 @[el2_dec_tlu_ctl.scala 2708:49] + node _T_687 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_688 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_689 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_690 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_691 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_692 = eq(_T_691, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_693 = and(_T_687, _T_688) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_694 = and(_T_693, _T_689) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_695 = and(_T_694, _T_690) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_696 = and(_T_695, _T_692) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mfdht <= _T_696 @[el2_dec_tlu_ctl.scala 2709:49] + node _T_697 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_698 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_699 = eq(_T_698, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_700 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_701 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_702 = and(_T_697, _T_699) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_703 = and(_T_702, _T_700) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_704 = and(_T_703, _T_701) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_mfdhs <= _T_704 @[el2_dec_tlu_ctl.scala 2710:49] + node _T_705 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_706 = eq(_T_705, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_707 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_708 = eq(_T_707, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_709 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_710 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_711 = eq(_T_710, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_712 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_713 = eq(_T_712, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_714 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_715 = eq(_T_714, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_716 = and(_T_706, _T_708) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_717 = and(_T_716, _T_709) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_718 = and(_T_717, _T_711) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_719 = and(_T_718, _T_713) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_720 = and(_T_719, _T_715) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dicawics <= _T_720 @[el2_dec_tlu_ctl.scala 2711:49] + node _T_721 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_722 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_723 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_724 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_725 = eq(_T_724, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_726 = and(_T_721, _T_722) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_727 = and(_T_726, _T_723) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_728 = and(_T_727, _T_725) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dicad0h <= _T_728 @[el2_dec_tlu_ctl.scala 2712:57] + node _T_729 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_730 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_731 = eq(_T_730, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_732 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_733 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_734 = eq(_T_733, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_735 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_736 = and(_T_729, _T_731) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_737 = and(_T_736, _T_732) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_738 = and(_T_737, _T_734) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_739 = and(_T_738, _T_735) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dicad0 <= _T_739 @[el2_dec_tlu_ctl.scala 2713:57] + node _T_740 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_741 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_742 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_743 = eq(_T_742, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_744 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_745 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_746 = eq(_T_745, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_747 = and(_T_740, _T_741) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_748 = and(_T_747, _T_743) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_749 = and(_T_748, _T_744) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_750 = and(_T_749, _T_746) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dicad1 <= _T_750 @[el2_dec_tlu_ctl.scala 2714:57] + node _T_751 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_752 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_753 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_754 = eq(_T_753, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_755 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_756 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_757 = and(_T_751, _T_752) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_758 = and(_T_757, _T_754) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_759 = and(_T_758, _T_755) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_760 = and(_T_759, _T_756) @[el2_dec_tlu_ctl.scala 2648:192] + io.csr_pkt.csr_dicago <= _T_760 @[el2_dec_tlu_ctl.scala 2715:57] + node _T_761 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_762 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_763 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_764 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_765 = eq(_T_764, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_766 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_767 = and(_T_761, _T_762) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_768 = and(_T_767, _T_763) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_769 = and(_T_768, _T_765) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_770 = and(_T_769, _T_766) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_771 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_772 = eq(_T_771, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_773 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_774 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_775 = eq(_T_774, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_776 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_778 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_779 = eq(_T_778, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_780 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_781 = eq(_T_780, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_782 = and(_T_772, _T_773) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_783 = and(_T_782, _T_775) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_784 = and(_T_783, _T_777) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_785 = and(_T_784, _T_779) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_786 = and(_T_785, _T_781) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_787 = or(_T_770, _T_786) @[el2_dec_tlu_ctl.scala 2716:73] + node _T_788 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_789 = eq(_T_788, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_790 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_791 = eq(_T_790, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_792 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_793 = eq(_T_792, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_794 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_795 = eq(_T_794, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_796 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_797 = eq(_T_796, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_798 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_799 = and(_T_789, _T_791) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_800 = and(_T_799, _T_793) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_801 = and(_T_800, _T_795) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_802 = and(_T_801, _T_797) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_803 = and(_T_802, _T_798) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_804 = or(_T_787, _T_803) @[el2_dec_tlu_ctl.scala 2716:113] + node _T_805 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_806 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_807 = eq(_T_806, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_808 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_809 = eq(_T_808, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_810 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_811 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_812 = eq(_T_811, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_813 = and(_T_805, _T_807) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_814 = and(_T_813, _T_809) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_815 = and(_T_814, _T_810) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_816 = and(_T_815, _T_812) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_817 = or(_T_804, _T_816) @[el2_dec_tlu_ctl.scala 2716:147] + node _T_818 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_819 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_820 = eq(_T_819, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_821 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_822 = eq(_T_821, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_823 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_824 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_825 = eq(_T_824, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_826 = and(_T_818, _T_820) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_827 = and(_T_826, _T_822) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_828 = and(_T_827, _T_823) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_829 = and(_T_828, _T_825) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_830 = or(_T_817, _T_829) @[el2_dec_tlu_ctl.scala 2717:41] + node _T_831 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_832 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_833 = eq(_T_832, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_834 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_835 = eq(_T_834, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_836 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_837 = eq(_T_836, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_838 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_839 = eq(_T_838, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_840 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_841 = and(_T_831, _T_833) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_842 = and(_T_841, _T_835) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_843 = and(_T_842, _T_837) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_844 = and(_T_843, _T_839) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_845 = and(_T_844, _T_840) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_846 = or(_T_830, _T_845) @[el2_dec_tlu_ctl.scala 2717:81] + io.csr_pkt.presync <= _T_846 @[el2_dec_tlu_ctl.scala 2716:26] + node _T_847 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_848 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_849 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_850 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_851 = eq(_T_850, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_852 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_853 = and(_T_847, _T_848) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_854 = and(_T_853, _T_849) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_855 = and(_T_854, _T_851) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_856 = and(_T_855, _T_852) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_857 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_858 = eq(_T_857, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_859 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_860 = eq(_T_859, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_861 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_862 = eq(_T_861, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_863 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_864 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_865 = and(_T_858, _T_860) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_866 = and(_T_865, _T_862) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_867 = and(_T_866, _T_863) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_868 = and(_T_867, _T_864) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_869 = or(_T_856, _T_868) @[el2_dec_tlu_ctl.scala 2718:73] + node _T_870 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_871 = eq(_T_870, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_872 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_873 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_874 = eq(_T_873, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_875 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_876 = and(_T_871, _T_872) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_877 = and(_T_876, _T_874) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_878 = and(_T_877, _T_875) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_879 = or(_T_869, _T_878) @[el2_dec_tlu_ctl.scala 2718:113] + node _T_880 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_881 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_882 = eq(_T_881, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_883 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_884 = eq(_T_883, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_885 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_886 = and(_T_880, _T_882) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_887 = and(_T_886, _T_884) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_888 = and(_T_887, _T_885) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_889 = or(_T_879, _T_888) @[el2_dec_tlu_ctl.scala 2718:154] + node _T_890 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_891 = eq(_T_890, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_892 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_893 = eq(_T_892, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_894 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_895 = eq(_T_894, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_896 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_897 = eq(_T_896, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_898 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_899 = eq(_T_898, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_900 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_901 = eq(_T_900, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_902 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_903 = eq(_T_902, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_904 = and(_T_891, _T_893) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_905 = and(_T_904, _T_895) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_906 = and(_T_905, _T_897) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_907 = and(_T_906, _T_899) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_908 = and(_T_907, _T_901) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_909 = and(_T_908, _T_903) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_910 = or(_T_889, _T_909) @[el2_dec_tlu_ctl.scala 2719:41] + node _T_911 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_912 = eq(_T_911, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_913 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_914 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_915 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_916 = eq(_T_915, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_917 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_918 = eq(_T_917, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_919 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_920 = eq(_T_919, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_921 = and(_T_912, _T_913) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_922 = and(_T_921, _T_914) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_923 = and(_T_922, _T_916) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_924 = and(_T_923, _T_918) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_925 = and(_T_924, _T_920) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_926 = or(_T_910, _T_925) @[el2_dec_tlu_ctl.scala 2719:81] + node _T_927 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_928 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_929 = eq(_T_928, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_930 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_931 = eq(_T_930, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_932 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_933 = eq(_T_932, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_934 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_935 = and(_T_927, _T_929) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_936 = and(_T_935, _T_931) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_937 = and(_T_936, _T_933) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_938 = and(_T_937, _T_934) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_939 = or(_T_926, _T_938) @[el2_dec_tlu_ctl.scala 2719:114] + io.csr_pkt.postsync <= _T_939 @[el2_dec_tlu_ctl.scala 2718:24] + node _T_940 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_941 = eq(_T_940, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_942 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_943 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_944 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_945 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_946 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_947 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_948 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_949 = eq(_T_948, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_950 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_951 = eq(_T_950, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_952 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_953 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_954 = eq(_T_953, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_955 = and(_T_941, _T_942) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_956 = and(_T_955, _T_943) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_957 = and(_T_956, _T_944) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_958 = and(_T_957, _T_945) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_959 = and(_T_958, _T_946) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_960 = and(_T_959, _T_947) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_961 = and(_T_960, _T_949) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_962 = and(_T_961, _T_951) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_963 = and(_T_962, _T_952) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_964 = and(_T_963, _T_954) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_965 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_966 = eq(_T_965, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_967 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_968 = eq(_T_967, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_969 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_970 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_971 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_972 = eq(_T_971, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_973 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_974 = eq(_T_973, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_975 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_976 = eq(_T_975, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_977 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_978 = eq(_T_977, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_979 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_981 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_983 = and(_T_966, _T_968) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_984 = and(_T_983, _T_969) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_985 = and(_T_984, _T_970) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_986 = and(_T_985, _T_972) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_987 = and(_T_986, _T_974) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_988 = and(_T_987, _T_976) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_989 = and(_T_988, _T_978) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_990 = and(_T_989, _T_980) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_991 = and(_T_990, _T_982) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_992 = or(_T_964, _T_991) @[el2_dec_tlu_ctl.scala 2721:73] + node _T_993 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_994 = eq(_T_993, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_995 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_996 = eq(_T_995, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_997 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_998 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_999 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1000 = eq(_T_999, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1001 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1003 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1004 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1005 = eq(_T_1004, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1006 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1007 = eq(_T_1006, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1008 = and(_T_994, _T_996) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1009 = and(_T_1008, _T_997) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1010 = and(_T_1009, _T_998) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1011 = and(_T_1010, _T_1000) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1012 = and(_T_1011, _T_1002) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1013 = and(_T_1012, _T_1003) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1014 = and(_T_1013, _T_1005) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1015 = and(_T_1014, _T_1007) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1016 = or(_T_992, _T_1015) @[el2_dec_tlu_ctl.scala 2721:121] + node _T_1017 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1018 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1019 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1020 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1021 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1022 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1023 = eq(_T_1022, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1024 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1025 = eq(_T_1024, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1026 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1027 = eq(_T_1026, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1028 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1030 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1031 = eq(_T_1030, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1032 = and(_T_1017, _T_1018) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1033 = and(_T_1032, _T_1019) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1034 = and(_T_1033, _T_1020) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1035 = and(_T_1034, _T_1021) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1036 = and(_T_1035, _T_1023) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1037 = and(_T_1036, _T_1025) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1038 = and(_T_1037, _T_1027) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1039 = and(_T_1038, _T_1029) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1040 = and(_T_1039, _T_1031) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1041 = or(_T_1016, _T_1040) @[el2_dec_tlu_ctl.scala 2722:57] + node _T_1042 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1043 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1044 = eq(_T_1043, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1045 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1046 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1047 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1049 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1050 = eq(_T_1049, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1051 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1052 = eq(_T_1051, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1053 = and(_T_1042, _T_1044) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1054 = and(_T_1053, _T_1045) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1055 = and(_T_1054, _T_1046) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1056 = and(_T_1055, _T_1048) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1057 = and(_T_1056, _T_1050) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1058 = and(_T_1057, _T_1052) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1059 = or(_T_1041, _T_1058) @[el2_dec_tlu_ctl.scala 2722:105] + node _T_1060 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1061 = eq(_T_1060, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1062 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1063 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1064 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1065 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1066 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1067 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1068 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1069 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1070 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1071 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1072 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1073 = and(_T_1061, _T_1062) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1074 = and(_T_1073, _T_1063) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1075 = and(_T_1074, _T_1064) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1076 = and(_T_1075, _T_1065) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1077 = and(_T_1076, _T_1066) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1078 = and(_T_1077, _T_1067) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1079 = and(_T_1078, _T_1068) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1080 = and(_T_1079, _T_1069) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1081 = and(_T_1080, _T_1070) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1082 = and(_T_1081, _T_1071) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1083 = and(_T_1082, _T_1072) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1084 = or(_T_1059, _T_1083) @[el2_dec_tlu_ctl.scala 2723:65] + node _T_1085 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1086 = eq(_T_1085, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1087 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1088 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1089 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1090 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1091 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1092 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1093 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1094 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1095 = eq(_T_1094, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1096 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1097 = eq(_T_1096, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1098 = and(_T_1086, _T_1087) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1099 = and(_T_1098, _T_1088) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1100 = and(_T_1099, _T_1089) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1101 = and(_T_1100, _T_1090) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1102 = and(_T_1101, _T_1091) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1103 = and(_T_1102, _T_1092) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1104 = and(_T_1103, _T_1093) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1105 = and(_T_1104, _T_1095) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1106 = and(_T_1105, _T_1097) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1107 = or(_T_1084, _T_1106) @[el2_dec_tlu_ctl.scala 2723:113] + node _T_1108 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1109 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1110 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1111 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1112 = eq(_T_1111, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1113 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1115 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1116 = eq(_T_1115, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1117 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1118 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1119 = eq(_T_1118, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1120 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1121 = eq(_T_1120, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1122 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1123 = and(_T_1108, _T_1109) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1124 = and(_T_1123, _T_1110) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1125 = and(_T_1124, _T_1112) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1126 = and(_T_1125, _T_1114) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1127 = and(_T_1126, _T_1116) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1128 = and(_T_1127, _T_1117) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1129 = and(_T_1128, _T_1119) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1130 = and(_T_1129, _T_1121) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1131 = and(_T_1130, _T_1122) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1132 = or(_T_1107, _T_1131) @[el2_dec_tlu_ctl.scala 2724:57] + node _T_1133 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1134 = eq(_T_1133, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1135 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1136 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1137 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1138 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1139 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1140 = eq(_T_1139, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1141 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1142 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1143 = eq(_T_1142, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1144 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1145 = eq(_T_1144, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1146 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1148 = and(_T_1134, _T_1135) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1149 = and(_T_1148, _T_1136) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1150 = and(_T_1149, _T_1137) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1151 = and(_T_1150, _T_1138) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1152 = and(_T_1151, _T_1140) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1153 = and(_T_1152, _T_1141) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1154 = and(_T_1153, _T_1143) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1155 = and(_T_1154, _T_1145) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1156 = and(_T_1155, _T_1147) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1157 = or(_T_1132, _T_1156) @[el2_dec_tlu_ctl.scala 2724:113] + node _T_1158 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1159 = eq(_T_1158, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1160 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1161 = eq(_T_1160, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1162 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1163 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1164 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1165 = eq(_T_1164, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1166 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1167 = eq(_T_1166, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1168 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1169 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1170 = and(_T_1159, _T_1161) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1171 = and(_T_1170, _T_1162) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1172 = and(_T_1171, _T_1163) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1173 = and(_T_1172, _T_1165) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1174 = and(_T_1173, _T_1167) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1175 = and(_T_1174, _T_1168) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1176 = and(_T_1175, _T_1169) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1177 = or(_T_1157, _T_1176) @[el2_dec_tlu_ctl.scala 2725:57] + node _T_1178 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1179 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1180 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1181 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1182 = eq(_T_1181, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1183 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1184 = eq(_T_1183, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1185 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1186 = eq(_T_1185, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1187 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1188 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1189 = eq(_T_1188, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1190 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1191 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1192 = eq(_T_1191, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1193 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1194 = eq(_T_1193, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1195 = and(_T_1178, _T_1179) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1196 = and(_T_1195, _T_1180) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1197 = and(_T_1196, _T_1182) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1198 = and(_T_1197, _T_1184) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1199 = and(_T_1198, _T_1186) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1200 = and(_T_1199, _T_1187) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1201 = and(_T_1200, _T_1189) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1202 = and(_T_1201, _T_1190) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1203 = and(_T_1202, _T_1192) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1204 = and(_T_1203, _T_1194) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1205 = or(_T_1177, _T_1204) @[el2_dec_tlu_ctl.scala 2725:113] + node _T_1206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1207 = eq(_T_1206, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1208 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1209 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1210 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1211 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1212 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1213 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1214 = eq(_T_1213, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1215 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1216 = eq(_T_1215, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1217 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1218 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1219 = and(_T_1207, _T_1208) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1220 = and(_T_1219, _T_1209) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1221 = and(_T_1220, _T_1210) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1222 = and(_T_1221, _T_1211) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1223 = and(_T_1222, _T_1212) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1224 = and(_T_1223, _T_1214) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1225 = and(_T_1224, _T_1216) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1226 = and(_T_1225, _T_1217) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1227 = and(_T_1226, _T_1218) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1228 = or(_T_1205, _T_1227) @[el2_dec_tlu_ctl.scala 2726:57] + node _T_1229 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1230 = eq(_T_1229, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1231 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1232 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1233 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1234 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1235 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1236 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1238 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1239 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1241 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1242 = and(_T_1230, _T_1231) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1243 = and(_T_1242, _T_1232) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1244 = and(_T_1243, _T_1233) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1245 = and(_T_1244, _T_1234) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1246 = and(_T_1245, _T_1235) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1247 = and(_T_1246, _T_1237) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1248 = and(_T_1247, _T_1238) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1249 = and(_T_1248, _T_1240) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1250 = and(_T_1249, _T_1241) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1251 = or(_T_1228, _T_1250) @[el2_dec_tlu_ctl.scala 2726:113] + node _T_1252 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1253 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1254 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1255 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1256 = eq(_T_1255, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1257 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1258 = eq(_T_1257, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1259 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1260 = eq(_T_1259, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1261 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1262 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1263 = eq(_T_1262, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1264 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1265 = eq(_T_1264, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1266 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1267 = and(_T_1252, _T_1253) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1268 = and(_T_1267, _T_1254) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1269 = and(_T_1268, _T_1256) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1270 = and(_T_1269, _T_1258) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1271 = and(_T_1270, _T_1260) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1272 = and(_T_1271, _T_1261) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1273 = and(_T_1272, _T_1263) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1274 = and(_T_1273, _T_1265) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1275 = and(_T_1274, _T_1266) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1276 = or(_T_1251, _T_1275) @[el2_dec_tlu_ctl.scala 2727:57] + node _T_1277 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1278 = eq(_T_1277, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1279 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1280 = eq(_T_1279, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1281 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1282 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1283 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1284 = eq(_T_1283, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1285 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1286 = eq(_T_1285, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1287 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1288 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1289 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1290 = and(_T_1278, _T_1280) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1291 = and(_T_1290, _T_1281) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1292 = and(_T_1291, _T_1282) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1293 = and(_T_1292, _T_1284) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1294 = and(_T_1293, _T_1286) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1295 = and(_T_1294, _T_1287) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1296 = and(_T_1295, _T_1288) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1297 = and(_T_1296, _T_1289) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1298 = or(_T_1276, _T_1297) @[el2_dec_tlu_ctl.scala 2727:113] + node _T_1299 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1300 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1301 = eq(_T_1300, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1302 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1303 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1304 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1305 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1306 = eq(_T_1305, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1307 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1309 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1310 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1312 = and(_T_1299, _T_1301) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1313 = and(_T_1312, _T_1302) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1314 = and(_T_1313, _T_1303) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1315 = and(_T_1314, _T_1304) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1316 = and(_T_1315, _T_1306) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1317 = and(_T_1316, _T_1308) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1318 = and(_T_1317, _T_1309) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1319 = and(_T_1318, _T_1311) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1320 = or(_T_1298, _T_1319) @[el2_dec_tlu_ctl.scala 2728:65] + node _T_1321 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1324 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1325 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1326 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1327 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1328 = eq(_T_1327, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1329 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1331 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1332 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1334 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1336 = and(_T_1321, _T_1323) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1337 = and(_T_1336, _T_1324) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1338 = and(_T_1337, _T_1325) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1339 = and(_T_1338, _T_1326) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1340 = and(_T_1339, _T_1328) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1341 = and(_T_1340, _T_1330) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1342 = and(_T_1341, _T_1331) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1343 = and(_T_1342, _T_1333) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1344 = and(_T_1343, _T_1335) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1345 = or(_T_1320, _T_1344) @[el2_dec_tlu_ctl.scala 2728:121] + node _T_1346 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1347 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1348 = eq(_T_1347, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1349 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1350 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1351 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1352 = eq(_T_1351, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1353 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1354 = eq(_T_1353, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1355 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1356 = and(_T_1346, _T_1348) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1357 = and(_T_1356, _T_1349) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1358 = and(_T_1357, _T_1350) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1359 = and(_T_1358, _T_1352) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1360 = and(_T_1359, _T_1354) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1361 = and(_T_1360, _T_1355) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1362 = or(_T_1345, _T_1361) @[el2_dec_tlu_ctl.scala 2729:57] + node _T_1363 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1364 = eq(_T_1363, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1365 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1366 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1367 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1368 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1369 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1370 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1371 = eq(_T_1370, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1372 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1373 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1374 = eq(_T_1373, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1375 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1376 = and(_T_1364, _T_1365) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1377 = and(_T_1376, _T_1366) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1378 = and(_T_1377, _T_1367) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1379 = and(_T_1378, _T_1368) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1380 = and(_T_1379, _T_1369) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1381 = and(_T_1380, _T_1371) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1382 = and(_T_1381, _T_1372) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1383 = and(_T_1382, _T_1374) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1384 = and(_T_1383, _T_1375) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1385 = or(_T_1362, _T_1384) @[el2_dec_tlu_ctl.scala 2729:113] + node _T_1386 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1387 = eq(_T_1386, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1388 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1389 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1390 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1391 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1392 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1393 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1394 = eq(_T_1393, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1395 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1396 = eq(_T_1395, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1397 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1398 = eq(_T_1397, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1399 = and(_T_1387, _T_1388) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1400 = and(_T_1399, _T_1389) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1401 = and(_T_1400, _T_1390) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1402 = and(_T_1401, _T_1391) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1403 = and(_T_1402, _T_1392) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1404 = and(_T_1403, _T_1394) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1405 = and(_T_1404, _T_1396) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1406 = and(_T_1405, _T_1398) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1407 = or(_T_1385, _T_1406) @[el2_dec_tlu_ctl.scala 2730:57] + node _T_1408 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1409 = eq(_T_1408, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1410 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1411 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1412 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1413 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1414 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1415 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1416 = eq(_T_1415, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1417 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1418 = eq(_T_1417, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1419 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1420 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1421 = eq(_T_1420, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1422 = and(_T_1409, _T_1410) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1423 = and(_T_1422, _T_1411) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1424 = and(_T_1423, _T_1412) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1425 = and(_T_1424, _T_1413) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1426 = and(_T_1425, _T_1414) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1427 = and(_T_1426, _T_1416) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1428 = and(_T_1427, _T_1418) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1429 = and(_T_1428, _T_1419) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1430 = and(_T_1429, _T_1421) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1431 = or(_T_1407, _T_1430) @[el2_dec_tlu_ctl.scala 2730:113] + node _T_1432 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1433 = eq(_T_1432, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1434 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1435 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1436 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1437 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1438 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1439 = eq(_T_1438, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1440 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1441 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1442 = eq(_T_1441, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1443 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1444 = eq(_T_1443, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1445 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1446 = eq(_T_1445, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1447 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1448 = eq(_T_1447, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1449 = and(_T_1433, _T_1434) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1450 = and(_T_1449, _T_1435) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1451 = and(_T_1450, _T_1436) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1452 = and(_T_1451, _T_1437) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1453 = and(_T_1452, _T_1439) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1454 = and(_T_1453, _T_1440) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1455 = and(_T_1454, _T_1442) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1456 = and(_T_1455, _T_1444) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1457 = and(_T_1456, _T_1446) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1458 = and(_T_1457, _T_1448) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1459 = or(_T_1431, _T_1458) @[el2_dec_tlu_ctl.scala 2731:57] + node _T_1460 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1461 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1462 = eq(_T_1461, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1463 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1464 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1465 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1467 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1468 = eq(_T_1467, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1469 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1470 = and(_T_1460, _T_1462) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1471 = and(_T_1470, _T_1463) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1472 = and(_T_1471, _T_1464) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1473 = and(_T_1472, _T_1466) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1474 = and(_T_1473, _T_1468) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1475 = and(_T_1474, _T_1469) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1476 = or(_T_1459, _T_1475) @[el2_dec_tlu_ctl.scala 2731:105] + node _T_1477 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1478 = eq(_T_1477, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1479 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1480 = eq(_T_1479, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1481 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1482 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1483 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1484 = eq(_T_1483, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1485 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1486 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1487 = eq(_T_1486, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1488 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1489 = eq(_T_1488, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1490 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1491 = eq(_T_1490, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1492 = bits(io.dec_csr_rdaddr_d, 2, 2) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1494 = and(_T_1478, _T_1480) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1495 = and(_T_1494, _T_1481) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1496 = and(_T_1495, _T_1482) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1497 = and(_T_1496, _T_1484) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1498 = and(_T_1497, _T_1485) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1499 = and(_T_1498, _T_1487) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1500 = and(_T_1499, _T_1489) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1501 = and(_T_1500, _T_1491) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1502 = and(_T_1501, _T_1493) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1503 = or(_T_1476, _T_1502) @[el2_dec_tlu_ctl.scala 2732:65] + node _T_1504 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1505 = eq(_T_1504, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1506 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1507 = eq(_T_1506, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1508 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1509 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1510 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1511 = eq(_T_1510, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1512 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1513 = eq(_T_1512, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1514 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1515 = eq(_T_1514, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1516 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1517 = eq(_T_1516, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1518 = bits(io.dec_csr_rdaddr_d, 1, 1) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1519 = eq(_T_1518, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1520 = bits(io.dec_csr_rdaddr_d, 0, 0) @[el2_dec_tlu_ctl.scala 2648:179] + node _T_1521 = eq(_T_1520, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:159] + node _T_1522 = and(_T_1505, _T_1507) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1523 = and(_T_1522, _T_1508) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1524 = and(_T_1523, _T_1509) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1525 = and(_T_1524, _T_1511) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1526 = and(_T_1525, _T_1513) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1527 = and(_T_1526, _T_1515) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1528 = and(_T_1527, _T_1517) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1529 = and(_T_1528, _T_1519) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1530 = and(_T_1529, _T_1521) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1531 = or(_T_1503, _T_1530) @[el2_dec_tlu_ctl.scala 2732:113] + node _T_1532 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1533 = eq(_T_1532, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1534 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1536 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1537 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1539 = eq(_T_1538, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1540 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1541 = eq(_T_1540, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1542 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1544 = and(_T_1533, _T_1535) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1545 = and(_T_1544, _T_1536) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1546 = and(_T_1545, _T_1537) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1547 = and(_T_1546, _T_1539) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1548 = and(_T_1547, _T_1541) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1549 = and(_T_1548, _T_1542) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1550 = and(_T_1549, _T_1543) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1551 = or(_T_1531, _T_1550) @[el2_dec_tlu_ctl.scala 2733:57] + node _T_1552 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1553 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1554 = eq(_T_1553, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1555 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1556 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1557 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1558 = eq(_T_1557, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1559 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1560 = eq(_T_1559, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1561 = bits(io.dec_csr_rdaddr_d, 3, 3) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1562 = and(_T_1552, _T_1554) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1563 = and(_T_1562, _T_1555) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1564 = and(_T_1563, _T_1556) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1565 = and(_T_1564, _T_1558) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1566 = and(_T_1565, _T_1560) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1567 = and(_T_1566, _T_1561) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1568 = or(_T_1551, _T_1567) @[el2_dec_tlu_ctl.scala 2733:113] + node _T_1569 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1571 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1572 = eq(_T_1571, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1573 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1574 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1575 = bits(io.dec_csr_rdaddr_d, 7, 7) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1576 = eq(_T_1575, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1577 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1578 = eq(_T_1577, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1579 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1580 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1581 = and(_T_1570, _T_1572) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1582 = and(_T_1581, _T_1573) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1583 = and(_T_1582, _T_1574) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1584 = and(_T_1583, _T_1576) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1585 = and(_T_1584, _T_1578) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1586 = and(_T_1585, _T_1579) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1587 = and(_T_1586, _T_1580) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1588 = or(_T_1568, _T_1587) @[el2_dec_tlu_ctl.scala 2734:65] + node _T_1589 = bits(io.dec_csr_rdaddr_d, 11, 11) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1590 = bits(io.dec_csr_rdaddr_d, 10, 10) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1591 = eq(_T_1590, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1592 = bits(io.dec_csr_rdaddr_d, 9, 9) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1593 = bits(io.dec_csr_rdaddr_d, 8, 8) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1594 = bits(io.dec_csr_rdaddr_d, 6, 6) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1595 = eq(_T_1594, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1596 = bits(io.dec_csr_rdaddr_d, 5, 5) @[el2_dec_tlu_ctl.scala 2648:143] + node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 2648:123] + node _T_1598 = bits(io.dec_csr_rdaddr_d, 4, 4) @[el2_dec_tlu_ctl.scala 2648:100] + node _T_1599 = and(_T_1589, _T_1591) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1600 = and(_T_1599, _T_1592) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1601 = and(_T_1600, _T_1593) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1602 = and(_T_1601, _T_1595) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1603 = and(_T_1602, _T_1597) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1604 = and(_T_1603, _T_1598) @[el2_dec_tlu_ctl.scala 2648:192] + node _T_1605 = or(_T_1588, _T_1604) @[el2_dec_tlu_ctl.scala 2734:121] + io.csr_pkt.legal <= _T_1605 @[el2_dec_tlu_ctl.scala 2721:20] + + module el2_dec_tlu_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip active_clk : Clock, flip free_clk : Clock, flip scan_mode : UInt<1>, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, flip lsu_fastint_stall_any : UInt<1>, flip ifu_pmu_instr_aligned : UInt<1>, flip ifu_pmu_fetch_stall : UInt<1>, flip ifu_pmu_ic_miss : UInt<1>, flip ifu_pmu_ic_hit : UInt<1>, flip ifu_pmu_bus_error : UInt<1>, flip ifu_pmu_bus_busy : UInt<1>, flip ifu_pmu_bus_trxn : UInt<1>, flip dec_pmu_instr_decoded : UInt<1>, flip dec_pmu_decode_stall : UInt<1>, flip dec_pmu_presync_stall : UInt<1>, flip dec_pmu_postsync_stall : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>, flip exu_pmu_i0_br_misp : UInt<1>, flip exu_pmu_i0_br_ataken : UInt<1>, flip exu_pmu_i0_pc4 : UInt<1>, flip lsu_pmu_bus_trxn : UInt<1>, flip lsu_pmu_bus_misaligned : UInt<1>, flip lsu_pmu_bus_error : UInt<1>, flip lsu_pmu_bus_busy : UInt<1>, flip lsu_pmu_load_external_m : UInt<1>, flip lsu_pmu_store_external_m : UInt<1>, flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, flip lsu_fir_addr : UInt<31>, flip lsu_fir_error : UInt<2>, flip iccm_dma_sb_error : UInt<1>, flip lsu_error_pkt_r : {exc_valid : UInt<1>, single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<1>, addr : UInt<1>}, flip lsu_single_ecc_error_incr : UInt<1>, flip dec_pause_state : UInt<1>, flip lsu_imprecise_error_store_any : UInt<1>, flip lsu_imprecise_error_load_any : UInt<1>, flip lsu_imprecise_error_addr_any : UInt<32>, flip dec_csr_wen_unq_d : UInt<1>, flip dec_csr_any_unq_d : UInt<1>, flip dec_csr_rdaddr_d : UInt<12>, flip dec_csr_wen_r : UInt<1>, flip dec_csr_wraddr_r : UInt<12>, flip dec_csr_wrdata_r : UInt<32>, flip dec_csr_stall_int_ff : UInt<1>, flip dec_tlu_i0_valid_r : UInt<1>, flip exu_npc_r : UInt<31>, flip dec_tlu_i0_pc_r : UInt<31>, flip dec_tlu_packet_r : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, flip dec_illegal_inst : UInt<32>, flip dec_i0_decode_d : UInt<1>, flip exu_i0_br_hist_r : UInt<2>, flip exu_i0_br_error_r : UInt<1>, flip exu_i0_br_start_error_r : UInt<1>, flip exu_i0_br_valid_r : UInt<1>, flip exu_i0_br_mp_r : UInt<1>, flip exu_i0_br_middle_r : UInt<1>, flip exu_i0_br_way_r : UInt<1>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_debug_stall : UInt<1>, dec_tlu_flush_noredir_r : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_tlu_flush_leak_one_r : UInt<1>, dec_tlu_flush_err_r : UInt<1>, dec_tlu_flush_extint : UInt<1>, dec_tlu_meihap : UInt<30>, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, flip ifu_miss_state_idle : UInt<1>, flip lsu_idle_any : UInt<1>, flip dec_div_active : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_ : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip ifu_ic_error_start : UInt<1>, flip ifu_iccm_rd_ecc_single_err : UInt<1>, flip ifu_ic_debug_rd_data : UInt<71>, flip ifu_ic_debug_rd_data_valid : UInt<1>, dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, flip mexintpend : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, dec_csr_rddata_d : UInt<32>, dec_csr_legal_d : UInt<1>, dec_tlu_br0_r_pkt : {valid : UInt<1>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}, dec_tlu_i0_kill_writeb_wb : UInt<1>, dec_tlu_flush_lower_wb : UInt<1>, dec_tlu_i0_commit_cmt : UInt<1>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_flush_lower_r : UInt<1>, dec_tlu_flush_path_r : UInt<31>, dec_tlu_fence_i_r : UInt<1>, dec_tlu_wr_pause_r : UInt<1>, dec_tlu_flush_pause_r : UInt<1>, dec_tlu_presync_d : UInt<1>, dec_tlu_postsync_d : UInt<1>, dec_tlu_mrac_ff : UInt<32>, dec_tlu_force_halt : UInt<1>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_tlu_i0_exc_valid_wb1 : UInt<1>, dec_tlu_i0_valid_wb1 : UInt<1>, dec_tlu_int_valid_wb1 : UInt<1>, dec_tlu_exc_cause_wb1 : UInt<5>, dec_tlu_mtval_wb1 : UInt<32>, dec_tlu_external_ldfwd_disable : UInt<1>, dec_tlu_sideeffect_posted_disable : UInt<1>, dec_tlu_core_ecc_disable : UInt<1>, dec_tlu_bpred_disable : UInt<1>, dec_tlu_wb_coalescing_disable : UInt<1>, dec_tlu_pipelining_disable : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_dec_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>} + + wire mtdata1_t : UInt<10>[4] @[el2_dec_tlu_ctl.scala 236:59] + wire pause_expired_wb : UInt<1> @[el2_dec_tlu_ctl.scala 237:54] + wire take_nmi_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 238:62] + wire exc_or_int_valid_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 239:54] + wire interrupt_valid_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 240:54] + wire tlu_flush_lower_r : UInt<1> @[el2_dec_tlu_ctl.scala 241:54] + wire synchronous_flush_r : UInt<1> @[el2_dec_tlu_ctl.scala 242:62] + wire interrupt_valid_r : UInt<1> @[el2_dec_tlu_ctl.scala 243:54] + wire take_nmi : UInt<1> @[el2_dec_tlu_ctl.scala 244:62] + wire take_reset : UInt<1> @[el2_dec_tlu_ctl.scala 245:70] + wire take_int_timer1_int : UInt<1> @[el2_dec_tlu_ctl.scala 246:62] + wire take_int_timer0_int : UInt<1> @[el2_dec_tlu_ctl.scala 247:62] + wire take_timer_int : UInt<1> @[el2_dec_tlu_ctl.scala 248:62] + wire take_soft_int : UInt<1> @[el2_dec_tlu_ctl.scala 249:62] + wire take_ce_int : UInt<1> @[el2_dec_tlu_ctl.scala 250:70] + wire take_ext_int_start : UInt<1> @[el2_dec_tlu_ctl.scala 251:62] + wire ext_int_freeze : UInt<1> @[el2_dec_tlu_ctl.scala 252:62] + wire ext_int_freeze_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 253:62] + wire take_ext_int_start_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 254:54] + wire take_ext_int_start_d2 : UInt<1> @[el2_dec_tlu_ctl.scala 255:54] + wire take_ext_int_start_d3 : UInt<1> @[el2_dec_tlu_ctl.scala 256:54] + wire fast_int_meicpct : UInt<1> @[el2_dec_tlu_ctl.scala 257:54] + wire ignore_ext_int_due_to_lsu_stall : UInt<1> @[el2_dec_tlu_ctl.scala 258:44] + wire take_ext_int : UInt<1> @[el2_dec_tlu_ctl.scala 259:62] + wire internal_dbg_halt_timers : UInt<1> @[el2_dec_tlu_ctl.scala 260:46] + wire int_timer1_int_hold : UInt<1> @[el2_dec_tlu_ctl.scala 261:62] + wire int_timer0_int_hold : UInt<1> @[el2_dec_tlu_ctl.scala 262:62] + wire mhwakeup_ready : UInt<1> @[el2_dec_tlu_ctl.scala 263:62] + wire ext_int_ready : UInt<1> @[el2_dec_tlu_ctl.scala 264:54] + wire ce_int_ready : UInt<1> @[el2_dec_tlu_ctl.scala 265:54] + wire soft_int_ready : UInt<1> @[el2_dec_tlu_ctl.scala 266:54] + wire timer_int_ready : UInt<1> @[el2_dec_tlu_ctl.scala 267:54] + wire ebreak_to_debug_mode_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 268:54] + wire ebreak_to_debug_mode_r : UInt<1> @[el2_dec_tlu_ctl.scala 269:54] + wire inst_acc_r : UInt<1> @[el2_dec_tlu_ctl.scala 270:70] + wire inst_acc_r_raw : UInt<1> @[el2_dec_tlu_ctl.scala 271:62] + wire iccm_sbecc_r : UInt<1> @[el2_dec_tlu_ctl.scala 272:62] + wire ic_perr_r : UInt<1> @[el2_dec_tlu_ctl.scala 273:62] + wire fence_i_r : UInt<1> @[el2_dec_tlu_ctl.scala 274:62] + wire ebreak_r : UInt<1> @[el2_dec_tlu_ctl.scala 275:62] + wire ecall_r : UInt<1> @[el2_dec_tlu_ctl.scala 276:62] + wire illegal_r : UInt<1> @[el2_dec_tlu_ctl.scala 277:62] + wire mret_r : UInt<1> @[el2_dec_tlu_ctl.scala 278:62] + wire iccm_repair_state_ns : UInt<1> @[el2_dec_tlu_ctl.scala 279:54] + wire rfpc_i0_r : UInt<1> @[el2_dec_tlu_ctl.scala 280:70] + wire tlu_i0_kill_writeb_r : UInt<1> @[el2_dec_tlu_ctl.scala 281:54] + wire lsu_exc_valid_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 282:62] + wire lsu_i0_exc_r_raw : UInt<1> @[el2_dec_tlu_ctl.scala 283:54] + wire mdseac_locked_f : UInt<1> @[el2_dec_tlu_ctl.scala 284:62] + wire i_cpu_run_req_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 285:54] + wire cpu_run_ack : UInt<1> @[el2_dec_tlu_ctl.scala 286:70] + wire cpu_halt_status : UInt<1> @[el2_dec_tlu_ctl.scala 287:62] + wire cpu_halt_ack : UInt<1> @[el2_dec_tlu_ctl.scala 288:62] + wire pmu_fw_tlu_halted : UInt<1> @[el2_dec_tlu_ctl.scala 289:54] + wire internal_pmu_fw_halt_mode : UInt<1> @[el2_dec_tlu_ctl.scala 290:46] + wire pmu_fw_halt_req_ns : UInt<1> @[el2_dec_tlu_ctl.scala 291:62] + wire pmu_fw_halt_req_f : UInt<1> @[el2_dec_tlu_ctl.scala 292:62] + wire pmu_fw_tlu_halted_f : UInt<1> @[el2_dec_tlu_ctl.scala 293:54] + wire int_timer0_int_hold_f : UInt<1> @[el2_dec_tlu_ctl.scala 294:54] + wire int_timer1_int_hold_f : UInt<1> @[el2_dec_tlu_ctl.scala 295:54] + wire trigger_hit_dmode_r : UInt<1> @[el2_dec_tlu_ctl.scala 296:54] + wire i0_trigger_hit_r : UInt<1> @[el2_dec_tlu_ctl.scala 297:54] + wire pause_expired_r : UInt<1> @[el2_dec_tlu_ctl.scala 298:62] + wire dec_tlu_pmu_fw_halted : UInt<1> @[el2_dec_tlu_ctl.scala 299:54] + wire dec_tlu_flush_noredir_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 300:54] + wire halt_taken_f : UInt<1> @[el2_dec_tlu_ctl.scala 301:62] + wire lsu_idle_any_f : UInt<1> @[el2_dec_tlu_ctl.scala 302:62] + wire ifu_miss_state_idle_f : UInt<1> @[el2_dec_tlu_ctl.scala 303:54] + wire dbg_tlu_halted_f : UInt<1> @[el2_dec_tlu_ctl.scala 304:54] + wire debug_halt_req_f : UInt<1> @[el2_dec_tlu_ctl.scala 305:54] + wire debug_resume_req_f : UInt<1> @[el2_dec_tlu_ctl.scala 306:62] + wire trigger_hit_dmode_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 307:54] + wire dcsr_single_step_done_f : UInt<1> @[el2_dec_tlu_ctl.scala 308:54] + wire debug_halt_req_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 309:54] + wire request_debug_mode_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 310:54] + wire request_debug_mode_done_f : UInt<1> @[el2_dec_tlu_ctl.scala 311:46] + wire dcsr_single_step_running_f : UInt<1> @[el2_dec_tlu_ctl.scala 312:54] + wire dec_tlu_flush_pause_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 313:46] + wire dbg_halt_req_held : UInt<1> @[el2_dec_tlu_ctl.scala 314:54] + wire debug_halt_req_ns : UInt<1> @[el2_dec_tlu_ctl.scala 315:62] + wire internal_dbg_halt_mode : UInt<1> @[el2_dec_tlu_ctl.scala 316:54] + wire core_empty : UInt<1> @[el2_dec_tlu_ctl.scala 317:70] + wire dbg_halt_req_final : UInt<1> @[el2_dec_tlu_ctl.scala 318:62] + wire debug_brkpt_status_ns : UInt<1> @[el2_dec_tlu_ctl.scala 319:54] + wire mpc_debug_halt_ack_ns : UInt<1> @[el2_dec_tlu_ctl.scala 320:54] + wire mpc_debug_run_ack_ns : UInt<1> @[el2_dec_tlu_ctl.scala 321:54] + wire mpc_halt_state_ns : UInt<1> @[el2_dec_tlu_ctl.scala 322:62] + wire mpc_run_state_ns : UInt<1> @[el2_dec_tlu_ctl.scala 323:54] + wire dbg_halt_state_ns : UInt<1> @[el2_dec_tlu_ctl.scala 324:62] + wire dbg_run_state_ns : UInt<1> @[el2_dec_tlu_ctl.scala 325:54] + wire dbg_halt_state_f : UInt<1> @[el2_dec_tlu_ctl.scala 326:54] + wire mpc_halt_state_f : UInt<1> @[el2_dec_tlu_ctl.scala 327:54] + wire nmi_int_detected : UInt<1> @[el2_dec_tlu_ctl.scala 328:54] + wire nmi_lsu_load_type : UInt<1> @[el2_dec_tlu_ctl.scala 329:62] + wire nmi_lsu_store_type : UInt<1> @[el2_dec_tlu_ctl.scala 330:62] + wire reset_delayed : UInt<1> @[el2_dec_tlu_ctl.scala 331:62] + wire debug_mode_status : UInt<1> @[el2_dec_tlu_ctl.scala 332:46] + wire e5_valid : UInt<1> @[el2_dec_tlu_ctl.scala 333:62] + wire ic_perr_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 334:62] + wire iccm_sbecc_r_d1 : UInt<1> @[el2_dec_tlu_ctl.scala 335:62] + wire npc_r : UInt<31> @[el2_dec_tlu_ctl.scala 337:41] + wire npc_r_d1 : UInt<31> @[el2_dec_tlu_ctl.scala 338:41] + wire mie_ns : UInt<6> @[el2_dec_tlu_ctl.scala 339:41] + wire mepc : UInt<31> @[el2_dec_tlu_ctl.scala 340:41] + wire mdseac_locked_ns : UInt<1> @[el2_dec_tlu_ctl.scala 341:41] + wire force_halt : UInt<1> @[el2_dec_tlu_ctl.scala 342:57] + wire dpc : UInt<31> @[el2_dec_tlu_ctl.scala 343:41] + wire mstatus_mie_ns : UInt<1> @[el2_dec_tlu_ctl.scala 344:41] + wire dec_csr_wen_r_mod : UInt<1> @[el2_dec_tlu_ctl.scala 345:41] + wire fw_halt_req : UInt<1> @[el2_dec_tlu_ctl.scala 346:41] + wire mstatus : UInt<2> @[el2_dec_tlu_ctl.scala 347:41] + wire dcsr : UInt<16> @[el2_dec_tlu_ctl.scala 348:41] + wire mtvec : UInt<31> @[el2_dec_tlu_ctl.scala 349:41] + wire mip : UInt<6> @[el2_dec_tlu_ctl.scala 350:41] + wire csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>} @[el2_dec_tlu_ctl.scala 351:41] + wire dec_tlu_mpc_halted_only_ns : UInt<1> @[el2_dec_tlu_ctl.scala 352:41] + node _T = not(dbg_halt_state_f) @[el2_dec_tlu_ctl.scala 354:33] + node _T_1 = and(_T, mpc_halt_state_f) @[el2_dec_tlu_ctl.scala 354:51] + dec_tlu_mpc_halted_only_ns <= _T_1 @[el2_dec_tlu_ctl.scala 354:30] + inst int_timers of el2_dec_timer_ctl @[el2_dec_tlu_ctl.scala 355:24] + int_timers.clock <= clock + int_timers.reset <= reset + int_timers.io.free_clk <= io.free_clk @[el2_dec_tlu_ctl.scala 356:57] + int_timers.io.scan_mode <= io.scan_mode @[el2_dec_tlu_ctl.scala 357:57] + int_timers.io.dec_csr_wen_r_mod <= dec_csr_wen_r_mod @[el2_dec_tlu_ctl.scala 358:49] + int_timers.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[el2_dec_tlu_ctl.scala 359:49] + int_timers.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[el2_dec_tlu_ctl.scala 360:49] + int_timers.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[el2_dec_tlu_ctl.scala 361:49] + int_timers.io.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[el2_dec_tlu_ctl.scala 362:49] + int_timers.io.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[el2_dec_tlu_ctl.scala 363:49] + int_timers.io.csr_mitb0 <= csr_pkt.csr_mitb0 @[el2_dec_tlu_ctl.scala 364:57] + int_timers.io.csr_mitb1 <= csr_pkt.csr_mitb1 @[el2_dec_tlu_ctl.scala 365:57] + int_timers.io.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[el2_dec_tlu_ctl.scala 366:49] + int_timers.io.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[el2_dec_tlu_ctl.scala 367:49] + int_timers.io.dec_pause_state <= io.dec_pause_state @[el2_dec_tlu_ctl.scala 368:41] + int_timers.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[el2_dec_tlu_ctl.scala 369:41] + int_timers.io.internal_dbg_halt_timers <= internal_dbg_halt_timers @[el2_dec_tlu_ctl.scala 370:41] + node _T_2 = cat(io.i_cpu_run_req, io.mpc_debug_halt_req) @[Cat.scala 29:58] + node _T_3 = cat(_T_2, io.mpc_debug_run_req) @[Cat.scala 29:58] + node _T_4 = cat(io.soft_int, io.i_cpu_halt_req) @[Cat.scala 29:58] + node _T_5 = cat(io.nmi_int, io.timer_int) @[Cat.scala 29:58] + node _T_6 = cat(_T_5, _T_4) @[Cat.scala 29:58] + node _T_7 = cat(_T_6, _T_3) @[Cat.scala 29:58] + reg _T_8 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 174:81] + _T_8 <= _T_7 @[el2_lib.scala 174:81] + reg syncro_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 174:58] + syncro_ff <= _T_8 @[el2_lib.scala 174:58] + node nmi_int_sync = bits(syncro_ff, 6, 6) @[el2_dec_tlu_ctl.scala 382:67] + node timer_int_sync = bits(syncro_ff, 5, 5) @[el2_dec_tlu_ctl.scala 383:59] + node soft_int_sync = bits(syncro_ff, 4, 4) @[el2_dec_tlu_ctl.scala 384:59] + node i_cpu_halt_req_sync = bits(syncro_ff, 3, 3) @[el2_dec_tlu_ctl.scala 385:59] + node i_cpu_run_req_sync = bits(syncro_ff, 2, 2) @[el2_dec_tlu_ctl.scala 386:59] + node mpc_debug_halt_req_sync_raw = bits(syncro_ff, 1, 1) @[el2_dec_tlu_ctl.scala 387:51] + node mpc_debug_run_req_sync = bits(syncro_ff, 0, 0) @[el2_dec_tlu_ctl.scala 388:51] + node _T_9 = or(dec_csr_wen_r_mod, io.dec_tlu_dec_clk_override) @[el2_dec_tlu_ctl.scala 391:52] + node _T_10 = bits(_T_9, 0, 0) @[el2_dec_tlu_ctl.scala 391:68] + inst rvclkhdr of rvclkhdr_4 @[el2_lib.scala 474:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 475:17] + rvclkhdr.io.en <= _T_10 @[el2_lib.scala 476:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 477:23] + node _T_11 = or(io.lsu_error_pkt_r.exc_valid, lsu_exc_valid_r_d1) @[el2_dec_tlu_ctl.scala 392:65] + node _T_12 = or(_T_11, io.dec_tlu_dec_clk_override) @[el2_dec_tlu_ctl.scala 392:86] + node _T_13 = bits(_T_12, 0, 0) @[el2_dec_tlu_ctl.scala 392:102] + inst rvclkhdr_1 of rvclkhdr_5 @[el2_lib.scala 474:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[el2_lib.scala 475:17] + rvclkhdr_1.io.en <= _T_13 @[el2_lib.scala 476:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 477:23] + node e4e5_valid = or(io.dec_tlu_i0_valid_r, e5_valid) @[el2_dec_tlu_ctl.scala 395:29] + node _T_14 = or(debug_mode_status, i_cpu_run_req_d1) @[el2_dec_tlu_ctl.scala 396:49] + node _T_15 = or(_T_14, interrupt_valid_r) @[el2_dec_tlu_ctl.scala 396:68] + node _T_16 = or(_T_15, interrupt_valid_r_d1) @[el2_dec_tlu_ctl.scala 396:88] + node _T_17 = or(_T_16, reset_delayed) @[el2_dec_tlu_ctl.scala 396:111] + node _T_18 = or(_T_17, pause_expired_r) @[el2_dec_tlu_ctl.scala 396:127] + node _T_19 = or(_T_18, pause_expired_wb) @[el2_dec_tlu_ctl.scala 396:145] + node _T_20 = or(_T_19, ic_perr_r) @[el2_dec_tlu_ctl.scala 396:164] + node _T_21 = or(_T_20, ic_perr_r_d1) @[el2_dec_tlu_ctl.scala 396:176] + node _T_22 = or(_T_21, iccm_sbecc_r) @[el2_dec_tlu_ctl.scala 396:191] + node _T_23 = or(_T_22, iccm_sbecc_r_d1) @[el2_dec_tlu_ctl.scala 396:206] + node flush_clkvalid = or(_T_23, io.dec_tlu_dec_clk_override) @[el2_dec_tlu_ctl.scala 396:224] + node _T_24 = or(e4e5_valid, io.dec_tlu_dec_clk_override) @[el2_dec_tlu_ctl.scala 398:43] + node _T_25 = bits(_T_24, 0, 0) @[el2_dec_tlu_ctl.scala 398:59] + inst rvclkhdr_2 of rvclkhdr_6 @[el2_lib.scala 474:22] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[el2_lib.scala 475:17] + rvclkhdr_2.io.en <= _T_25 @[el2_lib.scala 476:16] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 477:23] + node _T_26 = or(e4e5_valid, flush_clkvalid) @[el2_dec_tlu_ctl.scala 399:47] + node _T_27 = bits(_T_26, 0, 0) @[el2_dec_tlu_ctl.scala 399:65] + inst rvclkhdr_3 of rvclkhdr_7 @[el2_lib.scala 474:22] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[el2_lib.scala 475:17] + rvclkhdr_3.io.en <= _T_27 @[el2_lib.scala 476:16] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 477:23] + reg iccm_repair_state_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 401:72] + iccm_repair_state_d1 <= iccm_repair_state_ns @[el2_dec_tlu_ctl.scala 401:72] + reg _T_28 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 402:81] + _T_28 <= ic_perr_r @[el2_dec_tlu_ctl.scala 402:81] + ic_perr_r_d1 <= _T_28 @[el2_dec_tlu_ctl.scala 402:49] + reg _T_29 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 403:89] + _T_29 <= iccm_sbecc_r @[el2_dec_tlu_ctl.scala 403:89] + iccm_sbecc_r_d1 <= _T_29 @[el2_dec_tlu_ctl.scala 403:57] + reg _T_30 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 404:89] + _T_30 <= io.dec_tlu_i0_valid_r @[el2_dec_tlu_ctl.scala 404:89] + e5_valid <= _T_30 @[el2_dec_tlu_ctl.scala 404:57] + reg _T_31 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 405:73] + _T_31 <= internal_dbg_halt_mode @[el2_dec_tlu_ctl.scala 405:73] + debug_mode_status <= _T_31 @[el2_dec_tlu_ctl.scala 405:41] + reg lsu_pmu_load_external_r : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 406:72] + lsu_pmu_load_external_r <= io.lsu_pmu_load_external_m @[el2_dec_tlu_ctl.scala 406:72] + reg lsu_pmu_store_external_r : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 407:64] + lsu_pmu_store_external_r <= io.lsu_pmu_store_external_m @[el2_dec_tlu_ctl.scala 407:64] + reg tlu_flush_lower_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 408:72] + tlu_flush_lower_r_d1 <= tlu_flush_lower_r @[el2_dec_tlu_ctl.scala 408:72] + reg _T_32 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 409:65] + _T_32 <= tlu_i0_kill_writeb_r @[el2_dec_tlu_ctl.scala 409:65] + io.dec_tlu_i0_kill_writeb_wb <= _T_32 @[el2_dec_tlu_ctl.scala 409:33] + reg internal_dbg_halt_mode_f2 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 410:64] + internal_dbg_halt_mode_f2 <= debug_mode_status @[el2_dec_tlu_ctl.scala 410:64] + reg _T_33 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 411:73] + _T_33 <= force_halt @[el2_dec_tlu_ctl.scala 411:73] + io.dec_tlu_force_halt <= _T_33 @[el2_dec_tlu_ctl.scala 411:41] + io.dec_tlu_i0_kill_writeb_r <= tlu_i0_kill_writeb_r @[el2_dec_tlu_ctl.scala 415:33] + reg reset_detect : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 416:80] + reset_detect <= UInt<1>("h01") @[el2_dec_tlu_ctl.scala 416:80] + reg reset_detected : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 417:80] + reset_detected <= reset_detect @[el2_dec_tlu_ctl.scala 417:80] + node _T_34 = xor(reset_detect, reset_detected) @[el2_dec_tlu_ctl.scala 418:64] + reset_delayed <= _T_34 @[el2_dec_tlu_ctl.scala 418:49] + reg nmi_int_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 420:64] + nmi_int_delayed <= nmi_int_sync @[el2_dec_tlu_ctl.scala 420:64] + reg nmi_int_detected_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 421:72] + nmi_int_detected_f <= nmi_int_detected @[el2_dec_tlu_ctl.scala 421:72] + reg nmi_lsu_load_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 422:72] + nmi_lsu_load_type_f <= nmi_lsu_load_type @[el2_dec_tlu_ctl.scala 422:72] + reg nmi_lsu_store_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 423:64] + nmi_lsu_store_type_f <= nmi_lsu_store_type @[el2_dec_tlu_ctl.scala 423:64] + node _T_35 = not(mdseac_locked_f) @[el2_dec_tlu_ctl.scala 427:26] + node _T_36 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_dec_tlu_ctl.scala 427:78] + node nmi_lsu_detected = and(_T_35, _T_36) @[el2_dec_tlu_ctl.scala 427:43] + node _T_37 = not(nmi_int_delayed) @[el2_dec_tlu_ctl.scala 429:39] + node _T_38 = and(nmi_int_sync, _T_37) @[el2_dec_tlu_ctl.scala 429:37] + node _T_39 = or(_T_38, nmi_lsu_detected) @[el2_dec_tlu_ctl.scala 429:57] + node _T_40 = not(take_nmi_r_d1) @[el2_dec_tlu_ctl.scala 429:100] + node _T_41 = and(nmi_int_detected_f, _T_40) @[el2_dec_tlu_ctl.scala 429:98] + node _T_42 = or(_T_39, _T_41) @[el2_dec_tlu_ctl.scala 429:76] + node _T_43 = orr(io.lsu_fir_error) @[el2_dec_tlu_ctl.scala 429:159] + node _T_44 = and(take_ext_int_start_d3, _T_43) @[el2_dec_tlu_ctl.scala 429:140] + node _T_45 = or(_T_42, _T_44) @[el2_dec_tlu_ctl.scala 429:116] + nmi_int_detected <= _T_45 @[el2_dec_tlu_ctl.scala 429:20] + node _T_46 = and(nmi_lsu_detected, io.lsu_imprecise_error_load_any) @[el2_dec_tlu_ctl.scala 431:42] + node _T_47 = not(take_nmi_r_d1) @[el2_dec_tlu_ctl.scala 431:101] + node _T_48 = and(nmi_int_detected_f, _T_47) @[el2_dec_tlu_ctl.scala 431:99] + node _T_49 = not(_T_48) @[el2_dec_tlu_ctl.scala 431:78] + node _T_50 = and(_T_46, _T_49) @[el2_dec_tlu_ctl.scala 431:76] + node _T_51 = not(take_nmi_r_d1) @[el2_dec_tlu_ctl.scala 431:143] + node _T_52 = and(nmi_lsu_load_type_f, _T_51) @[el2_dec_tlu_ctl.scala 431:141] + node _T_53 = or(_T_50, _T_52) @[el2_dec_tlu_ctl.scala 431:118] + nmi_lsu_load_type <= _T_53 @[el2_dec_tlu_ctl.scala 431:21] + node _T_54 = and(nmi_lsu_detected, io.lsu_imprecise_error_store_any) @[el2_dec_tlu_ctl.scala 432:43] + node _T_55 = not(take_nmi_r_d1) @[el2_dec_tlu_ctl.scala 432:103] + node _T_56 = and(nmi_int_detected_f, _T_55) @[el2_dec_tlu_ctl.scala 432:101] + node _T_57 = not(_T_56) @[el2_dec_tlu_ctl.scala 432:80] + node _T_58 = and(_T_54, _T_57) @[el2_dec_tlu_ctl.scala 432:78] + node _T_59 = not(take_nmi_r_d1) @[el2_dec_tlu_ctl.scala 432:146] + node _T_60 = and(nmi_lsu_store_type_f, _T_59) @[el2_dec_tlu_ctl.scala 432:144] + node _T_61 = or(_T_58, _T_60) @[el2_dec_tlu_ctl.scala 432:120] + nmi_lsu_store_type <= _T_61 @[el2_dec_tlu_ctl.scala 432:22] + node _T_62 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 439:63] + node mpc_debug_halt_req_sync = and(mpc_debug_halt_req_sync_raw, _T_62) @[el2_dec_tlu_ctl.scala 439:61] + reg mpc_debug_halt_req_sync_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 440:64] + mpc_debug_halt_req_sync_f <= mpc_debug_halt_req_sync @[el2_dec_tlu_ctl.scala 440:64] + reg mpc_debug_run_req_sync_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 441:64] + mpc_debug_run_req_sync_f <= mpc_debug_run_req_sync @[el2_dec_tlu_ctl.scala 441:64] + reg _T_63 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 442:81] + _T_63 <= mpc_halt_state_ns @[el2_dec_tlu_ctl.scala 442:81] + mpc_halt_state_f <= _T_63 @[el2_dec_tlu_ctl.scala 442:49] + reg mpc_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 443:80] + mpc_run_state_f <= mpc_run_state_ns @[el2_dec_tlu_ctl.scala 443:80] + reg debug_brkpt_status_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 444:72] + debug_brkpt_status_f <= debug_brkpt_status_ns @[el2_dec_tlu_ctl.scala 444:72] + reg mpc_debug_halt_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 445:72] + mpc_debug_halt_ack_f <= mpc_debug_halt_ack_ns @[el2_dec_tlu_ctl.scala 445:72] + reg mpc_debug_run_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 446:80] + mpc_debug_run_ack_f <= mpc_debug_run_ack_ns @[el2_dec_tlu_ctl.scala 446:80] + reg _T_64 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 447:81] + _T_64 <= dbg_halt_state_ns @[el2_dec_tlu_ctl.scala 447:81] + dbg_halt_state_f <= _T_64 @[el2_dec_tlu_ctl.scala 447:49] + reg dbg_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 448:80] + dbg_run_state_f <= dbg_run_state_ns @[el2_dec_tlu_ctl.scala 448:80] + reg _T_65 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 449:73] + _T_65 <= dec_tlu_mpc_halted_only_ns @[el2_dec_tlu_ctl.scala 449:73] + io.dec_tlu_mpc_halted_only <= _T_65 @[el2_dec_tlu_ctl.scala 449:41] + node _T_66 = not(mpc_debug_halt_req_sync_f) @[el2_dec_tlu_ctl.scala 453:65] + node mpc_debug_halt_req_sync_pulse = and(mpc_debug_halt_req_sync, _T_66) @[el2_dec_tlu_ctl.scala 453:63] + node _T_67 = not(mpc_debug_run_req_sync_f) @[el2_dec_tlu_ctl.scala 454:64] + node mpc_debug_run_req_sync_pulse = and(mpc_debug_run_req_sync, _T_67) @[el2_dec_tlu_ctl.scala 454:62] + node _T_68 = or(mpc_halt_state_f, mpc_debug_halt_req_sync_pulse) @[el2_dec_tlu_ctl.scala 456:42] + node _T_69 = not(io.mpc_reset_run_req) @[el2_dec_tlu_ctl.scala 456:93] + node _T_70 = and(reset_delayed, _T_69) @[el2_dec_tlu_ctl.scala 456:91] + node _T_71 = or(_T_68, _T_70) @[el2_dec_tlu_ctl.scala 456:74] + node _T_72 = not(mpc_debug_run_req_sync) @[el2_dec_tlu_ctl.scala 456:119] + node _T_73 = and(_T_71, _T_72) @[el2_dec_tlu_ctl.scala 456:117] + mpc_halt_state_ns <= _T_73 @[el2_dec_tlu_ctl.scala 456:21] + node _T_74 = not(mpc_debug_run_ack_f) @[el2_dec_tlu_ctl.scala 457:74] + node _T_75 = and(mpc_debug_run_req_sync_pulse, _T_74) @[el2_dec_tlu_ctl.scala 457:72] + node _T_76 = or(mpc_run_state_f, _T_75) @[el2_dec_tlu_ctl.scala 457:40] + node _T_77 = not(dcsr_single_step_running_f) @[el2_dec_tlu_ctl.scala 457:127] + node _T_78 = and(debug_mode_status, _T_77) @[el2_dec_tlu_ctl.scala 457:125] + node _T_79 = and(_T_76, _T_78) @[el2_dec_tlu_ctl.scala 457:97] + mpc_run_state_ns <= _T_79 @[el2_dec_tlu_ctl.scala 457:20] + node _T_80 = or(dbg_halt_req_final, dcsr_single_step_done_f) @[el2_dec_tlu_ctl.scala 459:64] + node _T_81 = or(_T_80, trigger_hit_dmode_r_d1) @[el2_dec_tlu_ctl.scala 459:90] + node _T_82 = or(_T_81, ebreak_to_debug_mode_r_d1) @[el2_dec_tlu_ctl.scala 459:115] + node _T_83 = or(dbg_halt_state_f, _T_82) @[el2_dec_tlu_ctl.scala 459:42] + node _T_84 = not(io.dbg_resume_req) @[el2_dec_tlu_ctl.scala 459:147] + node _T_85 = and(_T_83, _T_84) @[el2_dec_tlu_ctl.scala 459:145] + dbg_halt_state_ns <= _T_85 @[el2_dec_tlu_ctl.scala 459:21] + node _T_86 = or(dbg_run_state_f, io.dbg_resume_req) @[el2_dec_tlu_ctl.scala 460:40] + node _T_87 = not(dcsr_single_step_running_f) @[el2_dec_tlu_ctl.scala 460:91] + node _T_88 = and(debug_mode_status, _T_87) @[el2_dec_tlu_ctl.scala 460:89] + node _T_89 = and(_T_86, _T_88) @[el2_dec_tlu_ctl.scala 460:61] + dbg_run_state_ns <= _T_89 @[el2_dec_tlu_ctl.scala 460:20] + node _T_90 = not(dbg_halt_state_f) @[el2_dec_tlu_ctl.scala 463:33] + node _T_91 = and(_T_90, mpc_halt_state_f) @[el2_dec_tlu_ctl.scala 463:51] + dec_tlu_mpc_halted_only_ns <= _T_91 @[el2_dec_tlu_ctl.scala 463:30] + node debug_brkpt_valid = or(ebreak_to_debug_mode_r_d1, trigger_hit_dmode_r_d1) @[el2_dec_tlu_ctl.scala 466:53] + node _T_92 = or(debug_brkpt_valid, debug_brkpt_status_f) @[el2_dec_tlu_ctl.scala 467:47] + node _T_93 = not(dcsr_single_step_running_f) @[el2_dec_tlu_ctl.scala 467:99] + node _T_94 = and(internal_dbg_halt_mode, _T_93) @[el2_dec_tlu_ctl.scala 467:97] + node _T_95 = and(_T_92, _T_94) @[el2_dec_tlu_ctl.scala 467:71] + debug_brkpt_status_ns <= _T_95 @[el2_dec_tlu_ctl.scala 467:25] + node _T_96 = and(mpc_halt_state_f, debug_mode_status) @[el2_dec_tlu_ctl.scala 470:45] + node _T_97 = and(_T_96, mpc_debug_halt_req_sync) @[el2_dec_tlu_ctl.scala 470:72] + node _T_98 = and(_T_97, core_empty) @[el2_dec_tlu_ctl.scala 470:98] + mpc_debug_halt_ack_ns <= _T_98 @[el2_dec_tlu_ctl.scala 470:25] + node _T_99 = not(dbg_halt_state_ns) @[el2_dec_tlu_ctl.scala 471:53] + node _T_100 = and(mpc_debug_run_req_sync, _T_99) @[el2_dec_tlu_ctl.scala 471:51] + node _T_101 = not(mpc_debug_halt_req_sync) @[el2_dec_tlu_ctl.scala 471:74] + node _T_102 = and(_T_100, _T_101) @[el2_dec_tlu_ctl.scala 471:72] + node _T_103 = and(mpc_debug_run_ack_f, mpc_debug_run_req_sync) @[el2_dec_tlu_ctl.scala 471:123] + node _T_104 = or(_T_102, _T_103) @[el2_dec_tlu_ctl.scala 471:100] + mpc_debug_run_ack_ns <= _T_104 @[el2_dec_tlu_ctl.scala 471:24] + io.mpc_debug_halt_ack <= mpc_debug_halt_ack_f @[el2_dec_tlu_ctl.scala 474:25] + io.mpc_debug_run_ack <= mpc_debug_run_ack_f @[el2_dec_tlu_ctl.scala 475:25] + io.debug_brkpt_status <= debug_brkpt_status_f @[el2_dec_tlu_ctl.scala 476:25] + node _T_105 = or(io.dbg_halt_req, dbg_halt_req_held) @[el2_dec_tlu_ctl.scala 479:47] + node dbg_halt_req_held_ns = and(_T_105, ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 479:68] + node _T_106 = or(io.dbg_halt_req, dbg_halt_req_held) @[el2_dec_tlu_ctl.scala 480:42] + node _T_107 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 480:65] + node _T_108 = and(_T_106, _T_107) @[el2_dec_tlu_ctl.scala 480:63] + dbg_halt_req_final <= _T_108 @[el2_dec_tlu_ctl.scala 480:22] + node _T_109 = or(dbg_halt_req_final, mpc_debug_halt_req_sync) @[el2_dec_tlu_ctl.scala 483:44] + node _T_110 = not(io.mpc_reset_run_req) @[el2_dec_tlu_ctl.scala 483:89] + node _T_111 = and(reset_delayed, _T_110) @[el2_dec_tlu_ctl.scala 483:87] + node _T_112 = or(_T_109, _T_111) @[el2_dec_tlu_ctl.scala 483:70] + node _T_113 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 483:115] + node _T_114 = and(_T_112, _T_113) @[el2_dec_tlu_ctl.scala 483:113] + node _T_115 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 483:143] + node debug_halt_req = and(_T_114, _T_115) @[el2_dec_tlu_ctl.scala 483:141] + node _T_116 = not(debug_resume_req_f) @[el2_dec_tlu_ctl.scala 485:26] + node _T_117 = not(dbg_halt_state_ns) @[el2_dec_tlu_ctl.scala 485:69] + node _T_118 = and(mpc_run_state_ns, _T_117) @[el2_dec_tlu_ctl.scala 485:67] + node _T_119 = not(mpc_halt_state_ns) @[el2_dec_tlu_ctl.scala 485:111] + node _T_120 = and(dbg_run_state_ns, _T_119) @[el2_dec_tlu_ctl.scala 485:109] + node _T_121 = or(_T_118, _T_120) @[el2_dec_tlu_ctl.scala 485:89] + node debug_resume_req = and(_T_116, _T_121) @[el2_dec_tlu_ctl.scala 485:46] + node _T_122 = or(debug_halt_req_f, pmu_fw_halt_req_f) @[el2_dec_tlu_ctl.scala 490:37] + node _T_123 = not(synchronous_flush_r) @[el2_dec_tlu_ctl.scala 490:60] + node _T_124 = and(_T_122, _T_123) @[el2_dec_tlu_ctl.scala 490:58] + node _T_125 = not(mret_r) @[el2_dec_tlu_ctl.scala 490:83] + node _T_126 = and(_T_124, _T_125) @[el2_dec_tlu_ctl.scala 490:81] + node _T_127 = not(halt_taken_f) @[el2_dec_tlu_ctl.scala 490:93] + node _T_128 = and(_T_126, _T_127) @[el2_dec_tlu_ctl.scala 490:91] + node _T_129 = not(dec_tlu_flush_noredir_r_d1) @[el2_dec_tlu_ctl.scala 490:109] + node _T_130 = and(_T_128, _T_129) @[el2_dec_tlu_ctl.scala 490:107] + node _T_131 = not(take_reset) @[el2_dec_tlu_ctl.scala 490:139] + node take_halt = and(_T_130, _T_131) @[el2_dec_tlu_ctl.scala 490:137] + node _T_132 = not(dec_tlu_flush_pause_r_d1) @[el2_dec_tlu_ctl.scala 493:50] + node _T_133 = and(dec_tlu_flush_noredir_r_d1, _T_132) @[el2_dec_tlu_ctl.scala 493:48] + node _T_134 = not(take_ext_int_start_d1) @[el2_dec_tlu_ctl.scala 493:78] + node _T_135 = and(_T_133, _T_134) @[el2_dec_tlu_ctl.scala 493:76] + node _T_136 = not(dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 493:120] + node _T_137 = and(halt_taken_f, _T_136) @[el2_dec_tlu_ctl.scala 493:118] + node _T_138 = not(pmu_fw_tlu_halted_f) @[el2_dec_tlu_ctl.scala 493:140] + node _T_139 = and(_T_137, _T_138) @[el2_dec_tlu_ctl.scala 493:138] + node _T_140 = not(interrupt_valid_r_d1) @[el2_dec_tlu_ctl.scala 493:163] + node _T_141 = and(_T_139, _T_140) @[el2_dec_tlu_ctl.scala 493:161] + node halt_taken = or(_T_135, _T_141) @[el2_dec_tlu_ctl.scala 493:102] + node _T_142 = and(io.lsu_idle_any, lsu_idle_any_f) @[el2_dec_tlu_ctl.scala 497:47] + node _T_143 = and(_T_142, io.ifu_miss_state_idle) @[el2_dec_tlu_ctl.scala 497:64] + node _T_144 = and(_T_143, ifu_miss_state_idle_f) @[el2_dec_tlu_ctl.scala 497:89] + node _T_145 = not(debug_halt_req) @[el2_dec_tlu_ctl.scala 497:115] + node _T_146 = and(_T_144, _T_145) @[el2_dec_tlu_ctl.scala 497:113] + node _T_147 = not(debug_halt_req_d1) @[el2_dec_tlu_ctl.scala 497:133] + node _T_148 = and(_T_146, _T_147) @[el2_dec_tlu_ctl.scala 497:131] + node _T_149 = not(io.dec_div_active) @[el2_dec_tlu_ctl.scala 497:154] + node _T_150 = and(_T_148, _T_149) @[el2_dec_tlu_ctl.scala 497:152] + node _T_151 = or(force_halt, _T_150) @[el2_dec_tlu_ctl.scala 497:28] + core_empty <= _T_151 @[el2_dec_tlu_ctl.scala 497:14] + node _T_152 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 503:31] + node _T_153 = and(_T_152, debug_halt_req) @[el2_dec_tlu_ctl.scala 503:57] + node _T_154 = or(_T_153, dcsr_single_step_done_f) @[el2_dec_tlu_ctl.scala 503:75] + node _T_155 = or(_T_154, trigger_hit_dmode_r_d1) @[el2_dec_tlu_ctl.scala 503:101] + node enter_debug_halt_req = or(_T_155, ebreak_to_debug_mode_r_d1) @[el2_dec_tlu_ctl.scala 503:126] + node _T_156 = bits(dcsr, 2, 2) @[el2_dec_tlu_ctl.scala 506:105] + node _T_157 = not(_T_156) @[el2_dec_tlu_ctl.scala 506:100] + node _T_158 = and(debug_resume_req_f, _T_157) @[el2_dec_tlu_ctl.scala 506:98] + node _T_159 = not(_T_158) @[el2_dec_tlu_ctl.scala 506:77] + node _T_160 = and(debug_mode_status, _T_159) @[el2_dec_tlu_ctl.scala 506:75] + node _T_161 = or(debug_halt_req_ns, _T_160) @[el2_dec_tlu_ctl.scala 506:47] + internal_dbg_halt_mode <= _T_161 @[el2_dec_tlu_ctl.scala 506:26] + node _T_162 = not(dcsr_single_step_running_f) @[el2_dec_tlu_ctl.scala 508:61] + node allow_dbg_halt_csr_write = and(debug_mode_status, _T_162) @[el2_dec_tlu_ctl.scala 508:59] + node _T_163 = and(debug_halt_req_f, core_empty) @[el2_dec_tlu_ctl.scala 513:42] + node _T_164 = and(_T_163, halt_taken) @[el2_dec_tlu_ctl.scala 513:55] + node _T_165 = not(debug_resume_req_f) @[el2_dec_tlu_ctl.scala 513:91] + node _T_166 = and(dbg_tlu_halted_f, _T_165) @[el2_dec_tlu_ctl.scala 513:89] + node dbg_tlu_halted = or(_T_164, _T_166) @[el2_dec_tlu_ctl.scala 513:69] + node _T_167 = not(dbg_tlu_halted) @[el2_dec_tlu_ctl.scala 514:67] + node _T_168 = and(debug_halt_req_f, _T_167) @[el2_dec_tlu_ctl.scala 514:65] + node _T_169 = or(enter_debug_halt_req, _T_168) @[el2_dec_tlu_ctl.scala 514:45] + debug_halt_req_ns <= _T_169 @[el2_dec_tlu_ctl.scala 514:21] + node _T_170 = and(debug_resume_req_f, dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 515:43] + node resume_ack_ns = and(_T_170, dbg_run_state_ns) @[el2_dec_tlu_ctl.scala 515:62] + node _T_171 = not(io.dec_tlu_dbg_halted) @[el2_dec_tlu_ctl.scala 517:55] + node _T_172 = and(io.dec_tlu_i0_valid_r, _T_171) @[el2_dec_tlu_ctl.scala 517:53] + node _T_173 = bits(dcsr, 2, 2) @[el2_dec_tlu_ctl.scala 517:84] + node _T_174 = and(_T_172, _T_173) @[el2_dec_tlu_ctl.scala 517:78] + node _T_175 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 517:98] + node dcsr_single_step_done = and(_T_174, _T_175) @[el2_dec_tlu_ctl.scala 517:96] + node _T_176 = bits(dcsr, 2, 2) @[el2_dec_tlu_ctl.scala 519:60] + node _T_177 = and(debug_resume_req_f, _T_176) @[el2_dec_tlu_ctl.scala 519:54] + node _T_178 = not(dcsr_single_step_done_f) @[el2_dec_tlu_ctl.scala 519:105] + node _T_179 = and(dcsr_single_step_running_f, _T_178) @[el2_dec_tlu_ctl.scala 519:103] + node dcsr_single_step_running = or(_T_177, _T_179) @[el2_dec_tlu_ctl.scala 519:73] + node dbg_cmd_done_ns = and(io.dec_tlu_i0_valid_r, io.dec_tlu_dbg_halted) @[el2_dec_tlu_ctl.scala 521:47] + node _T_180 = or(trigger_hit_dmode_r, ebreak_to_debug_mode_r) @[el2_dec_tlu_ctl.scala 524:51] + node _T_181 = not(io.dec_tlu_flush_lower_wb) @[el2_dec_tlu_ctl.scala 524:106] + node _T_182 = and(request_debug_mode_r_d1, _T_181) @[el2_dec_tlu_ctl.scala 524:104] + node request_debug_mode_r = or(_T_180, _T_182) @[el2_dec_tlu_ctl.scala 524:77] + node _T_183 = or(request_debug_mode_r_d1, request_debug_mode_done_f) @[el2_dec_tlu_ctl.scala 526:58] + node _T_184 = not(dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 526:89] + node request_debug_mode_done = and(_T_183, _T_184) @[el2_dec_tlu_ctl.scala 526:87] + reg _T_185 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 529:73] + _T_185 <= io.dec_tlu_flush_noredir_r @[el2_dec_tlu_ctl.scala 529:73] + dec_tlu_flush_noredir_r_d1 <= _T_185 @[el2_dec_tlu_ctl.scala 529:41] + reg _T_186 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 530:81] + _T_186 <= halt_taken @[el2_dec_tlu_ctl.scala 530:81] + halt_taken_f <= _T_186 @[el2_dec_tlu_ctl.scala 530:49] + reg _T_187 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 531:89] + _T_187 <= io.lsu_idle_any @[el2_dec_tlu_ctl.scala 531:89] + lsu_idle_any_f <= _T_187 @[el2_dec_tlu_ctl.scala 531:57] + reg _T_188 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 532:73] + _T_188 <= io.ifu_miss_state_idle @[el2_dec_tlu_ctl.scala 532:73] + ifu_miss_state_idle_f <= _T_188 @[el2_dec_tlu_ctl.scala 532:41] + reg _T_189 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 533:81] + _T_189 <= dbg_tlu_halted @[el2_dec_tlu_ctl.scala 533:81] + dbg_tlu_halted_f <= _T_189 @[el2_dec_tlu_ctl.scala 533:49] + reg _T_190 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 534:73] + _T_190 <= resume_ack_ns @[el2_dec_tlu_ctl.scala 534:73] + io.dec_tlu_resume_ack <= _T_190 @[el2_dec_tlu_ctl.scala 534:41] + reg _T_191 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 535:81] + _T_191 <= debug_halt_req_ns @[el2_dec_tlu_ctl.scala 535:81] + debug_halt_req_f <= _T_191 @[el2_dec_tlu_ctl.scala 535:49] + reg _T_192 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 536:81] + _T_192 <= debug_resume_req @[el2_dec_tlu_ctl.scala 536:81] + debug_resume_req_f <= _T_192 @[el2_dec_tlu_ctl.scala 536:49] + reg _T_193 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 537:81] + _T_193 <= trigger_hit_dmode_r @[el2_dec_tlu_ctl.scala 537:81] + trigger_hit_dmode_r_d1 <= _T_193 @[el2_dec_tlu_ctl.scala 537:49] + reg _T_194 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 538:81] + _T_194 <= dcsr_single_step_done @[el2_dec_tlu_ctl.scala 538:81] + dcsr_single_step_done_f <= _T_194 @[el2_dec_tlu_ctl.scala 538:49] + reg _T_195 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 539:81] + _T_195 <= debug_halt_req @[el2_dec_tlu_ctl.scala 539:81] + debug_halt_req_d1 <= _T_195 @[el2_dec_tlu_ctl.scala 539:49] + reg dec_tlu_wr_pause_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 540:73] + dec_tlu_wr_pause_r_d1 <= io.dec_tlu_wr_pause_r @[el2_dec_tlu_ctl.scala 540:73] + reg dec_pause_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 541:73] + dec_pause_state_f <= io.dec_pause_state @[el2_dec_tlu_ctl.scala 541:73] + reg _T_196 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 542:81] + _T_196 <= request_debug_mode_r @[el2_dec_tlu_ctl.scala 542:81] + request_debug_mode_r_d1 <= _T_196 @[el2_dec_tlu_ctl.scala 542:49] + reg _T_197 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 543:73] + _T_197 <= request_debug_mode_done @[el2_dec_tlu_ctl.scala 543:73] + request_debug_mode_done_f <= _T_197 @[el2_dec_tlu_ctl.scala 543:41] + reg _T_198 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 544:73] + _T_198 <= dcsr_single_step_running @[el2_dec_tlu_ctl.scala 544:73] + dcsr_single_step_running_f <= _T_198 @[el2_dec_tlu_ctl.scala 544:41] + reg _T_199 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 545:73] + _T_199 <= io.dec_tlu_flush_pause_r @[el2_dec_tlu_ctl.scala 545:73] + dec_tlu_flush_pause_r_d1 <= _T_199 @[el2_dec_tlu_ctl.scala 545:41] + reg _T_200 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 546:81] + _T_200 <= dbg_halt_req_held_ns @[el2_dec_tlu_ctl.scala 546:81] + dbg_halt_req_held <= _T_200 @[el2_dec_tlu_ctl.scala 546:49] + io.dec_tlu_debug_stall <= debug_halt_req_f @[el2_dec_tlu_ctl.scala 549:41] + io.dec_tlu_dbg_halted <= dbg_tlu_halted_f @[el2_dec_tlu_ctl.scala 550:41] + io.dec_tlu_debug_mode <= debug_mode_status @[el2_dec_tlu_ctl.scala 551:41] + dec_tlu_pmu_fw_halted <= pmu_fw_tlu_halted_f @[el2_dec_tlu_ctl.scala 552:41] + node _T_201 = and(fence_i_r, internal_dbg_halt_mode) @[el2_dec_tlu_ctl.scala 555:56] + node _T_202 = or(take_halt, _T_201) @[el2_dec_tlu_ctl.scala 555:43] + node _T_203 = or(_T_202, io.dec_tlu_flush_pause_r) @[el2_dec_tlu_ctl.scala 555:82] + node _T_204 = and(i0_trigger_hit_r, trigger_hit_dmode_r) @[el2_dec_tlu_ctl.scala 555:129] + node _T_205 = or(_T_203, _T_204) @[el2_dec_tlu_ctl.scala 555:109] + node _T_206 = or(_T_205, take_ext_int_start) @[el2_dec_tlu_ctl.scala 555:152] + io.dec_tlu_flush_noredir_r <= _T_206 @[el2_dec_tlu_ctl.scala 555:30] + io.dec_tlu_flush_extint <= take_ext_int_start @[el2_dec_tlu_ctl.scala 557:27] + node _T_207 = not(interrupt_valid_r) @[el2_dec_tlu_ctl.scala 560:55] + node _T_208 = and(dec_tlu_wr_pause_r_d1, _T_207) @[el2_dec_tlu_ctl.scala 560:53] + node _T_209 = not(take_ext_int_start) @[el2_dec_tlu_ctl.scala 560:76] + node _T_210 = and(_T_208, _T_209) @[el2_dec_tlu_ctl.scala 560:74] + io.dec_tlu_flush_pause_r <= _T_210 @[el2_dec_tlu_ctl.scala 560:28] + node _T_211 = not(io.dec_pause_state) @[el2_dec_tlu_ctl.scala 562:22] + node _T_212 = and(_T_211, dec_pause_state_f) @[el2_dec_tlu_ctl.scala 562:42] + node _T_213 = or(ext_int_ready, ce_int_ready) @[el2_dec_tlu_ctl.scala 562:80] + node _T_214 = or(_T_213, timer_int_ready) @[el2_dec_tlu_ctl.scala 562:95] + node _T_215 = or(_T_214, soft_int_ready) @[el2_dec_tlu_ctl.scala 562:113] + node _T_216 = or(_T_215, int_timer0_int_hold_f) @[el2_dec_tlu_ctl.scala 562:130] + node _T_217 = or(_T_216, int_timer1_int_hold_f) @[el2_dec_tlu_ctl.scala 562:154] + node _T_218 = or(_T_217, nmi_int_detected) @[el2_dec_tlu_ctl.scala 562:178] + node _T_219 = or(_T_218, ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 562:197] + node _T_220 = not(_T_219) @[el2_dec_tlu_ctl.scala 562:64] + node _T_221 = and(_T_212, _T_220) @[el2_dec_tlu_ctl.scala 562:62] + node _T_222 = not(interrupt_valid_r_d1) @[el2_dec_tlu_ctl.scala 562:220] + node _T_223 = and(_T_221, _T_222) @[el2_dec_tlu_ctl.scala 562:218] + node _T_224 = not(debug_halt_req_f) @[el2_dec_tlu_ctl.scala 562:244] + node _T_225 = and(_T_223, _T_224) @[el2_dec_tlu_ctl.scala 562:242] + node _T_226 = not(pmu_fw_halt_req_f) @[el2_dec_tlu_ctl.scala 562:264] + node _T_227 = and(_T_225, _T_226) @[el2_dec_tlu_ctl.scala 562:262] + node _T_228 = not(halt_taken_f) @[el2_dec_tlu_ctl.scala 562:285] + node _T_229 = and(_T_227, _T_228) @[el2_dec_tlu_ctl.scala 562:283] + pause_expired_r <= _T_229 @[el2_dec_tlu_ctl.scala 562:19] + node _T_230 = bits(dcsr, 2, 2) @[el2_dec_tlu_ctl.scala 564:66] + node _T_231 = and(io.dec_tlu_flush_lower_r, _T_230) @[el2_dec_tlu_ctl.scala 564:60] + node _T_232 = or(io.dec_tlu_resume_ack, dcsr_single_step_running) @[el2_dec_tlu_ctl.scala 564:103] + node _T_233 = and(_T_231, _T_232) @[el2_dec_tlu_ctl.scala 564:78] + node _T_234 = not(io.dec_tlu_flush_noredir_r) @[el2_dec_tlu_ctl.scala 564:133] + node _T_235 = and(_T_233, _T_234) @[el2_dec_tlu_ctl.scala 564:131] + io.dec_tlu_flush_leak_one_r <= _T_235 @[el2_dec_tlu_ctl.scala 564:31] + node _T_236 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[el2_dec_tlu_ctl.scala 565:70] + node _T_237 = and(io.dec_tlu_flush_lower_r, _T_236) @[el2_dec_tlu_ctl.scala 565:54] + io.dec_tlu_flush_err_r <= _T_237 @[el2_dec_tlu_ctl.scala 565:26] + io.dec_dbg_cmd_done <= dbg_cmd_done_ns @[el2_dec_tlu_ctl.scala 568:23] + node _T_238 = and(illegal_r, io.dec_dbg_cmd_done) @[el2_dec_tlu_ctl.scala 569:36] + io.dec_dbg_cmd_fail <= _T_238 @[el2_dec_tlu_ctl.scala 569:23] + node _T_239 = bits(mtdata1_t[3], 2, 2) @[el2_dec_tlu_ctl.scala 582:42] + node _T_240 = bits(mtdata1_t[2], 2, 2) @[el2_dec_tlu_ctl.scala 582:69] + node _T_241 = bits(mtdata1_t[1], 2, 2) @[el2_dec_tlu_ctl.scala 582:96] + node _T_242 = bits(mtdata1_t[0], 2, 2) @[el2_dec_tlu_ctl.scala 582:123] + node _T_243 = cat(_T_241, _T_242) @[Cat.scala 29:58] + node _T_244 = cat(_T_239, _T_240) @[Cat.scala 29:58] + node trigger_execute = cat(_T_244, _T_243) @[Cat.scala 29:58] + node _T_245 = bits(mtdata1_t[3], 7, 7) @[el2_dec_tlu_ctl.scala 583:44] + node _T_246 = bits(mtdata1_t[2], 7, 7) @[el2_dec_tlu_ctl.scala 583:71] + node _T_247 = bits(mtdata1_t[1], 7, 7) @[el2_dec_tlu_ctl.scala 583:98] + node _T_248 = bits(mtdata1_t[0], 7, 7) @[el2_dec_tlu_ctl.scala 583:125] + node _T_249 = cat(_T_247, _T_248) @[Cat.scala 29:58] + node _T_250 = cat(_T_245, _T_246) @[Cat.scala 29:58] + node trigger_data = cat(_T_250, _T_249) @[Cat.scala 29:58] + node _T_251 = bits(mtdata1_t[3], 1, 1) @[el2_dec_tlu_ctl.scala 584:44] + node _T_252 = bits(mtdata1_t[2], 1, 1) @[el2_dec_tlu_ctl.scala 584:71] + node _T_253 = bits(mtdata1_t[1], 1, 1) @[el2_dec_tlu_ctl.scala 584:98] + node _T_254 = bits(mtdata1_t[0], 1, 1) @[el2_dec_tlu_ctl.scala 584:125] + node _T_255 = cat(_T_253, _T_254) @[Cat.scala 29:58] + node _T_256 = cat(_T_251, _T_252) @[Cat.scala 29:58] + node trigger_store = cat(_T_256, _T_255) @[Cat.scala 29:58] + node _T_257 = bits(mtdata1_t[3], 6, 6) @[el2_dec_tlu_ctl.scala 587:45] + node _T_258 = bits(mstatus, 0, 0) @[el2_dec_tlu_ctl.scala 587:71] + node _T_259 = or(_T_257, _T_258) @[el2_dec_tlu_ctl.scala 587:62] + node _T_260 = bits(mtdata1_t[3], 3, 3) @[el2_dec_tlu_ctl.scala 587:100] + node _T_261 = and(_T_259, _T_260) @[el2_dec_tlu_ctl.scala 587:86] + node _T_262 = bits(mtdata1_t[2], 6, 6) @[el2_dec_tlu_ctl.scala 587:133] + node _T_263 = bits(mstatus, 0, 0) @[el2_dec_tlu_ctl.scala 587:159] + node _T_264 = or(_T_262, _T_263) @[el2_dec_tlu_ctl.scala 587:150] + node _T_265 = bits(mtdata1_t[2], 3, 3) @[el2_dec_tlu_ctl.scala 587:188] + node _T_266 = and(_T_264, _T_265) @[el2_dec_tlu_ctl.scala 587:174] + node _T_267 = bits(mtdata1_t[1], 6, 6) @[el2_dec_tlu_ctl.scala 587:222] + node _T_268 = bits(mstatus, 0, 0) @[el2_dec_tlu_ctl.scala 587:248] + node _T_269 = or(_T_267, _T_268) @[el2_dec_tlu_ctl.scala 587:239] + node _T_270 = bits(mtdata1_t[1], 3, 3) @[el2_dec_tlu_ctl.scala 587:277] + node _T_271 = and(_T_269, _T_270) @[el2_dec_tlu_ctl.scala 587:263] + node _T_272 = bits(mtdata1_t[0], 6, 6) @[el2_dec_tlu_ctl.scala 587:311] + node _T_273 = bits(mstatus, 0, 0) @[el2_dec_tlu_ctl.scala 587:337] + node _T_274 = or(_T_272, _T_273) @[el2_dec_tlu_ctl.scala 587:328] + node _T_275 = bits(mtdata1_t[0], 3, 3) @[el2_dec_tlu_ctl.scala 587:366] + node _T_276 = and(_T_274, _T_275) @[el2_dec_tlu_ctl.scala 587:352] + node _T_277 = cat(_T_271, _T_276) @[Cat.scala 29:58] + node _T_278 = cat(_T_261, _T_266) @[Cat.scala 29:58] + node trigger_enabled = cat(_T_278, _T_277) @[Cat.scala 29:58] + node _T_279 = and(trigger_execute, trigger_data) @[el2_dec_tlu_ctl.scala 590:56] + node _T_280 = bits(inst_acc_r_raw, 0, 0) @[Bitwise.scala 72:15] + node _T_281 = mux(_T_280, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_282 = and(_T_279, _T_281) @[el2_dec_tlu_ctl.scala 590:71] + node _T_283 = or(io.exu_i0_br_error_r, io.exu_i0_br_start_error_r) @[el2_dec_tlu_ctl.scala 590:128] + node _T_284 = bits(_T_283, 0, 0) @[Bitwise.scala 72:15] + node _T_285 = mux(_T_284, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_286 = or(_T_282, _T_285) @[el2_dec_tlu_ctl.scala 590:97] + node i0_iside_trigger_has_pri_r = not(_T_286) @[el2_dec_tlu_ctl.scala 590:37] + node _T_287 = and(trigger_store, trigger_data) @[el2_dec_tlu_ctl.scala 593:50] + node _T_288 = bits(lsu_i0_exc_r_raw, 0, 0) @[Bitwise.scala 72:15] + node _T_289 = mux(_T_288, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_290 = and(_T_287, _T_289) @[el2_dec_tlu_ctl.scala 593:65] + node i0_lsu_trigger_has_pri_r = not(_T_290) @[el2_dec_tlu_ctl.scala 593:34] + node _T_291 = bits(io.dec_tlu_i0_valid_r, 0, 0) @[Bitwise.scala 72:15] + node _T_292 = mux(_T_291, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_293 = bits(io.dec_tlu_packet_r.i0trigger, 3, 0) @[el2_dec_tlu_ctl.scala 598:83] + node _T_294 = and(_T_292, _T_293) @[el2_dec_tlu_ctl.scala 598:52] + node _T_295 = and(_T_294, i0_iside_trigger_has_pri_r) @[el2_dec_tlu_ctl.scala 598:89] + node _T_296 = and(_T_295, i0_lsu_trigger_has_pri_r) @[el2_dec_tlu_ctl.scala 598:118] + node i0trigger_qual_r = and(_T_296, trigger_enabled) @[el2_dec_tlu_ctl.scala 598:145] + node _T_297 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_dbg_halted) @[el2_dec_tlu_ctl.scala 600:57] + node _T_298 = bits(_T_297, 0, 0) @[Bitwise.scala 72:15] + node _T_299 = mux(_T_298, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_300 = not(_T_299) @[el2_dec_tlu_ctl.scala 600:22] + node i0_trigger_r = and(_T_300, i0trigger_qual_r) @[el2_dec_tlu_ctl.scala 600:83] + node _T_301 = bits(i0_trigger_r, 3, 3) @[el2_dec_tlu_ctl.scala 603:52] + node _T_302 = bits(mtdata1_t[2], 5, 5) @[el2_dec_tlu_ctl.scala 603:72] + node _T_303 = not(_T_302) @[el2_dec_tlu_ctl.scala 603:59] + node _T_304 = bits(i0_trigger_r, 2, 2) @[el2_dec_tlu_ctl.scala 603:102] + node _T_305 = or(_T_303, _T_304) @[el2_dec_tlu_ctl.scala 603:88] + node _T_306 = and(_T_301, _T_305) @[el2_dec_tlu_ctl.scala 603:56] + node _T_307 = bits(i0_trigger_r, 2, 2) @[el2_dec_tlu_ctl.scala 603:120] + node _T_308 = bits(mtdata1_t[2], 5, 5) @[el2_dec_tlu_ctl.scala 603:140] + node _T_309 = not(_T_308) @[el2_dec_tlu_ctl.scala 603:127] + node _T_310 = bits(i0_trigger_r, 3, 3) @[el2_dec_tlu_ctl.scala 603:170] + node _T_311 = or(_T_309, _T_310) @[el2_dec_tlu_ctl.scala 603:156] + node _T_312 = and(_T_307, _T_311) @[el2_dec_tlu_ctl.scala 603:124] + node _T_313 = bits(i0_trigger_r, 1, 1) @[el2_dec_tlu_ctl.scala 603:188] + node _T_314 = bits(mtdata1_t[0], 5, 5) @[el2_dec_tlu_ctl.scala 603:208] + node _T_315 = not(_T_314) @[el2_dec_tlu_ctl.scala 603:195] + node _T_316 = bits(i0_trigger_r, 0, 0) @[el2_dec_tlu_ctl.scala 603:238] + node _T_317 = or(_T_315, _T_316) @[el2_dec_tlu_ctl.scala 603:224] + node _T_318 = and(_T_313, _T_317) @[el2_dec_tlu_ctl.scala 603:192] + node _T_319 = bits(i0_trigger_r, 0, 0) @[el2_dec_tlu_ctl.scala 603:256] + node _T_320 = bits(mtdata1_t[0], 5, 5) @[el2_dec_tlu_ctl.scala 603:276] + node _T_321 = not(_T_320) @[el2_dec_tlu_ctl.scala 603:263] + node _T_322 = bits(i0_trigger_r, 1, 1) @[el2_dec_tlu_ctl.scala 603:306] + node _T_323 = or(_T_321, _T_322) @[el2_dec_tlu_ctl.scala 603:292] + node _T_324 = and(_T_319, _T_323) @[el2_dec_tlu_ctl.scala 603:260] + node _T_325 = cat(_T_318, _T_324) @[Cat.scala 29:58] + node _T_326 = cat(_T_306, _T_312) @[Cat.scala 29:58] + node i0_trigger_chain_masked_r = cat(_T_326, _T_325) @[Cat.scala 29:58] + node i0_trigger_hit_raw_r = orr(i0_trigger_chain_masked_r) @[el2_dec_tlu_ctl.scala 606:56] + i0_trigger_hit_r <= i0_trigger_hit_raw_r @[el2_dec_tlu_ctl.scala 608:25] + node _T_327 = bits(mtdata1_t[3], 6, 6) @[el2_dec_tlu_ctl.scala 612:44] + node _T_328 = bits(mtdata1_t[3], 9, 9) @[el2_dec_tlu_ctl.scala 612:75] + node _T_329 = and(_T_327, _T_328) @[el2_dec_tlu_ctl.scala 612:61] + node _T_330 = bits(mtdata1_t[2], 6, 6) @[el2_dec_tlu_ctl.scala 612:104] + node _T_331 = bits(mtdata1_t[2], 9, 9) @[el2_dec_tlu_ctl.scala 612:135] + node _T_332 = and(_T_330, _T_331) @[el2_dec_tlu_ctl.scala 612:121] + node _T_333 = bits(mtdata1_t[1], 6, 6) @[el2_dec_tlu_ctl.scala 612:164] + node _T_334 = bits(mtdata1_t[1], 9, 9) @[el2_dec_tlu_ctl.scala 612:195] + node _T_335 = and(_T_333, _T_334) @[el2_dec_tlu_ctl.scala 612:181] + node _T_336 = bits(mtdata1_t[0], 6, 6) @[el2_dec_tlu_ctl.scala 612:224] + node _T_337 = bits(mtdata1_t[0], 9, 9) @[el2_dec_tlu_ctl.scala 612:255] + node _T_338 = and(_T_336, _T_337) @[el2_dec_tlu_ctl.scala 612:241] + node _T_339 = cat(_T_335, _T_338) @[Cat.scala 29:58] + node _T_340 = cat(_T_329, _T_332) @[Cat.scala 29:58] + node trigger_action = cat(_T_340, _T_339) @[Cat.scala 29:58] + node _T_341 = bits(i0_trigger_hit_r, 0, 0) @[Bitwise.scala 72:15] + node _T_342 = mux(_T_341, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node update_hit_bit_r = and(_T_342, i0_trigger_chain_masked_r) @[el2_dec_tlu_ctl.scala 615:56] + node _T_343 = and(i0_trigger_chain_masked_r, trigger_action) @[el2_dec_tlu_ctl.scala 618:56] + node i0_trigger_action_r = orr(_T_343) @[el2_dec_tlu_ctl.scala 618:74] + node _T_344 = and(i0_trigger_hit_r, i0_trigger_action_r) @[el2_dec_tlu_ctl.scala 620:44] + trigger_hit_dmode_r <= _T_344 @[el2_dec_tlu_ctl.scala 620:23] + node _T_345 = not(trigger_hit_dmode_r) @[el2_dec_tlu_ctl.scala 622:54] + node mepc_trigger_hit_sel_pc_r = and(i0_trigger_hit_r, _T_345) @[el2_dec_tlu_ctl.scala 622:52] + node _T_346 = not(io.dec_tlu_debug_mode) @[el2_dec_tlu_ctl.scala 649:56] + node _T_347 = and(i_cpu_halt_req_sync, _T_346) @[el2_dec_tlu_ctl.scala 649:54] + node _T_348 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 649:81] + node i_cpu_halt_req_sync_qual = and(_T_347, _T_348) @[el2_dec_tlu_ctl.scala 649:79] + node _T_349 = not(io.dec_tlu_debug_mode) @[el2_dec_tlu_ctl.scala 650:54] + node _T_350 = and(i_cpu_run_req_sync, _T_349) @[el2_dec_tlu_ctl.scala 650:52] + node _T_351 = and(_T_350, pmu_fw_tlu_halted_f) @[el2_dec_tlu_ctl.scala 650:77] + node _T_352 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 650:101] + node i_cpu_run_req_sync_qual = and(_T_351, _T_352) @[el2_dec_tlu_ctl.scala 650:99] + reg i_cpu_halt_req_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 652:80] + i_cpu_halt_req_d1 <= i_cpu_halt_req_sync_qual @[el2_dec_tlu_ctl.scala 652:80] + reg i_cpu_run_req_d1_raw : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 653:72] + i_cpu_run_req_d1_raw <= i_cpu_run_req_sync_qual @[el2_dec_tlu_ctl.scala 653:72] + reg _T_353 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 654:73] + _T_353 <= cpu_halt_status @[el2_dec_tlu_ctl.scala 654:73] + io.o_cpu_halt_status <= _T_353 @[el2_dec_tlu_ctl.scala 654:41] + reg _T_354 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 655:81] + _T_354 <= cpu_halt_ack @[el2_dec_tlu_ctl.scala 655:81] + io.o_cpu_halt_ack <= _T_354 @[el2_dec_tlu_ctl.scala 655:49] + reg _T_355 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 656:81] + _T_355 <= cpu_run_ack @[el2_dec_tlu_ctl.scala 656:81] + io.o_cpu_run_ack <= _T_355 @[el2_dec_tlu_ctl.scala 656:49] + reg internal_pmu_fw_halt_mode_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 657:66] + internal_pmu_fw_halt_mode_f <= internal_pmu_fw_halt_mode @[el2_dec_tlu_ctl.scala 657:66] + reg _T_356 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 658:73] + _T_356 <= pmu_fw_halt_req_ns @[el2_dec_tlu_ctl.scala 658:73] + pmu_fw_halt_req_f <= _T_356 @[el2_dec_tlu_ctl.scala 658:41] + reg _T_357 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 659:73] + _T_357 <= pmu_fw_tlu_halted @[el2_dec_tlu_ctl.scala 659:73] + pmu_fw_tlu_halted_f <= _T_357 @[el2_dec_tlu_ctl.scala 659:41] + reg _T_358 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 660:65] + _T_358 <= int_timer0_int_hold @[el2_dec_tlu_ctl.scala 660:65] + int_timer0_int_hold_f <= _T_358 @[el2_dec_tlu_ctl.scala 660:33] + reg _T_359 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 661:65] + _T_359 <= int_timer1_int_hold @[el2_dec_tlu_ctl.scala 661:65] + int_timer1_int_hold_f <= _T_359 @[el2_dec_tlu_ctl.scala 661:33] + node _T_360 = not(i_cpu_halt_req_d1) @[el2_dec_tlu_ctl.scala 665:51] + node ext_halt_pulse = and(i_cpu_halt_req_sync_qual, _T_360) @[el2_dec_tlu_ctl.scala 665:49] + node enter_pmu_fw_halt_req = or(ext_halt_pulse, fw_halt_req) @[el2_dec_tlu_ctl.scala 666:47] + node _T_361 = not(pmu_fw_tlu_halted) @[el2_dec_tlu_ctl.scala 667:71] + node _T_362 = and(pmu_fw_halt_req_f, _T_361) @[el2_dec_tlu_ctl.scala 667:69] + node _T_363 = or(enter_pmu_fw_halt_req, _T_362) @[el2_dec_tlu_ctl.scala 667:48] + node _T_364 = not(debug_halt_req_f) @[el2_dec_tlu_ctl.scala 667:94] + node _T_365 = and(_T_363, _T_364) @[el2_dec_tlu_ctl.scala 667:92] + pmu_fw_halt_req_ns <= _T_365 @[el2_dec_tlu_ctl.scala 667:22] + node _T_366 = not(i_cpu_run_req_d1) @[el2_dec_tlu_ctl.scala 668:84] + node _T_367 = and(internal_pmu_fw_halt_mode_f, _T_366) @[el2_dec_tlu_ctl.scala 668:82] + node _T_368 = not(debug_halt_req_f) @[el2_dec_tlu_ctl.scala 668:104] + node _T_369 = and(_T_367, _T_368) @[el2_dec_tlu_ctl.scala 668:102] + node _T_370 = or(pmu_fw_halt_req_ns, _T_369) @[el2_dec_tlu_ctl.scala 668:51] + internal_pmu_fw_halt_mode <= _T_370 @[el2_dec_tlu_ctl.scala 668:29] + node _T_371 = and(pmu_fw_halt_req_f, core_empty) @[el2_dec_tlu_ctl.scala 671:44] + node _T_372 = and(_T_371, halt_taken) @[el2_dec_tlu_ctl.scala 671:57] + node _T_373 = not(enter_debug_halt_req) @[el2_dec_tlu_ctl.scala 671:72] + node _T_374 = and(_T_372, _T_373) @[el2_dec_tlu_ctl.scala 671:70] + node _T_375 = not(i_cpu_run_req_d1) @[el2_dec_tlu_ctl.scala 671:120] + node _T_376 = and(pmu_fw_tlu_halted_f, _T_375) @[el2_dec_tlu_ctl.scala 671:118] + node _T_377 = or(_T_374, _T_376) @[el2_dec_tlu_ctl.scala 671:95] + node _T_378 = not(debug_halt_req_f) @[el2_dec_tlu_ctl.scala 671:142] + node _T_379 = and(_T_377, _T_378) @[el2_dec_tlu_ctl.scala 671:140] + pmu_fw_tlu_halted <= _T_379 @[el2_dec_tlu_ctl.scala 671:21] + node _T_380 = and(i_cpu_halt_req_d1, pmu_fw_tlu_halted_f) @[el2_dec_tlu_ctl.scala 673:37] + cpu_halt_ack <= _T_380 @[el2_dec_tlu_ctl.scala 673:16] + node _T_381 = not(i_cpu_run_req_d1) @[el2_dec_tlu_ctl.scala 674:45] + node _T_382 = and(pmu_fw_tlu_halted_f, _T_381) @[el2_dec_tlu_ctl.scala 674:43] + node _T_383 = not(i_cpu_run_req_d1) @[el2_dec_tlu_ctl.scala 674:90] + node _T_384 = and(io.o_cpu_halt_status, _T_383) @[el2_dec_tlu_ctl.scala 674:88] + node _T_385 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 674:110] + node _T_386 = and(_T_384, _T_385) @[el2_dec_tlu_ctl.scala 674:108] + node _T_387 = or(_T_382, _T_386) @[el2_dec_tlu_ctl.scala 674:64] + cpu_halt_status <= _T_387 @[el2_dec_tlu_ctl.scala 674:19] + node _T_388 = and(io.o_cpu_halt_status, i_cpu_run_req_sync_qual) @[el2_dec_tlu_ctl.scala 675:40] + node _T_389 = and(io.o_cpu_run_ack, i_cpu_run_req_sync_qual) @[el2_dec_tlu_ctl.scala 675:87] + node _T_390 = or(_T_388, _T_389) @[el2_dec_tlu_ctl.scala 675:67] + cpu_run_ack <= _T_390 @[el2_dec_tlu_ctl.scala 675:15] + io.o_debug_mode_status <= debug_mode_status @[el2_dec_tlu_ctl.scala 677:26] + node _T_391 = or(nmi_int_detected, timer_int_ready) @[el2_dec_tlu_ctl.scala 680:65] + node _T_392 = or(_T_391, soft_int_ready) @[el2_dec_tlu_ctl.scala 680:83] + node _T_393 = or(_T_392, int_timer0_int_hold_f) @[el2_dec_tlu_ctl.scala 680:100] + node _T_394 = or(_T_393, int_timer1_int_hold_f) @[el2_dec_tlu_ctl.scala 680:124] + node _T_395 = and(io.mhwakeup, mhwakeup_ready) @[el2_dec_tlu_ctl.scala 680:163] + node _T_396 = or(_T_394, _T_395) @[el2_dec_tlu_ctl.scala 680:148] + node _T_397 = and(_T_396, io.o_cpu_halt_status) @[el2_dec_tlu_ctl.scala 680:182] + node _T_398 = not(i_cpu_halt_req_d1) @[el2_dec_tlu_ctl.scala 680:207] + node _T_399 = and(_T_397, _T_398) @[el2_dec_tlu_ctl.scala 680:205] + node _T_400 = or(i_cpu_run_req_d1_raw, _T_399) @[el2_dec_tlu_ctl.scala 680:44] + i_cpu_run_req_d1 <= _T_400 @[el2_dec_tlu_ctl.scala 680:20] + reg _T_401 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 686:89] + _T_401 <= mdseac_locked_ns @[el2_dec_tlu_ctl.scala 686:89] + mdseac_locked_f <= _T_401 @[el2_dec_tlu_ctl.scala 686:57] + reg lsu_single_ecc_error_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 687:64] + lsu_single_ecc_error_r_d1 <= io.lsu_single_ecc_error_incr @[el2_dec_tlu_ctl.scala 687:64] + node _T_402 = not(io.dec_tlu_flush_lower_wb) @[el2_dec_tlu_ctl.scala 689:60] + node lsu_exc_valid_r_raw = and(io.lsu_error_pkt_r.exc_valid, _T_402) @[el2_dec_tlu_ctl.scala 689:58] + lsu_i0_exc_r_raw <= io.lsu_error_pkt_r.exc_valid @[el2_dec_tlu_ctl.scala 690:20] + node _T_403 = and(lsu_i0_exc_r_raw, lsu_exc_valid_r_raw) @[el2_dec_tlu_ctl.scala 691:39] + node _T_404 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 691:63] + node _T_405 = and(_T_403, _T_404) @[el2_dec_tlu_ctl.scala 691:61] + node _T_406 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 691:83] + node lsu_exc_valid_r = and(_T_405, _T_406) @[el2_dec_tlu_ctl.scala 691:81] + reg _T_407 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 693:74] + _T_407 <= lsu_exc_valid_r @[el2_dec_tlu_ctl.scala 693:74] + lsu_exc_valid_r_d1 <= _T_407 @[el2_dec_tlu_ctl.scala 693:41] + reg lsu_i0_exc_r_d1 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 694:73] + lsu_i0_exc_r_d1 <= lsu_exc_valid_r @[el2_dec_tlu_ctl.scala 694:73] + node _T_408 = not(io.lsu_error_pkt_r.exc_type) @[el2_dec_tlu_ctl.scala 695:39] + node lsu_exc_ma_r = and(lsu_exc_valid_r, _T_408) @[el2_dec_tlu_ctl.scala 695:37] + node lsu_exc_acc_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.exc_type) @[el2_dec_tlu_ctl.scala 696:37] + node lsu_exc_st_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.inst_type) @[el2_dec_tlu_ctl.scala 697:37] + node _T_409 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 701:48] + node _T_410 = and(io.dec_tlu_i0_valid_r, _T_409) @[el2_dec_tlu_ctl.scala 701:46] + node _T_411 = not(io.lsu_error_pkt_r.inst_type) @[el2_dec_tlu_ctl.scala 701:69] + node _T_412 = and(_T_411, io.lsu_error_pkt_r.single_ecc_error) @[el2_dec_tlu_ctl.scala 701:99] + node lsu_i0_rfnpc_r = and(_T_410, _T_412) @[el2_dec_tlu_ctl.scala 701:66] + node _T_413 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 704:51] + node _T_414 = and(io.dec_tlu_i0_valid_r, _T_413) @[el2_dec_tlu_ctl.scala 704:49] + node _T_415 = not(lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 704:64] + node _T_416 = and(_T_414, _T_415) @[el2_dec_tlu_ctl.scala 704:62] + node _T_417 = not(inst_acc_r) @[el2_dec_tlu_ctl.scala 704:81] + node _T_418 = and(_T_416, _T_417) @[el2_dec_tlu_ctl.scala 704:78] + node _T_419 = not(io.dec_tlu_dbg_halted) @[el2_dec_tlu_ctl.scala 704:95] + node _T_420 = and(_T_418, _T_419) @[el2_dec_tlu_ctl.scala 704:93] + node _T_421 = not(request_debug_mode_r_d1) @[el2_dec_tlu_ctl.scala 704:120] + node _T_422 = and(_T_420, _T_421) @[el2_dec_tlu_ctl.scala 704:118] + node _T_423 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 704:147] + node tlu_i0_commit_cmt = and(_T_422, _T_423) @[el2_dec_tlu_ctl.scala 704:145] + node _T_424 = or(rfpc_i0_r, lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 707:37] + node _T_425 = or(_T_424, inst_acc_r) @[el2_dec_tlu_ctl.scala 707:52] + node _T_426 = and(illegal_r, io.dec_tlu_dbg_halted) @[el2_dec_tlu_ctl.scala 707:78] + node _T_427 = or(_T_425, _T_426) @[el2_dec_tlu_ctl.scala 707:65] + node _T_428 = or(_T_427, i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 707:103] + tlu_i0_kill_writeb_r <= _T_428 @[el2_dec_tlu_ctl.scala 707:24] + io.dec_tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[el2_dec_tlu_ctl.scala 708:28] + node _T_429 = not(tlu_flush_lower_r_d1) @[el2_dec_tlu_ctl.scala 713:43] + node _T_430 = and(io.dec_tlu_i0_valid_r, _T_429) @[el2_dec_tlu_ctl.scala 713:41] + node _T_431 = or(io.exu_i0_br_error_r, io.exu_i0_br_start_error_r) @[el2_dec_tlu_ctl.scala 713:89] + node _T_432 = and(_T_430, _T_431) @[el2_dec_tlu_ctl.scala 713:65] + node _T_433 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[el2_dec_tlu_ctl.scala 713:137] + node _T_434 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 713:158] + node _T_435 = and(_T_433, _T_434) @[el2_dec_tlu_ctl.scala 713:156] + node _T_436 = or(_T_432, _T_435) @[el2_dec_tlu_ctl.scala 713:120] + node _T_437 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 713:182] + node _T_438 = and(_T_436, _T_437) @[el2_dec_tlu_ctl.scala 713:179] + node _T_439 = not(lsu_i0_rfnpc_r) @[el2_dec_tlu_ctl.scala 713:203] + node _T_440 = and(_T_438, _T_439) @[el2_dec_tlu_ctl.scala 713:200] + rfpc_i0_r <= _T_440 @[el2_dec_tlu_ctl.scala 713:13] + node _T_441 = not(io.dec_tlu_flush_lower_r) @[el2_dec_tlu_ctl.scala 716:69] + node _T_442 = and(iccm_repair_state_d1, _T_441) @[el2_dec_tlu_ctl.scala 716:67] + node _T_443 = or(iccm_sbecc_r_d1, _T_442) @[el2_dec_tlu_ctl.scala 716:43] + iccm_repair_state_ns <= _T_443 @[el2_dec_tlu_ctl.scala 716:24] + node _T_444 = and(tlu_i0_commit_cmt, iccm_repair_state_d1) @[el2_dec_tlu_ctl.scala 722:51] + node _T_445 = or(ebreak_r, ecall_r) @[el2_dec_tlu_ctl.scala 722:87] + node _T_446 = or(_T_445, mret_r) @[el2_dec_tlu_ctl.scala 722:97] + node _T_447 = or(_T_446, take_reset) @[el2_dec_tlu_ctl.scala 722:106] + node _T_448 = or(_T_447, illegal_r) @[el2_dec_tlu_ctl.scala 722:119] + node _T_449 = eq(io.dec_csr_wraddr_r, UInt<12>("h07c2")) @[el2_dec_tlu_ctl.scala 722:175] + node _T_450 = and(dec_csr_wen_r_mod, _T_449) @[el2_dec_tlu_ctl.scala 722:152] + node _T_451 = or(_T_448, _T_450) @[el2_dec_tlu_ctl.scala 722:131] + node _T_452 = not(_T_451) @[el2_dec_tlu_ctl.scala 722:76] + node iccm_repair_state_rfnpc = and(_T_444, _T_452) @[el2_dec_tlu_ctl.scala 722:74] + node _T_453 = and(io.exu_i0_br_error_r, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 725:50] + node _T_454 = not(tlu_flush_lower_r_d1) @[el2_dec_tlu_ctl.scala 725:76] + node dec_tlu_br0_error_r = and(_T_453, _T_454) @[el2_dec_tlu_ctl.scala 725:74] + node _T_455 = and(io.exu_i0_br_start_error_r, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 726:62] + node _T_456 = not(tlu_flush_lower_r_d1) @[el2_dec_tlu_ctl.scala 726:88] + node dec_tlu_br0_start_error_r = and(_T_455, _T_456) @[el2_dec_tlu_ctl.scala 726:86] + node _T_457 = and(io.exu_i0_br_valid_r, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 727:46] + node _T_458 = not(tlu_flush_lower_r_d1) @[el2_dec_tlu_ctl.scala 727:72] + node _T_459 = and(_T_457, _T_458) @[el2_dec_tlu_ctl.scala 727:70] + node _T_460 = not(io.exu_i0_br_mp_r) @[el2_dec_tlu_ctl.scala 727:97] + node _T_461 = not(io.exu_pmu_i0_br_ataken) @[el2_dec_tlu_ctl.scala 727:118] + node _T_462 = or(_T_460, _T_461) @[el2_dec_tlu_ctl.scala 727:116] + node dec_tlu_br0_v_r = and(_T_459, _T_462) @[el2_dec_tlu_ctl.scala 727:94] + io.dec_tlu_br0_r_pkt.hist <= io.exu_i0_br_hist_r @[el2_dec_tlu_ctl.scala 730:49] + io.dec_tlu_br0_r_pkt.br_error <= dec_tlu_br0_error_r @[el2_dec_tlu_ctl.scala 731:49] + io.dec_tlu_br0_r_pkt.br_start_error <= dec_tlu_br0_start_error_r @[el2_dec_tlu_ctl.scala 732:41] + io.dec_tlu_br0_r_pkt.valid <= dec_tlu_br0_v_r @[el2_dec_tlu_ctl.scala 733:49] + io.dec_tlu_br0_r_pkt.way <= io.exu_i0_br_way_r @[el2_dec_tlu_ctl.scala 734:49] + io.dec_tlu_br0_r_pkt.middle <= io.exu_i0_br_middle_r @[el2_dec_tlu_ctl.scala 735:49] + node _T_463 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 738:51] + node _T_464 = and(_T_463, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 738:64] + node _T_465 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 738:90] + node _T_466 = and(_T_464, _T_465) @[el2_dec_tlu_ctl.scala 738:88] + node _T_467 = bits(dcsr, 15, 15) @[el2_dec_tlu_ctl.scala 738:115] + node _T_468 = not(_T_467) @[el2_dec_tlu_ctl.scala 738:110] + node _T_469 = and(_T_466, _T_468) @[el2_dec_tlu_ctl.scala 738:108] + node _T_470 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 738:132] + node _T_471 = and(_T_469, _T_470) @[el2_dec_tlu_ctl.scala 738:130] + ebreak_r <= _T_471 @[el2_dec_tlu_ctl.scala 738:13] + node _T_472 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h09")) @[el2_dec_tlu_ctl.scala 739:51] + node _T_473 = and(_T_472, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 739:64] + node _T_474 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 739:90] + node _T_475 = and(_T_473, _T_474) @[el2_dec_tlu_ctl.scala 739:88] + node _T_476 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 739:110] + node _T_477 = and(_T_475, _T_476) @[el2_dec_tlu_ctl.scala 739:108] + ecall_r <= _T_477 @[el2_dec_tlu_ctl.scala 739:13] + node _T_478 = not(io.dec_tlu_packet_r.legal) @[el2_dec_tlu_ctl.scala 740:17] + node _T_479 = and(_T_478, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 740:46] + node _T_480 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 740:72] + node _T_481 = and(_T_479, _T_480) @[el2_dec_tlu_ctl.scala 740:70] + node _T_482 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 740:92] + node _T_483 = and(_T_481, _T_482) @[el2_dec_tlu_ctl.scala 740:90] + illegal_r <= _T_483 @[el2_dec_tlu_ctl.scala 740:13] + node _T_484 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h0c")) @[el2_dec_tlu_ctl.scala 741:51] + node _T_485 = and(_T_484, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 741:64] + node _T_486 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 741:90] + node _T_487 = and(_T_485, _T_486) @[el2_dec_tlu_ctl.scala 741:88] + node _T_488 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 741:110] + node _T_489 = and(_T_487, _T_488) @[el2_dec_tlu_ctl.scala 741:108] + mret_r <= _T_489 @[el2_dec_tlu_ctl.scala 741:13] + node _T_490 = and(io.dec_tlu_packet_r.fence_i, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 743:49] + node _T_491 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 743:75] + node _T_492 = and(_T_490, _T_491) @[el2_dec_tlu_ctl.scala 743:73] + node _T_493 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 743:96] + node _T_494 = and(_T_492, _T_493) @[el2_dec_tlu_ctl.scala 743:94] + fence_i_r <= _T_494 @[el2_dec_tlu_ctl.scala 743:16] + node _T_495 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 744:44] + node _T_496 = and(io.ifu_ic_error_start, _T_495) @[el2_dec_tlu_ctl.scala 744:42] + node _T_497 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 744:66] + node _T_498 = or(_T_497, dcsr_single_step_running) @[el2_dec_tlu_ctl.scala 744:92] + node _T_499 = and(_T_496, _T_498) @[el2_dec_tlu_ctl.scala 744:63] + node _T_500 = not(internal_pmu_fw_halt_mode_f) @[el2_dec_tlu_ctl.scala 744:122] + node _T_501 = and(_T_499, _T_500) @[el2_dec_tlu_ctl.scala 744:120] + ic_perr_r <= _T_501 @[el2_dec_tlu_ctl.scala 744:16] + node _T_502 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 745:52] + node _T_503 = and(io.ifu_iccm_rd_ecc_single_err, _T_502) @[el2_dec_tlu_ctl.scala 745:50] + node _T_504 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 745:74] + node _T_505 = or(_T_504, dcsr_single_step_running) @[el2_dec_tlu_ctl.scala 745:100] + node _T_506 = and(_T_503, _T_505) @[el2_dec_tlu_ctl.scala 745:71] + node _T_507 = not(internal_pmu_fw_halt_mode_f) @[el2_dec_tlu_ctl.scala 745:130] + node _T_508 = and(_T_506, _T_507) @[el2_dec_tlu_ctl.scala 745:128] + iccm_sbecc_r <= _T_508 @[el2_dec_tlu_ctl.scala 745:16] + node _T_509 = and(io.dec_tlu_packet_r.icaf, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 746:48] + inst_acc_r_raw <= _T_509 @[el2_dec_tlu_ctl.scala 746:19] + node _T_510 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 747:34] + node _T_511 = and(inst_acc_r_raw, _T_510) @[el2_dec_tlu_ctl.scala 747:32] + node _T_512 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 747:47] + node _T_513 = and(_T_511, _T_512) @[el2_dec_tlu_ctl.scala 747:45] + inst_acc_r <= _T_513 @[el2_dec_tlu_ctl.scala 747:14] + node _T_514 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[el2_dec_tlu_ctl.scala 750:63] + node _T_515 = and(_T_514, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 750:76] + node _T_516 = not(i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 750:102] + node _T_517 = and(_T_515, _T_516) @[el2_dec_tlu_ctl.scala 750:100] + node _T_518 = bits(dcsr, 15, 15) @[el2_dec_tlu_ctl.scala 750:126] + node _T_519 = and(_T_517, _T_518) @[el2_dec_tlu_ctl.scala 750:120] + node _T_520 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 750:143] + node _T_521 = and(_T_519, _T_520) @[el2_dec_tlu_ctl.scala 750:141] + ebreak_to_debug_mode_r <= _T_521 @[el2_dec_tlu_ctl.scala 750:26] + reg _T_522 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 752:58] + _T_522 <= ebreak_to_debug_mode_r @[el2_dec_tlu_ctl.scala 752:58] + ebreak_to_debug_mode_r_d1 <= _T_522 @[el2_dec_tlu_ctl.scala 752:28] + io.dec_tlu_fence_i_r <= fence_i_r @[el2_dec_tlu_ctl.scala 753:24] + node _T_523 = or(ebreak_r, ecall_r) @[el2_dec_tlu_ctl.scala 766:40] + node _T_524 = or(_T_523, illegal_r) @[el2_dec_tlu_ctl.scala 766:50] + node _T_525 = or(_T_524, inst_acc_r) @[el2_dec_tlu_ctl.scala 766:62] + node _T_526 = not(rfpc_i0_r) @[el2_dec_tlu_ctl.scala 766:78] + node _T_527 = and(_T_525, _T_526) @[el2_dec_tlu_ctl.scala 766:76] + node _T_528 = not(io.dec_tlu_dbg_halted) @[el2_dec_tlu_ctl.scala 766:91] + node i0_exception_valid_r = and(_T_527, _T_528) @[el2_dec_tlu_ctl.scala 766:89] + node _T_529 = not(take_nmi) @[el2_dec_tlu_ctl.scala 775:21] + node _T_530 = and(take_ext_int, _T_529) @[el2_dec_tlu_ctl.scala 775:19] + node _T_531 = bits(_T_530, 0, 0) @[el2_dec_tlu_ctl.scala 775:32] + node _T_532 = not(take_nmi) @[el2_dec_tlu_ctl.scala 776:23] + node _T_533 = and(take_timer_int, _T_532) @[el2_dec_tlu_ctl.scala 776:21] + node _T_534 = bits(_T_533, 0, 0) @[el2_dec_tlu_ctl.scala 776:34] + node _T_535 = not(take_nmi) @[el2_dec_tlu_ctl.scala 777:22] + node _T_536 = and(take_soft_int, _T_535) @[el2_dec_tlu_ctl.scala 777:20] + node _T_537 = bits(_T_536, 0, 0) @[el2_dec_tlu_ctl.scala 777:33] + node _T_538 = not(take_nmi) @[el2_dec_tlu_ctl.scala 778:28] + node _T_539 = and(take_int_timer0_int, _T_538) @[el2_dec_tlu_ctl.scala 778:26] + node _T_540 = bits(_T_539, 0, 0) @[el2_dec_tlu_ctl.scala 778:39] + node _T_541 = not(take_nmi) @[el2_dec_tlu_ctl.scala 779:28] + node _T_542 = and(take_int_timer1_int, _T_541) @[el2_dec_tlu_ctl.scala 779:26] + node _T_543 = bits(_T_542, 0, 0) @[el2_dec_tlu_ctl.scala 779:39] + node _T_544 = not(take_nmi) @[el2_dec_tlu_ctl.scala 780:20] + node _T_545 = and(take_ce_int, _T_544) @[el2_dec_tlu_ctl.scala 780:18] + node _T_546 = bits(_T_545, 0, 0) @[el2_dec_tlu_ctl.scala 780:31] + node _T_547 = not(take_nmi) @[el2_dec_tlu_ctl.scala 781:18] + node _T_548 = and(illegal_r, _T_547) @[el2_dec_tlu_ctl.scala 781:16] + node _T_549 = bits(_T_548, 0, 0) @[el2_dec_tlu_ctl.scala 781:29] + node _T_550 = not(take_nmi) @[el2_dec_tlu_ctl.scala 782:17] + node _T_551 = and(ecall_r, _T_550) @[el2_dec_tlu_ctl.scala 782:15] + node _T_552 = bits(_T_551, 0, 0) @[el2_dec_tlu_ctl.scala 782:28] + node _T_553 = not(take_nmi) @[el2_dec_tlu_ctl.scala 783:20] + node _T_554 = and(inst_acc_r, _T_553) @[el2_dec_tlu_ctl.scala 783:18] + node _T_555 = bits(_T_554, 0, 0) @[el2_dec_tlu_ctl.scala 783:31] + node _T_556 = or(ebreak_r, i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 784:16] + node _T_557 = not(take_nmi) @[el2_dec_tlu_ctl.scala 784:38] + node _T_558 = and(_T_556, _T_557) @[el2_dec_tlu_ctl.scala 784:36] + node _T_559 = bits(_T_558, 0, 0) @[el2_dec_tlu_ctl.scala 784:49] + node _T_560 = not(lsu_exc_st_r) @[el2_dec_tlu_ctl.scala 785:21] + node _T_561 = and(lsu_exc_ma_r, _T_560) @[el2_dec_tlu_ctl.scala 785:19] + node _T_562 = not(take_nmi) @[el2_dec_tlu_ctl.scala 785:37] + node _T_563 = and(_T_561, _T_562) @[el2_dec_tlu_ctl.scala 785:35] + node _T_564 = bits(_T_563, 0, 0) @[el2_dec_tlu_ctl.scala 785:48] + node _T_565 = not(lsu_exc_st_r) @[el2_dec_tlu_ctl.scala 786:22] + node _T_566 = and(lsu_exc_acc_r, _T_565) @[el2_dec_tlu_ctl.scala 786:20] + node _T_567 = not(take_nmi) @[el2_dec_tlu_ctl.scala 786:38] + node _T_568 = and(_T_566, _T_567) @[el2_dec_tlu_ctl.scala 786:36] + node _T_569 = bits(_T_568, 0, 0) @[el2_dec_tlu_ctl.scala 786:49] + node _T_570 = and(lsu_exc_ma_r, lsu_exc_st_r) @[el2_dec_tlu_ctl.scala 787:19] + node _T_571 = not(take_nmi) @[el2_dec_tlu_ctl.scala 787:36] + node _T_572 = and(_T_570, _T_571) @[el2_dec_tlu_ctl.scala 787:34] + node _T_573 = bits(_T_572, 0, 0) @[el2_dec_tlu_ctl.scala 787:47] + node _T_574 = and(lsu_exc_acc_r, lsu_exc_st_r) @[el2_dec_tlu_ctl.scala 788:20] + node _T_575 = not(take_nmi) @[el2_dec_tlu_ctl.scala 788:37] + node _T_576 = and(_T_574, _T_575) @[el2_dec_tlu_ctl.scala 788:35] + node _T_577 = bits(_T_576, 0, 0) @[el2_dec_tlu_ctl.scala 788:48] + node _T_578 = mux(_T_531, UInt<5>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_579 = mux(_T_534, UInt<5>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_580 = mux(_T_537, UInt<5>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_581 = mux(_T_540, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_582 = mux(_T_543, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_583 = mux(_T_546, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_584 = mux(_T_549, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_585 = mux(_T_552, UInt<5>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_586 = mux(_T_555, UInt<5>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_587 = mux(_T_559, UInt<5>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_588 = mux(_T_564, UInt<5>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_589 = mux(_T_569, UInt<5>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_590 = mux(_T_573, UInt<5>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_591 = mux(_T_577, UInt<5>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_592 = or(_T_578, _T_579) @[Mux.scala 27:72] + node _T_593 = or(_T_592, _T_580) @[Mux.scala 27:72] + node _T_594 = or(_T_593, _T_581) @[Mux.scala 27:72] + node _T_595 = or(_T_594, _T_582) @[Mux.scala 27:72] + node _T_596 = or(_T_595, _T_583) @[Mux.scala 27:72] + node _T_597 = or(_T_596, _T_584) @[Mux.scala 27:72] + node _T_598 = or(_T_597, _T_585) @[Mux.scala 27:72] + node _T_599 = or(_T_598, _T_586) @[Mux.scala 27:72] + node _T_600 = or(_T_599, _T_587) @[Mux.scala 27:72] + node _T_601 = or(_T_600, _T_588) @[Mux.scala 27:72] + node _T_602 = or(_T_601, _T_589) @[Mux.scala 27:72] + node _T_603 = or(_T_602, _T_590) @[Mux.scala 27:72] + node _T_604 = or(_T_603, _T_591) @[Mux.scala 27:72] + wire exc_cause_r : UInt<5> @[Mux.scala 27:72] + exc_cause_r <= _T_604 @[Mux.scala 27:72] + node _T_605 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 799:23] + node _T_606 = and(_T_605, mstatus_mie_ns) @[el2_dec_tlu_ctl.scala 799:48] + node _T_607 = bits(mip, 2, 2) @[el2_dec_tlu_ctl.scala 799:70] + node _T_608 = and(_T_606, _T_607) @[el2_dec_tlu_ctl.scala 799:65] + node _T_609 = bits(mie_ns, 2, 2) @[el2_dec_tlu_ctl.scala 799:91] + node _T_610 = and(_T_608, _T_609) @[el2_dec_tlu_ctl.scala 799:83] + mhwakeup_ready <= _T_610 @[el2_dec_tlu_ctl.scala 799:19] + node _T_611 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 800:22] + node _T_612 = and(_T_611, mstatus_mie_ns) @[el2_dec_tlu_ctl.scala 800:47] + node _T_613 = bits(mip, 2, 2) @[el2_dec_tlu_ctl.scala 800:69] + node _T_614 = and(_T_612, _T_613) @[el2_dec_tlu_ctl.scala 800:64] + node _T_615 = bits(mie_ns, 2, 2) @[el2_dec_tlu_ctl.scala 800:90] + node _T_616 = and(_T_614, _T_615) @[el2_dec_tlu_ctl.scala 800:82] + node _T_617 = not(ignore_ext_int_due_to_lsu_stall) @[el2_dec_tlu_ctl.scala 800:103] + node _T_618 = and(_T_616, _T_617) @[el2_dec_tlu_ctl.scala 800:101] + ext_int_ready <= _T_618 @[el2_dec_tlu_ctl.scala 800:19] + node _T_619 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 801:22] + node _T_620 = and(_T_619, mstatus_mie_ns) @[el2_dec_tlu_ctl.scala 801:47] + node _T_621 = bits(mip, 5, 5) @[el2_dec_tlu_ctl.scala 801:69] + node _T_622 = and(_T_620, _T_621) @[el2_dec_tlu_ctl.scala 801:64] + node _T_623 = bits(mie_ns, 5, 5) @[el2_dec_tlu_ctl.scala 801:90] + node _T_624 = and(_T_622, _T_623) @[el2_dec_tlu_ctl.scala 801:82] + ce_int_ready <= _T_624 @[el2_dec_tlu_ctl.scala 801:19] + node _T_625 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 802:22] + node _T_626 = and(_T_625, mstatus_mie_ns) @[el2_dec_tlu_ctl.scala 802:47] + node _T_627 = bits(mip, 0, 0) @[el2_dec_tlu_ctl.scala 802:69] + node _T_628 = and(_T_626, _T_627) @[el2_dec_tlu_ctl.scala 802:64] + node _T_629 = bits(mie_ns, 0, 0) @[el2_dec_tlu_ctl.scala 802:90] + node _T_630 = and(_T_628, _T_629) @[el2_dec_tlu_ctl.scala 802:82] + soft_int_ready <= _T_630 @[el2_dec_tlu_ctl.scala 802:19] + node _T_631 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 803:22] + node _T_632 = and(_T_631, mstatus_mie_ns) @[el2_dec_tlu_ctl.scala 803:47] + node _T_633 = bits(mip, 1, 1) @[el2_dec_tlu_ctl.scala 803:69] + node _T_634 = and(_T_632, _T_633) @[el2_dec_tlu_ctl.scala 803:64] + node _T_635 = bits(mie_ns, 1, 1) @[el2_dec_tlu_ctl.scala 803:90] + node _T_636 = and(_T_634, _T_635) @[el2_dec_tlu_ctl.scala 803:82] + timer_int_ready <= _T_636 @[el2_dec_tlu_ctl.scala 803:19] + node _T_637 = bits(mie_ns, 4, 4) @[el2_dec_tlu_ctl.scala 806:56] + node int_timer0_int_possible = and(mstatus_mie_ns, _T_637) @[el2_dec_tlu_ctl.scala 806:48] + node _T_638 = bits(mip, 4, 4) @[el2_dec_tlu_ctl.scala 807:33] + node int_timer0_int_ready = and(_T_638, int_timer0_int_possible) @[el2_dec_tlu_ctl.scala 807:46] + node _T_639 = bits(mie_ns, 3, 3) @[el2_dec_tlu_ctl.scala 808:56] + node int_timer1_int_possible = and(mstatus_mie_ns, _T_639) @[el2_dec_tlu_ctl.scala 808:48] + node _T_640 = bits(mip, 3, 3) @[el2_dec_tlu_ctl.scala 809:33] + node int_timer1_int_ready = and(_T_640, int_timer1_int_possible) @[el2_dec_tlu_ctl.scala 809:46] + node _T_641 = or(io.dec_csr_stall_int_ff, synchronous_flush_r) @[el2_dec_tlu_ctl.scala 813:51] + node _T_642 = or(_T_641, exc_or_int_valid_r_d1) @[el2_dec_tlu_ctl.scala 813:73] + node int_timer_stalled = or(_T_642, mret_r) @[el2_dec_tlu_ctl.scala 813:97] + node _T_643 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[el2_dec_tlu_ctl.scala 815:71] + node _T_644 = and(int_timer0_int_ready, _T_643) @[el2_dec_tlu_ctl.scala 815:48] + node _T_645 = and(int_timer0_int_possible, int_timer0_int_hold_f) @[el2_dec_tlu_ctl.scala 815:120] + node _T_646 = not(interrupt_valid_r) @[el2_dec_tlu_ctl.scala 815:146] + node _T_647 = and(_T_645, _T_646) @[el2_dec_tlu_ctl.scala 815:144] + node _T_648 = not(take_ext_int_start) @[el2_dec_tlu_ctl.scala 815:167] + node _T_649 = and(_T_647, _T_648) @[el2_dec_tlu_ctl.scala 815:165] + node _T_650 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 815:189] + node _T_651 = and(_T_649, _T_650) @[el2_dec_tlu_ctl.scala 815:187] + node _T_652 = or(_T_644, _T_651) @[el2_dec_tlu_ctl.scala 815:93] + int_timer0_int_hold <= _T_652 @[el2_dec_tlu_ctl.scala 815:23] + node _T_653 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[el2_dec_tlu_ctl.scala 816:71] + node _T_654 = and(int_timer1_int_ready, _T_653) @[el2_dec_tlu_ctl.scala 816:48] + node _T_655 = and(int_timer1_int_possible, int_timer1_int_hold_f) @[el2_dec_tlu_ctl.scala 816:120] + node _T_656 = not(interrupt_valid_r) @[el2_dec_tlu_ctl.scala 816:146] + node _T_657 = and(_T_655, _T_656) @[el2_dec_tlu_ctl.scala 816:144] + node _T_658 = not(take_ext_int_start) @[el2_dec_tlu_ctl.scala 816:167] + node _T_659 = and(_T_657, _T_658) @[el2_dec_tlu_ctl.scala 816:165] + node _T_660 = not(debug_mode_status) @[el2_dec_tlu_ctl.scala 816:189] + node _T_661 = and(_T_659, _T_660) @[el2_dec_tlu_ctl.scala 816:187] + node _T_662 = or(_T_654, _T_661) @[el2_dec_tlu_ctl.scala 816:93] + int_timer1_int_hold <= _T_662 @[el2_dec_tlu_ctl.scala 816:23] + node _T_663 = not(dcsr_single_step_running) @[el2_dec_tlu_ctl.scala 818:58] + node _T_664 = and(debug_mode_status, _T_663) @[el2_dec_tlu_ctl.scala 818:56] + internal_dbg_halt_timers <= _T_664 @[el2_dec_tlu_ctl.scala 818:28] + node _T_665 = not(dcsr_single_step_running) @[el2_dec_tlu_ctl.scala 820:54] + node _T_666 = or(_T_665, io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 820:80] + node _T_667 = and(internal_dbg_halt_mode, _T_666) @[el2_dec_tlu_ctl.scala 820:51] + node _T_668 = or(_T_667, internal_pmu_fw_halt_mode) @[el2_dec_tlu_ctl.scala 820:106] + node _T_669 = or(_T_668, i_cpu_halt_req_d1) @[el2_dec_tlu_ctl.scala 820:134] + node _T_670 = or(_T_669, take_nmi) @[el2_dec_tlu_ctl.scala 820:154] + node _T_671 = or(_T_670, ebreak_to_debug_mode_r) @[el2_dec_tlu_ctl.scala 820:165] + node _T_672 = or(_T_671, synchronous_flush_r) @[el2_dec_tlu_ctl.scala 820:190] + node _T_673 = or(_T_672, exc_or_int_valid_r_d1) @[el2_dec_tlu_ctl.scala 820:213] + node _T_674 = or(_T_673, mret_r) @[el2_dec_tlu_ctl.scala 820:237] + node block_interrupts = or(_T_674, ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 820:246] + reg _T_675 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 824:58] + _T_675 <= take_ext_int_start @[el2_dec_tlu_ctl.scala 824:58] + take_ext_int_start_d1 <= _T_675 @[el2_dec_tlu_ctl.scala 824:26] + reg _T_676 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 825:58] + _T_676 <= take_ext_int_start_d1 @[el2_dec_tlu_ctl.scala 825:58] + take_ext_int_start_d2 <= _T_676 @[el2_dec_tlu_ctl.scala 825:26] + reg _T_677 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 826:58] + _T_677 <= take_ext_int_start_d2 @[el2_dec_tlu_ctl.scala 826:58] + take_ext_int_start_d3 <= _T_677 @[el2_dec_tlu_ctl.scala 826:26] + reg _T_678 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 827:58] + _T_678 <= ext_int_freeze @[el2_dec_tlu_ctl.scala 827:58] + ext_int_freeze_d1 <= _T_678 @[el2_dec_tlu_ctl.scala 827:26] + node _T_679 = not(block_interrupts) @[el2_dec_tlu_ctl.scala 828:43] + node _T_680 = and(ext_int_ready, _T_679) @[el2_dec_tlu_ctl.scala 828:41] + take_ext_int_start <= _T_680 @[el2_dec_tlu_ctl.scala 828:24] + node _T_681 = or(take_ext_int_start, take_ext_int_start_d1) @[el2_dec_tlu_ctl.scala 830:42] + node _T_682 = or(_T_681, take_ext_int_start_d2) @[el2_dec_tlu_ctl.scala 830:66] + node _T_683 = or(_T_682, take_ext_int_start_d3) @[el2_dec_tlu_ctl.scala 830:90] + ext_int_freeze <= _T_683 @[el2_dec_tlu_ctl.scala 830:20] + node _T_684 = orr(io.lsu_fir_error) @[el2_dec_tlu_ctl.scala 831:63] + node _T_685 = not(_T_684) @[el2_dec_tlu_ctl.scala 831:45] + node _T_686 = and(take_ext_int_start_d3, _T_685) @[el2_dec_tlu_ctl.scala 831:43] + take_ext_int <= _T_686 @[el2_dec_tlu_ctl.scala 831:18] + node _T_687 = and(csr_pkt.csr_meicpct, io.dec_csr_any_unq_d) @[el2_dec_tlu_ctl.scala 832:45] + fast_int_meicpct <= _T_687 @[el2_dec_tlu_ctl.scala 832:22] + ignore_ext_int_due_to_lsu_stall <= io.lsu_fastint_stall_any @[el2_dec_tlu_ctl.scala 833:37] + node _T_688 = not(ext_int_ready) @[el2_dec_tlu_ctl.scala 846:34] + node _T_689 = and(ce_int_ready, _T_688) @[el2_dec_tlu_ctl.scala 846:32] + node _T_690 = not(block_interrupts) @[el2_dec_tlu_ctl.scala 846:51] + node _T_691 = and(_T_689, _T_690) @[el2_dec_tlu_ctl.scala 846:49] + take_ce_int <= _T_691 @[el2_dec_tlu_ctl.scala 846:16] + node _T_692 = not(ext_int_ready) @[el2_dec_tlu_ctl.scala 847:37] + node _T_693 = and(soft_int_ready, _T_692) @[el2_dec_tlu_ctl.scala 847:35] + node _T_694 = not(ce_int_ready) @[el2_dec_tlu_ctl.scala 847:54] + node _T_695 = and(_T_693, _T_694) @[el2_dec_tlu_ctl.scala 847:52] + node _T_696 = not(block_interrupts) @[el2_dec_tlu_ctl.scala 847:70] + node _T_697 = and(_T_695, _T_696) @[el2_dec_tlu_ctl.scala 847:68] + take_soft_int <= _T_697 @[el2_dec_tlu_ctl.scala 847:17] + node _T_698 = not(soft_int_ready) @[el2_dec_tlu_ctl.scala 848:39] + node _T_699 = and(timer_int_ready, _T_698) @[el2_dec_tlu_ctl.scala 848:37] + node _T_700 = not(ext_int_ready) @[el2_dec_tlu_ctl.scala 848:57] + node _T_701 = and(_T_699, _T_700) @[el2_dec_tlu_ctl.scala 848:55] + node _T_702 = not(ce_int_ready) @[el2_dec_tlu_ctl.scala 848:74] + node _T_703 = and(_T_701, _T_702) @[el2_dec_tlu_ctl.scala 848:72] + node _T_704 = not(block_interrupts) @[el2_dec_tlu_ctl.scala 848:90] + node _T_705 = and(_T_703, _T_704) @[el2_dec_tlu_ctl.scala 848:88] + take_timer_int <= _T_705 @[el2_dec_tlu_ctl.scala 848:18] + node _T_706 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[el2_dec_tlu_ctl.scala 849:48] + node _T_707 = and(_T_706, int_timer0_int_possible) @[el2_dec_tlu_ctl.scala 849:73] + node _T_708 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 849:101] + node _T_709 = and(_T_707, _T_708) @[el2_dec_tlu_ctl.scala 849:99] + node _T_710 = not(timer_int_ready) @[el2_dec_tlu_ctl.scala 849:128] + node _T_711 = and(_T_709, _T_710) @[el2_dec_tlu_ctl.scala 849:126] + node _T_712 = not(soft_int_ready) @[el2_dec_tlu_ctl.scala 849:147] + node _T_713 = and(_T_711, _T_712) @[el2_dec_tlu_ctl.scala 849:145] + node _T_714 = not(ext_int_ready) @[el2_dec_tlu_ctl.scala 849:165] + node _T_715 = and(_T_713, _T_714) @[el2_dec_tlu_ctl.scala 849:163] + node _T_716 = not(ce_int_ready) @[el2_dec_tlu_ctl.scala 849:182] + node _T_717 = and(_T_715, _T_716) @[el2_dec_tlu_ctl.scala 849:180] + node _T_718 = not(block_interrupts) @[el2_dec_tlu_ctl.scala 849:198] + node _T_719 = and(_T_717, _T_718) @[el2_dec_tlu_ctl.scala 849:196] + take_int_timer0_int <= _T_719 @[el2_dec_tlu_ctl.scala 849:23] + node _T_720 = or(int_timer1_int_ready, int_timer1_int_hold_f) @[el2_dec_tlu_ctl.scala 850:48] + node _T_721 = and(_T_720, int_timer1_int_possible) @[el2_dec_tlu_ctl.scala 850:73] + node _T_722 = not(io.dec_csr_stall_int_ff) @[el2_dec_tlu_ctl.scala 850:101] + node _T_723 = and(_T_721, _T_722) @[el2_dec_tlu_ctl.scala 850:99] + node _T_724 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[el2_dec_tlu_ctl.scala 850:151] + node _T_725 = not(_T_724) @[el2_dec_tlu_ctl.scala 850:128] + node _T_726 = and(_T_723, _T_725) @[el2_dec_tlu_ctl.scala 850:126] + node _T_727 = not(timer_int_ready) @[el2_dec_tlu_ctl.scala 850:178] + node _T_728 = and(_T_726, _T_727) @[el2_dec_tlu_ctl.scala 850:176] + node _T_729 = not(soft_int_ready) @[el2_dec_tlu_ctl.scala 850:197] + node _T_730 = and(_T_728, _T_729) @[el2_dec_tlu_ctl.scala 850:195] + node _T_731 = not(ext_int_ready) @[el2_dec_tlu_ctl.scala 850:215] + node _T_732 = and(_T_730, _T_731) @[el2_dec_tlu_ctl.scala 850:213] + node _T_733 = not(ce_int_ready) @[el2_dec_tlu_ctl.scala 850:232] + node _T_734 = and(_T_732, _T_733) @[el2_dec_tlu_ctl.scala 850:230] + node _T_735 = not(block_interrupts) @[el2_dec_tlu_ctl.scala 850:248] + node _T_736 = and(_T_734, _T_735) @[el2_dec_tlu_ctl.scala 850:246] + take_int_timer1_int <= _T_736 @[el2_dec_tlu_ctl.scala 850:23] + node _T_737 = and(reset_delayed, io.mpc_reset_run_req) @[el2_dec_tlu_ctl.scala 851:31] + take_reset <= _T_737 @[el2_dec_tlu_ctl.scala 851:14] + node _T_738 = not(internal_pmu_fw_halt_mode) @[el2_dec_tlu_ctl.scala 852:34] + node _T_739 = and(nmi_int_detected, _T_738) @[el2_dec_tlu_ctl.scala 852:32] + node _T_740 = not(internal_dbg_halt_mode) @[el2_dec_tlu_ctl.scala 852:64] + node _T_741 = bits(dcsr, 11, 11) @[el2_dec_tlu_ctl.scala 852:124] + node _T_742 = and(dcsr_single_step_running_f, _T_741) @[el2_dec_tlu_ctl.scala 852:118] + node _T_743 = not(io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 852:140] + node _T_744 = and(_T_742, _T_743) @[el2_dec_tlu_ctl.scala 852:138] + node _T_745 = not(dcsr_single_step_done_f) @[el2_dec_tlu_ctl.scala 852:165] + node _T_746 = and(_T_744, _T_745) @[el2_dec_tlu_ctl.scala 852:163] + node _T_747 = or(_T_740, _T_746) @[el2_dec_tlu_ctl.scala 852:88] + node _T_748 = and(_T_739, _T_747) @[el2_dec_tlu_ctl.scala 852:61] + node _T_749 = not(synchronous_flush_r) @[el2_dec_tlu_ctl.scala 852:194] + node _T_750 = and(_T_748, _T_749) @[el2_dec_tlu_ctl.scala 852:192] + node _T_751 = not(mret_r) @[el2_dec_tlu_ctl.scala 852:217] + node _T_752 = and(_T_750, _T_751) @[el2_dec_tlu_ctl.scala 852:215] + node _T_753 = not(take_reset) @[el2_dec_tlu_ctl.scala 852:227] + node _T_754 = and(_T_752, _T_753) @[el2_dec_tlu_ctl.scala 852:225] + node _T_755 = not(ebreak_to_debug_mode_r) @[el2_dec_tlu_ctl.scala 852:241] + node _T_756 = and(_T_754, _T_755) @[el2_dec_tlu_ctl.scala 852:239] + node _T_757 = not(ext_int_freeze_d1) @[el2_dec_tlu_ctl.scala 852:268] + node _T_758 = orr(io.lsu_fir_error) @[el2_dec_tlu_ctl.scala 852:331] + node _T_759 = and(take_ext_int_start_d3, _T_758) @[el2_dec_tlu_ctl.scala 852:312] + node _T_760 = or(_T_757, _T_759) @[el2_dec_tlu_ctl.scala 852:287] + node _T_761 = and(_T_756, _T_760) @[el2_dec_tlu_ctl.scala 852:265] + take_nmi <= _T_761 @[el2_dec_tlu_ctl.scala 852:12] + node _T_762 = or(take_ext_int, take_timer_int) @[el2_dec_tlu_ctl.scala 855:37] + node _T_763 = or(_T_762, take_soft_int) @[el2_dec_tlu_ctl.scala 855:54] + node _T_764 = or(_T_763, take_nmi) @[el2_dec_tlu_ctl.scala 855:70] + node _T_765 = or(_T_764, take_ce_int) @[el2_dec_tlu_ctl.scala 855:81] + node _T_766 = or(_T_765, take_int_timer0_int) @[el2_dec_tlu_ctl.scala 855:95] + node _T_767 = or(_T_766, take_int_timer1_int) @[el2_dec_tlu_ctl.scala 855:117] + interrupt_valid_r <= _T_767 @[el2_dec_tlu_ctl.scala 855:21] + node _T_768 = bits(mtvec, 30, 1) @[el2_dec_tlu_ctl.scala 860:33] + node _T_769 = cat(_T_768, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_770 = cat(UInt<25>("h00"), exc_cause_r) @[Cat.scala 29:58] + node _T_771 = cat(_T_770, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_772 = add(_T_769, _T_771) @[el2_dec_tlu_ctl.scala 860:50] + node vectored_path = tail(_T_772, 1) @[el2_dec_tlu_ctl.scala 860:50] + node _T_773 = bits(take_nmi, 0, 0) @[el2_dec_tlu_ctl.scala 861:37] + node _T_774 = bits(mtvec, 0, 0) @[el2_dec_tlu_ctl.scala 861:66] + node _T_775 = eq(_T_774, UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 861:70] + node _T_776 = bits(mtvec, 30, 1) @[el2_dec_tlu_ctl.scala 861:103] + node _T_777 = cat(_T_776, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_778 = mux(_T_775, vectored_path, _T_777) @[el2_dec_tlu_ctl.scala 861:60] + node interrupt_path = mux(_T_773, io.nmi_vec, _T_778) @[el2_dec_tlu_ctl.scala 861:27] + node _T_779 = or(lsu_i0_rfnpc_r, fence_i_r) @[el2_dec_tlu_ctl.scala 862:35] + node _T_780 = or(_T_779, iccm_repair_state_rfnpc) @[el2_dec_tlu_ctl.scala 862:47] + node _T_781 = not(interrupt_valid_r) @[el2_dec_tlu_ctl.scala 862:95] + node _T_782 = and(i_cpu_run_req_d1, _T_781) @[el2_dec_tlu_ctl.scala 862:93] + node _T_783 = or(_T_780, _T_782) @[el2_dec_tlu_ctl.scala 862:73] + node _T_784 = not(io.dec_tlu_i0_valid_r) @[el2_dec_tlu_ctl.scala 862:130] + node _T_785 = and(rfpc_i0_r, _T_784) @[el2_dec_tlu_ctl.scala 862:128] + node sel_npc_r = or(_T_783, _T_785) @[el2_dec_tlu_ctl.scala 862:115] + node _T_786 = and(i_cpu_run_req_d1, pmu_fw_tlu_halted_f) @[el2_dec_tlu_ctl.scala 863:42] + node sel_npc_resume = or(_T_786, pause_expired_r) @[el2_dec_tlu_ctl.scala 863:65] + node _T_787 = orr(io.lsu_fir_error) @[el2_dec_tlu_ctl.scala 864:64] + node _T_788 = not(_T_787) @[el2_dec_tlu_ctl.scala 864:46] + node sel_fir_addr = and(take_ext_int_start_d3, _T_788) @[el2_dec_tlu_ctl.scala 864:44] + node _T_789 = or(i0_exception_valid_r, rfpc_i0_r) @[el2_dec_tlu_ctl.scala 865:48] + node _T_790 = or(_T_789, lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 865:60] + node _T_791 = or(_T_790, fence_i_r) @[el2_dec_tlu_ctl.scala 865:78] + node _T_792 = or(_T_791, lsu_i0_rfnpc_r) @[el2_dec_tlu_ctl.scala 865:90] + node _T_793 = or(_T_792, iccm_repair_state_rfnpc) @[el2_dec_tlu_ctl.scala 865:107] + node _T_794 = or(_T_793, debug_resume_req_f) @[el2_dec_tlu_ctl.scala 865:134] + node _T_795 = or(_T_794, sel_npc_resume) @[el2_dec_tlu_ctl.scala 865:156] + node _T_796 = or(_T_795, dec_tlu_wr_pause_r_d1) @[el2_dec_tlu_ctl.scala 865:174] + node _T_797 = or(_T_796, i0_trigger_hit_r) @[el2_dec_tlu_ctl.scala 865:200] + synchronous_flush_r <= _T_797 @[el2_dec_tlu_ctl.scala 865:24] + node _T_798 = or(interrupt_valid_r, mret_r) @[el2_dec_tlu_ctl.scala 866:42] + node _T_799 = or(_T_798, synchronous_flush_r) @[el2_dec_tlu_ctl.scala 866:51] + node _T_800 = or(_T_799, take_halt) @[el2_dec_tlu_ctl.scala 866:73] + node _T_801 = or(_T_800, take_reset) @[el2_dec_tlu_ctl.scala 866:85] + node _T_802 = or(_T_801, take_ext_int_start) @[el2_dec_tlu_ctl.scala 866:98] + tlu_flush_lower_r <= _T_802 @[el2_dec_tlu_ctl.scala 866:21] + node _T_803 = bits(take_reset, 0, 0) @[el2_dec_tlu_ctl.scala 868:41] + node _T_804 = bits(sel_fir_addr, 0, 0) @[el2_dec_tlu_ctl.scala 869:20] + node _T_805 = eq(take_nmi, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 870:14] + node _T_806 = eq(sel_npc_r, UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 870:32] + node _T_807 = and(_T_805, _T_806) @[el2_dec_tlu_ctl.scala 870:21] + node _T_808 = eq(take_nmi, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 871:14] + node _T_809 = eq(rfpc_i0_r, UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 871:32] + node _T_810 = and(_T_808, _T_809) @[el2_dec_tlu_ctl.scala 871:21] + node _T_811 = eq(io.dec_tlu_i0_valid_r, UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 871:62] + node _T_812 = and(_T_810, _T_811) @[el2_dec_tlu_ctl.scala 871:39] + node _T_813 = eq(sel_npc_r, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 871:80] + node _T_814 = and(_T_812, _T_813) @[el2_dec_tlu_ctl.scala 871:69] + node _T_815 = eq(interrupt_valid_r, UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 872:23] + node _T_816 = eq(sel_fir_addr, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 872:44] + node _T_817 = and(_T_815, _T_816) @[el2_dec_tlu_ctl.scala 872:30] + node _T_818 = or(i0_exception_valid_r, lsu_exc_valid_r) @[el2_dec_tlu_ctl.scala 873:28] + node _T_819 = not(trigger_hit_dmode_r) @[el2_dec_tlu_ctl.scala 873:68] + node _T_820 = and(i0_trigger_hit_r, _T_819) @[el2_dec_tlu_ctl.scala 873:66] + node _T_821 = or(_T_818, _T_820) @[el2_dec_tlu_ctl.scala 873:46] + node _T_822 = not(interrupt_valid_r) @[el2_dec_tlu_ctl.scala 873:93] + node _T_823 = and(_T_821, _T_822) @[el2_dec_tlu_ctl.scala 873:91] + node _T_824 = not(sel_fir_addr) @[el2_dec_tlu_ctl.scala 873:114] + node _T_825 = and(_T_823, _T_824) @[el2_dec_tlu_ctl.scala 873:112] + node _T_826 = bits(_T_825, 0, 0) @[el2_dec_tlu_ctl.scala 873:129] + node _T_827 = bits(mtvec, 30, 1) @[el2_dec_tlu_ctl.scala 873:149] + node _T_828 = cat(_T_827, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_829 = not(take_nmi) @[el2_dec_tlu_ctl.scala 874:6] + node _T_830 = and(_T_829, mret_r) @[el2_dec_tlu_ctl.scala 874:16] + node _T_831 = bits(_T_830, 0, 0) @[el2_dec_tlu_ctl.scala 874:26] + node _T_832 = not(take_nmi) @[el2_dec_tlu_ctl.scala 875:6] + node _T_833 = and(_T_832, debug_resume_req_f) @[el2_dec_tlu_ctl.scala 875:16] + node _T_834 = bits(_T_833, 0, 0) @[el2_dec_tlu_ctl.scala 875:38] + node _T_835 = not(take_nmi) @[el2_dec_tlu_ctl.scala 876:6] + node _T_836 = and(_T_835, sel_npc_resume) @[el2_dec_tlu_ctl.scala 876:16] + node _T_837 = bits(_T_836, 0, 0) @[el2_dec_tlu_ctl.scala 876:34] + node _T_838 = mux(_T_804, io.lsu_fir_addr, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_839 = mux(_T_807, npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_840 = mux(_T_814, io.dec_tlu_i0_pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_841 = mux(_T_817, interrupt_path, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_842 = mux(_T_826, _T_828, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_843 = mux(_T_831, mepc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_844 = mux(_T_834, dpc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_845 = mux(_T_837, npc_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_846 = or(_T_838, _T_839) @[Mux.scala 27:72] + node _T_847 = or(_T_846, _T_840) @[Mux.scala 27:72] + node _T_848 = or(_T_847, _T_841) @[Mux.scala 27:72] + node _T_849 = or(_T_848, _T_842) @[Mux.scala 27:72] + node _T_850 = or(_T_849, _T_843) @[Mux.scala 27:72] + node _T_851 = or(_T_850, _T_844) @[Mux.scala 27:72] + node _T_852 = or(_T_851, _T_845) @[Mux.scala 27:72] + wire _T_853 : UInt<31> @[Mux.scala 27:72] + _T_853 <= _T_852 @[Mux.scala 27:72] + node tlu_flush_path_r = mux(_T_803, io.rst_vec, _T_853) @[el2_dec_tlu_ctl.scala 868:29] + reg tlu_flush_path_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 879:58] + tlu_flush_path_r_d1 <= tlu_flush_path_r @[el2_dec_tlu_ctl.scala 879:58] + io.dec_tlu_flush_lower_wb <= tlu_flush_lower_r_d1 @[el2_dec_tlu_ctl.scala 881:33] + io.dec_tlu_flush_lower_r <= tlu_flush_lower_r @[el2_dec_tlu_ctl.scala 882:33] + io.dec_tlu_flush_path_r <= tlu_flush_path_r @[el2_dec_tlu_ctl.scala 883:33] + node _T_854 = or(lsu_exc_valid_r, i0_exception_valid_r) @[el2_dec_tlu_ctl.scala 886:44] + node _T_855 = or(_T_854, interrupt_valid_r) @[el2_dec_tlu_ctl.scala 886:67] + node _T_856 = not(trigger_hit_dmode_r) @[el2_dec_tlu_ctl.scala 886:109] + node _T_857 = and(i0_trigger_hit_r, _T_856) @[el2_dec_tlu_ctl.scala 886:107] + node exc_or_int_valid_r = or(_T_855, _T_857) @[el2_dec_tlu_ctl.scala 886:87] + reg _T_858 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 888:82] + _T_858 <= interrupt_valid_r @[el2_dec_tlu_ctl.scala 888:82] + interrupt_valid_r_d1 <= _T_858 @[el2_dec_tlu_ctl.scala 888:49] + reg i0_exception_valid_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 889:81] + i0_exception_valid_r_d1 <= i0_exception_valid_r @[el2_dec_tlu_ctl.scala 889:81] + reg _T_859 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 890:82] + _T_859 <= exc_or_int_valid_r @[el2_dec_tlu_ctl.scala 890:82] + exc_or_int_valid_r_d1 <= _T_859 @[el2_dec_tlu_ctl.scala 890:49] + reg exc_cause_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 891:89] + exc_cause_wb <= exc_cause_r @[el2_dec_tlu_ctl.scala 891:89] + node _T_860 = not(illegal_r) @[el2_dec_tlu_ctl.scala 892:119] + node _T_861 = and(tlu_i0_commit_cmt, _T_860) @[el2_dec_tlu_ctl.scala 892:117] + reg i0_valid_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 892:97] + i0_valid_wb <= _T_861 @[el2_dec_tlu_ctl.scala 892:97] + reg trigger_hit_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 893:81] + trigger_hit_r_d1 <= i0_trigger_hit_r @[el2_dec_tlu_ctl.scala 893:81] + reg _T_862 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 894:90] + _T_862 <= take_nmi @[el2_dec_tlu_ctl.scala 894:90] + take_nmi_r_d1 <= _T_862 @[el2_dec_tlu_ctl.scala 894:57] + reg _T_863 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 895:90] + _T_863 <= pause_expired_r @[el2_dec_tlu_ctl.scala 895:90] + pause_expired_wb <= _T_863 @[el2_dec_tlu_ctl.scala 895:57] + inst csr of csr_tlu @[el2_dec_tlu_ctl.scala 897:17] + csr.clock <= clock + csr.reset <= reset + csr.io.free_clk <= io.free_clk @[el2_dec_tlu_ctl.scala 898:44] + csr.io.active_clk <= io.active_clk @[el2_dec_tlu_ctl.scala 899:44] + csr.io.scan_mode <= io.scan_mode @[el2_dec_tlu_ctl.scala 900:44] + csr.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[el2_dec_tlu_ctl.scala 901:44] + csr.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[el2_dec_tlu_ctl.scala 902:44] + csr.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[el2_dec_tlu_ctl.scala 903:44] + csr.io.dec_csr_wen_unq_d <= io.dec_csr_wen_unq_d @[el2_dec_tlu_ctl.scala 904:44] + csr.io.dec_i0_decode_d <= io.dec_i0_decode_d @[el2_dec_tlu_ctl.scala 905:44] + csr.io.ifu_ic_debug_rd_data_valid <= io.ifu_ic_debug_rd_data_valid @[el2_dec_tlu_ctl.scala 906:44] + csr.io.ifu_pmu_bus_trxn <= io.ifu_pmu_bus_trxn @[el2_dec_tlu_ctl.scala 907:44] + csr.io.dma_iccm_stall_any <= io.dma_iccm_stall_any @[el2_dec_tlu_ctl.scala 908:44] + csr.io.dma_dccm_stall_any <= io.dma_dccm_stall_any @[el2_dec_tlu_ctl.scala 909:44] + csr.io.lsu_store_stall_any <= io.lsu_store_stall_any @[el2_dec_tlu_ctl.scala 910:44] + csr.io.dec_pmu_presync_stall <= io.dec_pmu_presync_stall @[el2_dec_tlu_ctl.scala 911:44] + csr.io.dec_pmu_postsync_stall <= io.dec_pmu_postsync_stall @[el2_dec_tlu_ctl.scala 912:44] + csr.io.dec_pmu_decode_stall <= io.dec_pmu_decode_stall @[el2_dec_tlu_ctl.scala 913:44] + csr.io.ifu_pmu_fetch_stall <= io.ifu_pmu_fetch_stall @[el2_dec_tlu_ctl.scala 914:44] + csr.io.dec_tlu_packet_r.pmu_lsu_misaligned <= io.dec_tlu_packet_r.pmu_lsu_misaligned @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.pmu_divide <= io.dec_tlu_packet_r.pmu_divide @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.pmu_i0_br_unpred <= io.dec_tlu_packet_r.pmu_i0_br_unpred @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.pmu_i0_itype <= io.dec_tlu_packet_r.pmu_i0_itype @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.i0trigger <= io.dec_tlu_packet_r.i0trigger @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.fence_i <= io.dec_tlu_packet_r.fence_i @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.icaf_type <= io.dec_tlu_packet_r.icaf_type @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.icaf_f1 <= io.dec_tlu_packet_r.icaf_f1 @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.icaf <= io.dec_tlu_packet_r.icaf @[el2_dec_tlu_ctl.scala 915:44] + csr.io.dec_tlu_packet_r.legal <= io.dec_tlu_packet_r.legal @[el2_dec_tlu_ctl.scala 915:44] + csr.io.exu_pmu_i0_br_ataken <= io.exu_pmu_i0_br_ataken @[el2_dec_tlu_ctl.scala 916:44] + csr.io.exu_pmu_i0_br_misp <= io.exu_pmu_i0_br_misp @[el2_dec_tlu_ctl.scala 917:44] + csr.io.dec_pmu_instr_decoded <= io.dec_pmu_instr_decoded @[el2_dec_tlu_ctl.scala 918:44] + csr.io.ifu_pmu_instr_aligned <= io.ifu_pmu_instr_aligned @[el2_dec_tlu_ctl.scala 919:44] + csr.io.exu_pmu_i0_pc4 <= io.exu_pmu_i0_pc4 @[el2_dec_tlu_ctl.scala 920:44] + csr.io.ifu_pmu_ic_miss <= io.ifu_pmu_ic_miss @[el2_dec_tlu_ctl.scala 921:44] + csr.io.ifu_pmu_ic_hit <= io.ifu_pmu_ic_hit @[el2_dec_tlu_ctl.scala 922:44] + csr.io.dec_csr_wen_r <= io.dec_csr_wen_r @[el2_dec_tlu_ctl.scala 923:44] + csr.io.dec_tlu_dbg_halted <= io.dec_tlu_dbg_halted @[el2_dec_tlu_ctl.scala 924:44] + csr.io.dma_pmu_dccm_write <= io.dma_pmu_dccm_write @[el2_dec_tlu_ctl.scala 925:44] + csr.io.dma_pmu_dccm_read <= io.dma_pmu_dccm_read @[el2_dec_tlu_ctl.scala 926:44] + csr.io.dma_pmu_any_write <= io.dma_pmu_any_write @[el2_dec_tlu_ctl.scala 927:44] + csr.io.dma_pmu_any_read <= io.dma_pmu_any_read @[el2_dec_tlu_ctl.scala 928:44] + csr.io.lsu_pmu_bus_busy <= io.lsu_pmu_bus_busy @[el2_dec_tlu_ctl.scala 929:44] + csr.io.dec_tlu_i0_pc_r <= io.dec_tlu_i0_pc_r @[el2_dec_tlu_ctl.scala 930:44] + csr.io.dec_tlu_i0_valid_r <= io.dec_tlu_i0_valid_r @[el2_dec_tlu_ctl.scala 931:44] + csr.io.dec_csr_stall_int_ff <= io.dec_csr_stall_int_ff @[el2_dec_tlu_ctl.scala 932:44] + csr.io.dec_csr_any_unq_d <= io.dec_csr_any_unq_d @[el2_dec_tlu_ctl.scala 933:44] + csr.io.ifu_pmu_bus_busy <= io.ifu_pmu_bus_busy @[el2_dec_tlu_ctl.scala 934:44] + csr.io.lsu_pmu_bus_error <= io.lsu_pmu_bus_error @[el2_dec_tlu_ctl.scala 935:44] + csr.io.ifu_pmu_bus_error <= io.ifu_pmu_bus_error @[el2_dec_tlu_ctl.scala 936:44] + csr.io.lsu_pmu_bus_misaligned <= io.lsu_pmu_bus_misaligned @[el2_dec_tlu_ctl.scala 937:44] + csr.io.lsu_pmu_bus_trxn <= io.lsu_pmu_bus_trxn @[el2_dec_tlu_ctl.scala 938:44] + csr.io.ifu_ic_debug_rd_data <= io.ifu_ic_debug_rd_data @[el2_dec_tlu_ctl.scala 939:44] + csr.io.pic_pl <= io.pic_pl @[el2_dec_tlu_ctl.scala 940:44] + csr.io.pic_claimid <= io.pic_claimid @[el2_dec_tlu_ctl.scala 941:44] + csr.io.iccm_dma_sb_error <= io.iccm_dma_sb_error @[el2_dec_tlu_ctl.scala 942:44] + csr.io.lsu_imprecise_error_addr_any <= io.lsu_imprecise_error_addr_any @[el2_dec_tlu_ctl.scala 943:44] + csr.io.lsu_imprecise_error_load_any <= io.lsu_imprecise_error_load_any @[el2_dec_tlu_ctl.scala 944:44] + csr.io.lsu_imprecise_error_store_any <= io.lsu_imprecise_error_store_any @[el2_dec_tlu_ctl.scala 945:44] + csr.io.dec_illegal_inst <= io.dec_illegal_inst @[el2_dec_tlu_ctl.scala 946:44] + csr.io.lsu_error_pkt_r.addr <= io.lsu_error_pkt_r.addr @[el2_dec_tlu_ctl.scala 947:44] + csr.io.lsu_error_pkt_r.mscause <= io.lsu_error_pkt_r.mscause @[el2_dec_tlu_ctl.scala 947:44] + csr.io.lsu_error_pkt_r.exc_type <= io.lsu_error_pkt_r.exc_type @[el2_dec_tlu_ctl.scala 947:44] + csr.io.lsu_error_pkt_r.inst_type <= io.lsu_error_pkt_r.inst_type @[el2_dec_tlu_ctl.scala 947:44] + csr.io.lsu_error_pkt_r.single_ecc_error <= io.lsu_error_pkt_r.single_ecc_error @[el2_dec_tlu_ctl.scala 947:44] + csr.io.lsu_error_pkt_r.exc_valid <= io.lsu_error_pkt_r.exc_valid @[el2_dec_tlu_ctl.scala 947:44] + csr.io.mexintpend <= io.mexintpend @[el2_dec_tlu_ctl.scala 948:44] + csr.io.exu_npc_r <= io.exu_npc_r @[el2_dec_tlu_ctl.scala 949:44] + csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[el2_dec_tlu_ctl.scala 950:44] + csr.io.rst_vec <= io.rst_vec @[el2_dec_tlu_ctl.scala 951:44] + csr.io.core_id <= io.core_id @[el2_dec_tlu_ctl.scala 952:44] + csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[el2_dec_tlu_ctl.scala 953:44] + csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[el2_dec_tlu_ctl.scala 954:44] + io.dec_tlu_meicurpl <= csr.io.dec_tlu_meicurpl @[el2_dec_tlu_ctl.scala 955:44] + io.dec_tlu_meihap <= csr.io.dec_tlu_meihap @[el2_dec_tlu_ctl.scala 956:44] + io.dec_tlu_meipt <= csr.io.dec_tlu_meipt @[el2_dec_tlu_ctl.scala 957:44] + io.dec_tlu_int_valid_wb1 <= csr.io.dec_tlu_int_valid_wb1 @[el2_dec_tlu_ctl.scala 958:44] + io.dec_tlu_i0_exc_valid_wb1 <= csr.io.dec_tlu_i0_exc_valid_wb1 @[el2_dec_tlu_ctl.scala 959:44] + io.dec_tlu_i0_valid_wb1 <= csr.io.dec_tlu_i0_valid_wb1 @[el2_dec_tlu_ctl.scala 960:44] + io.dec_tlu_ic_diag_pkt.icache_wr_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_wr_valid @[el2_dec_tlu_ctl.scala 961:44] + io.dec_tlu_ic_diag_pkt.icache_rd_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_rd_valid @[el2_dec_tlu_ctl.scala 961:44] + io.dec_tlu_ic_diag_pkt.icache_dicawics <= csr.io.dec_tlu_ic_diag_pkt.icache_dicawics @[el2_dec_tlu_ctl.scala 961:44] + io.dec_tlu_ic_diag_pkt.icache_wrdata <= csr.io.dec_tlu_ic_diag_pkt.icache_wrdata @[el2_dec_tlu_ctl.scala 961:44] + io.trigger_pkt_any[0].tdata2 <= csr.io.trigger_pkt_any[0].tdata2 @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[0].m <= csr.io.trigger_pkt_any[0].m @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[0].execute <= csr.io.trigger_pkt_any[0].execute @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[0].load <= csr.io.trigger_pkt_any[0].load @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[0].store <= csr.io.trigger_pkt_any[0].store @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[0].match_ <= csr.io.trigger_pkt_any[0].match_ @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[0].select <= csr.io.trigger_pkt_any[0].select @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].tdata2 <= csr.io.trigger_pkt_any[1].tdata2 @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].m <= csr.io.trigger_pkt_any[1].m @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].execute <= csr.io.trigger_pkt_any[1].execute @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].load <= csr.io.trigger_pkt_any[1].load @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].store <= csr.io.trigger_pkt_any[1].store @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].match_ <= csr.io.trigger_pkt_any[1].match_ @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[1].select <= csr.io.trigger_pkt_any[1].select @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].tdata2 <= csr.io.trigger_pkt_any[2].tdata2 @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].m <= csr.io.trigger_pkt_any[2].m @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].execute <= csr.io.trigger_pkt_any[2].execute @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].load <= csr.io.trigger_pkt_any[2].load @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].store <= csr.io.trigger_pkt_any[2].store @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].match_ <= csr.io.trigger_pkt_any[2].match_ @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[2].select <= csr.io.trigger_pkt_any[2].select @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].tdata2 <= csr.io.trigger_pkt_any[3].tdata2 @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].m <= csr.io.trigger_pkt_any[3].m @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].execute <= csr.io.trigger_pkt_any[3].execute @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].load <= csr.io.trigger_pkt_any[3].load @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].store <= csr.io.trigger_pkt_any[3].store @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].match_ <= csr.io.trigger_pkt_any[3].match_ @[el2_dec_tlu_ctl.scala 962:40] + io.trigger_pkt_any[3].select <= csr.io.trigger_pkt_any[3].select @[el2_dec_tlu_ctl.scala 962:40] + io.dec_tlu_mtval_wb1 <= csr.io.dec_tlu_mtval_wb1 @[el2_dec_tlu_ctl.scala 963:40] + io.dec_tlu_exc_cause_wb1 <= csr.io.dec_tlu_exc_cause_wb1 @[el2_dec_tlu_ctl.scala 964:40] + io.dec_tlu_perfcnt0 <= csr.io.dec_tlu_perfcnt0 @[el2_dec_tlu_ctl.scala 965:40] + io.dec_tlu_perfcnt1 <= csr.io.dec_tlu_perfcnt1 @[el2_dec_tlu_ctl.scala 966:40] + io.dec_tlu_perfcnt2 <= csr.io.dec_tlu_perfcnt2 @[el2_dec_tlu_ctl.scala 967:40] + io.dec_tlu_perfcnt3 <= csr.io.dec_tlu_perfcnt3 @[el2_dec_tlu_ctl.scala 968:40] + io.dec_tlu_misc_clk_override <= csr.io.dec_tlu_misc_clk_override @[el2_dec_tlu_ctl.scala 969:40] + io.dec_tlu_dec_clk_override <= csr.io.dec_tlu_dec_clk_override @[el2_dec_tlu_ctl.scala 970:40] + io.dec_tlu_ifu_clk_override <= csr.io.dec_tlu_ifu_clk_override @[el2_dec_tlu_ctl.scala 971:40] + io.dec_tlu_lsu_clk_override <= csr.io.dec_tlu_lsu_clk_override @[el2_dec_tlu_ctl.scala 972:40] + io.dec_tlu_bus_clk_override <= csr.io.dec_tlu_bus_clk_override @[el2_dec_tlu_ctl.scala 973:40] + io.dec_tlu_pic_clk_override <= csr.io.dec_tlu_pic_clk_override @[el2_dec_tlu_ctl.scala 974:40] + io.dec_tlu_dccm_clk_override <= csr.io.dec_tlu_dccm_clk_override @[el2_dec_tlu_ctl.scala 975:40] + io.dec_tlu_icm_clk_override <= csr.io.dec_tlu_icm_clk_override @[el2_dec_tlu_ctl.scala 976:40] + io.dec_csr_rddata_d <= csr.io.dec_csr_rddata_d @[el2_dec_tlu_ctl.scala 977:40] + io.dec_tlu_pipelining_disable <= csr.io.dec_tlu_pipelining_disable @[el2_dec_tlu_ctl.scala 978:40] + io.dec_tlu_wr_pause_r <= csr.io.dec_tlu_wr_pause_r @[el2_dec_tlu_ctl.scala 979:40] + io.dec_tlu_mrac_ff <= csr.io.dec_tlu_mrac_ff @[el2_dec_tlu_ctl.scala 980:40] + io.dec_tlu_wb_coalescing_disable <= csr.io.dec_tlu_wb_coalescing_disable @[el2_dec_tlu_ctl.scala 981:40] + io.dec_tlu_bpred_disable <= csr.io.dec_tlu_bpred_disable @[el2_dec_tlu_ctl.scala 982:40] + io.dec_tlu_sideeffect_posted_disable <= csr.io.dec_tlu_sideeffect_posted_disable @[el2_dec_tlu_ctl.scala 983:40] + io.dec_tlu_core_ecc_disable <= csr.io.dec_tlu_core_ecc_disable @[el2_dec_tlu_ctl.scala 984:40] + io.dec_tlu_external_ldfwd_disable <= csr.io.dec_tlu_external_ldfwd_disable @[el2_dec_tlu_ctl.scala 985:40] + io.dec_tlu_dma_qos_prty <= csr.io.dec_tlu_dma_qos_prty @[el2_dec_tlu_ctl.scala 986:40] + csr.io.dec_illegal_inst <= io.dec_illegal_inst @[el2_dec_tlu_ctl.scala 987:44] + csr.io.lsu_error_pkt_r.addr <= io.lsu_error_pkt_r.addr @[el2_dec_tlu_ctl.scala 988:44] + csr.io.lsu_error_pkt_r.mscause <= io.lsu_error_pkt_r.mscause @[el2_dec_tlu_ctl.scala 988:44] + csr.io.lsu_error_pkt_r.exc_type <= io.lsu_error_pkt_r.exc_type @[el2_dec_tlu_ctl.scala 988:44] + csr.io.lsu_error_pkt_r.inst_type <= io.lsu_error_pkt_r.inst_type @[el2_dec_tlu_ctl.scala 988:44] + csr.io.lsu_error_pkt_r.single_ecc_error <= io.lsu_error_pkt_r.single_ecc_error @[el2_dec_tlu_ctl.scala 988:44] + csr.io.lsu_error_pkt_r.exc_valid <= io.lsu_error_pkt_r.exc_valid @[el2_dec_tlu_ctl.scala 988:44] + csr.io.mexintpend <= io.mexintpend @[el2_dec_tlu_ctl.scala 989:44] + csr.io.exu_npc_r <= io.exu_npc_r @[el2_dec_tlu_ctl.scala 990:44] + csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[el2_dec_tlu_ctl.scala 991:44] + csr.io.rst_vec <= io.rst_vec @[el2_dec_tlu_ctl.scala 992:44] + csr.io.core_id <= io.core_id @[el2_dec_tlu_ctl.scala 993:44] + csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[el2_dec_tlu_ctl.scala 994:44] + csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[el2_dec_tlu_ctl.scala 995:44] + csr.io.rfpc_i0_r <= rfpc_i0_r @[el2_dec_tlu_ctl.scala 998:39] + csr.io.i0_trigger_hit_r <= i0_trigger_hit_r @[el2_dec_tlu_ctl.scala 999:39] + csr.io.exc_or_int_valid_r <= exc_or_int_valid_r @[el2_dec_tlu_ctl.scala 1000:39] + csr.io.mret_r <= mret_r @[el2_dec_tlu_ctl.scala 1001:39] + csr.io.dcsr_single_step_running_f <= dcsr_single_step_running_f @[el2_dec_tlu_ctl.scala 1002:39] + csr.io.dec_timer_t0_pulse <= int_timers.io.dec_timer_t0_pulse @[el2_dec_tlu_ctl.scala 1003:39] + csr.io.dec_timer_t1_pulse <= int_timers.io.dec_timer_t1_pulse @[el2_dec_tlu_ctl.scala 1004:39] + csr.io.timer_int_sync <= timer_int_sync @[el2_dec_tlu_ctl.scala 1005:39] + csr.io.soft_int_sync <= soft_int_sync @[el2_dec_tlu_ctl.scala 1006:39] + csr.io.csr_wr_clk <= rvclkhdr.io.l1clk @[el2_dec_tlu_ctl.scala 1007:39] + csr.io.ebreak_to_debug_mode_r <= ebreak_to_debug_mode_r @[el2_dec_tlu_ctl.scala 1008:39] + csr.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[el2_dec_tlu_ctl.scala 1009:39] + csr.io.lsu_fir_error <= io.lsu_fir_error @[el2_dec_tlu_ctl.scala 1010:39] + csr.io.tlu_flush_lower_r_d1 <= tlu_flush_lower_r_d1 @[el2_dec_tlu_ctl.scala 1011:39] + csr.io.dec_tlu_flush_noredir_r_d1 <= dec_tlu_flush_noredir_r_d1 @[el2_dec_tlu_ctl.scala 1012:39] + csr.io.tlu_flush_path_r_d1 <= tlu_flush_path_r_d1 @[el2_dec_tlu_ctl.scala 1013:39] + csr.io.reset_delayed <= reset_delayed @[el2_dec_tlu_ctl.scala 1014:39] + csr.io.interrupt_valid_r <= interrupt_valid_r @[el2_dec_tlu_ctl.scala 1015:39] + csr.io.i0_exception_valid_r <= i0_exception_valid_r @[el2_dec_tlu_ctl.scala 1016:39] + csr.io.lsu_exc_valid_r <= lsu_exc_valid_r @[el2_dec_tlu_ctl.scala 1017:39] + csr.io.mepc_trigger_hit_sel_pc_r <= mepc_trigger_hit_sel_pc_r @[el2_dec_tlu_ctl.scala 1018:39] + csr.io.e4e5_int_clk <= rvclkhdr_3.io.l1clk @[el2_dec_tlu_ctl.scala 1019:39] + csr.io.lsu_i0_exc_r <= lsu_exc_valid_r @[el2_dec_tlu_ctl.scala 1020:39] + csr.io.inst_acc_r <= inst_acc_r @[el2_dec_tlu_ctl.scala 1021:39] + csr.io.inst_acc_second_r <= io.dec_tlu_packet_r.icaf_f1 @[el2_dec_tlu_ctl.scala 1022:39] + csr.io.take_nmi <= take_nmi @[el2_dec_tlu_ctl.scala 1023:39] + csr.io.lsu_error_pkt_addr_r <= io.lsu_error_pkt_r.addr @[el2_dec_tlu_ctl.scala 1024:39] + csr.io.exc_cause_r <= exc_cause_r @[el2_dec_tlu_ctl.scala 1025:39] + csr.io.i0_valid_wb <= i0_valid_wb @[el2_dec_tlu_ctl.scala 1026:39] + csr.io.exc_or_int_valid_r_d1 <= exc_or_int_valid_r_d1 @[el2_dec_tlu_ctl.scala 1027:39] + csr.io.interrupt_valid_r_d1 <= interrupt_valid_r_d1 @[el2_dec_tlu_ctl.scala 1028:39] + csr.io.clk_override <= io.dec_tlu_dec_clk_override @[el2_dec_tlu_ctl.scala 1029:39] + csr.io.i0_exception_valid_r_d1 <= i0_exception_valid_r_d1 @[el2_dec_tlu_ctl.scala 1030:39] + csr.io.lsu_i0_exc_r_d1 <= lsu_i0_exc_r_d1 @[el2_dec_tlu_ctl.scala 1031:39] + csr.io.exc_cause_wb <= exc_cause_wb @[el2_dec_tlu_ctl.scala 1032:39] + csr.io.nmi_lsu_store_type <= nmi_lsu_store_type @[el2_dec_tlu_ctl.scala 1033:39] + csr.io.nmi_lsu_load_type <= nmi_lsu_load_type @[el2_dec_tlu_ctl.scala 1034:39] + csr.io.tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[el2_dec_tlu_ctl.scala 1035:39] + csr.io.ebreak_r <= ebreak_r @[el2_dec_tlu_ctl.scala 1036:39] + csr.io.ecall_r <= ecall_r @[el2_dec_tlu_ctl.scala 1037:39] + csr.io.illegal_r <= illegal_r @[el2_dec_tlu_ctl.scala 1038:39] + csr.io.mdseac_locked_f <= mdseac_locked_f @[el2_dec_tlu_ctl.scala 1039:39] + csr.io.nmi_int_detected_f <= nmi_int_detected_f @[el2_dec_tlu_ctl.scala 1040:39] + csr.io.internal_dbg_halt_mode_f2 <= internal_dbg_halt_mode_f2 @[el2_dec_tlu_ctl.scala 1041:39] + csr.io.ext_int_freeze_d1 <= ext_int_freeze_d1 @[el2_dec_tlu_ctl.scala 1042:39] + csr.io.ic_perr_r_d1 <= ic_perr_r_d1 @[el2_dec_tlu_ctl.scala 1043:39] + csr.io.iccm_sbecc_r_d1 <= iccm_sbecc_r_d1 @[el2_dec_tlu_ctl.scala 1044:39] + csr.io.lsu_single_ecc_error_r_d1 <= lsu_single_ecc_error_r_d1 @[el2_dec_tlu_ctl.scala 1045:39] + csr.io.ifu_miss_state_idle_f <= ifu_miss_state_idle_f @[el2_dec_tlu_ctl.scala 1046:39] + csr.io.lsu_idle_any_f <= lsu_idle_any_f @[el2_dec_tlu_ctl.scala 1047:39] + csr.io.dbg_tlu_halted_f <= dbg_tlu_halted_f @[el2_dec_tlu_ctl.scala 1048:39] + csr.io.dbg_tlu_halted <= dbg_tlu_halted @[el2_dec_tlu_ctl.scala 1049:39] + csr.io.debug_halt_req_f <= debug_halt_req_f @[el2_dec_tlu_ctl.scala 1050:51] + csr.io.take_ext_int_start <= take_ext_int_start @[el2_dec_tlu_ctl.scala 1051:47] + csr.io.trigger_hit_dmode_r_d1 <= trigger_hit_dmode_r_d1 @[el2_dec_tlu_ctl.scala 1052:43] + csr.io.trigger_hit_r_d1 <= trigger_hit_r_d1 @[el2_dec_tlu_ctl.scala 1053:43] + csr.io.dcsr_single_step_done_f <= dcsr_single_step_done_f @[el2_dec_tlu_ctl.scala 1054:43] + csr.io.ebreak_to_debug_mode_r_d1 <= ebreak_to_debug_mode_r_d1 @[el2_dec_tlu_ctl.scala 1055:39] + csr.io.debug_halt_req <= debug_halt_req @[el2_dec_tlu_ctl.scala 1056:51] + csr.io.allow_dbg_halt_csr_write <= allow_dbg_halt_csr_write @[el2_dec_tlu_ctl.scala 1057:39] + csr.io.internal_dbg_halt_mode_f <= debug_mode_status @[el2_dec_tlu_ctl.scala 1058:39] + csr.io.enter_debug_halt_req <= enter_debug_halt_req @[el2_dec_tlu_ctl.scala 1059:39] + csr.io.internal_dbg_halt_mode <= internal_dbg_halt_mode @[el2_dec_tlu_ctl.scala 1060:39] + csr.io.request_debug_mode_done <= request_debug_mode_done @[el2_dec_tlu_ctl.scala 1061:39] + csr.io.request_debug_mode_r <= request_debug_mode_r @[el2_dec_tlu_ctl.scala 1062:39] + csr.io.update_hit_bit_r <= update_hit_bit_r @[el2_dec_tlu_ctl.scala 1063:39] + csr.io.take_timer_int <= take_timer_int @[el2_dec_tlu_ctl.scala 1064:39] + csr.io.take_int_timer0_int <= take_int_timer0_int @[el2_dec_tlu_ctl.scala 1065:39] + csr.io.take_int_timer1_int <= take_int_timer1_int @[el2_dec_tlu_ctl.scala 1066:39] + csr.io.take_ext_int <= take_ext_int @[el2_dec_tlu_ctl.scala 1067:39] + csr.io.tlu_flush_lower_r <= tlu_flush_lower_r @[el2_dec_tlu_ctl.scala 1068:39] + csr.io.dec_tlu_br0_error_r <= dec_tlu_br0_error_r @[el2_dec_tlu_ctl.scala 1069:39] + csr.io.dec_tlu_br0_start_error_r <= dec_tlu_br0_start_error_r @[el2_dec_tlu_ctl.scala 1070:39] + csr.io.lsu_pmu_load_external_r <= lsu_pmu_load_external_r @[el2_dec_tlu_ctl.scala 1071:39] + csr.io.lsu_pmu_store_external_r <= lsu_pmu_store_external_r @[el2_dec_tlu_ctl.scala 1072:39] + csr.io.csr_pkt.legal <= csr_pkt.legal @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.postsync <= csr_pkt.postsync @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.presync <= csr_pkt.presync @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dicago <= csr_pkt.csr_dicago @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dicad1 <= csr_pkt.csr_dicad1 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dicad0 <= csr_pkt.csr_dicad0 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dicad0h <= csr_pkt.csr_dicad0h @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dicawics <= csr_pkt.csr_dicawics @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mfdhs <= csr_pkt.csr_mfdhs @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mfdht <= csr_pkt.csr_mfdht @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mdccmect <= csr_pkt.csr_mdccmect @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_miccmect <= csr_pkt.csr_miccmect @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_micect <= csr_pkt.csr_micect @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mdeau <= csr_pkt.csr_mdeau @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_meicpct <= csr_pkt.csr_meicpct @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mcpc <= csr_pkt.csr_mcpc @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mpmc <= csr_pkt.csr_mpmc @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mitb1 <= csr_pkt.csr_mitb1 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mitb0 <= csr_pkt.csr_mitb0 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mcountinhibit <= csr_pkt.csr_mcountinhibit @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpme6 <= csr_pkt.csr_mhpme6 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpme5 <= csr_pkt.csr_mhpme5 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpme4 <= csr_pkt.csr_mhpme4 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpme3 <= csr_pkt.csr_mhpme3 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc6h <= csr_pkt.csr_mhpmc6h @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc5h <= csr_pkt.csr_mhpmc5h @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc4h <= csr_pkt.csr_mhpmc4h @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc3h <= csr_pkt.csr_mhpmc3h @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc6 <= csr_pkt.csr_mhpmc6 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc5 <= csr_pkt.csr_mhpmc5 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc4 <= csr_pkt.csr_mhpmc4 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhpmc3 <= csr_pkt.csr_mhpmc3 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mtdata2 <= csr_pkt.csr_mtdata2 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mtdata1 <= csr_pkt.csr_mtdata1 @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mtsel <= csr_pkt.csr_mtsel @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dpc <= csr_pkt.csr_dpc @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mfdc <= csr_pkt.csr_mfdc @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mcgc <= csr_pkt.csr_mcgc @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dcsr <= csr_pkt.csr_dcsr @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_meicidpl <= csr_pkt.csr_meicidpl @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_meicurpl <= csr_pkt.csr_meicurpl @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_meipt <= csr_pkt.csr_meipt @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_meivt <= csr_pkt.csr_meivt @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_meihap <= csr_pkt.csr_meihap @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mdseac <= csr_pkt.csr_mdseac @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_dmst <= csr_pkt.csr_dmst @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mrac <= csr_pkt.csr_mrac @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mtval <= csr_pkt.csr_mtval @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mscause <= csr_pkt.csr_mscause @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mcause <= csr_pkt.csr_mcause @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mepc <= csr_pkt.csr_mepc @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mscratch <= csr_pkt.csr_mscratch @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_minstreth <= csr_pkt.csr_minstreth @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_minstretl <= csr_pkt.csr_minstretl @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mcycleh <= csr_pkt.csr_mcycleh @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mcyclel <= csr_pkt.csr_mcyclel @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mie <= csr_pkt.csr_mie @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mip <= csr_pkt.csr_mip @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mtvec <= csr_pkt.csr_mtvec @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mstatus <= csr_pkt.csr_mstatus @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mhartid <= csr_pkt.csr_mhartid @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mimpid <= csr_pkt.csr_mimpid @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_marchid <= csr_pkt.csr_marchid @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_mvendorid <= csr_pkt.csr_mvendorid @[el2_dec_tlu_ctl.scala 1073:39] + csr.io.csr_pkt.csr_misa <= csr_pkt.csr_misa @[el2_dec_tlu_ctl.scala 1073:39] + npc_r <= csr.io.npc_r @[el2_dec_tlu_ctl.scala 1075:31] + npc_r_d1 <= csr.io.npc_r_d1 @[el2_dec_tlu_ctl.scala 1076:31] + mie_ns <= csr.io.mie_ns @[el2_dec_tlu_ctl.scala 1077:31] + mepc <= csr.io.mepc @[el2_dec_tlu_ctl.scala 1078:31] + mdseac_locked_ns <= csr.io.mdseac_locked_ns @[el2_dec_tlu_ctl.scala 1079:31] + force_halt <= csr.io.force_halt @[el2_dec_tlu_ctl.scala 1080:31] + dpc <= csr.io.dpc @[el2_dec_tlu_ctl.scala 1081:31] + mstatus_mie_ns <= csr.io.mstatus_mie_ns @[el2_dec_tlu_ctl.scala 1082:31] + dec_csr_wen_r_mod <= csr.io.dec_csr_wen_r_mod @[el2_dec_tlu_ctl.scala 1083:31] + fw_halt_req <= csr.io.fw_halt_req @[el2_dec_tlu_ctl.scala 1084:31] + mstatus <= csr.io.mstatus @[el2_dec_tlu_ctl.scala 1085:31] + dcsr <= csr.io.dcsr @[el2_dec_tlu_ctl.scala 1086:31] + mtvec <= csr.io.mtvec @[el2_dec_tlu_ctl.scala 1087:31] + mip <= csr.io.mip @[el2_dec_tlu_ctl.scala 1088:31] + mtdata1_t[0] <= csr.io.mtdata1_t[0] @[el2_dec_tlu_ctl.scala 1089:33] + mtdata1_t[1] <= csr.io.mtdata1_t[1] @[el2_dec_tlu_ctl.scala 1089:33] + mtdata1_t[2] <= csr.io.mtdata1_t[2] @[el2_dec_tlu_ctl.scala 1089:33] + mtdata1_t[3] <= csr.io.mtdata1_t[3] @[el2_dec_tlu_ctl.scala 1089:33] + inst csr_read of el2_dec_decode_csr_read @[el2_dec_tlu_ctl.scala 1090:22] + csr_read.clock <= clock + csr_read.reset <= reset + csr_read.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[el2_dec_tlu_ctl.scala 1091:31] + csr_pkt.legal <= csr_read.io.csr_pkt.legal @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.postsync <= csr_read.io.csr_pkt.postsync @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.presync <= csr_read.io.csr_pkt.presync @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dicago <= csr_read.io.csr_pkt.csr_dicago @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dicad1 <= csr_read.io.csr_pkt.csr_dicad1 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dicad0 <= csr_read.io.csr_pkt.csr_dicad0 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dicad0h <= csr_read.io.csr_pkt.csr_dicad0h @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dicawics <= csr_read.io.csr_pkt.csr_dicawics @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mfdhs <= csr_read.io.csr_pkt.csr_mfdhs @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mfdht <= csr_read.io.csr_pkt.csr_mfdht @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mdccmect <= csr_read.io.csr_pkt.csr_mdccmect @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_miccmect <= csr_read.io.csr_pkt.csr_miccmect @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_micect <= csr_read.io.csr_pkt.csr_micect @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mdeau <= csr_read.io.csr_pkt.csr_mdeau @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_meicpct <= csr_read.io.csr_pkt.csr_meicpct @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mcpc <= csr_read.io.csr_pkt.csr_mcpc @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mpmc <= csr_read.io.csr_pkt.csr_mpmc @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mitcnt1 <= csr_read.io.csr_pkt.csr_mitcnt1 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mitcnt0 <= csr_read.io.csr_pkt.csr_mitcnt0 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mitb1 <= csr_read.io.csr_pkt.csr_mitb1 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mitb0 <= csr_read.io.csr_pkt.csr_mitb0 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mitctl1 <= csr_read.io.csr_pkt.csr_mitctl1 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mitctl0 <= csr_read.io.csr_pkt.csr_mitctl0 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mcountinhibit <= csr_read.io.csr_pkt.csr_mcountinhibit @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpme6 <= csr_read.io.csr_pkt.csr_mhpme6 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpme5 <= csr_read.io.csr_pkt.csr_mhpme5 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpme4 <= csr_read.io.csr_pkt.csr_mhpme4 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpme3 <= csr_read.io.csr_pkt.csr_mhpme3 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc6h <= csr_read.io.csr_pkt.csr_mhpmc6h @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc5h <= csr_read.io.csr_pkt.csr_mhpmc5h @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc4h <= csr_read.io.csr_pkt.csr_mhpmc4h @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc3h <= csr_read.io.csr_pkt.csr_mhpmc3h @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc6 <= csr_read.io.csr_pkt.csr_mhpmc6 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc5 <= csr_read.io.csr_pkt.csr_mhpmc5 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc4 <= csr_read.io.csr_pkt.csr_mhpmc4 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhpmc3 <= csr_read.io.csr_pkt.csr_mhpmc3 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mtdata2 <= csr_read.io.csr_pkt.csr_mtdata2 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mtdata1 <= csr_read.io.csr_pkt.csr_mtdata1 @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mtsel <= csr_read.io.csr_pkt.csr_mtsel @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dpc <= csr_read.io.csr_pkt.csr_dpc @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mfdc <= csr_read.io.csr_pkt.csr_mfdc @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mcgc <= csr_read.io.csr_pkt.csr_mcgc @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dcsr <= csr_read.io.csr_pkt.csr_dcsr @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_meicidpl <= csr_read.io.csr_pkt.csr_meicidpl @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_meicurpl <= csr_read.io.csr_pkt.csr_meicurpl @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_meipt <= csr_read.io.csr_pkt.csr_meipt @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_meivt <= csr_read.io.csr_pkt.csr_meivt @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_meihap <= csr_read.io.csr_pkt.csr_meihap @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mdseac <= csr_read.io.csr_pkt.csr_mdseac @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_dmst <= csr_read.io.csr_pkt.csr_dmst @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mrac <= csr_read.io.csr_pkt.csr_mrac @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mtval <= csr_read.io.csr_pkt.csr_mtval @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mscause <= csr_read.io.csr_pkt.csr_mscause @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mcause <= csr_read.io.csr_pkt.csr_mcause @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mepc <= csr_read.io.csr_pkt.csr_mepc @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mscratch <= csr_read.io.csr_pkt.csr_mscratch @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_minstreth <= csr_read.io.csr_pkt.csr_minstreth @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_minstretl <= csr_read.io.csr_pkt.csr_minstretl @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mcycleh <= csr_read.io.csr_pkt.csr_mcycleh @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mcyclel <= csr_read.io.csr_pkt.csr_mcyclel @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mie <= csr_read.io.csr_pkt.csr_mie @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mip <= csr_read.io.csr_pkt.csr_mip @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mtvec <= csr_read.io.csr_pkt.csr_mtvec @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mstatus <= csr_read.io.csr_pkt.csr_mstatus @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mhartid <= csr_read.io.csr_pkt.csr_mhartid @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mimpid <= csr_read.io.csr_pkt.csr_mimpid @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_marchid <= csr_read.io.csr_pkt.csr_marchid @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_mvendorid <= csr_read.io.csr_pkt.csr_mvendorid @[el2_dec_tlu_ctl.scala 1092:10] + csr_pkt.csr_misa <= csr_read.io.csr_pkt.csr_misa @[el2_dec_tlu_ctl.scala 1092:10] + node _T_864 = and(csr_pkt.presync, io.dec_csr_any_unq_d) @[el2_dec_tlu_ctl.scala 1094:44] + node _T_865 = not(io.dec_csr_wen_unq_d) @[el2_dec_tlu_ctl.scala 1094:69] + node _T_866 = and(_T_864, _T_865) @[el2_dec_tlu_ctl.scala 1094:67] + io.dec_tlu_presync_d <= _T_866 @[el2_dec_tlu_ctl.scala 1094:25] + node _T_867 = and(csr_pkt.postsync, io.dec_csr_any_unq_d) @[el2_dec_tlu_ctl.scala 1095:45] + io.dec_tlu_postsync_d <= _T_867 @[el2_dec_tlu_ctl.scala 1095:25] + node _T_868 = or(csr_pkt.csr_mitcnt0, csr_pkt.csr_mitcnt1) @[el2_dec_tlu_ctl.scala 1098:52] + node _T_869 = or(_T_868, csr_pkt.csr_mitb0) @[el2_dec_tlu_ctl.scala 1098:74] + node _T_870 = or(_T_869, csr_pkt.csr_mitb1) @[el2_dec_tlu_ctl.scala 1098:94] + node _T_871 = or(_T_870, csr_pkt.csr_mitctl0) @[el2_dec_tlu_ctl.scala 1098:114] + node _T_872 = or(_T_871, csr_pkt.csr_mitctl1) @[el2_dec_tlu_ctl.scala 1098:136] + node _T_873 = not(UInt<1>("h01")) @[el2_dec_tlu_ctl.scala 1098:161] + node conditionally_illegal = and(_T_872, _T_873) @[el2_dec_tlu_ctl.scala 1098:159] + node _T_874 = or(csr_pkt.csr_dcsr, csr_pkt.csr_dpc) @[el2_dec_tlu_ctl.scala 1099:57] + node _T_875 = or(_T_874, csr_pkt.csr_dmst) @[el2_dec_tlu_ctl.scala 1099:75] + node _T_876 = or(_T_875, csr_pkt.csr_dicawics) @[el2_dec_tlu_ctl.scala 1099:94] + node _T_877 = or(_T_876, csr_pkt.csr_dicad0) @[el2_dec_tlu_ctl.scala 1099:117] + node _T_878 = or(_T_877, csr_pkt.csr_dicad0h) @[el2_dec_tlu_ctl.scala 1099:138] + node _T_879 = or(_T_878, csr_pkt.csr_dicad1) @[el2_dec_tlu_ctl.scala 1099:160] + node _T_880 = or(_T_879, csr_pkt.csr_dicago) @[el2_dec_tlu_ctl.scala 1099:181] + node _T_881 = not(_T_880) @[el2_dec_tlu_ctl.scala 1099:38] + node _T_882 = or(_T_881, dbg_tlu_halted_f) @[el2_dec_tlu_ctl.scala 1099:203] + node _T_883 = and(csr_pkt.legal, _T_882) @[el2_dec_tlu_ctl.scala 1099:35] + node _T_884 = not(fast_int_meicpct) @[el2_dec_tlu_ctl.scala 1099:225] + node _T_885 = and(_T_883, _T_884) @[el2_dec_tlu_ctl.scala 1099:223] + node _T_886 = not(conditionally_illegal) @[el2_dec_tlu_ctl.scala 1099:245] + node valid_csr = and(_T_885, _T_886) @[el2_dec_tlu_ctl.scala 1099:243] + node _T_887 = and(io.dec_csr_any_unq_d, valid_csr) @[el2_dec_tlu_ctl.scala 1101:48] + node _T_888 = or(csr_pkt.csr_mvendorid, csr_pkt.csr_marchid) @[el2_dec_tlu_ctl.scala 1101:109] + node _T_889 = or(_T_888, csr_pkt.csr_mimpid) @[el2_dec_tlu_ctl.scala 1101:131] + node _T_890 = or(_T_889, csr_pkt.csr_mhartid) @[el2_dec_tlu_ctl.scala 1101:152] + node _T_891 = or(_T_890, csr_pkt.csr_mdseac) @[el2_dec_tlu_ctl.scala 1101:174] + node _T_892 = or(_T_891, csr_pkt.csr_meihap) @[el2_dec_tlu_ctl.scala 1101:195] + node _T_893 = and(io.dec_csr_wen_unq_d, _T_892) @[el2_dec_tlu_ctl.scala 1101:84] + node _T_894 = not(_T_893) @[el2_dec_tlu_ctl.scala 1101:61] + node _T_895 = and(_T_887, _T_894) @[el2_dec_tlu_ctl.scala 1101:59] + io.dec_csr_legal_d <= _T_895 @[el2_dec_tlu_ctl.scala 1101:22] + diff --git a/el2_dec_tlu_ctl.v b/el2_dec_tlu_ctl.v new file mode 100644 index 00000000..cc7ab018 --- /dev/null +++ b/el2_dec_tlu_ctl.v @@ -0,0 +1,7181 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[el2_lib.scala 465:26] + wire clkhdr_CK; // @[el2_lib.scala 465:26] + wire clkhdr_EN; // @[el2_lib.scala 465:26] + wire clkhdr_SE; // @[el2_lib.scala 465:26] + TEC_RV_ICG clkhdr ( // @[el2_lib.scala 465:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[el2_lib.scala 466:14] + assign clkhdr_CK = io_clk; // @[el2_lib.scala 467:18] + assign clkhdr_EN = io_en; // @[el2_lib.scala 468:18] + assign clkhdr_SE = io_scan_mode; // @[el2_lib.scala 469:18] +endmodule +module el2_dec_timer_ctl( + input clock, + input reset, + input io_free_clk, + input io_scan_mode, + input io_dec_csr_wen_r_mod, + input [11:0] io_dec_csr_wraddr_r, + input [31:0] io_dec_csr_wrdata_r, + input io_csr_mitctl0, + input io_csr_mitctl1, + input io_csr_mitb0, + input io_csr_mitb1, + input io_csr_mitcnt0, + input io_csr_mitcnt1, + input io_dec_pause_state, + input io_dec_tlu_pmu_fw_halted, + input io_internal_dbg_halt_timers, + output [31:0] io_dec_timer_rddata_d, + output io_dec_timer_read_d, + output io_dec_timer_t0_pulse, + output io_dec_timer_t1_pulse +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 485:23] + reg [31:0] mitcnt0; // @[el2_lib.scala 491:16] + reg [31:0] mitb0_b; // @[el2_lib.scala 491:16] + wire [31:0] mitb0 = ~mitb0_b; // @[el2_dec_tlu_ctl.scala 2791:14] + wire mit0_match_ns = mitcnt0 >= mitb0; // @[el2_dec_tlu_ctl.scala 2752:30] + reg [31:0] mitcnt1; // @[el2_lib.scala 491:16] + reg [31:0] mitb1_b; // @[el2_lib.scala 491:16] + wire [31:0] mitb1 = ~mitb1_b; // @[el2_dec_tlu_ctl.scala 2800:12] + wire mit1_match_ns = mitcnt1 >= mitb1; // @[el2_dec_tlu_ctl.scala 2753:30] + wire _T = io_dec_csr_wraddr_r == 12'h7d2; // @[el2_dec_tlu_ctl.scala 2763:66] + wire wr_mitcnt0_r = io_dec_csr_wen_r_mod & _T; // @[el2_dec_tlu_ctl.scala 2763:43] + reg [1:0] _T_57; // @[el2_dec_tlu_ctl.scala 2816:67] + reg mitctl0_0_b; // @[el2_dec_tlu_ctl.scala 2815:54] + wire _T_58 = ~mitctl0_0_b; // @[el2_dec_tlu_ctl.scala 2816:90] + wire [2:0] mitctl0 = {_T_57,_T_58}; // @[Cat.scala 29:58] + wire _T_2 = ~io_dec_pause_state; // @[el2_dec_tlu_ctl.scala 2765:50] + wire _T_4 = _T_2 | mitctl0[2]; // @[el2_dec_tlu_ctl.scala 2765:70] + wire _T_5 = mitctl0[0] & _T_4; // @[el2_dec_tlu_ctl.scala 2765:47] + wire _T_6 = ~io_dec_tlu_pmu_fw_halted; // @[el2_dec_tlu_ctl.scala 2765:106] + wire _T_8 = _T_6 | mitctl0[1]; // @[el2_dec_tlu_ctl.scala 2765:132] + wire _T_9 = _T_5 & _T_8; // @[el2_dec_tlu_ctl.scala 2765:103] + wire _T_10 = ~io_internal_dbg_halt_timers; // @[el2_dec_tlu_ctl.scala 2765:167] + wire mitcnt0_inc_ok = _T_9 & _T_10; // @[el2_dec_tlu_ctl.scala 2765:165] + wire [31:0] mitcnt0_inc = mitcnt0 + 32'h1; // @[el2_dec_tlu_ctl.scala 2766:29] + wire _T_15 = wr_mitcnt0_r | mitcnt0_inc_ok; // @[el2_dec_tlu_ctl.scala 2768:60] + wire _T_19 = io_dec_csr_wraddr_r == 12'h7d5; // @[el2_dec_tlu_ctl.scala 2775:66] + wire wr_mitcnt1_r = io_dec_csr_wen_r_mod & _T_19; // @[el2_dec_tlu_ctl.scala 2775:43] + reg [2:0] _T_66; // @[el2_dec_tlu_ctl.scala 2830:46] + reg mitctl1_0_b; // @[el2_dec_tlu_ctl.scala 2829:49] + wire _T_67 = ~mitctl1_0_b; // @[el2_dec_tlu_ctl.scala 2830:69] + wire [3:0] mitctl1 = {_T_66,_T_67}; // @[Cat.scala 29:58] + wire _T_23 = _T_2 | mitctl1[2]; // @[el2_dec_tlu_ctl.scala 2777:70] + wire _T_24 = mitctl1[0] & _T_23; // @[el2_dec_tlu_ctl.scala 2777:47] + wire _T_27 = _T_6 | mitctl1[1]; // @[el2_dec_tlu_ctl.scala 2777:132] + wire _T_28 = _T_24 & _T_27; // @[el2_dec_tlu_ctl.scala 2777:103] + wire mitcnt1_inc_ok = _T_28 & _T_10; // @[el2_dec_tlu_ctl.scala 2777:165] + wire _T_32 = ~mitctl1[3]; // @[el2_dec_tlu_ctl.scala 2780:54] + wire _T_33 = _T_32 | mit0_match_ns; // @[el2_dec_tlu_ctl.scala 2780:66] + wire [31:0] _T_34 = {31'h0,_T_33}; // @[Cat.scala 29:58] + wire [31:0] mitcnt1_inc = mitcnt1 + _T_34; // @[el2_dec_tlu_ctl.scala 2780:29] + wire _T_39 = wr_mitcnt1_r | mitcnt1_inc_ok; // @[el2_dec_tlu_ctl.scala 2782:52] + wire _T_43 = io_dec_csr_wraddr_r == 12'h7d3; // @[el2_dec_tlu_ctl.scala 2789:64] + wire _T_47 = io_dec_csr_wraddr_r == 12'h7d6; // @[el2_dec_tlu_ctl.scala 2798:63] + wire _T_51 = io_dec_csr_wraddr_r == 12'h7d4; // @[el2_dec_tlu_ctl.scala 2811:66] + wire wr_mitctl0_r = io_dec_csr_wen_r_mod & _T_51; // @[el2_dec_tlu_ctl.scala 2811:43] + wire [2:0] mitctl0_ns = wr_mitctl0_r ? io_dec_csr_wrdata_r[2:0] : mitctl0; // @[el2_dec_tlu_ctl.scala 2812:31] + wire _T_60 = io_dec_csr_wraddr_r == 12'h7d7; // @[el2_dec_tlu_ctl.scala 2826:65] + wire wr_mitctl1_r = io_dec_csr_wen_r_mod & _T_60; // @[el2_dec_tlu_ctl.scala 2826:43] + wire [3:0] mitctl1_ns = wr_mitctl1_r ? io_dec_csr_wrdata_r[3:0] : mitctl1; // @[el2_dec_tlu_ctl.scala 2827:31] + wire _T_69 = io_csr_mitcnt1 | io_csr_mitcnt0; // @[el2_dec_tlu_ctl.scala 2832:43] + wire _T_70 = _T_69 | io_csr_mitb1; // @[el2_dec_tlu_ctl.scala 2832:60] + wire _T_71 = _T_70 | io_csr_mitb0; // @[el2_dec_tlu_ctl.scala 2832:75] + wire _T_72 = _T_71 | io_csr_mitctl0; // @[el2_dec_tlu_ctl.scala 2832:90] + wire [31:0] _T_81 = {29'h0,_T_57,_T_58}; // @[Cat.scala 29:58] + wire [31:0] _T_84 = {28'h0,_T_66,_T_67}; // @[Cat.scala 29:58] + wire [31:0] _T_85 = io_csr_mitcnt0 ? mitcnt0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_86 = io_csr_mitcnt1 ? mitcnt1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_87 = io_csr_mitb0 ? mitb0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_88 = io_csr_mitb1 ? mitb1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_89 = io_csr_mitctl0 ? _T_81 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_90 = io_csr_mitctl1 ? _T_84 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_91 = _T_85 | _T_86; // @[Mux.scala 27:72] + wire [31:0] _T_92 = _T_91 | _T_87; // @[Mux.scala 27:72] + wire [31:0] _T_93 = _T_92 | _T_88; // @[Mux.scala 27:72] + wire [31:0] _T_94 = _T_93 | _T_89; // @[Mux.scala 27:72] + rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + assign io_dec_timer_rddata_d = _T_94 | _T_90; // @[el2_dec_tlu_ctl.scala 2833:33] + assign io_dec_timer_read_d = _T_72 | io_csr_mitctl1; // @[el2_dec_tlu_ctl.scala 2832:25] + assign io_dec_timer_t0_pulse = mitcnt0 >= mitb0; // @[el2_dec_tlu_ctl.scala 2755:25] + assign io_dec_timer_t1_pulse = mitcnt1 >= mitb1; // @[el2_dec_tlu_ctl.scala 2756:25] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_io_en = _T_15 | mit0_match_ns; // @[el2_lib.scala 488:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_1_io_en = _T_39 | mit1_match_ns; // @[el2_lib.scala 488:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_2_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_2_io_en = io_dec_csr_wen_r_mod & _T_43; // @[el2_lib.scala 488:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_3_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_3_io_en = io_dec_csr_wen_r_mod & _T_47; // @[el2_lib.scala 488:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + mitcnt0 = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + mitb0_b = _RAND_1[31:0]; + _RAND_2 = {1{`RANDOM}}; + mitcnt1 = _RAND_2[31:0]; + _RAND_3 = {1{`RANDOM}}; + mitb1_b = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + _T_57 = _RAND_4[1:0]; + _RAND_5 = {1{`RANDOM}}; + mitctl0_0_b = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + _T_66 = _RAND_6[2:0]; + _RAND_7 = {1{`RANDOM}}; + mitctl1_0_b = _RAND_7[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + mitcnt0 = 32'h0; + end + if (reset) begin + mitb0_b = 32'h0; + end + if (reset) begin + mitcnt1 = 32'h0; + end + if (reset) begin + mitb1_b = 32'h0; + end + if (reset) begin + _T_57 = 2'h0; + end + if (reset) begin + mitctl0_0_b = 1'h0; + end + if (reset) begin + _T_66 = 3'h0; + end + if (reset) begin + mitctl1_0_b = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + mitcnt0 <= 32'h0; + end else if (mit0_match_ns) begin + mitcnt0 <= 32'h0; + end else if (wr_mitcnt0_r) begin + mitcnt0 <= io_dec_csr_wrdata_r; + end else begin + mitcnt0 <= mitcnt0_inc; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + mitb0_b <= 32'h0; + end else begin + mitb0_b <= ~io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + mitcnt1 <= 32'h0; + end else if (mit1_match_ns) begin + mitcnt1 <= 32'h0; + end else if (wr_mitcnt1_r) begin + mitcnt1 <= io_dec_csr_wrdata_r; + end else begin + mitcnt1 <= mitcnt1_inc; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + mitb1_b <= 32'h0; + end else begin + mitb1_b <= ~io_dec_csr_wrdata_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_57 <= 2'h0; + end else begin + _T_57 <= mitctl0_ns[2:1]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mitctl0_0_b <= 1'h0; + end else begin + mitctl0_0_b <= ~mitctl0_ns[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_66 <= 3'h0; + end else begin + _T_66 <= mitctl1_ns[3:1]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mitctl1_0_b <= 1'h0; + end else begin + mitctl1_0_b <= ~mitctl1_ns[0]; + end + end +endmodule +module csr_tlu( + input clock, + input reset, + input io_free_clk, + input io_active_clk, + input io_scan_mode, + input [31:0] io_dec_csr_wrdata_r, + input [11:0] io_dec_csr_wraddr_r, + input [11:0] io_dec_csr_rdaddr_d, + input io_dec_csr_wen_unq_d, + input io_dec_i0_decode_d, + output [70:0] io_dec_tlu_ic_diag_pkt_icache_wrdata, + output [16:0] io_dec_tlu_ic_diag_pkt_icache_dicawics, + output io_dec_tlu_ic_diag_pkt_icache_rd_valid, + output io_dec_tlu_ic_diag_pkt_icache_wr_valid, + input io_ifu_ic_debug_rd_data_valid, + output io_trigger_pkt_any_0_select, + output io_trigger_pkt_any_0_match_, + output io_trigger_pkt_any_0_store, + output io_trigger_pkt_any_0_load, + output io_trigger_pkt_any_0_execute, + output io_trigger_pkt_any_0_m, + output [31:0] io_trigger_pkt_any_0_tdata2, + output io_trigger_pkt_any_1_select, + output io_trigger_pkt_any_1_match_, + output io_trigger_pkt_any_1_store, + output io_trigger_pkt_any_1_load, + output io_trigger_pkt_any_1_execute, + output io_trigger_pkt_any_1_m, + output [31:0] io_trigger_pkt_any_1_tdata2, + output io_trigger_pkt_any_2_select, + output io_trigger_pkt_any_2_match_, + output io_trigger_pkt_any_2_store, + output io_trigger_pkt_any_2_load, + output io_trigger_pkt_any_2_execute, + output io_trigger_pkt_any_2_m, + output [31:0] io_trigger_pkt_any_2_tdata2, + output io_trigger_pkt_any_3_select, + output io_trigger_pkt_any_3_match_, + output io_trigger_pkt_any_3_store, + output io_trigger_pkt_any_3_load, + output io_trigger_pkt_any_3_execute, + output io_trigger_pkt_any_3_m, + output [31:0] io_trigger_pkt_any_3_tdata2, + input io_ifu_pmu_bus_trxn, + input io_dma_iccm_stall_any, + input io_dma_dccm_stall_any, + input io_lsu_store_stall_any, + input io_dec_pmu_presync_stall, + input io_dec_pmu_postsync_stall, + input io_dec_pmu_decode_stall, + input io_ifu_pmu_fetch_stall, + input [1:0] io_dec_tlu_packet_r_icaf_type, + input [3:0] io_dec_tlu_packet_r_pmu_i0_itype, + input io_dec_tlu_packet_r_pmu_i0_br_unpred, + input io_dec_tlu_packet_r_pmu_divide, + input io_dec_tlu_packet_r_pmu_lsu_misaligned, + input io_exu_pmu_i0_br_ataken, + input io_exu_pmu_i0_br_misp, + input io_dec_pmu_instr_decoded, + input io_ifu_pmu_instr_aligned, + input io_exu_pmu_i0_pc4, + input io_ifu_pmu_ic_miss, + input io_ifu_pmu_ic_hit, + output io_dec_tlu_int_valid_wb1, + output io_dec_tlu_i0_exc_valid_wb1, + output io_dec_tlu_i0_valid_wb1, + input io_dec_csr_wen_r, + output [31:0] io_dec_tlu_mtval_wb1, + output [4:0] io_dec_tlu_exc_cause_wb1, + output io_dec_tlu_perfcnt0, + output io_dec_tlu_perfcnt1, + output io_dec_tlu_perfcnt2, + output io_dec_tlu_perfcnt3, + input io_dec_tlu_dbg_halted, + input io_dma_pmu_dccm_write, + input io_dma_pmu_dccm_read, + input io_dma_pmu_any_write, + input io_dma_pmu_any_read, + input io_lsu_pmu_bus_busy, + input [30:0] io_dec_tlu_i0_pc_r, + input io_dec_tlu_i0_valid_r, + input io_dec_csr_any_unq_d, + output io_dec_tlu_misc_clk_override, + output io_dec_tlu_dec_clk_override, + output io_dec_tlu_ifu_clk_override, + output io_dec_tlu_lsu_clk_override, + output io_dec_tlu_bus_clk_override, + output io_dec_tlu_pic_clk_override, + output io_dec_tlu_dccm_clk_override, + output io_dec_tlu_icm_clk_override, + output [31:0] io_dec_csr_rddata_d, + output io_dec_tlu_pipelining_disable, + output io_dec_tlu_wr_pause_r, + input io_ifu_pmu_bus_busy, + input io_lsu_pmu_bus_error, + input io_ifu_pmu_bus_error, + input io_lsu_pmu_bus_misaligned, + input io_lsu_pmu_bus_trxn, + input [70:0] io_ifu_ic_debug_rd_data, + output [3:0] io_dec_tlu_meipt, + input [3:0] io_pic_pl, + output [3:0] io_dec_tlu_meicurpl, + output [29:0] io_dec_tlu_meihap, + input [7:0] io_pic_claimid, + input io_iccm_dma_sb_error, + input [31:0] io_lsu_imprecise_error_addr_any, + input io_lsu_imprecise_error_load_any, + input io_lsu_imprecise_error_store_any, + output [31:0] io_dec_tlu_mrac_ff, + output io_dec_tlu_wb_coalescing_disable, + output io_dec_tlu_bpred_disable, + output io_dec_tlu_sideeffect_posted_disable, + output io_dec_tlu_core_ecc_disable, + output io_dec_tlu_external_ldfwd_disable, + output [2:0] io_dec_tlu_dma_qos_prty, + input [31:0] io_dec_illegal_inst, + input io_lsu_error_pkt_r_mscause, + input io_mexintpend, + input [30:0] io_exu_npc_r, + input io_mpc_reset_run_req, + input [30:0] io_rst_vec, + input [27:0] io_core_id, + input [31:0] io_dec_timer_rddata_d, + input io_dec_timer_read_d, + output io_dec_csr_wen_r_mod, + input io_rfpc_i0_r, + input io_i0_trigger_hit_r, + output io_fw_halt_req, + output [1:0] io_mstatus, + input io_exc_or_int_valid_r, + input io_mret_r, + output io_mstatus_mie_ns, + input io_dcsr_single_step_running_f, + output [15:0] io_dcsr, + output [30:0] io_mtvec, + output [5:0] io_mip, + input io_dec_timer_t0_pulse, + input io_dec_timer_t1_pulse, + input io_timer_int_sync, + input io_soft_int_sync, + output [5:0] io_mie_ns, + input io_csr_wr_clk, + input io_ebreak_to_debug_mode_r, + input io_dec_tlu_pmu_fw_halted, + input [1:0] io_lsu_fir_error, + output [30:0] io_npc_r, + input io_tlu_flush_lower_r_d1, + input io_dec_tlu_flush_noredir_r_d1, + input [30:0] io_tlu_flush_path_r_d1, + output [30:0] io_npc_r_d1, + input io_reset_delayed, + output [30:0] io_mepc, + input io_interrupt_valid_r, + input io_i0_exception_valid_r, + input io_lsu_exc_valid_r, + input io_mepc_trigger_hit_sel_pc_r, + input io_e4e5_int_clk, + input io_lsu_i0_exc_r, + input io_inst_acc_r, + input io_inst_acc_second_r, + input io_take_nmi, + input [31:0] io_lsu_error_pkt_addr_r, + input [4:0] io_exc_cause_r, + input io_i0_valid_wb, + input io_exc_or_int_valid_r_d1, + input io_interrupt_valid_r_d1, + input io_clk_override, + input io_i0_exception_valid_r_d1, + input io_lsu_i0_exc_r_d1, + input [4:0] io_exc_cause_wb, + input io_nmi_lsu_store_type, + input io_nmi_lsu_load_type, + input io_tlu_i0_commit_cmt, + input io_ebreak_r, + input io_ecall_r, + input io_illegal_r, + output io_mdseac_locked_ns, + input io_mdseac_locked_f, + input io_nmi_int_detected_f, + input io_internal_dbg_halt_mode_f2, + input io_ext_int_freeze_d1, + input io_ic_perr_r_d1, + input io_iccm_sbecc_r_d1, + input io_lsu_single_ecc_error_r_d1, + input io_ifu_miss_state_idle_f, + input io_lsu_idle_any_f, + input io_dbg_tlu_halted_f, + input io_dbg_tlu_halted, + input io_debug_halt_req_f, + output io_force_halt, + input io_take_ext_int_start, + input io_trigger_hit_dmode_r_d1, + input io_trigger_hit_r_d1, + input io_dcsr_single_step_done_f, + input io_ebreak_to_debug_mode_r_d1, + input io_debug_halt_req, + input io_allow_dbg_halt_csr_write, + input io_internal_dbg_halt_mode_f, + input io_enter_debug_halt_req, + input io_internal_dbg_halt_mode, + input io_request_debug_mode_done, + input io_request_debug_mode_r, + output [30:0] io_dpc, + input [3:0] io_update_hit_bit_r, + input io_take_timer_int, + input io_take_int_timer0_int, + input io_take_int_timer1_int, + input io_take_ext_int, + input io_tlu_flush_lower_r, + input io_dec_tlu_br0_error_r, + input io_dec_tlu_br0_start_error_r, + input io_lsu_pmu_load_external_r, + input io_lsu_pmu_store_external_r, + input io_csr_pkt_csr_misa, + input io_csr_pkt_csr_mvendorid, + input io_csr_pkt_csr_marchid, + input io_csr_pkt_csr_mimpid, + input io_csr_pkt_csr_mhartid, + input io_csr_pkt_csr_mstatus, + input io_csr_pkt_csr_mtvec, + input io_csr_pkt_csr_mip, + input io_csr_pkt_csr_mie, + input io_csr_pkt_csr_mcyclel, + input io_csr_pkt_csr_mcycleh, + input io_csr_pkt_csr_minstretl, + input io_csr_pkt_csr_minstreth, + input io_csr_pkt_csr_mscratch, + input io_csr_pkt_csr_mepc, + input io_csr_pkt_csr_mcause, + input io_csr_pkt_csr_mscause, + input io_csr_pkt_csr_mtval, + input io_csr_pkt_csr_mrac, + input io_csr_pkt_csr_mdseac, + input io_csr_pkt_csr_meihap, + input io_csr_pkt_csr_meivt, + input io_csr_pkt_csr_meipt, + input io_csr_pkt_csr_meicurpl, + input io_csr_pkt_csr_meicidpl, + input io_csr_pkt_csr_dcsr, + input io_csr_pkt_csr_mcgc, + input io_csr_pkt_csr_mfdc, + input io_csr_pkt_csr_dpc, + input io_csr_pkt_csr_mtsel, + input io_csr_pkt_csr_mtdata1, + input io_csr_pkt_csr_mtdata2, + input io_csr_pkt_csr_mhpmc3, + input io_csr_pkt_csr_mhpmc4, + input io_csr_pkt_csr_mhpmc5, + input io_csr_pkt_csr_mhpmc6, + input io_csr_pkt_csr_mhpmc3h, + input io_csr_pkt_csr_mhpmc4h, + input io_csr_pkt_csr_mhpmc5h, + input io_csr_pkt_csr_mhpmc6h, + input io_csr_pkt_csr_mhpme3, + input io_csr_pkt_csr_mhpme4, + input io_csr_pkt_csr_mhpme5, + input io_csr_pkt_csr_mhpme6, + input io_csr_pkt_csr_mcountinhibit, + input io_csr_pkt_csr_mpmc, + input io_csr_pkt_csr_micect, + input io_csr_pkt_csr_miccmect, + input io_csr_pkt_csr_mdccmect, + input io_csr_pkt_csr_mfdht, + input io_csr_pkt_csr_mfdhs, + input io_csr_pkt_csr_dicawics, + input io_csr_pkt_csr_dicad0h, + input io_csr_pkt_csr_dicad0, + input io_csr_pkt_csr_dicad1, + output [9:0] io_mtdata1_t_0, + output [9:0] io_mtdata1_t_1, + output [9:0] io_mtdata1_t_2, + output [9:0] io_mtdata1_t_3 +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [63:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [63:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [95:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_1_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_2_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_4_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_5_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_6_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_7_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_8_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_9_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_10_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_12_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_13_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_14_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_15_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_16_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_17_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_18_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_19_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_20_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_21_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_22_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_23_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_24_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_25_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_26_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_27_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_28_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_29_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_30_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_31_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_31_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_31_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_31_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_32_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_32_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_32_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_32_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_33_io_l1clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_33_io_clk; // @[el2_lib.scala 485:23] + wire rvclkhdr_33_io_en; // @[el2_lib.scala 485:23] + wire rvclkhdr_33_io_scan_mode; // @[el2_lib.scala 485:23] + wire rvclkhdr_34_io_l1clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_34_io_clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_34_io_en; // @[el2_lib.scala 474:22] + wire rvclkhdr_34_io_scan_mode; // @[el2_lib.scala 474:22] + wire _T = ~io_i0_trigger_hit_r; // @[el2_dec_tlu_ctl.scala 1530:46] + wire _T_1 = io_dec_csr_wen_r & _T; // @[el2_dec_tlu_ctl.scala 1530:44] + wire _T_2 = ~io_rfpc_i0_r; // @[el2_dec_tlu_ctl.scala 1530:69] + wire _T_5 = io_dec_csr_wraddr_r == 12'h300; // @[el2_dec_tlu_ctl.scala 1531:72] + wire wr_mstatus_r = io_dec_csr_wen_r_mod & _T_5; // @[el2_dec_tlu_ctl.scala 1531:43] + wire _T_496 = io_dec_csr_wraddr_r == 12'h7c6; // @[el2_dec_tlu_ctl.scala 1917:69] + wire wr_mpmc_r = io_dec_csr_wen_r_mod & _T_496; // @[el2_dec_tlu_ctl.scala 1917:40] + wire _T_507 = ~io_dec_csr_wrdata_r[1]; // @[el2_dec_tlu_ctl.scala 1924:38] + reg mpmc_b; // @[el2_dec_tlu_ctl.scala 1926:45] + wire mpmc = ~mpmc_b; // @[el2_dec_tlu_ctl.scala 1929:11] + wire _T_508 = ~mpmc; // @[el2_dec_tlu_ctl.scala 1924:63] + wire mpmc_b_ns = wr_mpmc_r ? _T_507 : _T_508; // @[el2_dec_tlu_ctl.scala 1924:19] + wire _T_6 = ~mpmc_b_ns; // @[el2_dec_tlu_ctl.scala 1534:29] + wire set_mie_pmu_fw_halt = _T_6 & io_fw_halt_req; // @[el2_dec_tlu_ctl.scala 1534:40] + wire _T_7 = ~wr_mstatus_r; // @[el2_dec_tlu_ctl.scala 1537:6] + wire _T_8 = _T_7 & io_exc_or_int_valid_r; // @[el2_dec_tlu_ctl.scala 1537:20] + wire _T_12 = wr_mstatus_r & io_exc_or_int_valid_r; // @[el2_dec_tlu_ctl.scala 1538:19] + wire _T_15 = ~io_exc_or_int_valid_r; // @[el2_dec_tlu_ctl.scala 1539:18] + wire _T_16 = io_mret_r & _T_15; // @[el2_dec_tlu_ctl.scala 1539:16] + wire [1:0] _T_19 = {1'h1,io_mstatus[1]}; // @[Cat.scala 29:58] + wire [1:0] _T_22 = {io_mstatus[1],1'h1}; // @[Cat.scala 29:58] + wire _T_24 = wr_mstatus_r & _T_15; // @[el2_dec_tlu_ctl.scala 1541:19] + wire [1:0] _T_28 = {io_dec_csr_wrdata_r[7],io_dec_csr_wrdata_r[3]}; // @[Cat.scala 29:58] + wire _T_31 = _T_7 & _T_15; // @[el2_dec_tlu_ctl.scala 1542:20] + wire _T_32 = ~io_mret_r; // @[el2_dec_tlu_ctl.scala 1542:47] + wire _T_33 = _T_31 & _T_32; // @[el2_dec_tlu_ctl.scala 1542:45] + wire _T_34 = ~set_mie_pmu_fw_halt; // @[el2_dec_tlu_ctl.scala 1542:60] + wire _T_35 = _T_33 & _T_34; // @[el2_dec_tlu_ctl.scala 1542:58] + wire _T_37 = _T_8 & io_mstatus[0]; // @[Mux.scala 27:72] + wire _T_38 = _T_12 & io_dec_csr_wrdata_r[3]; // @[Mux.scala 27:72] + wire [1:0] _T_39 = _T_16 ? _T_19 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_40 = set_mie_pmu_fw_halt ? _T_22 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_41 = _T_24 ? _T_28 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_42 = _T_35 ? io_mstatus : 2'h0; // @[Mux.scala 27:72] + wire _T_43 = _T_37 | _T_38; // @[Mux.scala 27:72] + wire [1:0] _GEN_9 = {{1'd0}, _T_43}; // @[Mux.scala 27:72] + wire [1:0] _T_44 = _GEN_9 | _T_39; // @[Mux.scala 27:72] + wire [1:0] _T_45 = _T_44 | _T_40; // @[Mux.scala 27:72] + wire [1:0] _T_46 = _T_45 | _T_41; // @[Mux.scala 27:72] + wire _T_50 = ~io_dcsr_single_step_running_f; // @[el2_dec_tlu_ctl.scala 1545:51] + wire _T_52 = _T_50 | io_dcsr[11]; // @[el2_dec_tlu_ctl.scala 1545:82] + reg [1:0] _T_54; // @[el2_dec_tlu_ctl.scala 1547:12] + wire _T_56 = io_dec_csr_wraddr_r == 12'h305; // @[el2_dec_tlu_ctl.scala 1556:70] + reg [30:0] _T_60; // @[el2_lib.scala 491:16] + reg [31:0] mdccmect; // @[el2_lib.scala 491:16] + wire [62:0] _T_572 = 63'hffffffff << mdccmect[31:27]; // @[el2_dec_tlu_ctl.scala 1975:42] + wire [31:0] _T_574 = {5'h0,mdccmect[26:0]}; // @[Cat.scala 29:58] + wire [62:0] _GEN_10 = {{31'd0}, _T_574}; // @[el2_dec_tlu_ctl.scala 1975:62] + wire [62:0] _T_575 = _T_572 & _GEN_10; // @[el2_dec_tlu_ctl.scala 1975:62] + wire mdccme_ce_req = |_T_575; // @[el2_dec_tlu_ctl.scala 1975:95] + reg [31:0] miccmect; // @[el2_lib.scala 491:16] + wire [62:0] _T_552 = 63'hffffffff << miccmect[31:27]; // @[el2_dec_tlu_ctl.scala 1960:42] + wire [31:0] _T_554 = {5'h0,miccmect[26:0]}; // @[Cat.scala 29:58] + wire [62:0] _GEN_11 = {{31'd0}, _T_554}; // @[el2_dec_tlu_ctl.scala 1960:62] + wire [62:0] _T_555 = _T_552 & _GEN_11; // @[el2_dec_tlu_ctl.scala 1960:62] + wire miccme_ce_req = |_T_555; // @[el2_dec_tlu_ctl.scala 1960:95] + wire _T_61 = mdccme_ce_req | miccme_ce_req; // @[el2_dec_tlu_ctl.scala 1570:31] + reg [31:0] micect; // @[el2_lib.scala 491:16] + wire [62:0] _T_530 = 63'hffffffff << micect[31:27]; // @[el2_dec_tlu_ctl.scala 1946:39] + wire _T_531 = |_T_530; // @[el2_dec_tlu_ctl.scala 1946:57] + wire [31:0] _T_533 = {5'h0,micect[26:0]}; // @[Cat.scala 29:58] + wire [31:0] _GEN_12 = {{31'd0}, _T_531}; // @[el2_dec_tlu_ctl.scala 1946:61] + wire [31:0] _T_534 = _GEN_12 & _T_533; // @[el2_dec_tlu_ctl.scala 1946:61] + wire mice_ce_req = _T_534[0]; // @[el2_dec_tlu_ctl.scala 1455:41 el2_dec_tlu_ctl.scala 1946:15] + wire ce_int = _T_61 | mice_ce_req; // @[el2_dec_tlu_ctl.scala 1570:47] + wire [2:0] _T_63 = {io_mexintpend,io_timer_int_sync,io_soft_int_sync}; // @[Cat.scala 29:58] + wire [2:0] _T_65 = {ce_int,io_dec_timer_t0_pulse,io_dec_timer_t1_pulse}; // @[Cat.scala 29:58] + reg [5:0] _T_66; // @[el2_dec_tlu_ctl.scala 1574:12] + wire _T_68 = io_dec_csr_wraddr_r == 12'h304; // @[el2_dec_tlu_ctl.scala 1586:68] + wire wr_mie_r = io_dec_csr_wen_r_mod & _T_68; // @[el2_dec_tlu_ctl.scala 1586:39] + wire [5:0] _T_76 = {io_dec_csr_wrdata_r[30:28],io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7],io_dec_csr_wrdata_r[3]}; // @[Cat.scala 29:58] + reg [5:0] mie; // @[el2_dec_tlu_ctl.scala 1589:12] + wire kill_ebreak_count_r = io_ebreak_to_debug_mode_r & io_dcsr[10]; // @[el2_dec_tlu_ctl.scala 1596:55] + wire _T_81 = io_dec_csr_wraddr_r == 12'hb00; // @[el2_dec_tlu_ctl.scala 1598:72] + wire wr_mcyclel_r = io_dec_csr_wen_r_mod & _T_81; // @[el2_dec_tlu_ctl.scala 1598:43] + wire _T_83 = io_dec_tlu_dbg_halted & io_dcsr[10]; // @[el2_dec_tlu_ctl.scala 1600:72] + wire _T_84 = kill_ebreak_count_r | _T_83; // @[el2_dec_tlu_ctl.scala 1600:47] + wire _T_85 = _T_84 | io_dec_tlu_pmu_fw_halted; // @[el2_dec_tlu_ctl.scala 1600:95] + reg [4:0] temp_ncount6_2; // @[Reg.scala 27:20] + reg temp_ncount0; // @[Reg.scala 27:20] + wire [6:0] mcountinhibit = {temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] + wire _T_87 = _T_85 | mcountinhibit[0]; // @[el2_dec_tlu_ctl.scala 1600:122] + wire mcyclel_cout_in = ~_T_87; // @[el2_dec_tlu_ctl.scala 1600:25] + wire [31:0] _T_88 = {31'h0,mcyclel_cout_in}; // @[Cat.scala 29:58] + reg [32:0] _T_95; // @[el2_lib.scala 491:16] + wire [31:0] mcyclel = _T_95[31:0]; // @[el2_dec_tlu_ctl.scala 1607:11] + wire [31:0] _T_90 = mcyclel + _T_88; // @[el2_dec_tlu_ctl.scala 1604:26] + wire [32:0] mcyclel_inc = {{1'd0}, _T_90}; // @[el2_dec_tlu_ctl.scala 1604:15] + wire mcyclel_cout = mcyclel_inc[32]; // @[el2_dec_tlu_ctl.scala 1606:33] + wire _T_99 = io_dec_csr_wraddr_r == 12'hb80; // @[el2_dec_tlu_ctl.scala 1614:69] + wire wr_mcycleh_r = io_dec_csr_wen_r_mod & _T_99; // @[el2_dec_tlu_ctl.scala 1614:40] + wire _T_96 = ~wr_mcycleh_r; // @[el2_dec_tlu_ctl.scala 1608:72] + reg mcyclel_cout_f; // @[el2_dec_tlu_ctl.scala 1608:55] + wire [31:0] _T_101 = {31'h0,mcyclel_cout_f}; // @[Cat.scala 29:58] + reg [31:0] mcycleh; // @[el2_lib.scala 491:16] + wire [31:0] mcycleh_inc = mcycleh + _T_101; // @[el2_dec_tlu_ctl.scala 1616:29] + wire _T_107 = io_ebreak_r | io_ecall_r; // @[el2_dec_tlu_ctl.scala 1633:73] + wire _T_108 = _T_107 | io_ebreak_to_debug_mode_r; // @[el2_dec_tlu_ctl.scala 1633:86] + wire _T_109 = _T_108 | io_illegal_r; // @[el2_dec_tlu_ctl.scala 1633:114] + wire _T_111 = _T_109 | mcountinhibit[2]; // @[el2_dec_tlu_ctl.scala 1633:129] + wire _T_113 = ~_T_111; // @[el2_dec_tlu_ctl.scala 1633:59] + wire i0_valid_no_ebreak_ecall_r = io_tlu_i0_commit_cmt & _T_113; // @[el2_dec_tlu_ctl.scala 1633:57] + wire _T_115 = io_dec_csr_wraddr_r == 12'hb02; // @[el2_dec_tlu_ctl.scala 1635:74] + wire wr_minstretl_r = io_dec_csr_wen_r_mod & _T_115; // @[el2_dec_tlu_ctl.scala 1635:45] + wire [31:0] _T_116 = {31'h0,i0_valid_no_ebreak_ecall_r}; // @[Cat.scala 29:58] + reg [32:0] _T_122; // @[el2_lib.scala 491:16] + wire [31:0] minstretl = _T_122[31:0]; // @[el2_dec_tlu_ctl.scala 1642:13] + wire [31:0] _T_118 = minstretl + _T_116; // @[el2_dec_tlu_ctl.scala 1637:30] + wire [32:0] minstretl_inc = {{1'd0}, _T_118}; // @[el2_dec_tlu_ctl.scala 1637:17] + wire minstretl_cout = minstretl_inc[32]; // @[el2_dec_tlu_ctl.scala 1638:37] + reg minstret_enable_f; // @[el2_dec_tlu_ctl.scala 1643:57] + wire _T_126 = io_dec_csr_wraddr_r == 12'hb82; // @[el2_dec_tlu_ctl.scala 1652:72] + wire wr_minstreth_r = io_dec_csr_wen_r_mod & _T_126; // @[el2_dec_tlu_ctl.scala 1652:43] + wire _T_123 = ~wr_minstreth_r; // @[el2_dec_tlu_ctl.scala 1644:76] + reg minstretl_cout_f; // @[el2_dec_tlu_ctl.scala 1644:57] + wire [31:0] _T_129 = {31'h0,minstretl_cout_f}; // @[Cat.scala 29:58] + reg [31:0] minstreth; // @[el2_lib.scala 491:16] + wire [31:0] minstreth_inc = minstreth + _T_129; // @[el2_dec_tlu_ctl.scala 1655:30] + wire _T_137 = io_dec_csr_wraddr_r == 12'h340; // @[el2_dec_tlu_ctl.scala 1666:73] + reg [31:0] mscratch; // @[el2_lib.scala 491:16] + wire _T_140 = ~io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 1677:23] + wire _T_141 = ~io_tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 1677:48] + wire _T_142 = _T_140 & _T_141; // @[el2_dec_tlu_ctl.scala 1677:46] + wire sel_exu_npc_r = _T_142 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 1677:73] + wire _T_144 = _T_140 & io_tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 1678:48] + wire _T_145 = ~io_dec_tlu_flush_noredir_r_d1; // @[el2_dec_tlu_ctl.scala 1678:76] + wire sel_flush_npc_r = _T_144 & _T_145; // @[el2_dec_tlu_ctl.scala 1678:74] + wire _T_146 = ~sel_exu_npc_r; // @[el2_dec_tlu_ctl.scala 1679:24] + wire _T_147 = ~sel_flush_npc_r; // @[el2_dec_tlu_ctl.scala 1679:41] + wire sel_hold_npc_r = _T_146 & _T_147; // @[el2_dec_tlu_ctl.scala 1679:39] + wire _T_149 = ~io_mpc_reset_run_req; // @[el2_dec_tlu_ctl.scala 1683:6] + wire _T_150 = _T_149 & io_reset_delayed; // @[el2_dec_tlu_ctl.scala 1683:28] + wire [30:0] _T_154 = sel_exu_npc_r ? io_exu_npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_155 = _T_150 ? io_rst_vec : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_156 = sel_flush_npc_r ? io_tlu_flush_path_r_d1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_157 = sel_hold_npc_r ? io_npc_r_d1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_158 = _T_154 | _T_155; // @[Mux.scala 27:72] + wire [30:0] _T_159 = _T_158 | _T_156; // @[Mux.scala 27:72] + wire _T_162 = sel_exu_npc_r | sel_flush_npc_r; // @[el2_dec_tlu_ctl.scala 1687:49] + reg [30:0] _T_165; // @[el2_lib.scala 491:16] + wire pc0_valid_r = _T_140 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 1690:45] + wire _T_168 = ~pc0_valid_r; // @[el2_dec_tlu_ctl.scala 1694:5] + wire [30:0] _T_169 = pc0_valid_r ? io_dec_tlu_i0_pc_r : 31'h0; // @[Mux.scala 27:72] + reg [30:0] pc_r_d1; // @[el2_lib.scala 491:16] + wire [30:0] _T_170 = _T_168 ? pc_r_d1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] pc_r = _T_169 | _T_170; // @[Mux.scala 27:72] + wire _T_174 = io_dec_csr_wraddr_r == 12'h341; // @[el2_dec_tlu_ctl.scala 1698:69] + wire wr_mepc_r = io_dec_csr_wen_r_mod & _T_174; // @[el2_dec_tlu_ctl.scala 1698:40] + wire _T_175 = io_i0_exception_valid_r | io_lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 1701:30] + wire _T_176 = _T_175 | io_mepc_trigger_hit_sel_pc_r; // @[el2_dec_tlu_ctl.scala 1701:51] + wire _T_180 = wr_mepc_r & _T_15; // @[el2_dec_tlu_ctl.scala 1703:16] + wire _T_183 = ~wr_mepc_r; // @[el2_dec_tlu_ctl.scala 1704:6] + wire _T_185 = _T_183 & _T_15; // @[el2_dec_tlu_ctl.scala 1704:17] + wire [30:0] _T_187 = _T_176 ? pc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_188 = io_interrupt_valid_r ? io_npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_189 = _T_180 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_190 = _T_185 ? io_mepc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_191 = _T_187 | _T_188; // @[Mux.scala 27:72] + wire [30:0] _T_192 = _T_191 | _T_189; // @[Mux.scala 27:72] + reg [30:0] _T_194; // @[el2_dec_tlu_ctl.scala 1706:48] + wire _T_196 = io_dec_csr_wraddr_r == 12'h342; // @[el2_dec_tlu_ctl.scala 1713:71] + wire wr_mcause_r = io_dec_csr_wen_r_mod & _T_196; // @[el2_dec_tlu_ctl.scala 1713:42] + wire _T_197 = io_exc_or_int_valid_r & io_take_nmi; // @[el2_dec_tlu_ctl.scala 1714:52] + wire mcause_sel_nmi_store = _T_197 & io_nmi_lsu_store_type; // @[el2_dec_tlu_ctl.scala 1714:66] + wire mcause_sel_nmi_load = _T_197 & io_nmi_lsu_load_type; // @[el2_dec_tlu_ctl.scala 1715:65] + wire _T_200 = |io_lsu_fir_error; // @[el2_dec_tlu_ctl.scala 1716:83] + wire mcause_sel_nmi_ext = _T_197 & _T_200; // @[el2_dec_tlu_ctl.scala 1716:64] + wire _T_201 = &io_lsu_fir_error; // @[el2_dec_tlu_ctl.scala 1722:52] + wire _T_204 = ~io_lsu_fir_error[0]; // @[el2_dec_tlu_ctl.scala 1722:81] + wire _T_205 = io_lsu_fir_error[1] & _T_204; // @[el2_dec_tlu_ctl.scala 1722:79] + wire [31:0] _T_210 = {30'h3c000400,_T_201,_T_205}; // @[Cat.scala 29:58] + wire _T_211 = ~io_take_nmi; // @[el2_dec_tlu_ctl.scala 1728:30] + wire _T_212 = io_exc_or_int_valid_r & _T_211; // @[el2_dec_tlu_ctl.scala 1728:28] + wire [31:0] _T_215 = {io_interrupt_valid_r,26'h0,io_exc_cause_r}; // @[Cat.scala 29:58] + wire _T_217 = wr_mcause_r & _T_15; // @[el2_dec_tlu_ctl.scala 1729:18] + wire _T_219 = ~wr_mcause_r; // @[el2_dec_tlu_ctl.scala 1730:6] + wire _T_221 = _T_219 & _T_15; // @[el2_dec_tlu_ctl.scala 1730:19] + wire [31:0] _T_223 = mcause_sel_nmi_store ? 32'hf0000000 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_224 = mcause_sel_nmi_load ? 32'hf0000001 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_225 = mcause_sel_nmi_ext ? _T_210 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_226 = _T_212 ? _T_215 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_227 = _T_217 ? io_dec_csr_wrdata_r : 32'h0; // @[Mux.scala 27:72] + reg [31:0] mcause; // @[el2_dec_tlu_ctl.scala 1732:47] + wire [31:0] _T_228 = _T_221 ? mcause : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_229 = _T_223 | _T_224; // @[Mux.scala 27:72] + wire [31:0] _T_230 = _T_229 | _T_225; // @[Mux.scala 27:72] + wire [31:0] _T_231 = _T_230 | _T_226; // @[Mux.scala 27:72] + wire [31:0] _T_232 = _T_231 | _T_227; // @[Mux.scala 27:72] + wire _T_236 = io_dec_csr_wraddr_r == 12'h7ff; // @[el2_dec_tlu_ctl.scala 1739:72] + wire wr_mscause_r = io_dec_csr_wen_r_mod & _T_236; // @[el2_dec_tlu_ctl.scala 1739:43] + wire _T_237 = io_dec_tlu_packet_r_icaf_type == 2'h0; // @[el2_dec_tlu_ctl.scala 1741:57] + wire [3:0] _T_238 = {2'h0,io_dec_tlu_packet_r_icaf_type}; // @[Cat.scala 29:58] + wire [3:0] ifu_mscause = _T_237 ? 4'h9 : _T_238; // @[el2_dec_tlu_ctl.scala 1741:25] + wire _T_243 = io_lsu_i0_exc_r & io_lsu_error_pkt_r_mscause; // @[Mux.scala 27:72] + wire [1:0] _T_245 = io_ebreak_r ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [3:0] _T_246 = io_inst_acc_r ? ifu_mscause : 4'h0; // @[Mux.scala 27:72] + wire _T_247 = _T_243 | io_i0_trigger_hit_r; // @[Mux.scala 27:72] + wire [1:0] _GEN_13 = {{1'd0}, _T_247}; // @[Mux.scala 27:72] + wire [1:0] _T_248 = _GEN_13 | _T_245; // @[Mux.scala 27:72] + wire [3:0] _GEN_14 = {{2'd0}, _T_248}; // @[Mux.scala 27:72] + wire [3:0] mscause_type = _GEN_14 | _T_246; // @[Mux.scala 27:72] + wire _T_252 = wr_mscause_r & _T_15; // @[el2_dec_tlu_ctl.scala 1752:19] + wire _T_255 = ~wr_mscause_r; // @[el2_dec_tlu_ctl.scala 1753:6] + wire _T_257 = _T_255 & _T_15; // @[el2_dec_tlu_ctl.scala 1753:20] + wire [3:0] _T_259 = io_exc_or_int_valid_r ? mscause_type : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_260 = _T_252 ? io_dec_csr_wrdata_r[3:0] : 4'h0; // @[Mux.scala 27:72] + reg [3:0] mscause; // @[el2_dec_tlu_ctl.scala 1755:48] + wire [3:0] _T_261 = _T_257 ? mscause : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_262 = _T_259 | _T_260; // @[Mux.scala 27:72] + wire _T_266 = io_dec_csr_wraddr_r == 12'h343; // @[el2_dec_tlu_ctl.scala 1762:70] + wire wr_mtval_r = io_dec_csr_wen_r_mod & _T_266; // @[el2_dec_tlu_ctl.scala 1762:41] + wire _T_267 = ~io_inst_acc_second_r; // @[el2_dec_tlu_ctl.scala 1763:84] + wire _T_268 = io_inst_acc_r & _T_267; // @[el2_dec_tlu_ctl.scala 1763:82] + wire _T_269 = io_ebreak_r | _T_268; // @[el2_dec_tlu_ctl.scala 1763:65] + wire _T_270 = _T_269 | io_mepc_trigger_hit_sel_pc_r; // @[el2_dec_tlu_ctl.scala 1763:107] + wire _T_271 = io_exc_or_int_valid_r & _T_270; // @[el2_dec_tlu_ctl.scala 1763:50] + wire mtval_capture_pc_r = _T_271 & _T_211; // @[el2_dec_tlu_ctl.scala 1763:139] + wire _T_273 = io_inst_acc_r & io_inst_acc_second_r; // @[el2_dec_tlu_ctl.scala 1764:73] + wire _T_274 = io_exc_or_int_valid_r & _T_273; // @[el2_dec_tlu_ctl.scala 1764:56] + wire mtval_capture_pc_plus2_r = _T_274 & _T_211; // @[el2_dec_tlu_ctl.scala 1764:97] + wire _T_276 = io_exc_or_int_valid_r & io_illegal_r; // @[el2_dec_tlu_ctl.scala 1765:52] + wire mtval_capture_inst_r = _T_276 & _T_211; // @[el2_dec_tlu_ctl.scala 1765:67] + wire _T_278 = io_exc_or_int_valid_r & io_lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 1766:51] + wire mtval_capture_lsu_r = _T_278 & _T_211; // @[el2_dec_tlu_ctl.scala 1766:72] + wire _T_280 = ~mtval_capture_pc_r; // @[el2_dec_tlu_ctl.scala 1767:47] + wire _T_281 = io_exc_or_int_valid_r & _T_280; // @[el2_dec_tlu_ctl.scala 1767:45] + wire _T_282 = ~mtval_capture_inst_r; // @[el2_dec_tlu_ctl.scala 1767:69] + wire _T_283 = _T_281 & _T_282; // @[el2_dec_tlu_ctl.scala 1767:67] + wire _T_284 = ~mtval_capture_lsu_r; // @[el2_dec_tlu_ctl.scala 1767:93] + wire _T_285 = _T_283 & _T_284; // @[el2_dec_tlu_ctl.scala 1767:91] + wire _T_286 = ~io_mepc_trigger_hit_sel_pc_r; // @[el2_dec_tlu_ctl.scala 1767:116] + wire mtval_clear_r = _T_285 & _T_286; // @[el2_dec_tlu_ctl.scala 1767:114] + wire [31:0] _T_288 = {pc_r,1'h0}; // @[Cat.scala 29:58] + wire [30:0] _T_291 = pc_r + 31'h1; // @[el2_dec_tlu_ctl.scala 1772:84] + wire [31:0] _T_292 = {_T_291,1'h0}; // @[Cat.scala 29:58] + wire _T_295 = ~io_interrupt_valid_r; // @[el2_dec_tlu_ctl.scala 1775:19] + wire _T_296 = wr_mtval_r & _T_295; // @[el2_dec_tlu_ctl.scala 1775:17] + wire _T_299 = ~wr_mtval_r; // @[el2_dec_tlu_ctl.scala 1776:21] + wire _T_300 = _T_211 & _T_299; // @[el2_dec_tlu_ctl.scala 1776:19] + wire _T_302 = _T_300 & _T_280; // @[el2_dec_tlu_ctl.scala 1776:33] + wire _T_304 = _T_302 & _T_282; // @[el2_dec_tlu_ctl.scala 1776:55] + wire _T_305 = ~mtval_clear_r; // @[el2_dec_tlu_ctl.scala 1776:81] + wire _T_306 = _T_304 & _T_305; // @[el2_dec_tlu_ctl.scala 1776:79] + wire _T_308 = _T_306 & _T_284; // @[el2_dec_tlu_ctl.scala 1776:96] + wire [31:0] _T_310 = mtval_capture_pc_r ? _T_288 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_311 = mtval_capture_pc_plus2_r ? _T_292 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_312 = mtval_capture_inst_r ? io_dec_illegal_inst : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_313 = mtval_capture_lsu_r ? io_lsu_error_pkt_addr_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_314 = _T_296 ? io_dec_csr_wrdata_r : 32'h0; // @[Mux.scala 27:72] + reg [31:0] mtval; // @[el2_dec_tlu_ctl.scala 1778:47] + wire [31:0] _T_315 = _T_308 ? mtval : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_316 = _T_310 | _T_311; // @[Mux.scala 27:72] + wire [31:0] _T_317 = _T_316 | _T_312; // @[Mux.scala 27:72] + wire [31:0] _T_318 = _T_317 | _T_313; // @[Mux.scala 27:72] + wire [31:0] _T_319 = _T_318 | _T_314; // @[Mux.scala 27:72] + wire _T_323 = io_dec_csr_wraddr_r == 12'h7f8; // @[el2_dec_tlu_ctl.scala 1793:69] + reg [8:0] mcgc; // @[el2_lib.scala 491:16] + wire _T_335 = io_dec_csr_wraddr_r == 12'h7f9; // @[el2_dec_tlu_ctl.scala 1823:69] + reg [14:0] mfdc_int; // @[el2_lib.scala 491:16] + wire [2:0] _T_339 = ~io_dec_csr_wrdata_r[18:16]; // @[el2_dec_tlu_ctl.scala 1832:20] + wire _T_342 = ~io_dec_csr_wrdata_r[6]; // @[el2_dec_tlu_ctl.scala 1832:75] + wire [6:0] _T_344 = {_T_342,io_dec_csr_wrdata_r[5:0]}; // @[Cat.scala 29:58] + wire [7:0] _T_345 = {_T_339,io_dec_csr_wrdata_r[11:7]}; // @[Cat.scala 29:58] + wire [2:0] _T_348 = ~mfdc_int[14:12]; // @[el2_dec_tlu_ctl.scala 1833:20] + wire _T_351 = ~mfdc_int[6]; // @[el2_dec_tlu_ctl.scala 1833:63] + wire [18:0] mfdc = {_T_348,4'h0,mfdc_int[11:7],_T_351,mfdc_int[5:0]}; // @[Cat.scala 29:58] + wire _T_365 = io_dec_csr_wraddr_r == 12'h7c2; // @[el2_dec_tlu_ctl.scala 1856:78] + wire _T_366 = io_dec_csr_wen_r_mod & _T_365; // @[el2_dec_tlu_ctl.scala 1856:49] + wire _T_368 = _T_366 & _T_295; // @[el2_dec_tlu_ctl.scala 1856:88] + wire _T_369 = ~io_take_ext_int_start; // @[el2_dec_tlu_ctl.scala 1856:114] + wire _T_372 = io_dec_csr_wraddr_r == 12'h7c0; // @[el2_dec_tlu_ctl.scala 1863:69] + wire _T_376 = ~io_dec_csr_wrdata_r[31]; // @[el2_dec_tlu_ctl.scala 1866:72] + wire _T_377 = io_dec_csr_wrdata_r[30] & _T_376; // @[el2_dec_tlu_ctl.scala 1866:70] + wire _T_381 = ~io_dec_csr_wrdata_r[29]; // @[el2_dec_tlu_ctl.scala 1867:56] + wire _T_382 = io_dec_csr_wrdata_r[28] & _T_381; // @[el2_dec_tlu_ctl.scala 1867:54] + wire _T_386 = ~io_dec_csr_wrdata_r[27]; // @[el2_dec_tlu_ctl.scala 1868:56] + wire _T_387 = io_dec_csr_wrdata_r[26] & _T_386; // @[el2_dec_tlu_ctl.scala 1868:54] + wire _T_391 = ~io_dec_csr_wrdata_r[25]; // @[el2_dec_tlu_ctl.scala 1869:56] + wire _T_392 = io_dec_csr_wrdata_r[24] & _T_391; // @[el2_dec_tlu_ctl.scala 1869:54] + wire _T_396 = ~io_dec_csr_wrdata_r[23]; // @[el2_dec_tlu_ctl.scala 1870:56] + wire _T_397 = io_dec_csr_wrdata_r[22] & _T_396; // @[el2_dec_tlu_ctl.scala 1870:54] + wire _T_401 = ~io_dec_csr_wrdata_r[21]; // @[el2_dec_tlu_ctl.scala 1871:56] + wire _T_402 = io_dec_csr_wrdata_r[20] & _T_401; // @[el2_dec_tlu_ctl.scala 1871:54] + wire _T_406 = ~io_dec_csr_wrdata_r[19]; // @[el2_dec_tlu_ctl.scala 1872:56] + wire _T_407 = io_dec_csr_wrdata_r[18] & _T_406; // @[el2_dec_tlu_ctl.scala 1872:54] + wire _T_411 = ~io_dec_csr_wrdata_r[17]; // @[el2_dec_tlu_ctl.scala 1873:56] + wire _T_412 = io_dec_csr_wrdata_r[16] & _T_411; // @[el2_dec_tlu_ctl.scala 1873:54] + wire _T_416 = ~io_dec_csr_wrdata_r[15]; // @[el2_dec_tlu_ctl.scala 1874:56] + wire _T_417 = io_dec_csr_wrdata_r[14] & _T_416; // @[el2_dec_tlu_ctl.scala 1874:54] + wire _T_421 = ~io_dec_csr_wrdata_r[13]; // @[el2_dec_tlu_ctl.scala 1875:56] + wire _T_422 = io_dec_csr_wrdata_r[12] & _T_421; // @[el2_dec_tlu_ctl.scala 1875:54] + wire _T_426 = ~io_dec_csr_wrdata_r[11]; // @[el2_dec_tlu_ctl.scala 1876:56] + wire _T_427 = io_dec_csr_wrdata_r[10] & _T_426; // @[el2_dec_tlu_ctl.scala 1876:54] + wire _T_431 = ~io_dec_csr_wrdata_r[9]; // @[el2_dec_tlu_ctl.scala 1877:56] + wire _T_432 = io_dec_csr_wrdata_r[8] & _T_431; // @[el2_dec_tlu_ctl.scala 1877:53] + wire _T_436 = ~io_dec_csr_wrdata_r[7]; // @[el2_dec_tlu_ctl.scala 1878:56] + wire _T_437 = io_dec_csr_wrdata_r[6] & _T_436; // @[el2_dec_tlu_ctl.scala 1878:53] + wire _T_441 = ~io_dec_csr_wrdata_r[5]; // @[el2_dec_tlu_ctl.scala 1879:56] + wire _T_442 = io_dec_csr_wrdata_r[4] & _T_441; // @[el2_dec_tlu_ctl.scala 1879:53] + wire _T_446 = ~io_dec_csr_wrdata_r[3]; // @[el2_dec_tlu_ctl.scala 1880:56] + wire _T_447 = io_dec_csr_wrdata_r[2] & _T_446; // @[el2_dec_tlu_ctl.scala 1880:53] + wire _T_452 = io_dec_csr_wrdata_r[0] & _T_507; // @[el2_dec_tlu_ctl.scala 1881:53] + wire [7:0] _T_459 = {io_dec_csr_wrdata_r[7],_T_437,io_dec_csr_wrdata_r[5],_T_442,io_dec_csr_wrdata_r[3],_T_447,io_dec_csr_wrdata_r[1],_T_452}; // @[Cat.scala 29:58] + wire [15:0] _T_467 = {io_dec_csr_wrdata_r[15],_T_417,io_dec_csr_wrdata_r[13],_T_422,io_dec_csr_wrdata_r[11],_T_427,io_dec_csr_wrdata_r[9],_T_432,_T_459}; // @[Cat.scala 29:58] + wire [7:0] _T_474 = {io_dec_csr_wrdata_r[23],_T_397,io_dec_csr_wrdata_r[21],_T_402,io_dec_csr_wrdata_r[19],_T_407,io_dec_csr_wrdata_r[17],_T_412}; // @[Cat.scala 29:58] + wire [15:0] _T_482 = {io_dec_csr_wrdata_r[31],_T_377,io_dec_csr_wrdata_r[29],_T_382,io_dec_csr_wrdata_r[27],_T_387,io_dec_csr_wrdata_r[25],_T_392,_T_474}; // @[Cat.scala 29:58] + reg [31:0] mrac; // @[el2_lib.scala 491:16] + wire _T_485 = io_dec_csr_wraddr_r == 12'hbc0; // @[el2_dec_tlu_ctl.scala 1894:70] + wire wr_mdeau_r = io_dec_csr_wen_r_mod & _T_485; // @[el2_dec_tlu_ctl.scala 1894:41] + wire _T_486 = ~wr_mdeau_r; // @[el2_dec_tlu_ctl.scala 1904:60] + wire _T_487 = io_mdseac_locked_f & _T_486; // @[el2_dec_tlu_ctl.scala 1904:58] + wire _T_489 = io_lsu_imprecise_error_store_any | io_lsu_imprecise_error_load_any; // @[el2_dec_tlu_ctl.scala 1906:50] + wire _T_490 = ~io_nmi_int_detected_f; // @[el2_dec_tlu_ctl.scala 1906:87] + wire _T_491 = _T_489 & _T_490; // @[el2_dec_tlu_ctl.scala 1906:85] + wire _T_492 = ~io_mdseac_locked_f; // @[el2_dec_tlu_ctl.scala 1906:112] + wire mdseac_en = _T_491 & _T_492; // @[el2_dec_tlu_ctl.scala 1906:110] + reg [31:0] mdseac; // @[el2_lib.scala 491:16] + wire _T_498 = wr_mpmc_r & io_dec_csr_wrdata_r[0]; // @[el2_dec_tlu_ctl.scala 1921:31] + wire _T_499 = ~io_internal_dbg_halt_mode_f2; // @[el2_dec_tlu_ctl.scala 1921:58] + wire _T_500 = _T_498 & _T_499; // @[el2_dec_tlu_ctl.scala 1921:56] + wire _T_501 = ~io_ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 1921:90] + wire _T_514 = io_dec_csr_wrdata_r[31:27] > 5'h1a; // @[el2_dec_tlu_ctl.scala 1938:49] + wire [4:0] csr_sat = _T_514 ? 5'h1a : io_dec_csr_wrdata_r[31:27]; // @[el2_dec_tlu_ctl.scala 1938:20] + wire _T_517 = io_dec_csr_wraddr_r == 12'h7f0; // @[el2_dec_tlu_ctl.scala 1940:71] + wire wr_micect_r = io_dec_csr_wen_r_mod & _T_517; // @[el2_dec_tlu_ctl.scala 1940:42] + wire [26:0] _T_518 = {26'h0,io_ic_perr_r_d1}; // @[Cat.scala 29:58] + wire [31:0] _GEN_15 = {{5'd0}, _T_518}; // @[el2_dec_tlu_ctl.scala 1941:24] + wire [31:0] _T_520 = micect + _GEN_15; // @[el2_dec_tlu_ctl.scala 1941:24] + wire [31:0] _T_523 = {csr_sat,io_dec_csr_wrdata_r[26:0]}; // @[Cat.scala 29:58] + wire [26:0] micect_inc = _T_520[26:0]; // @[el2_dec_tlu_ctl.scala 1941:14] + wire [31:0] _T_525 = {micect[31:27],micect_inc}; // @[Cat.scala 29:58] + wire _T_536 = io_dec_csr_wraddr_r == 12'h7f1; // @[el2_dec_tlu_ctl.scala 1955:77] + wire wr_miccmect_r = io_dec_csr_wen_r_mod & _T_536; // @[el2_dec_tlu_ctl.scala 1955:48] + wire _T_538 = io_iccm_sbecc_r_d1 | io_iccm_dma_sb_error; // @[el2_dec_tlu_ctl.scala 1956:71] + wire [26:0] _T_539 = {26'h0,_T_538}; // @[Cat.scala 29:58] + wire [26:0] miccmect_inc = miccmect[26:0] + _T_539; // @[el2_dec_tlu_ctl.scala 1956:34] + wire [31:0] _T_546 = {miccmect[31:27],miccmect_inc}; // @[Cat.scala 29:58] + wire _T_547 = wr_miccmect_r | io_iccm_sbecc_r_d1; // @[el2_dec_tlu_ctl.scala 1959:49] + wire _T_558 = io_dec_csr_wraddr_r == 12'h7f2; // @[el2_dec_tlu_ctl.scala 1969:77] + wire wr_mdccmect_r = io_dec_csr_wen_r_mod & _T_558; // @[el2_dec_tlu_ctl.scala 1969:48] + wire [26:0] _T_560 = {26'h0,io_lsu_single_ecc_error_r_d1}; // @[Cat.scala 29:58] + wire [26:0] mdccmect_inc = mdccmect[26:0] + _T_560; // @[el2_dec_tlu_ctl.scala 1970:34] + wire [31:0] _T_567 = {mdccmect[31:27],mdccmect_inc}; // @[Cat.scala 29:58] + wire _T_578 = io_dec_csr_wraddr_r == 12'h7ce; // @[el2_dec_tlu_ctl.scala 1985:70] + wire wr_mfdht_r = io_dec_csr_wen_r_mod & _T_578; // @[el2_dec_tlu_ctl.scala 1985:41] + reg [5:0] mfdht; // @[el2_dec_tlu_ctl.scala 1989:44] + wire _T_583 = io_dec_csr_wraddr_r == 12'h7cf; // @[el2_dec_tlu_ctl.scala 1998:70] + wire wr_mfdhs_r = io_dec_csr_wen_r_mod & _T_583; // @[el2_dec_tlu_ctl.scala 1998:41] + wire _T_586 = ~io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 2001:30] + wire _T_587 = io_dbg_tlu_halted & _T_586; // @[el2_dec_tlu_ctl.scala 2001:28] + wire _T_589 = ~io_lsu_idle_any_f; // @[el2_dec_tlu_ctl.scala 2001:65] + wire _T_590 = ~io_ifu_miss_state_idle_f; // @[el2_dec_tlu_ctl.scala 2001:85] + wire [1:0] _T_591 = {_T_589,_T_590}; // @[Cat.scala 29:58] + reg [1:0] mfdhs; // @[Reg.scala 27:20] + wire _T_593 = wr_mfdhs_r | io_dbg_tlu_halted; // @[el2_dec_tlu_ctl.scala 2003:72] + reg [31:0] force_halt_ctr_f; // @[Reg.scala 27:20] + wire [31:0] _T_598 = force_halt_ctr_f + 32'h1; // @[el2_dec_tlu_ctl.scala 2005:75] + wire [62:0] _T_605 = 63'hffffffff << mfdht[5:1]; // @[el2_dec_tlu_ctl.scala 2010:72] + wire [62:0] _GEN_16 = {{31'd0}, force_halt_ctr_f}; // @[el2_dec_tlu_ctl.scala 2010:49] + wire [62:0] _T_606 = _GEN_16 & _T_605; // @[el2_dec_tlu_ctl.scala 2010:49] + wire _T_607 = |_T_606; // @[el2_dec_tlu_ctl.scala 2010:88] + wire _T_610 = io_dec_csr_wraddr_r == 12'hbc8; // @[el2_dec_tlu_ctl.scala 2018:70] + reg [21:0] meivt; // @[el2_lib.scala 491:16] + wire _T_630 = io_dec_csr_wraddr_r == 12'hbca; // @[el2_dec_tlu_ctl.scala 2069:70] + wire _T_631 = io_dec_csr_wen_r_mod & _T_630; // @[el2_dec_tlu_ctl.scala 2069:41] + wire wr_meicpct_r = _T_631 | io_take_ext_int_start; // @[el2_dec_tlu_ctl.scala 2069:84] + reg [7:0] meihap; // @[el2_lib.scala 491:16] + wire [31:0] _T_615 = {meivt,meihap,2'h0}; // @[Cat.scala 29:58] + wire _T_617 = io_dec_csr_wraddr_r == 12'hbcc; // @[el2_dec_tlu_ctl.scala 2042:73] + wire wr_meicurpl_r = io_dec_csr_wen_r_mod & _T_617; // @[el2_dec_tlu_ctl.scala 2042:44] + reg [3:0] meicurpl; // @[el2_dec_tlu_ctl.scala 2045:47] + wire _T_622 = io_dec_csr_wraddr_r == 12'hbcb; // @[el2_dec_tlu_ctl.scala 2057:74] + wire _T_623 = io_dec_csr_wen_r_mod & _T_622; // @[el2_dec_tlu_ctl.scala 2057:45] + wire wr_meicidpl_r = _T_623 | io_take_ext_int_start; // @[el2_dec_tlu_ctl.scala 2057:89] + reg [3:0] meicidpl; // @[el2_dec_tlu_ctl.scala 2062:45] + wire _T_634 = io_dec_csr_wraddr_r == 12'hbc9; // @[el2_dec_tlu_ctl.scala 2078:70] + wire wr_meipt_r = io_dec_csr_wen_r_mod & _T_634; // @[el2_dec_tlu_ctl.scala 2078:41] + reg [3:0] meipt; // @[el2_dec_tlu_ctl.scala 2081:44] + wire _T_638 = io_trigger_hit_r_d1 & io_dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 2109:90] + wire trigger_hit_for_dscr_cause_r_d1 = io_trigger_hit_dmode_r_d1 | _T_638; // @[el2_dec_tlu_ctl.scala 2109:67] + wire _T_639 = ~io_ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 2112:35] + wire _T_640 = io_dcsr_single_step_done_f & _T_639; // @[el2_dec_tlu_ctl.scala 2112:33] + wire _T_641 = ~trigger_hit_for_dscr_cause_r_d1; // @[el2_dec_tlu_ctl.scala 2112:67] + wire _T_642 = _T_640 & _T_641; // @[el2_dec_tlu_ctl.scala 2112:65] + wire _T_643 = ~io_debug_halt_req; // @[el2_dec_tlu_ctl.scala 2112:102] + wire _T_644 = _T_642 & _T_643; // @[el2_dec_tlu_ctl.scala 2112:100] + wire _T_647 = io_debug_halt_req & _T_639; // @[el2_dec_tlu_ctl.scala 2113:24] + wire _T_649 = _T_647 & _T_641; // @[el2_dec_tlu_ctl.scala 2113:56] + wire _T_652 = io_ebreak_to_debug_mode_r_d1 & _T_641; // @[el2_dec_tlu_ctl.scala 2114:35] + wire [2:0] _T_655 = _T_644 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_656 = _T_649 ? 3'h3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_657 = _T_652 ? 3'h1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_658 = trigger_hit_for_dscr_cause_r_d1 ? 3'h2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_659 = _T_655 | _T_656; // @[Mux.scala 27:72] + wire [2:0] _T_660 = _T_659 | _T_657; // @[Mux.scala 27:72] + wire [2:0] dcsr_cause = _T_660 | _T_658; // @[Mux.scala 27:72] + wire _T_662 = io_allow_dbg_halt_csr_write & io_dec_csr_wen_r_mod; // @[el2_dec_tlu_ctl.scala 2117:47] + wire _T_664 = io_dec_csr_wraddr_r == 12'h7b0; // @[el2_dec_tlu_ctl.scala 2117:99] + wire wr_dcsr_r = _T_662 & _T_664; // @[el2_dec_tlu_ctl.scala 2117:70] + wire _T_666 = io_dcsr[8:6] == 3'h3; // @[el2_dec_tlu_ctl.scala 2123:76] + wire dcsr_cause_upgradeable = io_internal_dbg_halt_mode_f & _T_666; // @[el2_dec_tlu_ctl.scala 2123:60] + wire _T_667 = ~io_dbg_tlu_halted; // @[el2_dec_tlu_ctl.scala 2124:60] + wire _T_668 = _T_667 | dcsr_cause_upgradeable; // @[el2_dec_tlu_ctl.scala 2124:79] + wire enter_debug_halt_req_le = io_enter_debug_halt_req & _T_668; // @[el2_dec_tlu_ctl.scala 2124:57] + wire nmi_in_debug_mode = io_nmi_int_detected_f & io_internal_dbg_halt_mode_f; // @[el2_dec_tlu_ctl.scala 2126:49] + wire [15:0] _T_674 = {io_dcsr[15:9],dcsr_cause,io_dcsr[5:2],2'h3}; // @[Cat.scala 29:58] + wire _T_680 = nmi_in_debug_mode | io_dcsr[3]; // @[el2_dec_tlu_ctl.scala 2128:146] + wire [15:0] _T_689 = {io_dec_csr_wrdata_r[15],3'h0,io_dec_csr_wrdata_r[11:10],1'h0,io_dcsr[8:6],2'h0,_T_680,io_dec_csr_wrdata_r[2],2'h3}; // @[Cat.scala 29:58] + wire [15:0] _T_694 = {io_dcsr[15:4],nmi_in_debug_mode,io_dcsr[2],2'h3}; // @[Cat.scala 29:58] + wire _T_696 = enter_debug_halt_req_le | wr_dcsr_r; // @[el2_dec_tlu_ctl.scala 2130:55] + wire _T_697 = _T_696 | io_internal_dbg_halt_mode; // @[el2_dec_tlu_ctl.scala 2130:67] + reg [15:0] _T_700; // @[el2_lib.scala 491:16] + wire _T_703 = io_dec_csr_wraddr_r == 12'h7b1; // @[el2_dec_tlu_ctl.scala 2138:98] + wire wr_dpc_r = _T_662 & _T_703; // @[el2_dec_tlu_ctl.scala 2138:69] + wire _T_706 = ~io_request_debug_mode_done; // @[el2_dec_tlu_ctl.scala 2139:68] + wire dpc_capture_npc = _T_587 & _T_706; // @[el2_dec_tlu_ctl.scala 2139:66] + wire _T_707 = ~io_request_debug_mode_r; // @[el2_dec_tlu_ctl.scala 2143:6] + wire _T_708 = ~dpc_capture_npc; // @[el2_dec_tlu_ctl.scala 2143:24] + wire _T_709 = _T_707 & _T_708; // @[el2_dec_tlu_ctl.scala 2143:22] + wire _T_710 = _T_709 & wr_dpc_r; // @[el2_dec_tlu_ctl.scala 2143:41] + wire _T_715 = _T_707 & dpc_capture_npc; // @[el2_dec_tlu_ctl.scala 2145:22] + wire [30:0] _T_717 = _T_710 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_718 = io_request_debug_mode_r ? pc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_719 = _T_715 ? io_npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_720 = _T_717 | _T_718; // @[Mux.scala 27:72] + wire _T_722 = wr_dpc_r | io_request_debug_mode_r; // @[el2_dec_tlu_ctl.scala 2147:37] + reg [30:0] _T_725; // @[el2_lib.scala 491:16] + wire [2:0] _T_729 = {io_dec_csr_wrdata_r[24],io_dec_csr_wrdata_r[21:20]}; // @[Cat.scala 29:58] + wire _T_732 = io_dec_csr_wraddr_r == 12'h7c8; // @[el2_dec_tlu_ctl.scala 2162:103] + reg [16:0] dicawics; // @[el2_lib.scala 491:16] + wire _T_736 = io_dec_csr_wraddr_r == 12'h7c9; // @[el2_dec_tlu_ctl.scala 2180:101] + wire wr_dicad0_r = _T_662 & _T_736; // @[el2_dec_tlu_ctl.scala 2180:72] + reg [70:0] dicad0; // @[el2_lib.scala 491:16] + wire _T_742 = io_dec_csr_wraddr_r == 12'h7cc; // @[el2_dec_tlu_ctl.scala 2193:102] + wire wr_dicad0h_r = _T_662 & _T_742; // @[el2_dec_tlu_ctl.scala 2193:73] + reg [31:0] dicad0h; // @[el2_lib.scala 491:16] + wire _T_750 = io_dec_csr_wraddr_r == 12'h7ca; // @[el2_dec_tlu_ctl.scala 2205:103] + wire _T_751 = _T_662 & _T_750; // @[el2_dec_tlu_ctl.scala 2205:74] + wire _T_755 = _T_751 | io_ifu_ic_debug_rd_data_valid; // @[el2_dec_tlu_ctl.scala 2209:81] + reg [31:0] _T_757; // @[Reg.scala 27:20] + wire [31:0] dicad1 = {25'h0,_T_757[6:0]}; // @[Cat.scala 29:58] + wire [38:0] _T_762 = {dicad1[6:0],dicad0h}; // @[Cat.scala 29:58] + wire _T_764 = io_allow_dbg_halt_csr_write & io_dec_csr_any_unq_d; // @[el2_dec_tlu_ctl.scala 2237:53] + wire _T_765 = _T_764 & io_dec_i0_decode_d; // @[el2_dec_tlu_ctl.scala 2237:76] + wire _T_766 = ~io_dec_csr_wen_unq_d; // @[el2_dec_tlu_ctl.scala 2237:99] + wire _T_767 = _T_765 & _T_766; // @[el2_dec_tlu_ctl.scala 2237:97] + wire _T_769 = io_dec_csr_rdaddr_d == 12'h7cb; // @[el2_dec_tlu_ctl.scala 2237:150] + wire _T_772 = io_dec_csr_wraddr_r == 12'h7cb; // @[el2_dec_tlu_ctl.scala 2238:105] + reg icache_rd_valid_f; // @[el2_dec_tlu_ctl.scala 2240:59] + reg icache_wr_valid_f; // @[el2_dec_tlu_ctl.scala 2241:59] + wire _T_774 = io_dec_csr_wraddr_r == 12'h7a0; // @[el2_dec_tlu_ctl.scala 2252:70] + wire wr_mtsel_r = io_dec_csr_wen_r_mod & _T_774; // @[el2_dec_tlu_ctl.scala 2252:41] + reg [1:0] mtsel; // @[el2_dec_tlu_ctl.scala 2255:44] + wire tdata_load = io_dec_csr_wrdata_r[0] & _T_406; // @[el2_dec_tlu_ctl.scala 2290:43] + wire tdata_opcode = io_dec_csr_wrdata_r[2] & _T_406; // @[el2_dec_tlu_ctl.scala 2292:45] + wire _T_785 = io_dec_csr_wrdata_r[27] & io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 2294:47] + wire tdata_action = _T_785 & io_dec_csr_wrdata_r[12]; // @[el2_dec_tlu_ctl.scala 2294:70] + wire [9:0] tdata_wrdata_r = {_T_785,io_dec_csr_wrdata_r[20:19],tdata_action,io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7:6],tdata_opcode,io_dec_csr_wrdata_r[1],tdata_load}; // @[Cat.scala 29:58] + wire _T_800 = io_dec_csr_wraddr_r == 12'h7a1; // @[el2_dec_tlu_ctl.scala 2300:100] + wire _T_801 = io_dec_csr_wen_r_mod & _T_800; // @[el2_dec_tlu_ctl.scala 2300:71] + wire _T_802 = mtsel == 2'h0; // @[el2_dec_tlu_ctl.scala 2300:122] + wire _T_803 = _T_801 & _T_802; // @[el2_dec_tlu_ctl.scala 2300:113] + wire _T_805 = ~io_mtdata1_t_0[9]; // @[el2_dec_tlu_ctl.scala 2300:139] + wire _T_806 = _T_805 | io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 2300:171] + wire wr_mtdata1_t_r_0 = _T_803 & _T_806; // @[el2_dec_tlu_ctl.scala 2300:136] + wire _T_814 = ~io_mtdata1_t_1[9]; // @[el2_dec_tlu_ctl.scala 2300:139] + wire _T_815 = _T_814 | io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 2300:171] + wire wr_mtdata1_t_r_1 = _T_803 & _T_815; // @[el2_dec_tlu_ctl.scala 2300:136] + wire _T_823 = ~io_mtdata1_t_2[9]; // @[el2_dec_tlu_ctl.scala 2300:139] + wire _T_824 = _T_823 | io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 2300:171] + wire wr_mtdata1_t_r_2 = _T_803 & _T_824; // @[el2_dec_tlu_ctl.scala 2300:136] + wire _T_832 = ~io_mtdata1_t_3[9]; // @[el2_dec_tlu_ctl.scala 2300:139] + wire _T_833 = _T_832 | io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 2300:171] + wire wr_mtdata1_t_r_3 = _T_803 & _T_833; // @[el2_dec_tlu_ctl.scala 2300:136] + wire _T_839 = io_update_hit_bit_r[0] | io_mtdata1_t_0[8]; // @[el2_dec_tlu_ctl.scala 2301:140] + wire [9:0] _T_842 = {io_mtdata1_t_0[9],_T_839,io_mtdata1_t_0[7:0]}; // @[Cat.scala 29:58] + wire _T_848 = io_update_hit_bit_r[1] | io_mtdata1_t_1[8]; // @[el2_dec_tlu_ctl.scala 2301:140] + wire [9:0] _T_851 = {io_mtdata1_t_1[9],_T_848,io_mtdata1_t_1[7:0]}; // @[Cat.scala 29:58] + wire _T_857 = io_update_hit_bit_r[2] | io_mtdata1_t_2[8]; // @[el2_dec_tlu_ctl.scala 2301:140] + wire [9:0] _T_860 = {io_mtdata1_t_2[9],_T_857,io_mtdata1_t_2[7:0]}; // @[Cat.scala 29:58] + wire _T_866 = io_update_hit_bit_r[3] | io_mtdata1_t_3[8]; // @[el2_dec_tlu_ctl.scala 2301:140] + wire [9:0] _T_869 = {io_mtdata1_t_3[9],_T_866,io_mtdata1_t_3[7:0]}; // @[Cat.scala 29:58] + reg [9:0] _T_871; // @[el2_dec_tlu_ctl.scala 2303:76] + reg [9:0] _T_872; // @[el2_dec_tlu_ctl.scala 2303:76] + reg [9:0] _T_873; // @[el2_dec_tlu_ctl.scala 2303:76] + reg [9:0] _T_874; // @[el2_dec_tlu_ctl.scala 2303:76] + wire [31:0] _T_889 = {4'h2,io_mtdata1_t_0[9],6'h1f,io_mtdata1_t_0[8:7],6'h0,io_mtdata1_t_0[6:5],3'h0,io_mtdata1_t_0[4:3],3'h0,io_mtdata1_t_0[2:0]}; // @[Cat.scala 29:58] + wire _T_890 = mtsel == 2'h1; // @[el2_dec_tlu_ctl.scala 2306:60] + wire [31:0] _T_904 = {4'h2,io_mtdata1_t_1[9],6'h1f,io_mtdata1_t_1[8:7],6'h0,io_mtdata1_t_1[6:5],3'h0,io_mtdata1_t_1[4:3],3'h0,io_mtdata1_t_1[2:0]}; // @[Cat.scala 29:58] + wire _T_905 = mtsel == 2'h2; // @[el2_dec_tlu_ctl.scala 2306:60] + wire [31:0] _T_919 = {4'h2,io_mtdata1_t_2[9],6'h1f,io_mtdata1_t_2[8:7],6'h0,io_mtdata1_t_2[6:5],3'h0,io_mtdata1_t_2[4:3],3'h0,io_mtdata1_t_2[2:0]}; // @[Cat.scala 29:58] + wire _T_920 = mtsel == 2'h3; // @[el2_dec_tlu_ctl.scala 2306:60] + wire [31:0] _T_934 = {4'h2,io_mtdata1_t_3[9],6'h1f,io_mtdata1_t_3[8:7],6'h0,io_mtdata1_t_3[6:5],3'h0,io_mtdata1_t_3[4:3],3'h0,io_mtdata1_t_3[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_935 = _T_802 ? _T_889 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_936 = _T_890 ? _T_904 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_937 = _T_905 ? _T_919 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_938 = _T_920 ? _T_934 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_939 = _T_935 | _T_936; // @[Mux.scala 27:72] + wire [31:0] _T_940 = _T_939 | _T_937; // @[Mux.scala 27:72] + wire [31:0] mtdata1_tsel_out = _T_940 | _T_938; // @[Mux.scala 27:72] + wire _T_967 = io_dec_csr_wraddr_r == 12'h7a2; // @[el2_dec_tlu_ctl.scala 2320:99] + wire _T_968 = io_dec_csr_wen_r_mod & _T_967; // @[el2_dec_tlu_ctl.scala 2320:70] + wire _T_970 = _T_968 & _T_802; // @[el2_dec_tlu_ctl.scala 2320:112] + wire _T_979 = _T_968 & _T_890; // @[el2_dec_tlu_ctl.scala 2320:112] + wire _T_988 = _T_968 & _T_905; // @[el2_dec_tlu_ctl.scala 2320:112] + wire _T_997 = _T_968 & _T_920; // @[el2_dec_tlu_ctl.scala 2320:112] + reg [31:0] mtdata2_t_0; // @[el2_lib.scala 491:16] + reg [31:0] mtdata2_t_1; // @[el2_lib.scala 491:16] + reg [31:0] mtdata2_t_2; // @[el2_lib.scala 491:16] + reg [31:0] mtdata2_t_3; // @[el2_lib.scala 491:16] + wire [31:0] _T_1014 = _T_802 ? mtdata2_t_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1015 = _T_890 ? mtdata2_t_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1016 = _T_905 ? mtdata2_t_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1017 = _T_920 ? mtdata2_t_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1018 = _T_1014 | _T_1015; // @[Mux.scala 27:72] + wire [31:0] _T_1019 = _T_1018 | _T_1016; // @[Mux.scala 27:72] + wire [31:0] mtdata2_tsel_out = _T_1019 | _T_1017; // @[Mux.scala 27:72] + wire [3:0] _T_1022 = io_tlu_i0_commit_cmt ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] pmu_i0_itype_qual = io_dec_tlu_packet_r_pmu_i0_itype & _T_1022; // @[el2_dec_tlu_ctl.scala 2345:60] + wire _T_1024 = ~mcountinhibit[3]; // @[el2_dec_tlu_ctl.scala 2351:25] + reg [9:0] mhpme3; // @[Reg.scala 27:20] + wire _T_1025 = mhpme3 == 10'h1; // @[el2_dec_tlu_ctl.scala 2352:21] + wire _T_1027 = mhpme3 == 10'h2; // @[el2_dec_tlu_ctl.scala 2353:21] + wire _T_1029 = mhpme3 == 10'h3; // @[el2_dec_tlu_ctl.scala 2354:21] + wire _T_1031 = mhpme3 == 10'h4; // @[el2_dec_tlu_ctl.scala 2355:21] + wire _T_1033 = ~io_illegal_r; // @[el2_dec_tlu_ctl.scala 2355:83] + wire _T_1034 = io_tlu_i0_commit_cmt & _T_1033; // @[el2_dec_tlu_ctl.scala 2355:81] + wire _T_1035 = mhpme3 == 10'h5; // @[el2_dec_tlu_ctl.scala 2356:21] + wire _T_1037 = ~io_exu_pmu_i0_pc4; // @[el2_dec_tlu_ctl.scala 2356:83] + wire _T_1038 = io_tlu_i0_commit_cmt & _T_1037; // @[el2_dec_tlu_ctl.scala 2356:81] + wire _T_1040 = _T_1038 & _T_1033; // @[el2_dec_tlu_ctl.scala 2356:102] + wire _T_1041 = mhpme3 == 10'h6; // @[el2_dec_tlu_ctl.scala 2357:21] + wire _T_1043 = io_tlu_i0_commit_cmt & io_exu_pmu_i0_pc4; // @[el2_dec_tlu_ctl.scala 2357:81] + wire _T_1045 = _T_1043 & _T_1033; // @[el2_dec_tlu_ctl.scala 2357:102] + wire _T_1046 = mhpme3 == 10'h7; // @[el2_dec_tlu_ctl.scala 2358:21] + wire _T_1048 = mhpme3 == 10'h8; // @[el2_dec_tlu_ctl.scala 2359:21] + wire _T_1050 = mhpme3 == 10'h1e; // @[el2_dec_tlu_ctl.scala 2360:21] + wire _T_1052 = mhpme3 == 10'h9; // @[el2_dec_tlu_ctl.scala 2361:21] + wire _T_1054 = pmu_i0_itype_qual == 4'h1; // @[el2_dec_tlu_ctl.scala 2361:78] + wire _T_1055 = mhpme3 == 10'ha; // @[el2_dec_tlu_ctl.scala 2362:21] + wire _T_1057 = io_dec_tlu_packet_r_pmu_divide & io_tlu_i0_commit_cmt; // @[el2_dec_tlu_ctl.scala 2362:92] + wire _T_1058 = mhpme3 == 10'hb; // @[el2_dec_tlu_ctl.scala 2363:21] + wire _T_1060 = pmu_i0_itype_qual == 4'h2; // @[el2_dec_tlu_ctl.scala 2363:78] + wire _T_1061 = mhpme3 == 10'hc; // @[el2_dec_tlu_ctl.scala 2364:21] + wire _T_1063 = pmu_i0_itype_qual == 4'h3; // @[el2_dec_tlu_ctl.scala 2364:78] + wire _T_1064 = mhpme3 == 10'hd; // @[el2_dec_tlu_ctl.scala 2365:21] + wire _T_1067 = mhpme3 == 10'he; // @[el2_dec_tlu_ctl.scala 2366:21] + wire _T_1068 = io_dec_tlu_packet_r_pmu_lsu_misaligned >> _T_1067; // @[el2_dec_tlu_ctl.scala 2366:7] + wire _T_1070 = _T_1060 & _T_1068; // @[el2_dec_tlu_ctl.scala 2365:89] + wire _T_1072 = _T_1070 & _T_1063; // @[el2_dec_tlu_ctl.scala 2366:45] + wire _T_1074 = _T_1072 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec_tlu_ctl.scala 2366:77] + wire _T_1075 = mhpme3 == 10'hf; // @[el2_dec_tlu_ctl.scala 2368:21] + wire _T_1077 = pmu_i0_itype_qual == 4'h4; // @[el2_dec_tlu_ctl.scala 2368:76] + wire _T_1078 = mhpme3 == 10'h10; // @[el2_dec_tlu_ctl.scala 2369:21] + wire _T_1080 = pmu_i0_itype_qual == 4'h5; // @[el2_dec_tlu_ctl.scala 2369:76] + wire _T_1081 = mhpme3 == 10'h12; // @[el2_dec_tlu_ctl.scala 2370:21] + wire _T_1083 = pmu_i0_itype_qual == 4'h6; // @[el2_dec_tlu_ctl.scala 2370:76] + wire _T_1084 = mhpme3 == 10'h11; // @[el2_dec_tlu_ctl.scala 2371:21] + wire _T_1086 = pmu_i0_itype_qual == 4'h7; // @[el2_dec_tlu_ctl.scala 2371:76] + wire _T_1087 = mhpme3 == 10'h13; // @[el2_dec_tlu_ctl.scala 2372:21] + wire _T_1089 = pmu_i0_itype_qual == 4'h8; // @[el2_dec_tlu_ctl.scala 2372:76] + wire _T_1090 = mhpme3 == 10'h14; // @[el2_dec_tlu_ctl.scala 2373:21] + wire _T_1092 = pmu_i0_itype_qual == 4'h9; // @[el2_dec_tlu_ctl.scala 2373:76] + wire _T_1093 = mhpme3 == 10'h15; // @[el2_dec_tlu_ctl.scala 2374:21] + wire _T_1095 = pmu_i0_itype_qual == 4'ha; // @[el2_dec_tlu_ctl.scala 2374:76] + wire _T_1096 = mhpme3 == 10'h16; // @[el2_dec_tlu_ctl.scala 2375:21] + wire _T_1098 = pmu_i0_itype_qual == 4'hb; // @[el2_dec_tlu_ctl.scala 2375:76] + wire _T_1099 = mhpme3 == 10'h17; // @[el2_dec_tlu_ctl.scala 2376:21] + wire _T_1101 = pmu_i0_itype_qual == 4'hc; // @[el2_dec_tlu_ctl.scala 2376:76] + wire _T_1102 = mhpme3 == 10'h18; // @[el2_dec_tlu_ctl.scala 2377:21] + wire _T_1104 = pmu_i0_itype_qual == 4'hd; // @[el2_dec_tlu_ctl.scala 2377:76] + wire _T_1105 = pmu_i0_itype_qual == 4'he; // @[el2_dec_tlu_ctl.scala 2377:109] + wire _T_1106 = _T_1104 | _T_1105; // @[el2_dec_tlu_ctl.scala 2377:88] + wire _T_1107 = mhpme3 == 10'h19; // @[el2_dec_tlu_ctl.scala 2378:21] + wire _T_1109 = io_exu_pmu_i0_br_misp & io_tlu_i0_commit_cmt; // @[el2_dec_tlu_ctl.scala 2378:82] + wire _T_1110 = mhpme3 == 10'h1a; // @[el2_dec_tlu_ctl.scala 2379:21] + wire _T_1112 = io_exu_pmu_i0_br_ataken & io_tlu_i0_commit_cmt; // @[el2_dec_tlu_ctl.scala 2379:84] + wire _T_1113 = mhpme3 == 10'h1b; // @[el2_dec_tlu_ctl.scala 2380:21] + wire _T_1115 = io_dec_tlu_packet_r_pmu_i0_br_unpred & io_tlu_i0_commit_cmt; // @[el2_dec_tlu_ctl.scala 2380:97] + wire _T_1116 = mhpme3 == 10'h1c; // @[el2_dec_tlu_ctl.scala 2381:21] + wire _T_1120 = mhpme3 == 10'h1f; // @[el2_dec_tlu_ctl.scala 2383:21] + wire _T_1122 = mhpme3 == 10'h20; // @[el2_dec_tlu_ctl.scala 2384:21] + wire _T_1124 = mhpme3 == 10'h22; // @[el2_dec_tlu_ctl.scala 2385:21] + wire _T_1126 = mhpme3 == 10'h23; // @[el2_dec_tlu_ctl.scala 2386:21] + wire _T_1128 = mhpme3 == 10'h24; // @[el2_dec_tlu_ctl.scala 2387:21] + wire _T_1130 = mhpme3 == 10'h25; // @[el2_dec_tlu_ctl.scala 2388:21] + wire _T_1132 = io_i0_exception_valid_r | io_i0_trigger_hit_r; // @[el2_dec_tlu_ctl.scala 2388:85] + wire _T_1133 = _T_1132 | io_lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 2388:107] + wire _T_1134 = mhpme3 == 10'h26; // @[el2_dec_tlu_ctl.scala 2389:21] + wire _T_1136 = io_take_timer_int | io_take_int_timer0_int; // @[el2_dec_tlu_ctl.scala 2389:79] + wire _T_1137 = _T_1136 | io_take_int_timer1_int; // @[el2_dec_tlu_ctl.scala 2389:104] + wire _T_1138 = mhpme3 == 10'h27; // @[el2_dec_tlu_ctl.scala 2390:21] + wire _T_1140 = mhpme3 == 10'h28; // @[el2_dec_tlu_ctl.scala 2391:21] + wire _T_1142 = mhpme3 == 10'h29; // @[el2_dec_tlu_ctl.scala 2392:21] + wire _T_1144 = io_dec_tlu_br0_error_r | io_dec_tlu_br0_start_error_r; // @[el2_dec_tlu_ctl.scala 2392:84] + wire _T_1145 = _T_1144 & io_rfpc_i0_r; // @[el2_dec_tlu_ctl.scala 2392:116] + wire _T_1146 = mhpme3 == 10'h2a; // @[el2_dec_tlu_ctl.scala 2393:21] + wire _T_1148 = mhpme3 == 10'h2b; // @[el2_dec_tlu_ctl.scala 2394:21] + wire _T_1150 = mhpme3 == 10'h2c; // @[el2_dec_tlu_ctl.scala 2395:21] + wire _T_1152 = mhpme3 == 10'h2d; // @[el2_dec_tlu_ctl.scala 2396:21] + wire _T_1154 = mhpme3 == 10'h2e; // @[el2_dec_tlu_ctl.scala 2397:21] + wire _T_1156 = mhpme3 == 10'h2f; // @[el2_dec_tlu_ctl.scala 2398:21] + wire _T_1158 = mhpme3 == 10'h30; // @[el2_dec_tlu_ctl.scala 2399:21] + wire _T_1160 = mhpme3 == 10'h31; // @[el2_dec_tlu_ctl.scala 2400:21] + wire _T_1164 = ~io_mstatus[0]; // @[el2_dec_tlu_ctl.scala 2400:60] + wire _T_1165 = mhpme3 == 10'h32; // @[el2_dec_tlu_ctl.scala 2401:21] + wire [5:0] _T_1172 = io_mip & mie; // @[el2_dec_tlu_ctl.scala 2401:100] + wire [5:0] _GEN_17 = {{5'd0}, _T_1164}; // @[el2_dec_tlu_ctl.scala 2401:85] + wire [5:0] _T_1173 = _GEN_17 & _T_1172; // @[el2_dec_tlu_ctl.scala 2401:85] + wire _T_1174 = mhpme3 == 10'h36; // @[el2_dec_tlu_ctl.scala 2402:21] + wire _T_1176 = pmu_i0_itype_qual == 4'hf; // @[el2_dec_tlu_ctl.scala 2402:78] + wire _T_1177 = mhpme3 == 10'h37; // @[el2_dec_tlu_ctl.scala 2403:21] + wire _T_1179 = io_tlu_i0_commit_cmt & io_lsu_pmu_load_external_r; // @[el2_dec_tlu_ctl.scala 2403:81] + wire _T_1180 = mhpme3 == 10'h38; // @[el2_dec_tlu_ctl.scala 2404:21] + wire _T_1182 = io_tlu_i0_commit_cmt & io_lsu_pmu_store_external_r; // @[el2_dec_tlu_ctl.scala 2404:81] + wire _T_1183 = mhpme3 == 10'h200; // @[el2_dec_tlu_ctl.scala 2406:21] + wire _T_1185 = mhpme3 == 10'h201; // @[el2_dec_tlu_ctl.scala 2407:21] + wire _T_1187 = mhpme3 == 10'h202; // @[el2_dec_tlu_ctl.scala 2408:21] + wire _T_1189 = mhpme3 == 10'h203; // @[el2_dec_tlu_ctl.scala 2409:21] + wire _T_1191 = mhpme3 == 10'h204; // @[el2_dec_tlu_ctl.scala 2410:21] + wire _T_1194 = _T_1027 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1195 = _T_1029 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1196 = _T_1031 & _T_1034; // @[Mux.scala 27:72] + wire _T_1197 = _T_1035 & _T_1040; // @[Mux.scala 27:72] + wire _T_1198 = _T_1041 & _T_1045; // @[Mux.scala 27:72] + wire _T_1199 = _T_1046 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1200 = _T_1048 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1201 = _T_1050 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1202 = _T_1052 & _T_1054; // @[Mux.scala 27:72] + wire _T_1203 = _T_1055 & _T_1057; // @[Mux.scala 27:72] + wire _T_1204 = _T_1058 & _T_1060; // @[Mux.scala 27:72] + wire _T_1205 = _T_1061 & _T_1063; // @[Mux.scala 27:72] + wire _T_1206 = _T_1064 & _T_1074; // @[Mux.scala 27:72] + wire _T_1207 = _T_1075 & _T_1077; // @[Mux.scala 27:72] + wire _T_1208 = _T_1078 & _T_1080; // @[Mux.scala 27:72] + wire _T_1209 = _T_1081 & _T_1083; // @[Mux.scala 27:72] + wire _T_1210 = _T_1084 & _T_1086; // @[Mux.scala 27:72] + wire _T_1211 = _T_1087 & _T_1089; // @[Mux.scala 27:72] + wire _T_1212 = _T_1090 & _T_1092; // @[Mux.scala 27:72] + wire _T_1213 = _T_1093 & _T_1095; // @[Mux.scala 27:72] + wire _T_1214 = _T_1096 & _T_1098; // @[Mux.scala 27:72] + wire _T_1215 = _T_1099 & _T_1101; // @[Mux.scala 27:72] + wire _T_1216 = _T_1102 & _T_1106; // @[Mux.scala 27:72] + wire _T_1217 = _T_1107 & _T_1109; // @[Mux.scala 27:72] + wire _T_1218 = _T_1110 & _T_1112; // @[Mux.scala 27:72] + wire _T_1219 = _T_1113 & _T_1115; // @[Mux.scala 27:72] + wire _T_1220 = _T_1116 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1222 = _T_1120 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1223 = _T_1122 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1224 = _T_1124 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1225 = _T_1126 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1226 = _T_1128 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1227 = _T_1130 & _T_1133; // @[Mux.scala 27:72] + wire _T_1228 = _T_1134 & _T_1137; // @[Mux.scala 27:72] + wire _T_1229 = _T_1138 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1230 = _T_1140 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1231 = _T_1142 & _T_1145; // @[Mux.scala 27:72] + wire _T_1232 = _T_1146 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1233 = _T_1148 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1234 = _T_1150 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1235 = _T_1152 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1236 = _T_1154 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1237 = _T_1156 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1238 = _T_1158 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1239 = _T_1160 & _T_1164; // @[Mux.scala 27:72] + wire [5:0] _T_1240 = _T_1165 ? _T_1173 : 6'h0; // @[Mux.scala 27:72] + wire _T_1241 = _T_1174 & _T_1176; // @[Mux.scala 27:72] + wire _T_1242 = _T_1177 & _T_1179; // @[Mux.scala 27:72] + wire _T_1243 = _T_1180 & _T_1182; // @[Mux.scala 27:72] + wire _T_1244 = _T_1183 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1245 = _T_1185 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1246 = _T_1187 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1247 = _T_1189 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1248 = _T_1191 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1249 = _T_1025 | _T_1194; // @[Mux.scala 27:72] + wire _T_1250 = _T_1249 | _T_1195; // @[Mux.scala 27:72] + wire _T_1251 = _T_1250 | _T_1196; // @[Mux.scala 27:72] + wire _T_1252 = _T_1251 | _T_1197; // @[Mux.scala 27:72] + wire _T_1253 = _T_1252 | _T_1198; // @[Mux.scala 27:72] + wire _T_1254 = _T_1253 | _T_1199; // @[Mux.scala 27:72] + wire _T_1255 = _T_1254 | _T_1200; // @[Mux.scala 27:72] + wire _T_1256 = _T_1255 | _T_1201; // @[Mux.scala 27:72] + wire _T_1257 = _T_1256 | _T_1202; // @[Mux.scala 27:72] + wire _T_1258 = _T_1257 | _T_1203; // @[Mux.scala 27:72] + wire _T_1259 = _T_1258 | _T_1204; // @[Mux.scala 27:72] + wire _T_1260 = _T_1259 | _T_1205; // @[Mux.scala 27:72] + wire _T_1261 = _T_1260 | _T_1206; // @[Mux.scala 27:72] + wire _T_1262 = _T_1261 | _T_1207; // @[Mux.scala 27:72] + wire _T_1263 = _T_1262 | _T_1208; // @[Mux.scala 27:72] + wire _T_1264 = _T_1263 | _T_1209; // @[Mux.scala 27:72] + wire _T_1265 = _T_1264 | _T_1210; // @[Mux.scala 27:72] + wire _T_1266 = _T_1265 | _T_1211; // @[Mux.scala 27:72] + wire _T_1267 = _T_1266 | _T_1212; // @[Mux.scala 27:72] + wire _T_1268 = _T_1267 | _T_1213; // @[Mux.scala 27:72] + wire _T_1269 = _T_1268 | _T_1214; // @[Mux.scala 27:72] + wire _T_1270 = _T_1269 | _T_1215; // @[Mux.scala 27:72] + wire _T_1271 = _T_1270 | _T_1216; // @[Mux.scala 27:72] + wire _T_1272 = _T_1271 | _T_1217; // @[Mux.scala 27:72] + wire _T_1273 = _T_1272 | _T_1218; // @[Mux.scala 27:72] + wire _T_1274 = _T_1273 | _T_1219; // @[Mux.scala 27:72] + wire _T_1275 = _T_1274 | _T_1220; // @[Mux.scala 27:72] + wire _T_1276 = _T_1275 | _T_1201; // @[Mux.scala 27:72] + wire _T_1277 = _T_1276 | _T_1222; // @[Mux.scala 27:72] + wire _T_1278 = _T_1277 | _T_1223; // @[Mux.scala 27:72] + wire _T_1279 = _T_1278 | _T_1224; // @[Mux.scala 27:72] + wire _T_1280 = _T_1279 | _T_1225; // @[Mux.scala 27:72] + wire _T_1281 = _T_1280 | _T_1226; // @[Mux.scala 27:72] + wire _T_1282 = _T_1281 | _T_1227; // @[Mux.scala 27:72] + wire _T_1283 = _T_1282 | _T_1228; // @[Mux.scala 27:72] + wire _T_1284 = _T_1283 | _T_1229; // @[Mux.scala 27:72] + wire _T_1285 = _T_1284 | _T_1230; // @[Mux.scala 27:72] + wire _T_1286 = _T_1285 | _T_1231; // @[Mux.scala 27:72] + wire _T_1287 = _T_1286 | _T_1232; // @[Mux.scala 27:72] + wire _T_1288 = _T_1287 | _T_1233; // @[Mux.scala 27:72] + wire _T_1289 = _T_1288 | _T_1234; // @[Mux.scala 27:72] + wire _T_1290 = _T_1289 | _T_1235; // @[Mux.scala 27:72] + wire _T_1291 = _T_1290 | _T_1236; // @[Mux.scala 27:72] + wire _T_1292 = _T_1291 | _T_1237; // @[Mux.scala 27:72] + wire _T_1293 = _T_1292 | _T_1238; // @[Mux.scala 27:72] + wire _T_1294 = _T_1293 | _T_1239; // @[Mux.scala 27:72] + wire [5:0] _GEN_18 = {{5'd0}, _T_1294}; // @[Mux.scala 27:72] + wire [5:0] _T_1295 = _GEN_18 | _T_1240; // @[Mux.scala 27:72] + wire [5:0] _GEN_19 = {{5'd0}, _T_1241}; // @[Mux.scala 27:72] + wire [5:0] _T_1296 = _T_1295 | _GEN_19; // @[Mux.scala 27:72] + wire [5:0] _GEN_20 = {{5'd0}, _T_1242}; // @[Mux.scala 27:72] + wire [5:0] _T_1297 = _T_1296 | _GEN_20; // @[Mux.scala 27:72] + wire [5:0] _GEN_21 = {{5'd0}, _T_1243}; // @[Mux.scala 27:72] + wire [5:0] _T_1298 = _T_1297 | _GEN_21; // @[Mux.scala 27:72] + wire [5:0] _GEN_22 = {{5'd0}, _T_1244}; // @[Mux.scala 27:72] + wire [5:0] _T_1299 = _T_1298 | _GEN_22; // @[Mux.scala 27:72] + wire [5:0] _GEN_23 = {{5'd0}, _T_1245}; // @[Mux.scala 27:72] + wire [5:0] _T_1300 = _T_1299 | _GEN_23; // @[Mux.scala 27:72] + wire [5:0] _GEN_24 = {{5'd0}, _T_1246}; // @[Mux.scala 27:72] + wire [5:0] _T_1301 = _T_1300 | _GEN_24; // @[Mux.scala 27:72] + wire [5:0] _GEN_25 = {{5'd0}, _T_1247}; // @[Mux.scala 27:72] + wire [5:0] _T_1302 = _T_1301 | _GEN_25; // @[Mux.scala 27:72] + wire [5:0] _GEN_26 = {{5'd0}, _T_1248}; // @[Mux.scala 27:72] + wire [5:0] _T_1303 = _T_1302 | _GEN_26; // @[Mux.scala 27:72] + wire [5:0] _GEN_27 = {{5'd0}, _T_1024}; // @[el2_dec_tlu_ctl.scala 2351:45] + wire [5:0] _T_1305 = _GEN_27 & _T_1303; // @[el2_dec_tlu_ctl.scala 2351:45] + wire _T_1307 = ~mcountinhibit[4]; // @[el2_dec_tlu_ctl.scala 2351:25] + reg [9:0] mhpme4; // @[Reg.scala 27:20] + wire _T_1308 = mhpme4 == 10'h1; // @[el2_dec_tlu_ctl.scala 2352:21] + wire _T_1310 = mhpme4 == 10'h2; // @[el2_dec_tlu_ctl.scala 2353:21] + wire _T_1312 = mhpme4 == 10'h3; // @[el2_dec_tlu_ctl.scala 2354:21] + wire _T_1314 = mhpme4 == 10'h4; // @[el2_dec_tlu_ctl.scala 2355:21] + wire _T_1318 = mhpme4 == 10'h5; // @[el2_dec_tlu_ctl.scala 2356:21] + wire _T_1324 = mhpme4 == 10'h6; // @[el2_dec_tlu_ctl.scala 2357:21] + wire _T_1329 = mhpme4 == 10'h7; // @[el2_dec_tlu_ctl.scala 2358:21] + wire _T_1331 = mhpme4 == 10'h8; // @[el2_dec_tlu_ctl.scala 2359:21] + wire _T_1333 = mhpme4 == 10'h1e; // @[el2_dec_tlu_ctl.scala 2360:21] + wire _T_1335 = mhpme4 == 10'h9; // @[el2_dec_tlu_ctl.scala 2361:21] + wire _T_1338 = mhpme4 == 10'ha; // @[el2_dec_tlu_ctl.scala 2362:21] + wire _T_1341 = mhpme4 == 10'hb; // @[el2_dec_tlu_ctl.scala 2363:21] + wire _T_1344 = mhpme4 == 10'hc; // @[el2_dec_tlu_ctl.scala 2364:21] + wire _T_1347 = mhpme4 == 10'hd; // @[el2_dec_tlu_ctl.scala 2365:21] + wire _T_1350 = mhpme4 == 10'he; // @[el2_dec_tlu_ctl.scala 2366:21] + wire _T_1351 = io_dec_tlu_packet_r_pmu_lsu_misaligned >> _T_1350; // @[el2_dec_tlu_ctl.scala 2366:7] + wire _T_1353 = _T_1060 & _T_1351; // @[el2_dec_tlu_ctl.scala 2365:89] + wire _T_1355 = _T_1353 & _T_1063; // @[el2_dec_tlu_ctl.scala 2366:45] + wire _T_1357 = _T_1355 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec_tlu_ctl.scala 2366:77] + wire _T_1358 = mhpme4 == 10'hf; // @[el2_dec_tlu_ctl.scala 2368:21] + wire _T_1361 = mhpme4 == 10'h10; // @[el2_dec_tlu_ctl.scala 2369:21] + wire _T_1364 = mhpme4 == 10'h12; // @[el2_dec_tlu_ctl.scala 2370:21] + wire _T_1367 = mhpme4 == 10'h11; // @[el2_dec_tlu_ctl.scala 2371:21] + wire _T_1370 = mhpme4 == 10'h13; // @[el2_dec_tlu_ctl.scala 2372:21] + wire _T_1373 = mhpme4 == 10'h14; // @[el2_dec_tlu_ctl.scala 2373:21] + wire _T_1376 = mhpme4 == 10'h15; // @[el2_dec_tlu_ctl.scala 2374:21] + wire _T_1379 = mhpme4 == 10'h16; // @[el2_dec_tlu_ctl.scala 2375:21] + wire _T_1382 = mhpme4 == 10'h17; // @[el2_dec_tlu_ctl.scala 2376:21] + wire _T_1385 = mhpme4 == 10'h18; // @[el2_dec_tlu_ctl.scala 2377:21] + wire _T_1390 = mhpme4 == 10'h19; // @[el2_dec_tlu_ctl.scala 2378:21] + wire _T_1393 = mhpme4 == 10'h1a; // @[el2_dec_tlu_ctl.scala 2379:21] + wire _T_1396 = mhpme4 == 10'h1b; // @[el2_dec_tlu_ctl.scala 2380:21] + wire _T_1399 = mhpme4 == 10'h1c; // @[el2_dec_tlu_ctl.scala 2381:21] + wire _T_1403 = mhpme4 == 10'h1f; // @[el2_dec_tlu_ctl.scala 2383:21] + wire _T_1405 = mhpme4 == 10'h20; // @[el2_dec_tlu_ctl.scala 2384:21] + wire _T_1407 = mhpme4 == 10'h22; // @[el2_dec_tlu_ctl.scala 2385:21] + wire _T_1409 = mhpme4 == 10'h23; // @[el2_dec_tlu_ctl.scala 2386:21] + wire _T_1411 = mhpme4 == 10'h24; // @[el2_dec_tlu_ctl.scala 2387:21] + wire _T_1413 = mhpme4 == 10'h25; // @[el2_dec_tlu_ctl.scala 2388:21] + wire _T_1417 = mhpme4 == 10'h26; // @[el2_dec_tlu_ctl.scala 2389:21] + wire _T_1421 = mhpme4 == 10'h27; // @[el2_dec_tlu_ctl.scala 2390:21] + wire _T_1423 = mhpme4 == 10'h28; // @[el2_dec_tlu_ctl.scala 2391:21] + wire _T_1425 = mhpme4 == 10'h29; // @[el2_dec_tlu_ctl.scala 2392:21] + wire _T_1429 = mhpme4 == 10'h2a; // @[el2_dec_tlu_ctl.scala 2393:21] + wire _T_1431 = mhpme4 == 10'h2b; // @[el2_dec_tlu_ctl.scala 2394:21] + wire _T_1433 = mhpme4 == 10'h2c; // @[el2_dec_tlu_ctl.scala 2395:21] + wire _T_1435 = mhpme4 == 10'h2d; // @[el2_dec_tlu_ctl.scala 2396:21] + wire _T_1437 = mhpme4 == 10'h2e; // @[el2_dec_tlu_ctl.scala 2397:21] + wire _T_1439 = mhpme4 == 10'h2f; // @[el2_dec_tlu_ctl.scala 2398:21] + wire _T_1441 = mhpme4 == 10'h30; // @[el2_dec_tlu_ctl.scala 2399:21] + wire _T_1443 = mhpme4 == 10'h31; // @[el2_dec_tlu_ctl.scala 2400:21] + wire _T_1448 = mhpme4 == 10'h32; // @[el2_dec_tlu_ctl.scala 2401:21] + wire _T_1457 = mhpme4 == 10'h36; // @[el2_dec_tlu_ctl.scala 2402:21] + wire _T_1460 = mhpme4 == 10'h37; // @[el2_dec_tlu_ctl.scala 2403:21] + wire _T_1463 = mhpme4 == 10'h38; // @[el2_dec_tlu_ctl.scala 2404:21] + wire _T_1466 = mhpme4 == 10'h200; // @[el2_dec_tlu_ctl.scala 2406:21] + wire _T_1468 = mhpme4 == 10'h201; // @[el2_dec_tlu_ctl.scala 2407:21] + wire _T_1470 = mhpme4 == 10'h202; // @[el2_dec_tlu_ctl.scala 2408:21] + wire _T_1472 = mhpme4 == 10'h203; // @[el2_dec_tlu_ctl.scala 2409:21] + wire _T_1474 = mhpme4 == 10'h204; // @[el2_dec_tlu_ctl.scala 2410:21] + wire _T_1477 = _T_1310 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1478 = _T_1312 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1479 = _T_1314 & _T_1034; // @[Mux.scala 27:72] + wire _T_1480 = _T_1318 & _T_1040; // @[Mux.scala 27:72] + wire _T_1481 = _T_1324 & _T_1045; // @[Mux.scala 27:72] + wire _T_1482 = _T_1329 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1483 = _T_1331 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1484 = _T_1333 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1485 = _T_1335 & _T_1054; // @[Mux.scala 27:72] + wire _T_1486 = _T_1338 & _T_1057; // @[Mux.scala 27:72] + wire _T_1487 = _T_1341 & _T_1060; // @[Mux.scala 27:72] + wire _T_1488 = _T_1344 & _T_1063; // @[Mux.scala 27:72] + wire _T_1489 = _T_1347 & _T_1357; // @[Mux.scala 27:72] + wire _T_1490 = _T_1358 & _T_1077; // @[Mux.scala 27:72] + wire _T_1491 = _T_1361 & _T_1080; // @[Mux.scala 27:72] + wire _T_1492 = _T_1364 & _T_1083; // @[Mux.scala 27:72] + wire _T_1493 = _T_1367 & _T_1086; // @[Mux.scala 27:72] + wire _T_1494 = _T_1370 & _T_1089; // @[Mux.scala 27:72] + wire _T_1495 = _T_1373 & _T_1092; // @[Mux.scala 27:72] + wire _T_1496 = _T_1376 & _T_1095; // @[Mux.scala 27:72] + wire _T_1497 = _T_1379 & _T_1098; // @[Mux.scala 27:72] + wire _T_1498 = _T_1382 & _T_1101; // @[Mux.scala 27:72] + wire _T_1499 = _T_1385 & _T_1106; // @[Mux.scala 27:72] + wire _T_1500 = _T_1390 & _T_1109; // @[Mux.scala 27:72] + wire _T_1501 = _T_1393 & _T_1112; // @[Mux.scala 27:72] + wire _T_1502 = _T_1396 & _T_1115; // @[Mux.scala 27:72] + wire _T_1503 = _T_1399 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1505 = _T_1403 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1506 = _T_1405 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1507 = _T_1407 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1508 = _T_1409 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1509 = _T_1411 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1510 = _T_1413 & _T_1133; // @[Mux.scala 27:72] + wire _T_1511 = _T_1417 & _T_1137; // @[Mux.scala 27:72] + wire _T_1512 = _T_1421 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1513 = _T_1423 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1514 = _T_1425 & _T_1145; // @[Mux.scala 27:72] + wire _T_1515 = _T_1429 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1516 = _T_1431 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1517 = _T_1433 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1518 = _T_1435 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1519 = _T_1437 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1520 = _T_1439 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1521 = _T_1441 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1522 = _T_1443 & _T_1164; // @[Mux.scala 27:72] + wire [5:0] _T_1523 = _T_1448 ? _T_1173 : 6'h0; // @[Mux.scala 27:72] + wire _T_1524 = _T_1457 & _T_1176; // @[Mux.scala 27:72] + wire _T_1525 = _T_1460 & _T_1179; // @[Mux.scala 27:72] + wire _T_1526 = _T_1463 & _T_1182; // @[Mux.scala 27:72] + wire _T_1527 = _T_1466 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1528 = _T_1468 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1529 = _T_1470 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1530 = _T_1472 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1531 = _T_1474 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1532 = _T_1308 | _T_1477; // @[Mux.scala 27:72] + wire _T_1533 = _T_1532 | _T_1478; // @[Mux.scala 27:72] + wire _T_1534 = _T_1533 | _T_1479; // @[Mux.scala 27:72] + wire _T_1535 = _T_1534 | _T_1480; // @[Mux.scala 27:72] + wire _T_1536 = _T_1535 | _T_1481; // @[Mux.scala 27:72] + wire _T_1537 = _T_1536 | _T_1482; // @[Mux.scala 27:72] + wire _T_1538 = _T_1537 | _T_1483; // @[Mux.scala 27:72] + wire _T_1539 = _T_1538 | _T_1484; // @[Mux.scala 27:72] + wire _T_1540 = _T_1539 | _T_1485; // @[Mux.scala 27:72] + wire _T_1541 = _T_1540 | _T_1486; // @[Mux.scala 27:72] + wire _T_1542 = _T_1541 | _T_1487; // @[Mux.scala 27:72] + wire _T_1543 = _T_1542 | _T_1488; // @[Mux.scala 27:72] + wire _T_1544 = _T_1543 | _T_1489; // @[Mux.scala 27:72] + wire _T_1545 = _T_1544 | _T_1490; // @[Mux.scala 27:72] + wire _T_1546 = _T_1545 | _T_1491; // @[Mux.scala 27:72] + wire _T_1547 = _T_1546 | _T_1492; // @[Mux.scala 27:72] + wire _T_1548 = _T_1547 | _T_1493; // @[Mux.scala 27:72] + wire _T_1549 = _T_1548 | _T_1494; // @[Mux.scala 27:72] + wire _T_1550 = _T_1549 | _T_1495; // @[Mux.scala 27:72] + wire _T_1551 = _T_1550 | _T_1496; // @[Mux.scala 27:72] + wire _T_1552 = _T_1551 | _T_1497; // @[Mux.scala 27:72] + wire _T_1553 = _T_1552 | _T_1498; // @[Mux.scala 27:72] + wire _T_1554 = _T_1553 | _T_1499; // @[Mux.scala 27:72] + wire _T_1555 = _T_1554 | _T_1500; // @[Mux.scala 27:72] + wire _T_1556 = _T_1555 | _T_1501; // @[Mux.scala 27:72] + wire _T_1557 = _T_1556 | _T_1502; // @[Mux.scala 27:72] + wire _T_1558 = _T_1557 | _T_1503; // @[Mux.scala 27:72] + wire _T_1559 = _T_1558 | _T_1484; // @[Mux.scala 27:72] + wire _T_1560 = _T_1559 | _T_1505; // @[Mux.scala 27:72] + wire _T_1561 = _T_1560 | _T_1506; // @[Mux.scala 27:72] + wire _T_1562 = _T_1561 | _T_1507; // @[Mux.scala 27:72] + wire _T_1563 = _T_1562 | _T_1508; // @[Mux.scala 27:72] + wire _T_1564 = _T_1563 | _T_1509; // @[Mux.scala 27:72] + wire _T_1565 = _T_1564 | _T_1510; // @[Mux.scala 27:72] + wire _T_1566 = _T_1565 | _T_1511; // @[Mux.scala 27:72] + wire _T_1567 = _T_1566 | _T_1512; // @[Mux.scala 27:72] + wire _T_1568 = _T_1567 | _T_1513; // @[Mux.scala 27:72] + wire _T_1569 = _T_1568 | _T_1514; // @[Mux.scala 27:72] + wire _T_1570 = _T_1569 | _T_1515; // @[Mux.scala 27:72] + wire _T_1571 = _T_1570 | _T_1516; // @[Mux.scala 27:72] + wire _T_1572 = _T_1571 | _T_1517; // @[Mux.scala 27:72] + wire _T_1573 = _T_1572 | _T_1518; // @[Mux.scala 27:72] + wire _T_1574 = _T_1573 | _T_1519; // @[Mux.scala 27:72] + wire _T_1575 = _T_1574 | _T_1520; // @[Mux.scala 27:72] + wire _T_1576 = _T_1575 | _T_1521; // @[Mux.scala 27:72] + wire _T_1577 = _T_1576 | _T_1522; // @[Mux.scala 27:72] + wire [5:0] _GEN_29 = {{5'd0}, _T_1577}; // @[Mux.scala 27:72] + wire [5:0] _T_1578 = _GEN_29 | _T_1523; // @[Mux.scala 27:72] + wire [5:0] _GEN_30 = {{5'd0}, _T_1524}; // @[Mux.scala 27:72] + wire [5:0] _T_1579 = _T_1578 | _GEN_30; // @[Mux.scala 27:72] + wire [5:0] _GEN_31 = {{5'd0}, _T_1525}; // @[Mux.scala 27:72] + wire [5:0] _T_1580 = _T_1579 | _GEN_31; // @[Mux.scala 27:72] + wire [5:0] _GEN_32 = {{5'd0}, _T_1526}; // @[Mux.scala 27:72] + wire [5:0] _T_1581 = _T_1580 | _GEN_32; // @[Mux.scala 27:72] + wire [5:0] _GEN_33 = {{5'd0}, _T_1527}; // @[Mux.scala 27:72] + wire [5:0] _T_1582 = _T_1581 | _GEN_33; // @[Mux.scala 27:72] + wire [5:0] _GEN_34 = {{5'd0}, _T_1528}; // @[Mux.scala 27:72] + wire [5:0] _T_1583 = _T_1582 | _GEN_34; // @[Mux.scala 27:72] + wire [5:0] _GEN_35 = {{5'd0}, _T_1529}; // @[Mux.scala 27:72] + wire [5:0] _T_1584 = _T_1583 | _GEN_35; // @[Mux.scala 27:72] + wire [5:0] _GEN_36 = {{5'd0}, _T_1530}; // @[Mux.scala 27:72] + wire [5:0] _T_1585 = _T_1584 | _GEN_36; // @[Mux.scala 27:72] + wire [5:0] _GEN_37 = {{5'd0}, _T_1531}; // @[Mux.scala 27:72] + wire [5:0] _T_1586 = _T_1585 | _GEN_37; // @[Mux.scala 27:72] + wire [5:0] _GEN_38 = {{5'd0}, _T_1307}; // @[el2_dec_tlu_ctl.scala 2351:45] + wire [5:0] _T_1588 = _GEN_38 & _T_1586; // @[el2_dec_tlu_ctl.scala 2351:45] + wire _T_1590 = ~mcountinhibit[5]; // @[el2_dec_tlu_ctl.scala 2351:25] + reg [9:0] mhpme5; // @[Reg.scala 27:20] + wire _T_1591 = mhpme5 == 10'h1; // @[el2_dec_tlu_ctl.scala 2352:21] + wire _T_1593 = mhpme5 == 10'h2; // @[el2_dec_tlu_ctl.scala 2353:21] + wire _T_1595 = mhpme5 == 10'h3; // @[el2_dec_tlu_ctl.scala 2354:21] + wire _T_1597 = mhpme5 == 10'h4; // @[el2_dec_tlu_ctl.scala 2355:21] + wire _T_1601 = mhpme5 == 10'h5; // @[el2_dec_tlu_ctl.scala 2356:21] + wire _T_1607 = mhpme5 == 10'h6; // @[el2_dec_tlu_ctl.scala 2357:21] + wire _T_1612 = mhpme5 == 10'h7; // @[el2_dec_tlu_ctl.scala 2358:21] + wire _T_1614 = mhpme5 == 10'h8; // @[el2_dec_tlu_ctl.scala 2359:21] + wire _T_1616 = mhpme5 == 10'h1e; // @[el2_dec_tlu_ctl.scala 2360:21] + wire _T_1618 = mhpme5 == 10'h9; // @[el2_dec_tlu_ctl.scala 2361:21] + wire _T_1621 = mhpme5 == 10'ha; // @[el2_dec_tlu_ctl.scala 2362:21] + wire _T_1624 = mhpme5 == 10'hb; // @[el2_dec_tlu_ctl.scala 2363:21] + wire _T_1627 = mhpme5 == 10'hc; // @[el2_dec_tlu_ctl.scala 2364:21] + wire _T_1630 = mhpme5 == 10'hd; // @[el2_dec_tlu_ctl.scala 2365:21] + wire _T_1633 = mhpme5 == 10'he; // @[el2_dec_tlu_ctl.scala 2366:21] + wire _T_1634 = io_dec_tlu_packet_r_pmu_lsu_misaligned >> _T_1633; // @[el2_dec_tlu_ctl.scala 2366:7] + wire _T_1636 = _T_1060 & _T_1634; // @[el2_dec_tlu_ctl.scala 2365:89] + wire _T_1638 = _T_1636 & _T_1063; // @[el2_dec_tlu_ctl.scala 2366:45] + wire _T_1640 = _T_1638 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec_tlu_ctl.scala 2366:77] + wire _T_1641 = mhpme5 == 10'hf; // @[el2_dec_tlu_ctl.scala 2368:21] + wire _T_1644 = mhpme5 == 10'h10; // @[el2_dec_tlu_ctl.scala 2369:21] + wire _T_1647 = mhpme5 == 10'h12; // @[el2_dec_tlu_ctl.scala 2370:21] + wire _T_1650 = mhpme5 == 10'h11; // @[el2_dec_tlu_ctl.scala 2371:21] + wire _T_1653 = mhpme5 == 10'h13; // @[el2_dec_tlu_ctl.scala 2372:21] + wire _T_1656 = mhpme5 == 10'h14; // @[el2_dec_tlu_ctl.scala 2373:21] + wire _T_1659 = mhpme5 == 10'h15; // @[el2_dec_tlu_ctl.scala 2374:21] + wire _T_1662 = mhpme5 == 10'h16; // @[el2_dec_tlu_ctl.scala 2375:21] + wire _T_1665 = mhpme5 == 10'h17; // @[el2_dec_tlu_ctl.scala 2376:21] + wire _T_1668 = mhpme5 == 10'h18; // @[el2_dec_tlu_ctl.scala 2377:21] + wire _T_1673 = mhpme5 == 10'h19; // @[el2_dec_tlu_ctl.scala 2378:21] + wire _T_1676 = mhpme5 == 10'h1a; // @[el2_dec_tlu_ctl.scala 2379:21] + wire _T_1679 = mhpme5 == 10'h1b; // @[el2_dec_tlu_ctl.scala 2380:21] + wire _T_1682 = mhpme5 == 10'h1c; // @[el2_dec_tlu_ctl.scala 2381:21] + wire _T_1686 = mhpme5 == 10'h1f; // @[el2_dec_tlu_ctl.scala 2383:21] + wire _T_1688 = mhpme5 == 10'h20; // @[el2_dec_tlu_ctl.scala 2384:21] + wire _T_1690 = mhpme5 == 10'h22; // @[el2_dec_tlu_ctl.scala 2385:21] + wire _T_1692 = mhpme5 == 10'h23; // @[el2_dec_tlu_ctl.scala 2386:21] + wire _T_1694 = mhpme5 == 10'h24; // @[el2_dec_tlu_ctl.scala 2387:21] + wire _T_1696 = mhpme5 == 10'h25; // @[el2_dec_tlu_ctl.scala 2388:21] + wire _T_1700 = mhpme5 == 10'h26; // @[el2_dec_tlu_ctl.scala 2389:21] + wire _T_1704 = mhpme5 == 10'h27; // @[el2_dec_tlu_ctl.scala 2390:21] + wire _T_1706 = mhpme5 == 10'h28; // @[el2_dec_tlu_ctl.scala 2391:21] + wire _T_1708 = mhpme5 == 10'h29; // @[el2_dec_tlu_ctl.scala 2392:21] + wire _T_1712 = mhpme5 == 10'h2a; // @[el2_dec_tlu_ctl.scala 2393:21] + wire _T_1714 = mhpme5 == 10'h2b; // @[el2_dec_tlu_ctl.scala 2394:21] + wire _T_1716 = mhpme5 == 10'h2c; // @[el2_dec_tlu_ctl.scala 2395:21] + wire _T_1718 = mhpme5 == 10'h2d; // @[el2_dec_tlu_ctl.scala 2396:21] + wire _T_1720 = mhpme5 == 10'h2e; // @[el2_dec_tlu_ctl.scala 2397:21] + wire _T_1722 = mhpme5 == 10'h2f; // @[el2_dec_tlu_ctl.scala 2398:21] + wire _T_1724 = mhpme5 == 10'h30; // @[el2_dec_tlu_ctl.scala 2399:21] + wire _T_1726 = mhpme5 == 10'h31; // @[el2_dec_tlu_ctl.scala 2400:21] + wire _T_1731 = mhpme5 == 10'h32; // @[el2_dec_tlu_ctl.scala 2401:21] + wire _T_1740 = mhpme5 == 10'h36; // @[el2_dec_tlu_ctl.scala 2402:21] + wire _T_1743 = mhpme5 == 10'h37; // @[el2_dec_tlu_ctl.scala 2403:21] + wire _T_1746 = mhpme5 == 10'h38; // @[el2_dec_tlu_ctl.scala 2404:21] + wire _T_1749 = mhpme5 == 10'h200; // @[el2_dec_tlu_ctl.scala 2406:21] + wire _T_1751 = mhpme5 == 10'h201; // @[el2_dec_tlu_ctl.scala 2407:21] + wire _T_1753 = mhpme5 == 10'h202; // @[el2_dec_tlu_ctl.scala 2408:21] + wire _T_1755 = mhpme5 == 10'h203; // @[el2_dec_tlu_ctl.scala 2409:21] + wire _T_1757 = mhpme5 == 10'h204; // @[el2_dec_tlu_ctl.scala 2410:21] + wire _T_1760 = _T_1593 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1761 = _T_1595 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1762 = _T_1597 & _T_1034; // @[Mux.scala 27:72] + wire _T_1763 = _T_1601 & _T_1040; // @[Mux.scala 27:72] + wire _T_1764 = _T_1607 & _T_1045; // @[Mux.scala 27:72] + wire _T_1765 = _T_1612 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1766 = _T_1614 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1767 = _T_1616 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1768 = _T_1618 & _T_1054; // @[Mux.scala 27:72] + wire _T_1769 = _T_1621 & _T_1057; // @[Mux.scala 27:72] + wire _T_1770 = _T_1624 & _T_1060; // @[Mux.scala 27:72] + wire _T_1771 = _T_1627 & _T_1063; // @[Mux.scala 27:72] + wire _T_1772 = _T_1630 & _T_1640; // @[Mux.scala 27:72] + wire _T_1773 = _T_1641 & _T_1077; // @[Mux.scala 27:72] + wire _T_1774 = _T_1644 & _T_1080; // @[Mux.scala 27:72] + wire _T_1775 = _T_1647 & _T_1083; // @[Mux.scala 27:72] + wire _T_1776 = _T_1650 & _T_1086; // @[Mux.scala 27:72] + wire _T_1777 = _T_1653 & _T_1089; // @[Mux.scala 27:72] + wire _T_1778 = _T_1656 & _T_1092; // @[Mux.scala 27:72] + wire _T_1779 = _T_1659 & _T_1095; // @[Mux.scala 27:72] + wire _T_1780 = _T_1662 & _T_1098; // @[Mux.scala 27:72] + wire _T_1781 = _T_1665 & _T_1101; // @[Mux.scala 27:72] + wire _T_1782 = _T_1668 & _T_1106; // @[Mux.scala 27:72] + wire _T_1783 = _T_1673 & _T_1109; // @[Mux.scala 27:72] + wire _T_1784 = _T_1676 & _T_1112; // @[Mux.scala 27:72] + wire _T_1785 = _T_1679 & _T_1115; // @[Mux.scala 27:72] + wire _T_1786 = _T_1682 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1788 = _T_1686 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1789 = _T_1688 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1790 = _T_1690 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1791 = _T_1692 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1792 = _T_1694 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1793 = _T_1696 & _T_1133; // @[Mux.scala 27:72] + wire _T_1794 = _T_1700 & _T_1137; // @[Mux.scala 27:72] + wire _T_1795 = _T_1704 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1796 = _T_1706 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1797 = _T_1708 & _T_1145; // @[Mux.scala 27:72] + wire _T_1798 = _T_1712 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1799 = _T_1714 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1800 = _T_1716 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1801 = _T_1718 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1802 = _T_1720 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1803 = _T_1722 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1804 = _T_1724 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1805 = _T_1726 & _T_1164; // @[Mux.scala 27:72] + wire [5:0] _T_1806 = _T_1731 ? _T_1173 : 6'h0; // @[Mux.scala 27:72] + wire _T_1807 = _T_1740 & _T_1176; // @[Mux.scala 27:72] + wire _T_1808 = _T_1743 & _T_1179; // @[Mux.scala 27:72] + wire _T_1809 = _T_1746 & _T_1182; // @[Mux.scala 27:72] + wire _T_1810 = _T_1749 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1811 = _T_1751 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1812 = _T_1753 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1813 = _T_1755 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1814 = _T_1757 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1815 = _T_1591 | _T_1760; // @[Mux.scala 27:72] + wire _T_1816 = _T_1815 | _T_1761; // @[Mux.scala 27:72] + wire _T_1817 = _T_1816 | _T_1762; // @[Mux.scala 27:72] + wire _T_1818 = _T_1817 | _T_1763; // @[Mux.scala 27:72] + wire _T_1819 = _T_1818 | _T_1764; // @[Mux.scala 27:72] + wire _T_1820 = _T_1819 | _T_1765; // @[Mux.scala 27:72] + wire _T_1821 = _T_1820 | _T_1766; // @[Mux.scala 27:72] + wire _T_1822 = _T_1821 | _T_1767; // @[Mux.scala 27:72] + wire _T_1823 = _T_1822 | _T_1768; // @[Mux.scala 27:72] + wire _T_1824 = _T_1823 | _T_1769; // @[Mux.scala 27:72] + wire _T_1825 = _T_1824 | _T_1770; // @[Mux.scala 27:72] + wire _T_1826 = _T_1825 | _T_1771; // @[Mux.scala 27:72] + wire _T_1827 = _T_1826 | _T_1772; // @[Mux.scala 27:72] + wire _T_1828 = _T_1827 | _T_1773; // @[Mux.scala 27:72] + wire _T_1829 = _T_1828 | _T_1774; // @[Mux.scala 27:72] + wire _T_1830 = _T_1829 | _T_1775; // @[Mux.scala 27:72] + wire _T_1831 = _T_1830 | _T_1776; // @[Mux.scala 27:72] + wire _T_1832 = _T_1831 | _T_1777; // @[Mux.scala 27:72] + wire _T_1833 = _T_1832 | _T_1778; // @[Mux.scala 27:72] + wire _T_1834 = _T_1833 | _T_1779; // @[Mux.scala 27:72] + wire _T_1835 = _T_1834 | _T_1780; // @[Mux.scala 27:72] + wire _T_1836 = _T_1835 | _T_1781; // @[Mux.scala 27:72] + wire _T_1837 = _T_1836 | _T_1782; // @[Mux.scala 27:72] + wire _T_1838 = _T_1837 | _T_1783; // @[Mux.scala 27:72] + wire _T_1839 = _T_1838 | _T_1784; // @[Mux.scala 27:72] + wire _T_1840 = _T_1839 | _T_1785; // @[Mux.scala 27:72] + wire _T_1841 = _T_1840 | _T_1786; // @[Mux.scala 27:72] + wire _T_1842 = _T_1841 | _T_1767; // @[Mux.scala 27:72] + wire _T_1843 = _T_1842 | _T_1788; // @[Mux.scala 27:72] + wire _T_1844 = _T_1843 | _T_1789; // @[Mux.scala 27:72] + wire _T_1845 = _T_1844 | _T_1790; // @[Mux.scala 27:72] + wire _T_1846 = _T_1845 | _T_1791; // @[Mux.scala 27:72] + wire _T_1847 = _T_1846 | _T_1792; // @[Mux.scala 27:72] + wire _T_1848 = _T_1847 | _T_1793; // @[Mux.scala 27:72] + wire _T_1849 = _T_1848 | _T_1794; // @[Mux.scala 27:72] + wire _T_1850 = _T_1849 | _T_1795; // @[Mux.scala 27:72] + wire _T_1851 = _T_1850 | _T_1796; // @[Mux.scala 27:72] + wire _T_1852 = _T_1851 | _T_1797; // @[Mux.scala 27:72] + wire _T_1853 = _T_1852 | _T_1798; // @[Mux.scala 27:72] + wire _T_1854 = _T_1853 | _T_1799; // @[Mux.scala 27:72] + wire _T_1855 = _T_1854 | _T_1800; // @[Mux.scala 27:72] + wire _T_1856 = _T_1855 | _T_1801; // @[Mux.scala 27:72] + wire _T_1857 = _T_1856 | _T_1802; // @[Mux.scala 27:72] + wire _T_1858 = _T_1857 | _T_1803; // @[Mux.scala 27:72] + wire _T_1859 = _T_1858 | _T_1804; // @[Mux.scala 27:72] + wire _T_1860 = _T_1859 | _T_1805; // @[Mux.scala 27:72] + wire [5:0] _GEN_40 = {{5'd0}, _T_1860}; // @[Mux.scala 27:72] + wire [5:0] _T_1861 = _GEN_40 | _T_1806; // @[Mux.scala 27:72] + wire [5:0] _GEN_41 = {{5'd0}, _T_1807}; // @[Mux.scala 27:72] + wire [5:0] _T_1862 = _T_1861 | _GEN_41; // @[Mux.scala 27:72] + wire [5:0] _GEN_42 = {{5'd0}, _T_1808}; // @[Mux.scala 27:72] + wire [5:0] _T_1863 = _T_1862 | _GEN_42; // @[Mux.scala 27:72] + wire [5:0] _GEN_43 = {{5'd0}, _T_1809}; // @[Mux.scala 27:72] + wire [5:0] _T_1864 = _T_1863 | _GEN_43; // @[Mux.scala 27:72] + wire [5:0] _GEN_44 = {{5'd0}, _T_1810}; // @[Mux.scala 27:72] + wire [5:0] _T_1865 = _T_1864 | _GEN_44; // @[Mux.scala 27:72] + wire [5:0] _GEN_45 = {{5'd0}, _T_1811}; // @[Mux.scala 27:72] + wire [5:0] _T_1866 = _T_1865 | _GEN_45; // @[Mux.scala 27:72] + wire [5:0] _GEN_46 = {{5'd0}, _T_1812}; // @[Mux.scala 27:72] + wire [5:0] _T_1867 = _T_1866 | _GEN_46; // @[Mux.scala 27:72] + wire [5:0] _GEN_47 = {{5'd0}, _T_1813}; // @[Mux.scala 27:72] + wire [5:0] _T_1868 = _T_1867 | _GEN_47; // @[Mux.scala 27:72] + wire [5:0] _GEN_48 = {{5'd0}, _T_1814}; // @[Mux.scala 27:72] + wire [5:0] _T_1869 = _T_1868 | _GEN_48; // @[Mux.scala 27:72] + wire [5:0] _GEN_49 = {{5'd0}, _T_1590}; // @[el2_dec_tlu_ctl.scala 2351:45] + wire [5:0] _T_1871 = _GEN_49 & _T_1869; // @[el2_dec_tlu_ctl.scala 2351:45] + wire _T_1873 = ~mcountinhibit[6]; // @[el2_dec_tlu_ctl.scala 2351:25] + reg [9:0] mhpme6; // @[Reg.scala 27:20] + wire _T_1874 = mhpme6 == 10'h1; // @[el2_dec_tlu_ctl.scala 2352:21] + wire _T_1876 = mhpme6 == 10'h2; // @[el2_dec_tlu_ctl.scala 2353:21] + wire _T_1878 = mhpme6 == 10'h3; // @[el2_dec_tlu_ctl.scala 2354:21] + wire _T_1880 = mhpme6 == 10'h4; // @[el2_dec_tlu_ctl.scala 2355:21] + wire _T_1884 = mhpme6 == 10'h5; // @[el2_dec_tlu_ctl.scala 2356:21] + wire _T_1890 = mhpme6 == 10'h6; // @[el2_dec_tlu_ctl.scala 2357:21] + wire _T_1895 = mhpme6 == 10'h7; // @[el2_dec_tlu_ctl.scala 2358:21] + wire _T_1897 = mhpme6 == 10'h8; // @[el2_dec_tlu_ctl.scala 2359:21] + wire _T_1899 = mhpme6 == 10'h1e; // @[el2_dec_tlu_ctl.scala 2360:21] + wire _T_1901 = mhpme6 == 10'h9; // @[el2_dec_tlu_ctl.scala 2361:21] + wire _T_1904 = mhpme6 == 10'ha; // @[el2_dec_tlu_ctl.scala 2362:21] + wire _T_1907 = mhpme6 == 10'hb; // @[el2_dec_tlu_ctl.scala 2363:21] + wire _T_1910 = mhpme6 == 10'hc; // @[el2_dec_tlu_ctl.scala 2364:21] + wire _T_1913 = mhpme6 == 10'hd; // @[el2_dec_tlu_ctl.scala 2365:21] + wire _T_1916 = mhpme6 == 10'he; // @[el2_dec_tlu_ctl.scala 2366:21] + wire _T_1917 = io_dec_tlu_packet_r_pmu_lsu_misaligned >> _T_1916; // @[el2_dec_tlu_ctl.scala 2366:7] + wire _T_1919 = _T_1060 & _T_1917; // @[el2_dec_tlu_ctl.scala 2365:89] + wire _T_1921 = _T_1919 & _T_1063; // @[el2_dec_tlu_ctl.scala 2366:45] + wire _T_1923 = _T_1921 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec_tlu_ctl.scala 2366:77] + wire _T_1924 = mhpme6 == 10'hf; // @[el2_dec_tlu_ctl.scala 2368:21] + wire _T_1927 = mhpme6 == 10'h10; // @[el2_dec_tlu_ctl.scala 2369:21] + wire _T_1930 = mhpme6 == 10'h12; // @[el2_dec_tlu_ctl.scala 2370:21] + wire _T_1933 = mhpme6 == 10'h11; // @[el2_dec_tlu_ctl.scala 2371:21] + wire _T_1936 = mhpme6 == 10'h13; // @[el2_dec_tlu_ctl.scala 2372:21] + wire _T_1939 = mhpme6 == 10'h14; // @[el2_dec_tlu_ctl.scala 2373:21] + wire _T_1942 = mhpme6 == 10'h15; // @[el2_dec_tlu_ctl.scala 2374:21] + wire _T_1945 = mhpme6 == 10'h16; // @[el2_dec_tlu_ctl.scala 2375:21] + wire _T_1948 = mhpme6 == 10'h17; // @[el2_dec_tlu_ctl.scala 2376:21] + wire _T_1951 = mhpme6 == 10'h18; // @[el2_dec_tlu_ctl.scala 2377:21] + wire _T_1956 = mhpme6 == 10'h19; // @[el2_dec_tlu_ctl.scala 2378:21] + wire _T_1959 = mhpme6 == 10'h1a; // @[el2_dec_tlu_ctl.scala 2379:21] + wire _T_1962 = mhpme6 == 10'h1b; // @[el2_dec_tlu_ctl.scala 2380:21] + wire _T_1965 = mhpme6 == 10'h1c; // @[el2_dec_tlu_ctl.scala 2381:21] + wire _T_1969 = mhpme6 == 10'h1f; // @[el2_dec_tlu_ctl.scala 2383:21] + wire _T_1971 = mhpme6 == 10'h20; // @[el2_dec_tlu_ctl.scala 2384:21] + wire _T_1973 = mhpme6 == 10'h22; // @[el2_dec_tlu_ctl.scala 2385:21] + wire _T_1975 = mhpme6 == 10'h23; // @[el2_dec_tlu_ctl.scala 2386:21] + wire _T_1977 = mhpme6 == 10'h24; // @[el2_dec_tlu_ctl.scala 2387:21] + wire _T_1979 = mhpme6 == 10'h25; // @[el2_dec_tlu_ctl.scala 2388:21] + wire _T_1983 = mhpme6 == 10'h26; // @[el2_dec_tlu_ctl.scala 2389:21] + wire _T_1987 = mhpme6 == 10'h27; // @[el2_dec_tlu_ctl.scala 2390:21] + wire _T_1989 = mhpme6 == 10'h28; // @[el2_dec_tlu_ctl.scala 2391:21] + wire _T_1991 = mhpme6 == 10'h29; // @[el2_dec_tlu_ctl.scala 2392:21] + wire _T_1995 = mhpme6 == 10'h2a; // @[el2_dec_tlu_ctl.scala 2393:21] + wire _T_1997 = mhpme6 == 10'h2b; // @[el2_dec_tlu_ctl.scala 2394:21] + wire _T_1999 = mhpme6 == 10'h2c; // @[el2_dec_tlu_ctl.scala 2395:21] + wire _T_2001 = mhpme6 == 10'h2d; // @[el2_dec_tlu_ctl.scala 2396:21] + wire _T_2003 = mhpme6 == 10'h2e; // @[el2_dec_tlu_ctl.scala 2397:21] + wire _T_2005 = mhpme6 == 10'h2f; // @[el2_dec_tlu_ctl.scala 2398:21] + wire _T_2007 = mhpme6 == 10'h30; // @[el2_dec_tlu_ctl.scala 2399:21] + wire _T_2009 = mhpme6 == 10'h31; // @[el2_dec_tlu_ctl.scala 2400:21] + wire _T_2014 = mhpme6 == 10'h32; // @[el2_dec_tlu_ctl.scala 2401:21] + wire _T_2023 = mhpme6 == 10'h36; // @[el2_dec_tlu_ctl.scala 2402:21] + wire _T_2026 = mhpme6 == 10'h37; // @[el2_dec_tlu_ctl.scala 2403:21] + wire _T_2029 = mhpme6 == 10'h38; // @[el2_dec_tlu_ctl.scala 2404:21] + wire _T_2032 = mhpme6 == 10'h200; // @[el2_dec_tlu_ctl.scala 2406:21] + wire _T_2034 = mhpme6 == 10'h201; // @[el2_dec_tlu_ctl.scala 2407:21] + wire _T_2036 = mhpme6 == 10'h202; // @[el2_dec_tlu_ctl.scala 2408:21] + wire _T_2038 = mhpme6 == 10'h203; // @[el2_dec_tlu_ctl.scala 2409:21] + wire _T_2040 = mhpme6 == 10'h204; // @[el2_dec_tlu_ctl.scala 2410:21] + wire _T_2043 = _T_1876 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_2044 = _T_1878 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_2045 = _T_1880 & _T_1034; // @[Mux.scala 27:72] + wire _T_2046 = _T_1884 & _T_1040; // @[Mux.scala 27:72] + wire _T_2047 = _T_1890 & _T_1045; // @[Mux.scala 27:72] + wire _T_2048 = _T_1895 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_2049 = _T_1897 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_2050 = _T_1899 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_2051 = _T_1901 & _T_1054; // @[Mux.scala 27:72] + wire _T_2052 = _T_1904 & _T_1057; // @[Mux.scala 27:72] + wire _T_2053 = _T_1907 & _T_1060; // @[Mux.scala 27:72] + wire _T_2054 = _T_1910 & _T_1063; // @[Mux.scala 27:72] + wire _T_2055 = _T_1913 & _T_1923; // @[Mux.scala 27:72] + wire _T_2056 = _T_1924 & _T_1077; // @[Mux.scala 27:72] + wire _T_2057 = _T_1927 & _T_1080; // @[Mux.scala 27:72] + wire _T_2058 = _T_1930 & _T_1083; // @[Mux.scala 27:72] + wire _T_2059 = _T_1933 & _T_1086; // @[Mux.scala 27:72] + wire _T_2060 = _T_1936 & _T_1089; // @[Mux.scala 27:72] + wire _T_2061 = _T_1939 & _T_1092; // @[Mux.scala 27:72] + wire _T_2062 = _T_1942 & _T_1095; // @[Mux.scala 27:72] + wire _T_2063 = _T_1945 & _T_1098; // @[Mux.scala 27:72] + wire _T_2064 = _T_1948 & _T_1101; // @[Mux.scala 27:72] + wire _T_2065 = _T_1951 & _T_1106; // @[Mux.scala 27:72] + wire _T_2066 = _T_1956 & _T_1109; // @[Mux.scala 27:72] + wire _T_2067 = _T_1959 & _T_1112; // @[Mux.scala 27:72] + wire _T_2068 = _T_1962 & _T_1115; // @[Mux.scala 27:72] + wire _T_2069 = _T_1965 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_2071 = _T_1969 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_2072 = _T_1971 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_2073 = _T_1973 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_2074 = _T_1975 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_2075 = _T_1977 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_2076 = _T_1979 & _T_1133; // @[Mux.scala 27:72] + wire _T_2077 = _T_1983 & _T_1137; // @[Mux.scala 27:72] + wire _T_2078 = _T_1987 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_2079 = _T_1989 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_2080 = _T_1991 & _T_1145; // @[Mux.scala 27:72] + wire _T_2081 = _T_1995 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_2082 = _T_1997 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_2083 = _T_1999 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_2084 = _T_2001 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_2085 = _T_2003 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_2086 = _T_2005 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_2087 = _T_2007 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_2088 = _T_2009 & _T_1164; // @[Mux.scala 27:72] + wire [5:0] _T_2089 = _T_2014 ? _T_1173 : 6'h0; // @[Mux.scala 27:72] + wire _T_2090 = _T_2023 & _T_1176; // @[Mux.scala 27:72] + wire _T_2091 = _T_2026 & _T_1179; // @[Mux.scala 27:72] + wire _T_2092 = _T_2029 & _T_1182; // @[Mux.scala 27:72] + wire _T_2093 = _T_2032 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_2094 = _T_2034 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_2095 = _T_2036 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_2096 = _T_2038 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_2097 = _T_2040 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_2098 = _T_1874 | _T_2043; // @[Mux.scala 27:72] + wire _T_2099 = _T_2098 | _T_2044; // @[Mux.scala 27:72] + wire _T_2100 = _T_2099 | _T_2045; // @[Mux.scala 27:72] + wire _T_2101 = _T_2100 | _T_2046; // @[Mux.scala 27:72] + wire _T_2102 = _T_2101 | _T_2047; // @[Mux.scala 27:72] + wire _T_2103 = _T_2102 | _T_2048; // @[Mux.scala 27:72] + wire _T_2104 = _T_2103 | _T_2049; // @[Mux.scala 27:72] + wire _T_2105 = _T_2104 | _T_2050; // @[Mux.scala 27:72] + wire _T_2106 = _T_2105 | _T_2051; // @[Mux.scala 27:72] + wire _T_2107 = _T_2106 | _T_2052; // @[Mux.scala 27:72] + wire _T_2108 = _T_2107 | _T_2053; // @[Mux.scala 27:72] + wire _T_2109 = _T_2108 | _T_2054; // @[Mux.scala 27:72] + wire _T_2110 = _T_2109 | _T_2055; // @[Mux.scala 27:72] + wire _T_2111 = _T_2110 | _T_2056; // @[Mux.scala 27:72] + wire _T_2112 = _T_2111 | _T_2057; // @[Mux.scala 27:72] + wire _T_2113 = _T_2112 | _T_2058; // @[Mux.scala 27:72] + wire _T_2114 = _T_2113 | _T_2059; // @[Mux.scala 27:72] + wire _T_2115 = _T_2114 | _T_2060; // @[Mux.scala 27:72] + wire _T_2116 = _T_2115 | _T_2061; // @[Mux.scala 27:72] + wire _T_2117 = _T_2116 | _T_2062; // @[Mux.scala 27:72] + wire _T_2118 = _T_2117 | _T_2063; // @[Mux.scala 27:72] + wire _T_2119 = _T_2118 | _T_2064; // @[Mux.scala 27:72] + wire _T_2120 = _T_2119 | _T_2065; // @[Mux.scala 27:72] + wire _T_2121 = _T_2120 | _T_2066; // @[Mux.scala 27:72] + wire _T_2122 = _T_2121 | _T_2067; // @[Mux.scala 27:72] + wire _T_2123 = _T_2122 | _T_2068; // @[Mux.scala 27:72] + wire _T_2124 = _T_2123 | _T_2069; // @[Mux.scala 27:72] + wire _T_2125 = _T_2124 | _T_2050; // @[Mux.scala 27:72] + wire _T_2126 = _T_2125 | _T_2071; // @[Mux.scala 27:72] + wire _T_2127 = _T_2126 | _T_2072; // @[Mux.scala 27:72] + wire _T_2128 = _T_2127 | _T_2073; // @[Mux.scala 27:72] + wire _T_2129 = _T_2128 | _T_2074; // @[Mux.scala 27:72] + wire _T_2130 = _T_2129 | _T_2075; // @[Mux.scala 27:72] + wire _T_2131 = _T_2130 | _T_2076; // @[Mux.scala 27:72] + wire _T_2132 = _T_2131 | _T_2077; // @[Mux.scala 27:72] + wire _T_2133 = _T_2132 | _T_2078; // @[Mux.scala 27:72] + wire _T_2134 = _T_2133 | _T_2079; // @[Mux.scala 27:72] + wire _T_2135 = _T_2134 | _T_2080; // @[Mux.scala 27:72] + wire _T_2136 = _T_2135 | _T_2081; // @[Mux.scala 27:72] + wire _T_2137 = _T_2136 | _T_2082; // @[Mux.scala 27:72] + wire _T_2138 = _T_2137 | _T_2083; // @[Mux.scala 27:72] + wire _T_2139 = _T_2138 | _T_2084; // @[Mux.scala 27:72] + wire _T_2140 = _T_2139 | _T_2085; // @[Mux.scala 27:72] + wire _T_2141 = _T_2140 | _T_2086; // @[Mux.scala 27:72] + wire _T_2142 = _T_2141 | _T_2087; // @[Mux.scala 27:72] + wire _T_2143 = _T_2142 | _T_2088; // @[Mux.scala 27:72] + wire [5:0] _GEN_51 = {{5'd0}, _T_2143}; // @[Mux.scala 27:72] + wire [5:0] _T_2144 = _GEN_51 | _T_2089; // @[Mux.scala 27:72] + wire [5:0] _GEN_52 = {{5'd0}, _T_2090}; // @[Mux.scala 27:72] + wire [5:0] _T_2145 = _T_2144 | _GEN_52; // @[Mux.scala 27:72] + wire [5:0] _GEN_53 = {{5'd0}, _T_2091}; // @[Mux.scala 27:72] + wire [5:0] _T_2146 = _T_2145 | _GEN_53; // @[Mux.scala 27:72] + wire [5:0] _GEN_54 = {{5'd0}, _T_2092}; // @[Mux.scala 27:72] + wire [5:0] _T_2147 = _T_2146 | _GEN_54; // @[Mux.scala 27:72] + wire [5:0] _GEN_55 = {{5'd0}, _T_2093}; // @[Mux.scala 27:72] + wire [5:0] _T_2148 = _T_2147 | _GEN_55; // @[Mux.scala 27:72] + wire [5:0] _GEN_56 = {{5'd0}, _T_2094}; // @[Mux.scala 27:72] + wire [5:0] _T_2149 = _T_2148 | _GEN_56; // @[Mux.scala 27:72] + wire [5:0] _GEN_57 = {{5'd0}, _T_2095}; // @[Mux.scala 27:72] + wire [5:0] _T_2150 = _T_2149 | _GEN_57; // @[Mux.scala 27:72] + wire [5:0] _GEN_58 = {{5'd0}, _T_2096}; // @[Mux.scala 27:72] + wire [5:0] _T_2151 = _T_2150 | _GEN_58; // @[Mux.scala 27:72] + wire [5:0] _GEN_59 = {{5'd0}, _T_2097}; // @[Mux.scala 27:72] + wire [5:0] _T_2152 = _T_2151 | _GEN_59; // @[Mux.scala 27:72] + wire [5:0] _GEN_60 = {{5'd0}, _T_1873}; // @[el2_dec_tlu_ctl.scala 2351:45] + wire [5:0] _T_2154 = _GEN_60 & _T_2152; // @[el2_dec_tlu_ctl.scala 2351:45] + reg mhpmc_inc_r_d1_0; // @[el2_dec_tlu_ctl.scala 2413:54] + reg mhpmc_inc_r_d1_1; // @[el2_dec_tlu_ctl.scala 2414:54] + reg mhpmc_inc_r_d1_2; // @[el2_dec_tlu_ctl.scala 2415:54] + reg mhpmc_inc_r_d1_3; // @[el2_dec_tlu_ctl.scala 2416:54] + reg perfcnt_halted_d1; // @[el2_dec_tlu_ctl.scala 2417:57] + wire perfcnt_halted = _T_83 | io_dec_tlu_pmu_fw_halted; // @[el2_dec_tlu_ctl.scala 2420:68] + wire _T_2164 = ~_T_83; // @[el2_dec_tlu_ctl.scala 2421:38] + wire [3:0] _T_2166 = _T_2164 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_2173 = {mhpme6[9],mhpme5[9],mhpme4[9],mhpme3[9]}; // @[Cat.scala 29:58] + wire [3:0] perfcnt_during_sleep = _T_2166 & _T_2173; // @[el2_dec_tlu_ctl.scala 2421:87] + wire _T_2175 = ~perfcnt_during_sleep[0]; // @[el2_dec_tlu_ctl.scala 2423:68] + wire _T_2176 = perfcnt_halted_d1 & _T_2175; // @[el2_dec_tlu_ctl.scala 2423:66] + wire _T_2177 = ~_T_2176; // @[el2_dec_tlu_ctl.scala 2423:46] + wire _T_2180 = ~perfcnt_during_sleep[1]; // @[el2_dec_tlu_ctl.scala 2424:68] + wire _T_2181 = perfcnt_halted_d1 & _T_2180; // @[el2_dec_tlu_ctl.scala 2424:66] + wire _T_2182 = ~_T_2181; // @[el2_dec_tlu_ctl.scala 2424:46] + wire _T_2185 = ~perfcnt_during_sleep[2]; // @[el2_dec_tlu_ctl.scala 2425:68] + wire _T_2186 = perfcnt_halted_d1 & _T_2185; // @[el2_dec_tlu_ctl.scala 2425:66] + wire _T_2187 = ~_T_2186; // @[el2_dec_tlu_ctl.scala 2425:46] + wire _T_2190 = ~perfcnt_during_sleep[3]; // @[el2_dec_tlu_ctl.scala 2426:68] + wire _T_2191 = perfcnt_halted_d1 & _T_2190; // @[el2_dec_tlu_ctl.scala 2426:66] + wire _T_2192 = ~_T_2191; // @[el2_dec_tlu_ctl.scala 2426:46] + wire _T_2195 = io_dec_csr_wraddr_r == 12'hb03; // @[el2_dec_tlu_ctl.scala 2432:73] + wire mhpmc3_wr_en0 = io_dec_csr_wen_r_mod & _T_2195; // @[el2_dec_tlu_ctl.scala 2432:44] + wire _T_2196 = ~perfcnt_halted; // @[el2_dec_tlu_ctl.scala 2433:24] + wire _T_2198 = _T_2196 | perfcnt_during_sleep[0]; // @[el2_dec_tlu_ctl.scala 2433:40] + wire mhpmc_inc_r_0 = _T_1305[0]; // @[el2_dec_tlu_ctl.scala 2346:25 el2_dec_tlu_ctl.scala 2351:20] + wire _T_2199 = |mhpmc_inc_r_0; // @[el2_dec_tlu_ctl.scala 2433:87] + wire mhpmc3_wr_en1 = _T_2198 & _T_2199; // @[el2_dec_tlu_ctl.scala 2433:67] + reg [31:0] mhpmc3h; // @[el2_lib.scala 491:16] + reg [31:0] mhpmc3; // @[el2_lib.scala 491:16] + wire [63:0] _T_2202 = {mhpmc3h,mhpmc3}; // @[Cat.scala 29:58] + wire [63:0] _T_2203 = {63'h0,mhpmc_inc_r_0}; // @[Cat.scala 29:58] + wire [63:0] mhpmc3_incr = _T_2202 + _T_2203; // @[el2_dec_tlu_ctl.scala 2437:50] + wire _T_2211 = io_dec_csr_wraddr_r == 12'hb83; // @[el2_dec_tlu_ctl.scala 2442:74] + wire mhpmc3h_wr_en0 = io_dec_csr_wen_r_mod & _T_2211; // @[el2_dec_tlu_ctl.scala 2442:45] + wire _T_2217 = io_dec_csr_wraddr_r == 12'hb04; // @[el2_dec_tlu_ctl.scala 2451:73] + wire mhpmc4_wr_en0 = io_dec_csr_wen_r_mod & _T_2217; // @[el2_dec_tlu_ctl.scala 2451:44] + wire _T_2220 = _T_2196 | perfcnt_during_sleep[1]; // @[el2_dec_tlu_ctl.scala 2452:40] + wire mhpmc_inc_r_1 = _T_1588[0]; // @[el2_dec_tlu_ctl.scala 2346:25 el2_dec_tlu_ctl.scala 2351:20] + wire _T_2221 = |mhpmc_inc_r_1; // @[el2_dec_tlu_ctl.scala 2452:87] + wire mhpmc4_wr_en1 = _T_2220 & _T_2221; // @[el2_dec_tlu_ctl.scala 2452:67] + reg [31:0] mhpmc4h; // @[el2_lib.scala 491:16] + reg [31:0] mhpmc4; // @[el2_lib.scala 491:16] + wire [63:0] _T_2224 = {mhpmc4h,mhpmc4}; // @[Cat.scala 29:58] + wire [63:0] _T_2225 = {63'h0,mhpmc_inc_r_1}; // @[Cat.scala 29:58] + wire [63:0] mhpmc4_incr = _T_2224 + _T_2225; // @[el2_dec_tlu_ctl.scala 2457:50] + wire _T_2234 = io_dec_csr_wraddr_r == 12'hb84; // @[el2_dec_tlu_ctl.scala 2461:74] + wire mhpmc4h_wr_en0 = io_dec_csr_wen_r_mod & _T_2234; // @[el2_dec_tlu_ctl.scala 2461:45] + wire _T_2240 = io_dec_csr_wraddr_r == 12'hb05; // @[el2_dec_tlu_ctl.scala 2470:73] + wire mhpmc5_wr_en0 = io_dec_csr_wen_r_mod & _T_2240; // @[el2_dec_tlu_ctl.scala 2470:44] + wire _T_2243 = _T_2196 | perfcnt_during_sleep[2]; // @[el2_dec_tlu_ctl.scala 2471:40] + wire mhpmc_inc_r_2 = _T_1871[0]; // @[el2_dec_tlu_ctl.scala 2346:25 el2_dec_tlu_ctl.scala 2351:20] + wire _T_2244 = |mhpmc_inc_r_2; // @[el2_dec_tlu_ctl.scala 2471:87] + wire mhpmc5_wr_en1 = _T_2243 & _T_2244; // @[el2_dec_tlu_ctl.scala 2471:67] + reg [31:0] mhpmc5h; // @[el2_lib.scala 491:16] + reg [31:0] mhpmc5; // @[el2_lib.scala 491:16] + wire [63:0] _T_2247 = {mhpmc5h,mhpmc5}; // @[Cat.scala 29:58] + wire [63:0] _T_2248 = {63'h0,mhpmc_inc_r_2}; // @[Cat.scala 29:58] + wire [63:0] mhpmc5_incr = _T_2247 + _T_2248; // @[el2_dec_tlu_ctl.scala 2474:50] + wire _T_2256 = io_dec_csr_wraddr_r == 12'hb85; // @[el2_dec_tlu_ctl.scala 2479:74] + wire mhpmc5h_wr_en0 = io_dec_csr_wen_r_mod & _T_2256; // @[el2_dec_tlu_ctl.scala 2479:45] + wire _T_2262 = io_dec_csr_wraddr_r == 12'hb06; // @[el2_dec_tlu_ctl.scala 2488:73] + wire mhpmc6_wr_en0 = io_dec_csr_wen_r_mod & _T_2262; // @[el2_dec_tlu_ctl.scala 2488:44] + wire _T_2265 = _T_2196 | perfcnt_during_sleep[3]; // @[el2_dec_tlu_ctl.scala 2489:40] + wire mhpmc_inc_r_3 = _T_2154[0]; // @[el2_dec_tlu_ctl.scala 2346:25 el2_dec_tlu_ctl.scala 2351:20] + wire _T_2266 = |mhpmc_inc_r_3; // @[el2_dec_tlu_ctl.scala 2489:87] + wire mhpmc6_wr_en1 = _T_2265 & _T_2266; // @[el2_dec_tlu_ctl.scala 2489:67] + reg [31:0] mhpmc6h; // @[el2_lib.scala 491:16] + reg [31:0] mhpmc6; // @[el2_lib.scala 491:16] + wire [63:0] _T_2269 = {mhpmc6h,mhpmc6}; // @[Cat.scala 29:58] + wire [63:0] _T_2270 = {63'h0,mhpmc_inc_r_3}; // @[Cat.scala 29:58] + wire [63:0] mhpmc6_incr = _T_2269 + _T_2270; // @[el2_dec_tlu_ctl.scala 2492:50] + wire _T_2278 = io_dec_csr_wraddr_r == 12'hb86; // @[el2_dec_tlu_ctl.scala 2497:74] + wire mhpmc6h_wr_en0 = io_dec_csr_wen_r_mod & _T_2278; // @[el2_dec_tlu_ctl.scala 2497:45] + wire _T_2284 = io_dec_csr_wrdata_r[9:0] > 10'h204; // @[el2_dec_tlu_ctl.scala 2508:57] + wire _T_2286 = |io_dec_csr_wrdata_r[31:10]; // @[el2_dec_tlu_ctl.scala 2508:103] + wire _T_2287 = _T_2284 | _T_2286; // @[el2_dec_tlu_ctl.scala 2508:72] + wire _T_2290 = io_dec_csr_wraddr_r == 12'h323; // @[el2_dec_tlu_ctl.scala 2510:71] + wire wr_mhpme3_r = io_dec_csr_wen_r_mod & _T_2290; // @[el2_dec_tlu_ctl.scala 2510:42] + wire _T_2294 = io_dec_csr_wraddr_r == 12'h324; // @[el2_dec_tlu_ctl.scala 2517:71] + wire wr_mhpme4_r = io_dec_csr_wen_r_mod & _T_2294; // @[el2_dec_tlu_ctl.scala 2517:42] + wire _T_2298 = io_dec_csr_wraddr_r == 12'h325; // @[el2_dec_tlu_ctl.scala 2524:71] + wire wr_mhpme5_r = io_dec_csr_wen_r_mod & _T_2298; // @[el2_dec_tlu_ctl.scala 2524:42] + wire _T_2302 = io_dec_csr_wraddr_r == 12'h326; // @[el2_dec_tlu_ctl.scala 2531:71] + wire wr_mhpme6_r = io_dec_csr_wen_r_mod & _T_2302; // @[el2_dec_tlu_ctl.scala 2531:42] + wire _T_2306 = io_dec_csr_wraddr_r == 12'h320; // @[el2_dec_tlu_ctl.scala 2548:78] + wire wr_mcountinhibit_r = io_dec_csr_wen_r_mod & _T_2306; // @[el2_dec_tlu_ctl.scala 2548:49] + wire _T_2318 = io_i0_valid_wb | io_exc_or_int_valid_r_d1; // @[el2_dec_tlu_ctl.scala 2563:52] + wire _T_2319 = _T_2318 | io_interrupt_valid_r_d1; // @[el2_dec_tlu_ctl.scala 2563:79] + wire _T_2320 = _T_2319 | io_dec_tlu_i0_valid_wb1; // @[el2_dec_tlu_ctl.scala 2563:105] + wire _T_2321 = _T_2320 | io_dec_tlu_i0_exc_valid_wb1; // @[el2_dec_tlu_ctl.scala 2563:131] + wire _T_2322 = _T_2321 | io_dec_tlu_int_valid_wb1; // @[el2_dec_tlu_ctl.scala 2564:33] + reg _T_2325; // @[el2_dec_tlu_ctl.scala 2566:63] + wire _T_2326 = io_i0_exception_valid_r_d1 | io_lsu_i0_exc_r_d1; // @[el2_dec_tlu_ctl.scala 2567:92] + wire _T_2327 = ~io_trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 2567:138] + wire _T_2328 = io_trigger_hit_r_d1 & _T_2327; // @[el2_dec_tlu_ctl.scala 2567:136] + reg _T_2330; // @[el2_dec_tlu_ctl.scala 2567:63] + reg [4:0] _T_2331; // @[el2_dec_tlu_ctl.scala 2568:63] + reg _T_2332; // @[el2_dec_tlu_ctl.scala 2569:63] + wire [31:0] _T_2338 = {io_core_id,4'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2347 = {21'h3,3'h0,io_mstatus[1],3'h0,io_mstatus[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2352 = {io_mtvec[30:1],1'h0,io_mtvec[0]}; // @[Cat.scala 29:58] + wire [31:0] _T_2365 = {1'h0,io_mip[5:3],16'h0,io_mip[2],3'h0,io_mip[1],3'h0,io_mip[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2378 = {1'h0,mie[5:3],16'h0,mie[2],3'h0,mie[1],3'h0,mie[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2390 = {io_mepc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2395 = {28'h0,mscause}; // @[Cat.scala 29:58] + wire [31:0] _T_2403 = {meivt,10'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2409 = {28'h0,meicurpl}; // @[Cat.scala 29:58] + wire [31:0] _T_2412 = {28'h0,meicidpl}; // @[Cat.scala 29:58] + wire [31:0] _T_2415 = {28'h0,meipt}; // @[Cat.scala 29:58] + wire [31:0] _T_2418 = {23'h0,mcgc}; // @[Cat.scala 29:58] + wire [31:0] _T_2421 = {13'h0,_T_348,4'h0,mfdc_int[11:7],_T_351,mfdc_int[5:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_2425 = {16'h4000,io_dcsr[15:2],2'h3}; // @[Cat.scala 29:58] + wire [31:0] _T_2427 = {io_dpc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2443 = {7'h0,dicawics[16],2'h0,dicawics[15:14],3'h0,dicawics[13:0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2446 = {30'h0,mtsel}; // @[Cat.scala 29:58] + wire [31:0] _T_2475 = {26'h0,mfdht}; // @[Cat.scala 29:58] + wire [31:0] _T_2478 = {30'h0,mfdhs}; // @[Cat.scala 29:58] + wire [31:0] _T_2481 = {22'h0,mhpme3}; // @[Cat.scala 29:58] + wire [31:0] _T_2484 = {22'h0,mhpme4}; // @[Cat.scala 29:58] + wire [31:0] _T_2487 = {22'h0,mhpme5}; // @[Cat.scala 29:58] + wire [31:0] _T_2490 = {22'h0,mhpme6}; // @[Cat.scala 29:58] + wire [31:0] _T_2493 = {25'h0,temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] + wire [31:0] _T_2496 = {30'h0,mpmc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2499 = io_csr_pkt_csr_misa ? 32'h40001104 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2500 = io_csr_pkt_csr_mvendorid ? 32'h45 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2501 = io_csr_pkt_csr_marchid ? 32'h10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2502 = io_csr_pkt_csr_mimpid ? 32'h2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2503 = io_csr_pkt_csr_mhartid ? _T_2338 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2504 = io_csr_pkt_csr_mstatus ? _T_2347 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2505 = io_csr_pkt_csr_mtvec ? _T_2352 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2506 = io_csr_pkt_csr_mip ? _T_2365 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2507 = io_csr_pkt_csr_mie ? _T_2378 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2508 = io_csr_pkt_csr_mcyclel ? mcyclel : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2509 = io_csr_pkt_csr_mcycleh ? mcycleh_inc : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2510 = io_csr_pkt_csr_minstretl ? minstretl : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2511 = io_csr_pkt_csr_minstreth ? minstreth_inc : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2512 = io_csr_pkt_csr_mscratch ? mscratch : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2513 = io_csr_pkt_csr_mepc ? _T_2390 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2514 = io_csr_pkt_csr_mcause ? mcause : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2515 = io_csr_pkt_csr_mscause ? _T_2395 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2516 = io_csr_pkt_csr_mtval ? mtval : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2517 = io_csr_pkt_csr_mrac ? mrac : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2518 = io_csr_pkt_csr_mdseac ? mdseac : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2519 = io_csr_pkt_csr_meivt ? _T_2403 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2520 = io_csr_pkt_csr_meihap ? _T_615 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2521 = io_csr_pkt_csr_meicurpl ? _T_2409 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2522 = io_csr_pkt_csr_meicidpl ? _T_2412 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2523 = io_csr_pkt_csr_meipt ? _T_2415 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2524 = io_csr_pkt_csr_mcgc ? _T_2418 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2525 = io_csr_pkt_csr_mfdc ? _T_2421 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2526 = io_csr_pkt_csr_dcsr ? _T_2425 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2527 = io_csr_pkt_csr_dpc ? _T_2427 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2528 = io_csr_pkt_csr_dicad0 ? dicad0[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2529 = io_csr_pkt_csr_dicad0h ? dicad0h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2530 = io_csr_pkt_csr_dicad1 ? dicad1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2531 = io_csr_pkt_csr_dicawics ? _T_2443 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2532 = io_csr_pkt_csr_mtsel ? _T_2446 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2533 = io_csr_pkt_csr_mtdata1 ? mtdata1_tsel_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2534 = io_csr_pkt_csr_mtdata2 ? mtdata2_tsel_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2535 = io_csr_pkt_csr_micect ? micect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2536 = io_csr_pkt_csr_miccmect ? miccmect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2537 = io_csr_pkt_csr_mdccmect ? mdccmect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2538 = io_csr_pkt_csr_mhpmc3 ? mhpmc3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2539 = io_csr_pkt_csr_mhpmc4 ? mhpmc4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2540 = io_csr_pkt_csr_mhpmc5 ? mhpmc5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2541 = io_csr_pkt_csr_mhpmc6 ? mhpmc6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2542 = io_csr_pkt_csr_mhpmc3h ? mhpmc3h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2543 = io_csr_pkt_csr_mhpmc4h ? mhpmc4h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2544 = io_csr_pkt_csr_mhpmc5h ? mhpmc5h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2545 = io_csr_pkt_csr_mhpmc6h ? mhpmc6h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2546 = io_csr_pkt_csr_mfdht ? _T_2475 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2547 = io_csr_pkt_csr_mfdhs ? _T_2478 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2548 = io_csr_pkt_csr_mhpme3 ? _T_2481 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2549 = io_csr_pkt_csr_mhpme4 ? _T_2484 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2550 = io_csr_pkt_csr_mhpme5 ? _T_2487 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2551 = io_csr_pkt_csr_mhpme6 ? _T_2490 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2552 = io_csr_pkt_csr_mcountinhibit ? _T_2493 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2553 = io_csr_pkt_csr_mpmc ? _T_2496 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2554 = io_dec_timer_read_d ? io_dec_timer_rddata_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2555 = _T_2499 | _T_2500; // @[Mux.scala 27:72] + wire [31:0] _T_2556 = _T_2555 | _T_2501; // @[Mux.scala 27:72] + wire [31:0] _T_2557 = _T_2556 | _T_2502; // @[Mux.scala 27:72] + wire [31:0] _T_2558 = _T_2557 | _T_2503; // @[Mux.scala 27:72] + wire [31:0] _T_2559 = _T_2558 | _T_2504; // @[Mux.scala 27:72] + wire [31:0] _T_2560 = _T_2559 | _T_2505; // @[Mux.scala 27:72] + wire [31:0] _T_2561 = _T_2560 | _T_2506; // @[Mux.scala 27:72] + wire [31:0] _T_2562 = _T_2561 | _T_2507; // @[Mux.scala 27:72] + wire [31:0] _T_2563 = _T_2562 | _T_2508; // @[Mux.scala 27:72] + wire [31:0] _T_2564 = _T_2563 | _T_2509; // @[Mux.scala 27:72] + wire [31:0] _T_2565 = _T_2564 | _T_2510; // @[Mux.scala 27:72] + wire [31:0] _T_2566 = _T_2565 | _T_2511; // @[Mux.scala 27:72] + wire [31:0] _T_2567 = _T_2566 | _T_2512; // @[Mux.scala 27:72] + wire [31:0] _T_2568 = _T_2567 | _T_2513; // @[Mux.scala 27:72] + wire [31:0] _T_2569 = _T_2568 | _T_2514; // @[Mux.scala 27:72] + wire [31:0] _T_2570 = _T_2569 | _T_2515; // @[Mux.scala 27:72] + wire [31:0] _T_2571 = _T_2570 | _T_2516; // @[Mux.scala 27:72] + wire [31:0] _T_2572 = _T_2571 | _T_2517; // @[Mux.scala 27:72] + wire [31:0] _T_2573 = _T_2572 | _T_2518; // @[Mux.scala 27:72] + wire [31:0] _T_2574 = _T_2573 | _T_2519; // @[Mux.scala 27:72] + wire [31:0] _T_2575 = _T_2574 | _T_2520; // @[Mux.scala 27:72] + wire [31:0] _T_2576 = _T_2575 | _T_2521; // @[Mux.scala 27:72] + wire [31:0] _T_2577 = _T_2576 | _T_2522; // @[Mux.scala 27:72] + wire [31:0] _T_2578 = _T_2577 | _T_2523; // @[Mux.scala 27:72] + wire [31:0] _T_2579 = _T_2578 | _T_2524; // @[Mux.scala 27:72] + wire [31:0] _T_2580 = _T_2579 | _T_2525; // @[Mux.scala 27:72] + wire [31:0] _T_2581 = _T_2580 | _T_2526; // @[Mux.scala 27:72] + wire [31:0] _T_2582 = _T_2581 | _T_2527; // @[Mux.scala 27:72] + wire [31:0] _T_2583 = _T_2582 | _T_2528; // @[Mux.scala 27:72] + wire [31:0] _T_2584 = _T_2583 | _T_2529; // @[Mux.scala 27:72] + wire [31:0] _T_2585 = _T_2584 | _T_2530; // @[Mux.scala 27:72] + wire [31:0] _T_2586 = _T_2585 | _T_2531; // @[Mux.scala 27:72] + wire [31:0] _T_2587 = _T_2586 | _T_2532; // @[Mux.scala 27:72] + wire [31:0] _T_2588 = _T_2587 | _T_2533; // @[Mux.scala 27:72] + wire [31:0] _T_2589 = _T_2588 | _T_2534; // @[Mux.scala 27:72] + wire [31:0] _T_2590 = _T_2589 | _T_2535; // @[Mux.scala 27:72] + wire [31:0] _T_2591 = _T_2590 | _T_2536; // @[Mux.scala 27:72] + wire [31:0] _T_2592 = _T_2591 | _T_2537; // @[Mux.scala 27:72] + wire [31:0] _T_2593 = _T_2592 | _T_2538; // @[Mux.scala 27:72] + wire [31:0] _T_2594 = _T_2593 | _T_2539; // @[Mux.scala 27:72] + wire [31:0] _T_2595 = _T_2594 | _T_2540; // @[Mux.scala 27:72] + wire [31:0] _T_2596 = _T_2595 | _T_2541; // @[Mux.scala 27:72] + wire [31:0] _T_2597 = _T_2596 | _T_2542; // @[Mux.scala 27:72] + wire [31:0] _T_2598 = _T_2597 | _T_2543; // @[Mux.scala 27:72] + wire [31:0] _T_2599 = _T_2598 | _T_2544; // @[Mux.scala 27:72] + wire [31:0] _T_2600 = _T_2599 | _T_2545; // @[Mux.scala 27:72] + wire [31:0] _T_2601 = _T_2600 | _T_2546; // @[Mux.scala 27:72] + wire [31:0] _T_2602 = _T_2601 | _T_2547; // @[Mux.scala 27:72] + wire [31:0] _T_2603 = _T_2602 | _T_2548; // @[Mux.scala 27:72] + wire [31:0] _T_2604 = _T_2603 | _T_2549; // @[Mux.scala 27:72] + wire [31:0] _T_2605 = _T_2604 | _T_2550; // @[Mux.scala 27:72] + wire [31:0] _T_2606 = _T_2605 | _T_2551; // @[Mux.scala 27:72] + wire [31:0] _T_2607 = _T_2606 | _T_2552; // @[Mux.scala 27:72] + wire [31:0] _T_2608 = _T_2607 | _T_2553; // @[Mux.scala 27:72] + rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + rvclkhdr rvclkhdr_12 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_12_io_l1clk), + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en), + .io_scan_mode(rvclkhdr_12_io_scan_mode) + ); + rvclkhdr rvclkhdr_13 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_13_io_l1clk), + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en), + .io_scan_mode(rvclkhdr_13_io_scan_mode) + ); + rvclkhdr rvclkhdr_14 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_14_io_l1clk), + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en), + .io_scan_mode(rvclkhdr_14_io_scan_mode) + ); + rvclkhdr rvclkhdr_15 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_15_io_l1clk), + .io_clk(rvclkhdr_15_io_clk), + .io_en(rvclkhdr_15_io_en), + .io_scan_mode(rvclkhdr_15_io_scan_mode) + ); + rvclkhdr rvclkhdr_16 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_16_io_l1clk), + .io_clk(rvclkhdr_16_io_clk), + .io_en(rvclkhdr_16_io_en), + .io_scan_mode(rvclkhdr_16_io_scan_mode) + ); + rvclkhdr rvclkhdr_17 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_17_io_l1clk), + .io_clk(rvclkhdr_17_io_clk), + .io_en(rvclkhdr_17_io_en), + .io_scan_mode(rvclkhdr_17_io_scan_mode) + ); + rvclkhdr rvclkhdr_18 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_18_io_l1clk), + .io_clk(rvclkhdr_18_io_clk), + .io_en(rvclkhdr_18_io_en), + .io_scan_mode(rvclkhdr_18_io_scan_mode) + ); + rvclkhdr rvclkhdr_19 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_19_io_l1clk), + .io_clk(rvclkhdr_19_io_clk), + .io_en(rvclkhdr_19_io_en), + .io_scan_mode(rvclkhdr_19_io_scan_mode) + ); + rvclkhdr rvclkhdr_20 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_20_io_l1clk), + .io_clk(rvclkhdr_20_io_clk), + .io_en(rvclkhdr_20_io_en), + .io_scan_mode(rvclkhdr_20_io_scan_mode) + ); + rvclkhdr rvclkhdr_21 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_21_io_l1clk), + .io_clk(rvclkhdr_21_io_clk), + .io_en(rvclkhdr_21_io_en), + .io_scan_mode(rvclkhdr_21_io_scan_mode) + ); + rvclkhdr rvclkhdr_22 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_22_io_l1clk), + .io_clk(rvclkhdr_22_io_clk), + .io_en(rvclkhdr_22_io_en), + .io_scan_mode(rvclkhdr_22_io_scan_mode) + ); + rvclkhdr rvclkhdr_23 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_23_io_l1clk), + .io_clk(rvclkhdr_23_io_clk), + .io_en(rvclkhdr_23_io_en), + .io_scan_mode(rvclkhdr_23_io_scan_mode) + ); + rvclkhdr rvclkhdr_24 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_24_io_l1clk), + .io_clk(rvclkhdr_24_io_clk), + .io_en(rvclkhdr_24_io_en), + .io_scan_mode(rvclkhdr_24_io_scan_mode) + ); + rvclkhdr rvclkhdr_25 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_25_io_l1clk), + .io_clk(rvclkhdr_25_io_clk), + .io_en(rvclkhdr_25_io_en), + .io_scan_mode(rvclkhdr_25_io_scan_mode) + ); + rvclkhdr rvclkhdr_26 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_26_io_l1clk), + .io_clk(rvclkhdr_26_io_clk), + .io_en(rvclkhdr_26_io_en), + .io_scan_mode(rvclkhdr_26_io_scan_mode) + ); + rvclkhdr rvclkhdr_27 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_27_io_l1clk), + .io_clk(rvclkhdr_27_io_clk), + .io_en(rvclkhdr_27_io_en), + .io_scan_mode(rvclkhdr_27_io_scan_mode) + ); + rvclkhdr rvclkhdr_28 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_28_io_l1clk), + .io_clk(rvclkhdr_28_io_clk), + .io_en(rvclkhdr_28_io_en), + .io_scan_mode(rvclkhdr_28_io_scan_mode) + ); + rvclkhdr rvclkhdr_29 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_29_io_l1clk), + .io_clk(rvclkhdr_29_io_clk), + .io_en(rvclkhdr_29_io_en), + .io_scan_mode(rvclkhdr_29_io_scan_mode) + ); + rvclkhdr rvclkhdr_30 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_30_io_l1clk), + .io_clk(rvclkhdr_30_io_clk), + .io_en(rvclkhdr_30_io_en), + .io_scan_mode(rvclkhdr_30_io_scan_mode) + ); + rvclkhdr rvclkhdr_31 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_31_io_l1clk), + .io_clk(rvclkhdr_31_io_clk), + .io_en(rvclkhdr_31_io_en), + .io_scan_mode(rvclkhdr_31_io_scan_mode) + ); + rvclkhdr rvclkhdr_32 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_32_io_l1clk), + .io_clk(rvclkhdr_32_io_clk), + .io_en(rvclkhdr_32_io_en), + .io_scan_mode(rvclkhdr_32_io_scan_mode) + ); + rvclkhdr rvclkhdr_33 ( // @[el2_lib.scala 485:23] + .io_l1clk(rvclkhdr_33_io_l1clk), + .io_clk(rvclkhdr_33_io_clk), + .io_en(rvclkhdr_33_io_en), + .io_scan_mode(rvclkhdr_33_io_scan_mode) + ); + rvclkhdr rvclkhdr_34 ( // @[el2_lib.scala 474:22] + .io_l1clk(rvclkhdr_34_io_l1clk), + .io_clk(rvclkhdr_34_io_clk), + .io_en(rvclkhdr_34_io_en), + .io_scan_mode(rvclkhdr_34_io_scan_mode) + ); + assign io_dec_tlu_ic_diag_pkt_icache_wrdata = {_T_762,dicad0[31:0]}; // @[el2_dec_tlu_ctl.scala 2232:65] + assign io_dec_tlu_ic_diag_pkt_icache_dicawics = dicawics; // @[el2_dec_tlu_ctl.scala 2235:42] + assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = icache_rd_valid_f; // @[el2_dec_tlu_ctl.scala 2243:42] + assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = icache_wr_valid_f; // @[el2_dec_tlu_ctl.scala 2244:42] + assign io_trigger_pkt_any_0_select = io_mtdata1_t_0[7]; // @[el2_dec_tlu_ctl.scala 2308:36] + assign io_trigger_pkt_any_0_match_ = io_mtdata1_t_0[4]; // @[el2_dec_tlu_ctl.scala 2309:36] + assign io_trigger_pkt_any_0_store = io_mtdata1_t_0[1]; // @[el2_dec_tlu_ctl.scala 2310:36] + assign io_trigger_pkt_any_0_load = io_mtdata1_t_0[0]; // @[el2_dec_tlu_ctl.scala 2311:36] + assign io_trigger_pkt_any_0_execute = io_mtdata1_t_0[2]; // @[el2_dec_tlu_ctl.scala 2312:36] + assign io_trigger_pkt_any_0_m = io_mtdata1_t_0[3]; // @[el2_dec_tlu_ctl.scala 2313:36] + assign io_trigger_pkt_any_0_tdata2 = mtdata2_t_0; // @[el2_dec_tlu_ctl.scala 2326:53] + assign io_trigger_pkt_any_1_select = io_mtdata1_t_1[7]; // @[el2_dec_tlu_ctl.scala 2308:36] + assign io_trigger_pkt_any_1_match_ = io_mtdata1_t_1[4]; // @[el2_dec_tlu_ctl.scala 2309:36] + assign io_trigger_pkt_any_1_store = io_mtdata1_t_1[1]; // @[el2_dec_tlu_ctl.scala 2310:36] + assign io_trigger_pkt_any_1_load = io_mtdata1_t_1[0]; // @[el2_dec_tlu_ctl.scala 2311:36] + assign io_trigger_pkt_any_1_execute = io_mtdata1_t_1[2]; // @[el2_dec_tlu_ctl.scala 2312:36] + assign io_trigger_pkt_any_1_m = io_mtdata1_t_1[3]; // @[el2_dec_tlu_ctl.scala 2313:36] + assign io_trigger_pkt_any_1_tdata2 = mtdata2_t_1; // @[el2_dec_tlu_ctl.scala 2326:53] + assign io_trigger_pkt_any_2_select = io_mtdata1_t_2[7]; // @[el2_dec_tlu_ctl.scala 2308:36] + assign io_trigger_pkt_any_2_match_ = io_mtdata1_t_2[4]; // @[el2_dec_tlu_ctl.scala 2309:36] + assign io_trigger_pkt_any_2_store = io_mtdata1_t_2[1]; // @[el2_dec_tlu_ctl.scala 2310:36] + assign io_trigger_pkt_any_2_load = io_mtdata1_t_2[0]; // @[el2_dec_tlu_ctl.scala 2311:36] + assign io_trigger_pkt_any_2_execute = io_mtdata1_t_2[2]; // @[el2_dec_tlu_ctl.scala 2312:36] + assign io_trigger_pkt_any_2_m = io_mtdata1_t_2[3]; // @[el2_dec_tlu_ctl.scala 2313:36] + assign io_trigger_pkt_any_2_tdata2 = mtdata2_t_2; // @[el2_dec_tlu_ctl.scala 2326:53] + assign io_trigger_pkt_any_3_select = io_mtdata1_t_3[7]; // @[el2_dec_tlu_ctl.scala 2308:36] + assign io_trigger_pkt_any_3_match_ = io_mtdata1_t_3[4]; // @[el2_dec_tlu_ctl.scala 2309:36] + assign io_trigger_pkt_any_3_store = io_mtdata1_t_3[1]; // @[el2_dec_tlu_ctl.scala 2310:36] + assign io_trigger_pkt_any_3_load = io_mtdata1_t_3[0]; // @[el2_dec_tlu_ctl.scala 2311:36] + assign io_trigger_pkt_any_3_execute = io_mtdata1_t_3[2]; // @[el2_dec_tlu_ctl.scala 2312:36] + assign io_trigger_pkt_any_3_m = io_mtdata1_t_3[3]; // @[el2_dec_tlu_ctl.scala 2313:36] + assign io_trigger_pkt_any_3_tdata2 = mtdata2_t_3; // @[el2_dec_tlu_ctl.scala 2326:53] + assign io_dec_tlu_int_valid_wb1 = _T_2332; // @[el2_dec_tlu_ctl.scala 2569:31] + assign io_dec_tlu_i0_exc_valid_wb1 = _T_2330; // @[el2_dec_tlu_ctl.scala 2567:31] + assign io_dec_tlu_i0_valid_wb1 = _T_2325; // @[el2_dec_tlu_ctl.scala 2566:31] + assign io_dec_tlu_mtval_wb1 = mtval; // @[el2_dec_tlu_ctl.scala 2571:25] + assign io_dec_tlu_exc_cause_wb1 = _T_2331; // @[el2_dec_tlu_ctl.scala 2568:31] + assign io_dec_tlu_perfcnt0 = mhpmc_inc_r_d1_0 & _T_2177; // @[el2_dec_tlu_ctl.scala 2423:23] + assign io_dec_tlu_perfcnt1 = mhpmc_inc_r_d1_1 & _T_2182; // @[el2_dec_tlu_ctl.scala 2424:23] + assign io_dec_tlu_perfcnt2 = mhpmc_inc_r_d1_2 & _T_2187; // @[el2_dec_tlu_ctl.scala 2425:23] + assign io_dec_tlu_perfcnt3 = mhpmc_inc_r_d1_3 & _T_2192; // @[el2_dec_tlu_ctl.scala 2426:23] + assign io_dec_tlu_misc_clk_override = mcgc[8]; // @[el2_dec_tlu_ctl.scala 1797:32] + assign io_dec_tlu_dec_clk_override = mcgc[7]; // @[el2_dec_tlu_ctl.scala 1798:32] + assign io_dec_tlu_ifu_clk_override = mcgc[5]; // @[el2_dec_tlu_ctl.scala 1799:32] + assign io_dec_tlu_lsu_clk_override = mcgc[4]; // @[el2_dec_tlu_ctl.scala 1800:32] + assign io_dec_tlu_bus_clk_override = mcgc[3]; // @[el2_dec_tlu_ctl.scala 1801:32] + assign io_dec_tlu_pic_clk_override = mcgc[2]; // @[el2_dec_tlu_ctl.scala 1802:32] + assign io_dec_tlu_dccm_clk_override = mcgc[1]; // @[el2_dec_tlu_ctl.scala 1803:32] + assign io_dec_tlu_icm_clk_override = mcgc[0]; // @[el2_dec_tlu_ctl.scala 1804:32] + assign io_dec_csr_rddata_d = _T_2608 | _T_2554; // @[el2_dec_tlu_ctl.scala 2576:22] + assign io_dec_tlu_pipelining_disable = mfdc[0]; // @[el2_dec_tlu_ctl.scala 1847:40] + assign io_dec_tlu_wr_pause_r = _T_368 & _T_369; // @[el2_dec_tlu_ctl.scala 1856:25] + assign io_dec_tlu_meipt = meipt; // @[el2_dec_tlu_ctl.scala 2083:20] + assign io_dec_tlu_meicurpl = meicurpl; // @[el2_dec_tlu_ctl.scala 2047:23] + assign io_dec_tlu_meihap = _T_615[29:0]; // @[el2_dec_tlu_ctl.scala 2033:21] + assign io_dec_tlu_mrac_ff = mrac; // @[el2_dec_tlu_ctl.scala 1886:22] + assign io_dec_tlu_wb_coalescing_disable = mfdc[2]; // @[el2_dec_tlu_ctl.scala 1846:40] + assign io_dec_tlu_bpred_disable = mfdc[3]; // @[el2_dec_tlu_ctl.scala 1845:40] + assign io_dec_tlu_sideeffect_posted_disable = mfdc[6]; // @[el2_dec_tlu_ctl.scala 1844:40] + assign io_dec_tlu_core_ecc_disable = mfdc[8]; // @[el2_dec_tlu_ctl.scala 1843:40] + assign io_dec_tlu_external_ldfwd_disable = mfdc[11]; // @[el2_dec_tlu_ctl.scala 1842:40] + assign io_dec_tlu_dma_qos_prty = mfdc[18:16]; // @[el2_dec_tlu_ctl.scala 1841:40] + assign io_dec_csr_wen_r_mod = _T_1 & _T_2; // @[el2_dec_tlu_ctl.scala 1530:24] + assign io_fw_halt_req = _T_500 & _T_501; // @[el2_dec_tlu_ctl.scala 1921:18] + assign io_mstatus = _T_54; // @[el2_dec_tlu_ctl.scala 1546:14] + assign io_mstatus_mie_ns = io_mstatus[0] & _T_52; // @[el2_dec_tlu_ctl.scala 1545:21] + assign io_dcsr = _T_700; // @[el2_dec_tlu_ctl.scala 2130:11] + assign io_mtvec = _T_60; // @[el2_dec_tlu_ctl.scala 1558:12] + assign io_mip = _T_66; // @[el2_dec_tlu_ctl.scala 1573:10] + assign io_mie_ns = wr_mie_r ? _T_76 : mie; // @[el2_dec_tlu_ctl.scala 1587:13] + assign io_npc_r = _T_159 | _T_157; // @[el2_dec_tlu_ctl.scala 1681:12] + assign io_npc_r_d1 = _T_165; // @[el2_dec_tlu_ctl.scala 1687:15] + assign io_mepc = _T_194; // @[el2_dec_tlu_ctl.scala 1706:11] + assign io_mdseac_locked_ns = mdseac_en | _T_487; // @[el2_dec_tlu_ctl.scala 1904:23] + assign io_force_halt = mfdht[0] & _T_607; // @[el2_dec_tlu_ctl.scala 2010:17] + assign io_dpc = _T_725; // @[el2_dec_tlu_ctl.scala 2147:10] + assign io_mtdata1_t_0 = _T_871; // @[el2_dec_tlu_ctl.scala 2303:41] + assign io_mtdata1_t_1 = _T_872; // @[el2_dec_tlu_ctl.scala 2303:41] + assign io_mtdata1_t_2 = _T_873; // @[el2_dec_tlu_ctl.scala 2303:41] + assign io_mtdata1_t_3 = _T_874; // @[el2_dec_tlu_ctl.scala 2303:41] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_io_en = io_dec_csr_wen_r_mod & _T_56; // @[el2_lib.scala 488:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_1_io_en = wr_mcyclel_r | mcyclel_cout_in; // @[el2_lib.scala 488:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_2_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_2_io_en = wr_mcycleh_r | mcyclel_cout_f; // @[el2_lib.scala 488:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_3_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_3_io_en = i0_valid_no_ebreak_ecall_r | wr_minstretl_r; // @[el2_lib.scala 488:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_4_io_en = minstret_enable_f | wr_minstreth_r; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_5_io_en = io_dec_csr_wen_r_mod & _T_137; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_6_io_en = _T_162 | io_reset_delayed; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_7_io_en = _T_140 & io_dec_tlu_i0_valid_r; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_8_io_en = io_dec_csr_wen_r_mod & _T_323; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_9_io_en = io_dec_csr_wen_r_mod & _T_335; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_10_io_en = io_dec_csr_wen_r_mod & _T_372; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_11_io_en = _T_491 & _T_492; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_12_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_12_io_en = wr_micect_r | io_ic_perr_r_d1; // @[el2_lib.scala 488:17] + assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_13_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_13_io_en = _T_547 | io_iccm_dma_sb_error; // @[el2_lib.scala 488:17] + assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_14_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_14_io_en = wr_mdccmect_r | io_lsu_single_ecc_error_r_d1; // @[el2_lib.scala 488:17] + assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_15_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_15_io_en = io_dec_csr_wen_r_mod & _T_610; // @[el2_lib.scala 488:17] + assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_16_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_16_io_en = _T_631 | io_take_ext_int_start; // @[el2_lib.scala 488:17] + assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_17_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_17_io_en = _T_697 | io_take_nmi; // @[el2_lib.scala 488:17] + assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_18_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_18_io_en = _T_722 | dpc_capture_npc; // @[el2_lib.scala 488:17] + assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_19_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_19_io_en = _T_662 & _T_732; // @[el2_lib.scala 488:17] + assign rvclkhdr_19_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_20_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_20_io_en = wr_dicad0_r | io_ifu_ic_debug_rd_data_valid; // @[el2_lib.scala 488:17] + assign rvclkhdr_20_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_21_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_21_io_en = wr_dicad0h_r | io_ifu_ic_debug_rd_data_valid; // @[el2_lib.scala 488:17] + assign rvclkhdr_21_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_22_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_22_io_en = _T_970 & _T_806; // @[el2_lib.scala 488:17] + assign rvclkhdr_22_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_23_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_23_io_en = _T_979 & _T_815; // @[el2_lib.scala 488:17] + assign rvclkhdr_23_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_24_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_24_io_en = _T_988 & _T_824; // @[el2_lib.scala 488:17] + assign rvclkhdr_24_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_25_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_25_io_en = _T_997 & _T_833; // @[el2_lib.scala 488:17] + assign rvclkhdr_25_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_26_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_26_io_en = mhpmc3_wr_en0 | mhpmc3_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_26_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_27_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_27_io_en = mhpmc3h_wr_en0 | mhpmc3_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_27_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_28_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_28_io_en = mhpmc4_wr_en0 | mhpmc4_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_28_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_29_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_29_io_en = mhpmc4h_wr_en0 | mhpmc4_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_29_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_30_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_30_io_en = mhpmc5_wr_en0 | mhpmc5_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_30_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_31_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_31_io_en = mhpmc5h_wr_en0 | mhpmc5_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_31_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_32_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_32_io_en = mhpmc6_wr_en0 | mhpmc6_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_32_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_33_io_clk = clock; // @[el2_lib.scala 487:18] + assign rvclkhdr_33_io_en = mhpmc6h_wr_en0 | mhpmc6_wr_en1; // @[el2_lib.scala 488:17] + assign rvclkhdr_33_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] + assign rvclkhdr_34_io_clk = clock; // @[el2_lib.scala 475:17] + assign rvclkhdr_34_io_en = _T_2322 | io_clk_override; // @[el2_lib.scala 476:16] + assign rvclkhdr_34_io_scan_mode = io_scan_mode; // @[el2_lib.scala 477:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + mpmc_b = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + _T_54 = _RAND_1[1:0]; + _RAND_2 = {1{`RANDOM}}; + _T_60 = _RAND_2[30:0]; + _RAND_3 = {1{`RANDOM}}; + mdccmect = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + miccmect = _RAND_4[31:0]; + _RAND_5 = {1{`RANDOM}}; + micect = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + _T_66 = _RAND_6[5:0]; + _RAND_7 = {1{`RANDOM}}; + mie = _RAND_7[5:0]; + _RAND_8 = {1{`RANDOM}}; + temp_ncount6_2 = _RAND_8[4:0]; + _RAND_9 = {1{`RANDOM}}; + temp_ncount0 = _RAND_9[0:0]; + _RAND_10 = {2{`RANDOM}}; + _T_95 = _RAND_10[32:0]; + _RAND_11 = {1{`RANDOM}}; + mcyclel_cout_f = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + mcycleh = _RAND_12[31:0]; + _RAND_13 = {2{`RANDOM}}; + _T_122 = _RAND_13[32:0]; + _RAND_14 = {1{`RANDOM}}; + minstret_enable_f = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + minstretl_cout_f = _RAND_15[0:0]; + _RAND_16 = {1{`RANDOM}}; + minstreth = _RAND_16[31:0]; + _RAND_17 = {1{`RANDOM}}; + mscratch = _RAND_17[31:0]; + _RAND_18 = {1{`RANDOM}}; + _T_165 = _RAND_18[30:0]; + _RAND_19 = {1{`RANDOM}}; + pc_r_d1 = _RAND_19[30:0]; + _RAND_20 = {1{`RANDOM}}; + _T_194 = _RAND_20[30:0]; + _RAND_21 = {1{`RANDOM}}; + mcause = _RAND_21[31:0]; + _RAND_22 = {1{`RANDOM}}; + mscause = _RAND_22[3:0]; + _RAND_23 = {1{`RANDOM}}; + mtval = _RAND_23[31:0]; + _RAND_24 = {1{`RANDOM}}; + mcgc = _RAND_24[8:0]; + _RAND_25 = {1{`RANDOM}}; + mfdc_int = _RAND_25[14:0]; + _RAND_26 = {1{`RANDOM}}; + mrac = _RAND_26[31:0]; + _RAND_27 = {1{`RANDOM}}; + mdseac = _RAND_27[31:0]; + _RAND_28 = {1{`RANDOM}}; + mfdht = _RAND_28[5:0]; + _RAND_29 = {1{`RANDOM}}; + mfdhs = _RAND_29[1:0]; + _RAND_30 = {1{`RANDOM}}; + force_halt_ctr_f = _RAND_30[31:0]; + _RAND_31 = {1{`RANDOM}}; + meivt = _RAND_31[21:0]; + _RAND_32 = {1{`RANDOM}}; + meihap = _RAND_32[7:0]; + _RAND_33 = {1{`RANDOM}}; + meicurpl = _RAND_33[3:0]; + _RAND_34 = {1{`RANDOM}}; + meicidpl = _RAND_34[3:0]; + _RAND_35 = {1{`RANDOM}}; + meipt = _RAND_35[3:0]; + _RAND_36 = {1{`RANDOM}}; + _T_700 = _RAND_36[15:0]; + _RAND_37 = {1{`RANDOM}}; + _T_725 = _RAND_37[30:0]; + _RAND_38 = {1{`RANDOM}}; + dicawics = _RAND_38[16:0]; + _RAND_39 = {3{`RANDOM}}; + dicad0 = _RAND_39[70:0]; + _RAND_40 = {1{`RANDOM}}; + dicad0h = _RAND_40[31:0]; + _RAND_41 = {1{`RANDOM}}; + _T_757 = _RAND_41[31:0]; + _RAND_42 = {1{`RANDOM}}; + icache_rd_valid_f = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + icache_wr_valid_f = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + mtsel = _RAND_44[1:0]; + _RAND_45 = {1{`RANDOM}}; + _T_871 = _RAND_45[9:0]; + _RAND_46 = {1{`RANDOM}}; + _T_872 = _RAND_46[9:0]; + _RAND_47 = {1{`RANDOM}}; + _T_873 = _RAND_47[9:0]; + _RAND_48 = {1{`RANDOM}}; + _T_874 = _RAND_48[9:0]; + _RAND_49 = {1{`RANDOM}}; + mtdata2_t_0 = _RAND_49[31:0]; + _RAND_50 = {1{`RANDOM}}; + mtdata2_t_1 = _RAND_50[31:0]; + _RAND_51 = {1{`RANDOM}}; + mtdata2_t_2 = _RAND_51[31:0]; + _RAND_52 = {1{`RANDOM}}; + mtdata2_t_3 = _RAND_52[31:0]; + _RAND_53 = {1{`RANDOM}}; + mhpme3 = _RAND_53[9:0]; + _RAND_54 = {1{`RANDOM}}; + mhpme4 = _RAND_54[9:0]; + _RAND_55 = {1{`RANDOM}}; + mhpme5 = _RAND_55[9:0]; + _RAND_56 = {1{`RANDOM}}; + mhpme6 = _RAND_56[9:0]; + _RAND_57 = {1{`RANDOM}}; + mhpmc_inc_r_d1_0 = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + mhpmc_inc_r_d1_1 = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + mhpmc_inc_r_d1_2 = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + mhpmc_inc_r_d1_3 = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + perfcnt_halted_d1 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + mhpmc3h = _RAND_62[31:0]; + _RAND_63 = {1{`RANDOM}}; + mhpmc3 = _RAND_63[31:0]; + _RAND_64 = {1{`RANDOM}}; + mhpmc4h = _RAND_64[31:0]; + _RAND_65 = {1{`RANDOM}}; + mhpmc4 = _RAND_65[31:0]; + _RAND_66 = {1{`RANDOM}}; + mhpmc5h = _RAND_66[31:0]; + _RAND_67 = {1{`RANDOM}}; + mhpmc5 = _RAND_67[31:0]; + _RAND_68 = {1{`RANDOM}}; + mhpmc6h = _RAND_68[31:0]; + _RAND_69 = {1{`RANDOM}}; + mhpmc6 = _RAND_69[31:0]; + _RAND_70 = {1{`RANDOM}}; + _T_2325 = _RAND_70[0:0]; + _RAND_71 = {1{`RANDOM}}; + _T_2330 = _RAND_71[0:0]; + _RAND_72 = {1{`RANDOM}}; + _T_2331 = _RAND_72[4:0]; + _RAND_73 = {1{`RANDOM}}; + _T_2332 = _RAND_73[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + mpmc_b = 1'h0; + end + if (reset) begin + _T_54 = 2'h0; + end + if (reset) begin + _T_60 = 31'h0; + end + if (reset) begin + mdccmect = 32'h0; + end + if (reset) begin + miccmect = 32'h0; + end + if (reset) begin + micect = 32'h0; + end + if (reset) begin + _T_66 = 6'h0; + end + if (reset) begin + mie = 6'h0; + end + if (reset) begin + temp_ncount6_2 = 5'h0; + end + if (reset) begin + temp_ncount0 = 1'h0; + end + if (reset) begin + _T_95 = 33'h0; + end + if (reset) begin + mcyclel_cout_f = 1'h0; + end + if (reset) begin + mcycleh = 32'h0; + end + if (reset) begin + _T_122 = 33'h0; + end + if (reset) begin + minstret_enable_f = 1'h0; + end + if (reset) begin + minstretl_cout_f = 1'h0; + end + if (reset) begin + minstreth = 32'h0; + end + if (reset) begin + mscratch = 32'h0; + end + if (reset) begin + _T_165 = 31'h0; + end + if (reset) begin + pc_r_d1 = 31'h0; + end + if (reset) begin + _T_194 = 31'h0; + end + if (reset) begin + mcause = 32'h0; + end + if (reset) begin + mscause = 4'h0; + end + if (reset) begin + mtval = 32'h0; + end + if (reset) begin + mcgc = 9'h0; + end + if (reset) begin + mfdc_int = 15'h0; + end + if (reset) begin + mrac = 32'h0; + end + if (reset) begin + mdseac = 32'h0; + end + if (reset) begin + mfdht = 6'h0; + end + if (reset) begin + mfdhs = 2'h0; + end + if (reset) begin + force_halt_ctr_f = 32'h0; + end + if (reset) begin + meivt = 22'h0; + end + if (reset) begin + meihap = 8'h0; + end + if (reset) begin + meicurpl = 4'h0; + end + if (reset) begin + meicidpl = 4'h0; + end + if (reset) begin + meipt = 4'h0; + end + if (reset) begin + _T_700 = 16'h0; + end + if (reset) begin + _T_725 = 31'h0; + end + if (reset) begin + dicawics = 17'h0; + end + if (reset) begin + dicad0 = 71'h0; + end + if (reset) begin + dicad0h = 32'h0; + end + if (reset) begin + _T_757 = 32'h0; + end + if (reset) begin + icache_rd_valid_f = 1'h0; + end + if (reset) begin + icache_wr_valid_f = 1'h0; + end + if (reset) begin + mtsel = 2'h0; + end + if (reset) begin + _T_871 = 10'h0; + end + if (reset) begin + _T_872 = 10'h0; + end + if (reset) begin + _T_873 = 10'h0; + end + if (reset) begin + _T_874 = 10'h0; + end + if (reset) begin + mtdata2_t_0 = 32'h0; + end + if (reset) begin + mtdata2_t_1 = 32'h0; + end + if (reset) begin + mtdata2_t_2 = 32'h0; + end + if (reset) begin + mtdata2_t_3 = 32'h0; + end + if (reset) begin + mhpme3 = 10'h0; + end + if (reset) begin + mhpme4 = 10'h0; + end + if (reset) begin + mhpme5 = 10'h0; + end + if (reset) begin + mhpme6 = 10'h0; + end + if (reset) begin + mhpmc_inc_r_d1_0 = 1'h0; + end + if (reset) begin + mhpmc_inc_r_d1_1 = 1'h0; + end + if (reset) begin + mhpmc_inc_r_d1_2 = 1'h0; + end + if (reset) begin + mhpmc_inc_r_d1_3 = 1'h0; + end + if (reset) begin + perfcnt_halted_d1 = 1'h0; + end + if (reset) begin + mhpmc3h = 32'h0; + end + if (reset) begin + mhpmc3 = 32'h0; + end + if (reset) begin + mhpmc4h = 32'h0; + end + if (reset) begin + mhpmc4 = 32'h0; + end + if (reset) begin + mhpmc5h = 32'h0; + end + if (reset) begin + mhpmc5 = 32'h0; + end + if (reset) begin + mhpmc6h = 32'h0; + end + if (reset) begin + mhpmc6 = 32'h0; + end + if (reset) begin + _T_2325 = 1'h0; + end + if (reset) begin + _T_2330 = 1'h0; + end + if (reset) begin + _T_2331 = 5'h0; + end + if (reset) begin + _T_2332 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_csr_wr_clk or posedge reset) begin + if (reset) begin + mpmc_b <= 1'h0; + end else if (wr_mpmc_r) begin + mpmc_b <= _T_507; + end else begin + mpmc_b <= _T_508; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_54 <= 2'h0; + end else begin + _T_54 <= _T_46 | _T_42; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_60 <= 31'h0; + end else begin + _T_60 <= {io_dec_csr_wrdata_r[31:2],io_dec_csr_wrdata_r[0]}; + end + end + always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin + if (reset) begin + mdccmect <= 32'h0; + end else if (wr_mdccmect_r) begin + mdccmect <= _T_523; + end else begin + mdccmect <= _T_567; + end + end + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin + if (reset) begin + miccmect <= 32'h0; + end else if (wr_miccmect_r) begin + miccmect <= _T_523; + end else begin + miccmect <= _T_546; + end + end + always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin + if (reset) begin + micect <= 32'h0; + end else if (wr_micect_r) begin + micect <= _T_523; + end else begin + micect <= _T_525; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_66 <= 6'h0; + end else begin + _T_66 <= {_T_65,_T_63}; + end + end + always @(posedge io_csr_wr_clk or posedge reset) begin + if (reset) begin + mie <= 6'h0; + end else begin + mie <= io_mie_ns; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + temp_ncount6_2 <= 5'h0; + end else if (wr_mcountinhibit_r) begin + temp_ncount6_2 <= io_dec_csr_wrdata_r[6:2]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + temp_ncount0 <= 1'h0; + end else if (wr_mcountinhibit_r) begin + temp_ncount0 <= io_dec_csr_wrdata_r[0]; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + _T_95 <= 33'h0; + end else if (wr_mcyclel_r) begin + _T_95 <= {{1'd0}, io_dec_csr_wrdata_r}; + end else begin + _T_95 <= mcyclel_inc; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mcyclel_cout_f <= 1'h0; + end else begin + mcyclel_cout_f <= mcyclel_cout & _T_96; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + mcycleh <= 32'h0; + end else if (wr_mcycleh_r) begin + mcycleh <= io_dec_csr_wrdata_r; + end else begin + mcycleh <= mcycleh_inc; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + _T_122 <= 33'h0; + end else if (wr_minstretl_r) begin + _T_122 <= {{1'd0}, io_dec_csr_wrdata_r}; + end else begin + _T_122 <= minstretl_inc; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + minstret_enable_f <= 1'h0; + end else begin + minstret_enable_f <= i0_valid_no_ebreak_ecall_r | wr_minstretl_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + minstretl_cout_f <= 1'h0; + end else begin + minstretl_cout_f <= minstretl_cout & _T_123; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + minstreth <= 32'h0; + end else if (wr_minstreth_r) begin + minstreth <= io_dec_csr_wrdata_r; + end else begin + minstreth <= minstreth_inc; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + mscratch <= 32'h0; + end else begin + mscratch <= io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + _T_165 <= 31'h0; + end else begin + _T_165 <= io_npc_r; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + pc_r_d1 <= 31'h0; + end else begin + pc_r_d1 <= _T_169 | _T_170; + end + end + always @(posedge io_e4e5_int_clk or posedge reset) begin + if (reset) begin + _T_194 <= 31'h0; + end else begin + _T_194 <= _T_192 | _T_190; + end + end + always @(posedge io_e4e5_int_clk or posedge reset) begin + if (reset) begin + mcause <= 32'h0; + end else begin + mcause <= _T_232 | _T_228; + end + end + always @(posedge io_e4e5_int_clk or posedge reset) begin + if (reset) begin + mscause <= 4'h0; + end else begin + mscause <= _T_262 | _T_261; + end + end + always @(posedge io_e4e5_int_clk or posedge reset) begin + if (reset) begin + mtval <= 32'h0; + end else begin + mtval <= _T_319 | _T_315; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + mcgc <= 9'h0; + end else begin + mcgc <= io_dec_csr_wrdata_r[8:0]; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + mfdc_int <= 15'h0; + end else begin + mfdc_int <= {_T_345,_T_344}; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + mrac <= 32'h0; + end else begin + mrac <= {_T_482,_T_467}; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + mdseac <= 32'h0; + end else begin + mdseac <= io_lsu_imprecise_error_addr_any; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mfdht <= 6'h0; + end else if (wr_mfdht_r) begin + mfdht <= io_dec_csr_wrdata_r[5:0]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mfdhs <= 2'h0; + end else if (_T_593) begin + if (wr_mfdhs_r) begin + mfdhs <= io_dec_csr_wrdata_r[1:0]; + end else if (_T_587) begin + mfdhs <= _T_591; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + force_halt_ctr_f <= 32'h0; + end else if (mfdht[0]) begin + if (io_debug_halt_req_f) begin + force_halt_ctr_f <= _T_598; + end else if (io_dbg_tlu_halted_f) begin + force_halt_ctr_f <= 32'h0; + end + end + end + always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin + if (reset) begin + meivt <= 22'h0; + end else begin + meivt <= io_dec_csr_wrdata_r[31:10]; + end + end + always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin + if (reset) begin + meihap <= 8'h0; + end else begin + meihap <= io_pic_claimid; + end + end + always @(posedge io_csr_wr_clk or posedge reset) begin + if (reset) begin + meicurpl <= 4'h0; + end else if (wr_meicurpl_r) begin + meicurpl <= io_dec_csr_wrdata_r[3:0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + meicidpl <= 4'h0; + end else if (wr_meicpct_r) begin + meicidpl <= io_pic_pl; + end else if (wr_meicidpl_r) begin + meicidpl <= io_dec_csr_wrdata_r[3:0]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + meipt <= 4'h0; + end else if (wr_meipt_r) begin + meipt <= io_dec_csr_wrdata_r[3:0]; + end + end + always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin + if (reset) begin + _T_700 <= 16'h0; + end else if (enter_debug_halt_req_le) begin + _T_700 <= _T_674; + end else if (wr_dcsr_r) begin + _T_700 <= _T_689; + end else begin + _T_700 <= _T_694; + end + end + always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin + if (reset) begin + _T_725 <= 31'h0; + end else begin + _T_725 <= _T_720 | _T_719; + end + end + always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin + if (reset) begin + dicawics <= 17'h0; + end else begin + dicawics <= {_T_729,io_dec_csr_wrdata_r[16:3]}; + end + end + always @(posedge rvclkhdr_20_io_l1clk or posedge reset) begin + if (reset) begin + dicad0 <= 71'h0; + end else if (wr_dicad0_r) begin + dicad0 <= {{39'd0}, io_dec_csr_wrdata_r}; + end else begin + dicad0 <= io_ifu_ic_debug_rd_data; + end + end + always @(posedge rvclkhdr_21_io_l1clk or posedge reset) begin + if (reset) begin + dicad0h <= 32'h0; + end else if (wr_dicad0h_r) begin + dicad0h <= io_dec_csr_wrdata_r; + end else begin + dicad0h <= io_ifu_ic_debug_rd_data[63:32]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_757 <= 32'h0; + end else if (_T_755) begin + if (_T_751) begin + _T_757 <= io_dec_csr_wrdata_r; + end else begin + _T_757 <= {{25'd0}, io_ifu_ic_debug_rd_data[70:64]}; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + icache_rd_valid_f <= 1'h0; + end else begin + icache_rd_valid_f <= _T_767 & _T_769; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + icache_wr_valid_f <= 1'h0; + end else begin + icache_wr_valid_f <= _T_662 & _T_772; + end + end + always @(posedge io_csr_wr_clk or posedge reset) begin + if (reset) begin + mtsel <= 2'h0; + end else if (wr_mtsel_r) begin + mtsel <= io_dec_csr_wrdata_r[1:0]; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_871 <= 10'h0; + end else if (wr_mtdata1_t_r_0) begin + _T_871 <= tdata_wrdata_r; + end else begin + _T_871 <= _T_842; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_872 <= 10'h0; + end else if (wr_mtdata1_t_r_1) begin + _T_872 <= tdata_wrdata_r; + end else begin + _T_872 <= _T_851; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_873 <= 10'h0; + end else if (wr_mtdata1_t_r_2) begin + _T_873 <= tdata_wrdata_r; + end else begin + _T_873 <= _T_860; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + _T_874 <= 10'h0; + end else if (wr_mtdata1_t_r_3) begin + _T_874 <= tdata_wrdata_r; + end else begin + _T_874 <= _T_869; + end + end + always @(posedge rvclkhdr_22_io_l1clk or posedge reset) begin + if (reset) begin + mtdata2_t_0 <= 32'h0; + end else begin + mtdata2_t_0 <= io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_23_io_l1clk or posedge reset) begin + if (reset) begin + mtdata2_t_1 <= 32'h0; + end else begin + mtdata2_t_1 <= io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_24_io_l1clk or posedge reset) begin + if (reset) begin + mtdata2_t_2 <= 32'h0; + end else begin + mtdata2_t_2 <= io_dec_csr_wrdata_r; + end + end + always @(posedge rvclkhdr_25_io_l1clk or posedge reset) begin + if (reset) begin + mtdata2_t_3 <= 32'h0; + end else begin + mtdata2_t_3 <= io_dec_csr_wrdata_r; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mhpme3 <= 10'h0; + end else if (wr_mhpme3_r) begin + if (_T_2287) begin + mhpme3 <= 10'h204; + end else begin + mhpme3 <= io_dec_csr_wrdata_r[9:0]; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mhpme4 <= 10'h0; + end else if (wr_mhpme4_r) begin + if (_T_2287) begin + mhpme4 <= 10'h204; + end else begin + mhpme4 <= io_dec_csr_wrdata_r[9:0]; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mhpme5 <= 10'h0; + end else if (wr_mhpme5_r) begin + if (_T_2287) begin + mhpme5 <= 10'h204; + end else begin + mhpme5 <= io_dec_csr_wrdata_r[9:0]; + end + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + mhpme6 <= 10'h0; + end else if (wr_mhpme6_r) begin + if (_T_2287) begin + mhpme6 <= 10'h204; + end else begin + mhpme6 <= io_dec_csr_wrdata_r[9:0]; + end + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mhpmc_inc_r_d1_0 <= 1'h0; + end else begin + mhpmc_inc_r_d1_0 <= _T_1305[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mhpmc_inc_r_d1_1 <= 1'h0; + end else begin + mhpmc_inc_r_d1_1 <= _T_1588[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mhpmc_inc_r_d1_2 <= 1'h0; + end else begin + mhpmc_inc_r_d1_2 <= _T_1871[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mhpmc_inc_r_d1_3 <= 1'h0; + end else begin + mhpmc_inc_r_d1_3 <= _T_2154[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + perfcnt_halted_d1 <= 1'h0; + end else begin + perfcnt_halted_d1 <= _T_83 | io_dec_tlu_pmu_fw_halted; + end + end + always @(posedge rvclkhdr_27_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc3h <= 32'h0; + end else if (mhpmc3h_wr_en0) begin + mhpmc3h <= io_dec_csr_wrdata_r; + end else begin + mhpmc3h <= mhpmc3_incr[63:32]; + end + end + always @(posedge rvclkhdr_26_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc3 <= 32'h0; + end else if (mhpmc3_wr_en0) begin + mhpmc3 <= io_dec_csr_wrdata_r; + end else begin + mhpmc3 <= mhpmc3_incr[31:0]; + end + end + always @(posedge rvclkhdr_29_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc4h <= 32'h0; + end else if (mhpmc4h_wr_en0) begin + mhpmc4h <= io_dec_csr_wrdata_r; + end else begin + mhpmc4h <= mhpmc4_incr[63:32]; + end + end + always @(posedge rvclkhdr_28_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc4 <= 32'h0; + end else if (mhpmc4_wr_en0) begin + mhpmc4 <= io_dec_csr_wrdata_r; + end else begin + mhpmc4 <= mhpmc4_incr[31:0]; + end + end + always @(posedge rvclkhdr_31_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc5h <= 32'h0; + end else if (mhpmc5h_wr_en0) begin + mhpmc5h <= io_dec_csr_wrdata_r; + end else begin + mhpmc5h <= mhpmc5_incr[63:32]; + end + end + always @(posedge rvclkhdr_30_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc5 <= 32'h0; + end else if (mhpmc5_wr_en0) begin + mhpmc5 <= io_dec_csr_wrdata_r; + end else begin + mhpmc5 <= mhpmc5_incr[31:0]; + end + end + always @(posedge rvclkhdr_33_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc6h <= 32'h0; + end else if (mhpmc6h_wr_en0) begin + mhpmc6h <= io_dec_csr_wrdata_r; + end else begin + mhpmc6h <= mhpmc6_incr[63:32]; + end + end + always @(posedge rvclkhdr_32_io_l1clk or posedge reset) begin + if (reset) begin + mhpmc6 <= 32'h0; + end else if (mhpmc6_wr_en0) begin + mhpmc6 <= io_dec_csr_wrdata_r; + end else begin + mhpmc6 <= mhpmc6_incr[31:0]; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + _T_2325 <= 1'h0; + end else begin + _T_2325 <= io_i0_valid_wb; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + _T_2330 <= 1'h0; + end else begin + _T_2330 <= _T_2326 | _T_2328; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + _T_2331 <= 5'h0; + end else begin + _T_2331 <= io_exc_cause_wb; + end + end + always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin + if (reset) begin + _T_2332 <= 1'h0; + end else begin + _T_2332 <= io_interrupt_valid_r_d1; + end + end +endmodule +module el2_dec_decode_csr_read( + input [11:0] io_dec_csr_rdaddr_d, + output io_csr_pkt_csr_misa, + output io_csr_pkt_csr_mvendorid, + output io_csr_pkt_csr_marchid, + output io_csr_pkt_csr_mimpid, + output io_csr_pkt_csr_mhartid, + output io_csr_pkt_csr_mstatus, + output io_csr_pkt_csr_mtvec, + output io_csr_pkt_csr_mip, + output io_csr_pkt_csr_mie, + output io_csr_pkt_csr_mcyclel, + output io_csr_pkt_csr_mcycleh, + output io_csr_pkt_csr_minstretl, + output io_csr_pkt_csr_minstreth, + output io_csr_pkt_csr_mscratch, + output io_csr_pkt_csr_mepc, + output io_csr_pkt_csr_mcause, + output io_csr_pkt_csr_mscause, + output io_csr_pkt_csr_mtval, + output io_csr_pkt_csr_mrac, + output io_csr_pkt_csr_dmst, + output io_csr_pkt_csr_mdseac, + output io_csr_pkt_csr_meihap, + output io_csr_pkt_csr_meivt, + output io_csr_pkt_csr_meipt, + output io_csr_pkt_csr_meicurpl, + output io_csr_pkt_csr_meicidpl, + output io_csr_pkt_csr_dcsr, + output io_csr_pkt_csr_mcgc, + output io_csr_pkt_csr_mfdc, + output io_csr_pkt_csr_dpc, + output io_csr_pkt_csr_mtsel, + output io_csr_pkt_csr_mtdata1, + output io_csr_pkt_csr_mtdata2, + output io_csr_pkt_csr_mhpmc3, + output io_csr_pkt_csr_mhpmc4, + output io_csr_pkt_csr_mhpmc5, + output io_csr_pkt_csr_mhpmc6, + output io_csr_pkt_csr_mhpmc3h, + output io_csr_pkt_csr_mhpmc4h, + output io_csr_pkt_csr_mhpmc5h, + output io_csr_pkt_csr_mhpmc6h, + output io_csr_pkt_csr_mhpme3, + output io_csr_pkt_csr_mhpme4, + output io_csr_pkt_csr_mhpme5, + output io_csr_pkt_csr_mhpme6, + output io_csr_pkt_csr_mcountinhibit, + output io_csr_pkt_csr_mitctl0, + output io_csr_pkt_csr_mitctl1, + output io_csr_pkt_csr_mitb0, + output io_csr_pkt_csr_mitb1, + output io_csr_pkt_csr_mitcnt0, + output io_csr_pkt_csr_mitcnt1, + output io_csr_pkt_csr_mpmc, + output io_csr_pkt_csr_meicpct, + output io_csr_pkt_csr_micect, + output io_csr_pkt_csr_miccmect, + output io_csr_pkt_csr_mdccmect, + output io_csr_pkt_csr_mfdht, + output io_csr_pkt_csr_mfdhs, + output io_csr_pkt_csr_dicawics, + output io_csr_pkt_csr_dicad0h, + output io_csr_pkt_csr_dicad0, + output io_csr_pkt_csr_dicad1, + output io_csr_pkt_csr_dicago, + output io_csr_pkt_presync, + output io_csr_pkt_postsync, + output io_csr_pkt_legal +); + wire _T_1 = ~io_dec_csr_rdaddr_d[11]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_3 = ~io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_5 = ~io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_7 = ~io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_9 = _T_1 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_10 = _T_9 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_11 = _T_10 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_15 = ~io_dec_csr_rdaddr_d[7]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_17 = ~io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_19 = io_dec_csr_rdaddr_d[10] & _T_15; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_20 = _T_19 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_27 = ~io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:159] + wire _T_29 = _T_19 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_36 = io_dec_csr_rdaddr_d[10] & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_37 = _T_36 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_69 = _T_10 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_70 = _T_69 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_75 = _T_15 & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_94 = ~io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_96 = ~io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_101 = io_dec_csr_rdaddr_d[11] & _T_15; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_102 = _T_101 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_103 = _T_102 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_104 = _T_103 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_119 = io_dec_csr_rdaddr_d[7] & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_120 = _T_119 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_121 = _T_120 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_122 = _T_121 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_123 = _T_122 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_138 = _T_15 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_139 = _T_138 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_140 = _T_139 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_141 = _T_140 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_142 = _T_141 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_145 = ~io_dec_csr_rdaddr_d[10]; // @[el2_dec_tlu_ctl.scala 2648:123] + wire _T_156 = _T_145 & io_dec_csr_rdaddr_d[7]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_157 = _T_156 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_158 = _T_157 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_159 = _T_158 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_160 = _T_159 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_172 = _T_75 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_173 = _T_172 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_182 = _T_75 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_183 = _T_182 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_191 = _T_75 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_196 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_217 = _T_1 & io_dec_csr_rdaddr_d[7]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_218 = _T_217 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_219 = _T_218 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_220 = _T_219 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_230 = io_dec_csr_rdaddr_d[10] & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_231 = _T_230 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_232 = _T_231 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_240 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[10]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_241 = _T_240 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_258 = _T_145 & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_259 = _T_258 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_260 = _T_259 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_261 = _T_260 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_268 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_269 = _T_268 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_281 = _T_268 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_291 = _T_36 & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_292 = _T_291 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_299 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_300 = _T_299 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_310 = _T_300 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_311 = _T_310 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_330 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_331 = _T_330 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_332 = _T_331 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_342 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_381 = _T_103 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_382 = _T_381 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_397 = _T_103 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_411 = _T_15 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_412 = _T_411 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_413 = _T_412 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_414 = _T_413 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_415 = _T_414 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_426 = io_dec_csr_rdaddr_d[7] & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_427 = _T_426 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_428 = _T_427 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_429 = _T_428 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_444 = _T_119 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_445 = _T_444 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_446 = _T_445 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_447 = _T_446 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_460 = _T_427 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_461 = _T_460 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_478 = _T_446 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_490 = _T_15 & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_491 = _T_490 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_492 = _T_491 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_493 = _T_492 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_505 = io_dec_csr_rdaddr_d[5] & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_506 = _T_505 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_507 = _T_506 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_508 = _T_507 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_536 = _T_507 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_553 = _T_493 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_562 = io_dec_csr_rdaddr_d[6] & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_563 = _T_562 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_564 = _T_563 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_572 = io_dec_csr_rdaddr_d[6] & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_573 = _T_572 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_574 = _T_573 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_585 = _T_563 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_593 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_594 = _T_593 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_595 = _T_594 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_614 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_615 = _T_614 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_624 = io_dec_csr_rdaddr_d[6] & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_625 = _T_624 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_626 = _T_625 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_668 = _T_196 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_669 = _T_668 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_685 = _T_196 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_693 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_694 = _T_693 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_695 = _T_694 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_703 = _T_624 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_716 = _T_1 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_717 = _T_716 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_718 = _T_717 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_719 = _T_718 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_726 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_727 = _T_726 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_737 = _T_230 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_738 = _T_737 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_748 = _T_726 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_749 = _T_748 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_787 = _T_311 | _T_553; // @[el2_dec_tlu_ctl.scala 2716:73] + wire _T_799 = _T_3 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_800 = _T_799 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_801 = _T_800 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_802 = _T_801 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_803 = _T_802 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_804 = _T_787 | _T_803; // @[el2_dec_tlu_ctl.scala 2716:113] + wire _T_813 = io_dec_csr_rdaddr_d[11] & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_814 = _T_813 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_815 = _T_814 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_816 = _T_815 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_817 = _T_804 | _T_816; // @[el2_dec_tlu_ctl.scala 2716:147] + wire _T_828 = _T_814 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_829 = _T_828 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_830 = _T_817 | _T_829; // @[el2_dec_tlu_ctl.scala 2717:41] + wire _T_841 = io_dec_csr_rdaddr_d[7] & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_842 = _T_841 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_843 = _T_842 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_844 = _T_843 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_845 = _T_844 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_869 = _T_311 | _T_70; // @[el2_dec_tlu_ctl.scala 2718:73] + wire _T_879 = _T_869 | _T_183; // @[el2_dec_tlu_ctl.scala 2718:113] + wire _T_889 = _T_879 | _T_342; // @[el2_dec_tlu_ctl.scala 2718:154] + wire _T_904 = _T_1 & _T_15; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_905 = _T_904 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_906 = _T_905 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_907 = _T_906 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_908 = _T_907 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_909 = _T_908 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_910 = _T_889 | _T_909; // @[el2_dec_tlu_ctl.scala 2719:41] + wire _T_922 = _T_217 & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_923 = _T_922 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_924 = _T_923 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_925 = _T_924 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_926 = _T_910 | _T_925; // @[el2_dec_tlu_ctl.scala 2719:81] + wire _T_937 = _T_231 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_938 = _T_937 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_955 = _T_1 & io_dec_csr_rdaddr_d[10]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_956 = _T_955 & io_dec_csr_rdaddr_d[9]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_957 = _T_956 & io_dec_csr_rdaddr_d[8]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_958 = _T_957 & io_dec_csr_rdaddr_d[7]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_959 = _T_958 & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_960 = _T_959 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_961 = _T_960 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_962 = _T_961 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_963 = _T_962 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_964 = _T_963 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_983 = _T_1 & _T_145; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_984 = _T_983 & io_dec_csr_rdaddr_d[9]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_985 = _T_984 & io_dec_csr_rdaddr_d[8]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_986 = _T_985 & _T_15; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_987 = _T_986 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_988 = _T_987 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_989 = _T_988 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_990 = _T_989 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_991 = _T_990 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_992 = _T_964 | _T_991; // @[el2_dec_tlu_ctl.scala 2721:73] + wire _T_1013 = _T_987 & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1014 = _T_1013 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1015 = _T_1014 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1016 = _T_992 | _T_1015; // @[el2_dec_tlu_ctl.scala 2721:121] + wire _T_1032 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[9]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1033 = _T_1032 & io_dec_csr_rdaddr_d[8]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1034 = _T_1033 & io_dec_csr_rdaddr_d[7]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1035 = _T_1034 & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1036 = _T_1035 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1037 = _T_1036 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1038 = _T_1037 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1039 = _T_1038 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1040 = _T_1039 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1041 = _T_1016 | _T_1040; // @[el2_dec_tlu_ctl.scala 2722:57] + wire _T_1053 = io_dec_csr_rdaddr_d[11] & _T_145; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1054 = _T_1053 & io_dec_csr_rdaddr_d[9]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1055 = _T_1054 & io_dec_csr_rdaddr_d[8]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1056 = _T_1055 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1057 = _T_1056 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1058 = _T_1057 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1059 = _T_1041 | _T_1058; // @[el2_dec_tlu_ctl.scala 2722:105] + wire _T_1078 = _T_959 & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1079 = _T_1078 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1080 = _T_1079 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1081 = _T_1080 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1082 = _T_1081 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1083 = _T_1082 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1084 = _T_1059 | _T_1083; // @[el2_dec_tlu_ctl.scala 2723:65] + wire _T_1105 = _T_1079 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1106 = _T_1105 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1107 = _T_1084 | _T_1106; // @[el2_dec_tlu_ctl.scala 2723:113] + wire _T_1125 = _T_1033 & _T_15; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1126 = _T_1125 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1127 = _T_1126 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1128 = _T_1127 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1129 = _T_1128 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1130 = _T_1129 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1131 = _T_1130 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1132 = _T_1107 | _T_1131; // @[el2_dec_tlu_ctl.scala 2724:57] + wire _T_1152 = _T_958 & _T_3; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1153 = _T_1152 & io_dec_csr_rdaddr_d[5]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1154 = _T_1153 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1155 = _T_1154 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1156 = _T_1155 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1157 = _T_1132 | _T_1156; // @[el2_dec_tlu_ctl.scala 2724:113] + wire _T_1176 = _T_1013 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1177 = _T_1157 | _T_1176; // @[el2_dec_tlu_ctl.scala 2725:57] + wire _T_1202 = _T_1129 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1203 = _T_1202 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1204 = _T_1203 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1205 = _T_1177 | _T_1204; // @[el2_dec_tlu_ctl.scala 2725:113] + wire _T_1224 = _T_959 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1225 = _T_1224 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1226 = _T_1225 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1227 = _T_1226 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1228 = _T_1205 | _T_1227; // @[el2_dec_tlu_ctl.scala 2726:57] + wire _T_1248 = _T_1224 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1249 = _T_1248 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1250 = _T_1249 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1251 = _T_1228 | _T_1250; // @[el2_dec_tlu_ctl.scala 2726:113] + wire _T_1275 = _T_1130 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1276 = _T_1251 | _T_1275; // @[el2_dec_tlu_ctl.scala 2727:57] + wire _T_1296 = _T_1013 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1297 = _T_1296 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1298 = _T_1276 | _T_1297; // @[el2_dec_tlu_ctl.scala 2727:113] + wire _T_1315 = _T_1055 & io_dec_csr_rdaddr_d[7]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1316 = _T_1315 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1317 = _T_1316 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1318 = _T_1317 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1319 = _T_1318 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1320 = _T_1298 | _T_1319; // @[el2_dec_tlu_ctl.scala 2728:65] + wire _T_1343 = _T_1318 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1344 = _T_1343 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1345 = _T_1320 | _T_1344; // @[el2_dec_tlu_ctl.scala 2728:121] + wire _T_1361 = _T_1057 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1362 = _T_1345 | _T_1361; // @[el2_dec_tlu_ctl.scala 2729:57] + wire _T_1384 = _T_1249 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1385 = _T_1362 | _T_1384; // @[el2_dec_tlu_ctl.scala 2729:113] + wire _T_1406 = _T_1225 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1407 = _T_1385 | _T_1406; // @[el2_dec_tlu_ctl.scala 2730:57] + wire _T_1430 = _T_1226 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1431 = _T_1407 | _T_1430; // @[el2_dec_tlu_ctl.scala 2730:113] + wire _T_1455 = _T_1153 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1456 = _T_1455 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1457 = _T_1456 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1458 = _T_1457 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1459 = _T_1431 | _T_1458; // @[el2_dec_tlu_ctl.scala 2731:57] + wire _T_1475 = _T_1057 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1476 = _T_1459 | _T_1475; // @[el2_dec_tlu_ctl.scala 2731:105] + wire _T_1498 = _T_986 & io_dec_csr_rdaddr_d[6]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1499 = _T_1498 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1500 = _T_1499 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1501 = _T_1500 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1502 = _T_1501 & _T_7; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1503 = _T_1476 | _T_1502; // @[el2_dec_tlu_ctl.scala 2732:65] + wire _T_1526 = _T_986 & _T_5; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1527 = _T_1526 & _T_94; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1528 = _T_1527 & _T_96; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1529 = _T_1528 & _T_17; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1530 = _T_1529 & _T_27; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1531 = _T_1503 | _T_1530; // @[el2_dec_tlu_ctl.scala 2732:113] + wire _T_1550 = _T_1013 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1551 = _T_1531 | _T_1550; // @[el2_dec_tlu_ctl.scala 2733:57] + wire _T_1567 = _T_1057 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1568 = _T_1551 | _T_1567; // @[el2_dec_tlu_ctl.scala 2733:113] + wire _T_1587 = _T_1013 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + wire _T_1588 = _T_1568 | _T_1587; // @[el2_dec_tlu_ctl.scala 2734:65] + wire _T_1604 = _T_1057 & io_dec_csr_rdaddr_d[4]; // @[el2_dec_tlu_ctl.scala 2648:192] + assign io_csr_pkt_csr_misa = _T_11 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2650:49] + assign io_csr_pkt_csr_mvendorid = _T_20 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2651:49] + assign io_csr_pkt_csr_marchid = _T_29 & _T_27; // @[el2_dec_tlu_ctl.scala 2652:57] + assign io_csr_pkt_csr_mimpid = _T_37 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2653:57] + assign io_csr_pkt_csr_mhartid = _T_19 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2654:57] + assign io_csr_pkt_csr_mstatus = _T_11 & _T_27; // @[el2_dec_tlu_ctl.scala 2655:57] + assign io_csr_pkt_csr_mtvec = _T_69 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2656:49] + assign io_csr_pkt_csr_mip = _T_75 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2657:57] + assign io_csr_pkt_csr_mie = _T_69 & _T_27; // @[el2_dec_tlu_ctl.scala 2658:57] + assign io_csr_pkt_csr_mcyclel = _T_104 & _T_17; // @[el2_dec_tlu_ctl.scala 2659:57] + assign io_csr_pkt_csr_mcycleh = _T_123 & _T_17; // @[el2_dec_tlu_ctl.scala 2660:57] + assign io_csr_pkt_csr_minstretl = _T_142 & _T_27; // @[el2_dec_tlu_ctl.scala 2661:49] + assign io_csr_pkt_csr_minstreth = _T_160 & _T_27; // @[el2_dec_tlu_ctl.scala 2662:49] + assign io_csr_pkt_csr_mscratch = _T_173 & _T_27; // @[el2_dec_tlu_ctl.scala 2663:49] + assign io_csr_pkt_csr_mepc = _T_182 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2664:49] + assign io_csr_pkt_csr_mcause = _T_191 & _T_27; // @[el2_dec_tlu_ctl.scala 2665:57] + assign io_csr_pkt_csr_mscause = _T_196 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2666:57] + assign io_csr_pkt_csr_mtval = _T_191 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2667:49] + assign io_csr_pkt_csr_mrac = _T_220 & _T_17; // @[el2_dec_tlu_ctl.scala 2668:49] + assign io_csr_pkt_csr_dmst = _T_232 & _T_17; // @[el2_dec_tlu_ctl.scala 2669:49] + assign io_csr_pkt_csr_mdseac = _T_241 & _T_96; // @[el2_dec_tlu_ctl.scala 2670:57] + assign io_csr_pkt_csr_meihap = _T_240 & io_dec_csr_rdaddr_d[3]; // @[el2_dec_tlu_ctl.scala 2671:57] + assign io_csr_pkt_csr_meivt = _T_261 & _T_27; // @[el2_dec_tlu_ctl.scala 2672:49] + assign io_csr_pkt_csr_meipt = _T_269 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2673:49] + assign io_csr_pkt_csr_meicurpl = _T_268 & io_dec_csr_rdaddr_d[2]; // @[el2_dec_tlu_ctl.scala 2674:49] + assign io_csr_pkt_csr_meicidpl = _T_281 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2675:49] + assign io_csr_pkt_csr_dcsr = _T_292 & _T_27; // @[el2_dec_tlu_ctl.scala 2676:49] + assign io_csr_pkt_csr_mcgc = _T_300 & _T_27; // @[el2_dec_tlu_ctl.scala 2677:49] + assign io_csr_pkt_csr_mfdc = _T_310 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2678:49] + assign io_csr_pkt_csr_dpc = _T_292 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2679:57] + assign io_csr_pkt_csr_mtsel = _T_332 & _T_27; // @[el2_dec_tlu_ctl.scala 2680:49] + assign io_csr_pkt_csr_mtdata1 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2681:57] + assign io_csr_pkt_csr_mtdata2 = _T_331 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2682:57] + assign io_csr_pkt_csr_mhpmc3 = _T_104 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2683:57] + assign io_csr_pkt_csr_mhpmc4 = _T_382 & _T_27; // @[el2_dec_tlu_ctl.scala 2684:57] + assign io_csr_pkt_csr_mhpmc5 = _T_397 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2685:57] + assign io_csr_pkt_csr_mhpmc6 = _T_415 & _T_27; // @[el2_dec_tlu_ctl.scala 2686:57] + assign io_csr_pkt_csr_mhpmc3h = _T_429 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2687:57] + assign io_csr_pkt_csr_mhpmc4h = _T_447 & _T_27; // @[el2_dec_tlu_ctl.scala 2688:57] + assign io_csr_pkt_csr_mhpmc5h = _T_461 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2689:57] + assign io_csr_pkt_csr_mhpmc6h = _T_478 & _T_27; // @[el2_dec_tlu_ctl.scala 2690:57] + assign io_csr_pkt_csr_mhpme3 = _T_493 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2691:57] + assign io_csr_pkt_csr_mhpme4 = _T_508 & _T_27; // @[el2_dec_tlu_ctl.scala 2692:57] + assign io_csr_pkt_csr_mhpme5 = _T_508 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2693:57] + assign io_csr_pkt_csr_mhpme6 = _T_536 & _T_27; // @[el2_dec_tlu_ctl.scala 2694:57] + assign io_csr_pkt_csr_mcountinhibit = _T_493 & _T_27; // @[el2_dec_tlu_ctl.scala 2695:41] + assign io_csr_pkt_csr_mitctl0 = _T_564 & _T_27; // @[el2_dec_tlu_ctl.scala 2696:57] + assign io_csr_pkt_csr_mitctl1 = _T_574 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2697:57] + assign io_csr_pkt_csr_mitb0 = _T_585 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2698:49] + assign io_csr_pkt_csr_mitb1 = _T_595 & _T_27; // @[el2_dec_tlu_ctl.scala 2699:49] + assign io_csr_pkt_csr_mitcnt0 = _T_585 & _T_27; // @[el2_dec_tlu_ctl.scala 2700:57] + assign io_csr_pkt_csr_mitcnt1 = _T_615 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2701:57] + assign io_csr_pkt_csr_mpmc = _T_626 & io_dec_csr_rdaddr_d[1]; // @[el2_dec_tlu_ctl.scala 2702:49] + assign io_csr_pkt_csr_meicpct = _T_281 & _T_27; // @[el2_dec_tlu_ctl.scala 2704:57] + assign io_csr_pkt_csr_micect = _T_669 & _T_27; // @[el2_dec_tlu_ctl.scala 2706:57] + assign io_csr_pkt_csr_miccmect = _T_668 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2707:49] + assign io_csr_pkt_csr_mdccmect = _T_685 & _T_27; // @[el2_dec_tlu_ctl.scala 2708:49] + assign io_csr_pkt_csr_mfdht = _T_695 & _T_27; // @[el2_dec_tlu_ctl.scala 2709:49] + assign io_csr_pkt_csr_mfdhs = _T_703 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2710:49] + assign io_csr_pkt_csr_dicawics = _T_719 & _T_27; // @[el2_dec_tlu_ctl.scala 2711:49] + assign io_csr_pkt_csr_dicad0h = _T_727 & _T_17; // @[el2_dec_tlu_ctl.scala 2712:57] + assign io_csr_pkt_csr_dicad0 = _T_738 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2713:57] + assign io_csr_pkt_csr_dicad1 = _T_749 & _T_27; // @[el2_dec_tlu_ctl.scala 2714:57] + assign io_csr_pkt_csr_dicago = _T_749 & io_dec_csr_rdaddr_d[0]; // @[el2_dec_tlu_ctl.scala 2715:57] + assign io_csr_pkt_presync = _T_830 | _T_845; // @[el2_dec_tlu_ctl.scala 2716:26] + assign io_csr_pkt_postsync = _T_926 | _T_938; // @[el2_dec_tlu_ctl.scala 2718:24] + assign io_csr_pkt_legal = _T_1588 | _T_1604; // @[el2_dec_tlu_ctl.scala 2721:20] +endmodule +module el2_dec_tlu_ctl( + input clock, + input reset, + input io_active_clk, + input io_free_clk, + input io_scan_mode, + input [30:0] io_rst_vec, + input io_nmi_int, + input [30:0] io_nmi_vec, + input io_i_cpu_halt_req, + input io_i_cpu_run_req, + input io_lsu_fastint_stall_any, + input io_ifu_pmu_instr_aligned, + input io_ifu_pmu_fetch_stall, + input io_ifu_pmu_ic_miss, + input io_ifu_pmu_ic_hit, + input io_ifu_pmu_bus_error, + input io_ifu_pmu_bus_busy, + input io_ifu_pmu_bus_trxn, + input io_dec_pmu_instr_decoded, + input io_dec_pmu_decode_stall, + input io_dec_pmu_presync_stall, + input io_dec_pmu_postsync_stall, + input io_lsu_store_stall_any, + input io_dma_dccm_stall_any, + input io_dma_iccm_stall_any, + input io_exu_pmu_i0_br_misp, + input io_exu_pmu_i0_br_ataken, + input io_exu_pmu_i0_pc4, + input io_lsu_pmu_bus_trxn, + input io_lsu_pmu_bus_misaligned, + input io_lsu_pmu_bus_error, + input io_lsu_pmu_bus_busy, + input io_lsu_pmu_load_external_m, + input io_lsu_pmu_store_external_m, + input io_dma_pmu_dccm_read, + input io_dma_pmu_dccm_write, + input io_dma_pmu_any_read, + input io_dma_pmu_any_write, + input [30:0] io_lsu_fir_addr, + input [1:0] io_lsu_fir_error, + input io_iccm_dma_sb_error, + input io_lsu_error_pkt_r_exc_valid, + input io_lsu_error_pkt_r_single_ecc_error, + input io_lsu_error_pkt_r_inst_type, + input io_lsu_error_pkt_r_exc_type, + input io_lsu_error_pkt_r_mscause, + input io_lsu_error_pkt_r_addr, + input io_lsu_single_ecc_error_incr, + input io_dec_pause_state, + input io_lsu_imprecise_error_store_any, + input io_lsu_imprecise_error_load_any, + input [31:0] io_lsu_imprecise_error_addr_any, + input io_dec_csr_wen_unq_d, + input io_dec_csr_any_unq_d, + input [11:0] io_dec_csr_rdaddr_d, + input io_dec_csr_wen_r, + input [11:0] io_dec_csr_wraddr_r, + input [31:0] io_dec_csr_wrdata_r, + input io_dec_csr_stall_int_ff, + input io_dec_tlu_i0_valid_r, + input [30:0] io_exu_npc_r, + input [30:0] io_dec_tlu_i0_pc_r, + input io_dec_tlu_packet_r_legal, + input io_dec_tlu_packet_r_icaf, + input io_dec_tlu_packet_r_icaf_f1, + input [1:0] io_dec_tlu_packet_r_icaf_type, + input io_dec_tlu_packet_r_fence_i, + input [3:0] io_dec_tlu_packet_r_i0trigger, + input [3:0] io_dec_tlu_packet_r_pmu_i0_itype, + input io_dec_tlu_packet_r_pmu_i0_br_unpred, + input io_dec_tlu_packet_r_pmu_divide, + input io_dec_tlu_packet_r_pmu_lsu_misaligned, + input [31:0] io_dec_illegal_inst, + input io_dec_i0_decode_d, + input [1:0] io_exu_i0_br_hist_r, + input io_exu_i0_br_error_r, + input io_exu_i0_br_start_error_r, + input io_exu_i0_br_valid_r, + input io_exu_i0_br_mp_r, + input io_exu_i0_br_middle_r, + input io_exu_i0_br_way_r, + output io_dec_dbg_cmd_done, + output io_dec_dbg_cmd_fail, + output io_dec_tlu_dbg_halted, + output io_dec_tlu_debug_mode, + output io_dec_tlu_resume_ack, + output io_dec_tlu_debug_stall, + output io_dec_tlu_flush_noredir_r, + output io_dec_tlu_mpc_halted_only, + output io_dec_tlu_flush_leak_one_r, + output io_dec_tlu_flush_err_r, + output io_dec_tlu_flush_extint, + output [29:0] io_dec_tlu_meihap, + input io_dbg_halt_req, + input io_dbg_resume_req, + input io_ifu_miss_state_idle, + input io_lsu_idle_any, + input io_dec_div_active, + output io_trigger_pkt_any_0_select, + output io_trigger_pkt_any_0_match_, + output io_trigger_pkt_any_0_store, + output io_trigger_pkt_any_0_load, + output io_trigger_pkt_any_0_execute, + output io_trigger_pkt_any_0_m, + output [31:0] io_trigger_pkt_any_0_tdata2, + output io_trigger_pkt_any_1_select, + output io_trigger_pkt_any_1_match_, + output io_trigger_pkt_any_1_store, + output io_trigger_pkt_any_1_load, + output io_trigger_pkt_any_1_execute, + output io_trigger_pkt_any_1_m, + output [31:0] io_trigger_pkt_any_1_tdata2, + output io_trigger_pkt_any_2_select, + output io_trigger_pkt_any_2_match_, + output io_trigger_pkt_any_2_store, + output io_trigger_pkt_any_2_load, + output io_trigger_pkt_any_2_execute, + output io_trigger_pkt_any_2_m, + output [31:0] io_trigger_pkt_any_2_tdata2, + output io_trigger_pkt_any_3_select, + output io_trigger_pkt_any_3_match_, + output io_trigger_pkt_any_3_store, + output io_trigger_pkt_any_3_load, + output io_trigger_pkt_any_3_execute, + output io_trigger_pkt_any_3_m, + output [31:0] io_trigger_pkt_any_3_tdata2, + input io_ifu_ic_error_start, + input io_ifu_iccm_rd_ecc_single_err, + input [70:0] io_ifu_ic_debug_rd_data, + input io_ifu_ic_debug_rd_data_valid, + output [70:0] io_dec_tlu_ic_diag_pkt_icache_wrdata, + output [16:0] io_dec_tlu_ic_diag_pkt_icache_dicawics, + output io_dec_tlu_ic_diag_pkt_icache_rd_valid, + output io_dec_tlu_ic_diag_pkt_icache_wr_valid, + input [7:0] io_pic_claimid, + input [3:0] io_pic_pl, + input io_mhwakeup, + input io_mexintpend, + input io_timer_int, + input io_soft_int, + output io_o_cpu_halt_status, + output io_o_cpu_halt_ack, + output io_o_cpu_run_ack, + output io_o_debug_mode_status, + input [27:0] io_core_id, + input io_mpc_debug_halt_req, + input io_mpc_debug_run_req, + input io_mpc_reset_run_req, + output io_mpc_debug_halt_ack, + output io_mpc_debug_run_ack, + output io_debug_brkpt_status, + output [3:0] io_dec_tlu_meicurpl, + output [3:0] io_dec_tlu_meipt, + output [31:0] io_dec_csr_rddata_d, + output io_dec_csr_legal_d, + output io_dec_tlu_br0_r_pkt_valid, + output [1:0] io_dec_tlu_br0_r_pkt_hist, + output io_dec_tlu_br0_r_pkt_br_error, + output io_dec_tlu_br0_r_pkt_br_start_error, + output io_dec_tlu_br0_r_pkt_way, + output io_dec_tlu_br0_r_pkt_middle, + output io_dec_tlu_i0_kill_writeb_wb, + output io_dec_tlu_flush_lower_wb, + output io_dec_tlu_i0_commit_cmt, + output io_dec_tlu_i0_kill_writeb_r, + output io_dec_tlu_flush_lower_r, + output [30:0] io_dec_tlu_flush_path_r, + output io_dec_tlu_fence_i_r, + output io_dec_tlu_wr_pause_r, + output io_dec_tlu_flush_pause_r, + output io_dec_tlu_presync_d, + output io_dec_tlu_postsync_d, + output [31:0] io_dec_tlu_mrac_ff, + output io_dec_tlu_force_halt, + output io_dec_tlu_perfcnt0, + output io_dec_tlu_perfcnt1, + output io_dec_tlu_perfcnt2, + output io_dec_tlu_perfcnt3, + output io_dec_tlu_i0_exc_valid_wb1, + output io_dec_tlu_i0_valid_wb1, + output io_dec_tlu_int_valid_wb1, + output [4:0] io_dec_tlu_exc_cause_wb1, + output [31:0] io_dec_tlu_mtval_wb1, + output io_dec_tlu_external_ldfwd_disable, + output io_dec_tlu_sideeffect_posted_disable, + output io_dec_tlu_core_ecc_disable, + output io_dec_tlu_bpred_disable, + output io_dec_tlu_wb_coalescing_disable, + output io_dec_tlu_pipelining_disable, + output [2:0] io_dec_tlu_dma_qos_prty, + output io_dec_tlu_misc_clk_override, + output io_dec_tlu_dec_clk_override, + output io_dec_tlu_ifu_clk_override, + output io_dec_tlu_lsu_clk_override, + output io_dec_tlu_bus_clk_override, + output io_dec_tlu_pic_clk_override, + output io_dec_tlu_dccm_clk_override, + output io_dec_tlu_icm_clk_override +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; +`endif // RANDOMIZE_REG_INIT + wire int_timers_clock; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_reset; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_free_clk; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_scan_mode; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_dec_csr_wen_r_mod; // @[el2_dec_tlu_ctl.scala 355:24] + wire [11:0] int_timers_io_dec_csr_wraddr_r; // @[el2_dec_tlu_ctl.scala 355:24] + wire [31:0] int_timers_io_dec_csr_wrdata_r; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_csr_mitctl0; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_csr_mitctl1; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_csr_mitb0; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_csr_mitb1; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_csr_mitcnt0; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_csr_mitcnt1; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_dec_pause_state; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_dec_tlu_pmu_fw_halted; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_internal_dbg_halt_timers; // @[el2_dec_tlu_ctl.scala 355:24] + wire [31:0] int_timers_io_dec_timer_rddata_d; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_dec_timer_read_d; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_dec_timer_t0_pulse; // @[el2_dec_tlu_ctl.scala 355:24] + wire int_timers_io_dec_timer_t1_pulse; // @[el2_dec_tlu_ctl.scala 355:24] + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_io_clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_io_en; // @[el2_lib.scala 474:22] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 474:22] + wire rvclkhdr_1_io_l1clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_1_io_clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_1_io_en; // @[el2_lib.scala 474:22] + wire rvclkhdr_1_io_scan_mode; // @[el2_lib.scala 474:22] + wire rvclkhdr_2_io_l1clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_2_io_clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_2_io_en; // @[el2_lib.scala 474:22] + wire rvclkhdr_2_io_scan_mode; // @[el2_lib.scala 474:22] + wire rvclkhdr_3_io_l1clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_3_io_clk; // @[el2_lib.scala 474:22] + wire rvclkhdr_3_io_en; // @[el2_lib.scala 474:22] + wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 474:22] + wire csr_clock; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_reset; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_free_clk; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_active_clk; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_scan_mode; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_dec_csr_wrdata_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire [11:0] csr_io_dec_csr_wraddr_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire [11:0] csr_io_dec_csr_rdaddr_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_csr_wen_unq_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_i0_decode_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire [70:0] csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_dec_tlu_ctl.scala 897:17] + wire [16:0] csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_ic_debug_rd_data_valid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_0_select; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_0_match_; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_0_store; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_0_load; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_0_execute; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_0_m; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_trigger_pkt_any_0_tdata2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_1_select; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_1_match_; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_1_store; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_1_load; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_1_execute; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_1_m; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_trigger_pkt_any_1_tdata2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_2_select; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_2_match_; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_2_store; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_2_load; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_2_execute; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_2_m; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_trigger_pkt_any_2_tdata2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_3_select; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_3_match_; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_3_store; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_3_load; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_3_execute; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_pkt_any_3_m; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_trigger_pkt_any_3_tdata2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_bus_trxn; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dma_iccm_stall_any; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dma_dccm_stall_any; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_store_stall_any; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_pmu_presync_stall; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_pmu_postsync_stall; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_pmu_decode_stall; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_fetch_stall; // @[el2_dec_tlu_ctl.scala 897:17] + wire [1:0] csr_io_dec_tlu_packet_r_icaf_type; // @[el2_dec_tlu_ctl.scala 897:17] + wire [3:0] csr_io_dec_tlu_packet_r_pmu_i0_itype; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_packet_r_pmu_divide; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_exu_pmu_i0_br_ataken; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_exu_pmu_i0_br_misp; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_pmu_instr_decoded; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_instr_aligned; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_exu_pmu_i0_pc4; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_ic_miss; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_ic_hit; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_int_valid_wb1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_i0_exc_valid_wb1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_i0_valid_wb1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_csr_wen_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_dec_tlu_mtval_wb1; // @[el2_dec_tlu_ctl.scala 897:17] + wire [4:0] csr_io_dec_tlu_exc_cause_wb1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_perfcnt0; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_perfcnt1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_perfcnt2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_perfcnt3; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dma_pmu_dccm_write; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dma_pmu_dccm_read; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dma_pmu_any_write; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dma_pmu_any_read; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_pmu_bus_busy; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_dec_tlu_i0_pc_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_csr_any_unq_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_misc_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_dec_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_ifu_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_lsu_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_bus_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_pic_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_dccm_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_icm_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_dec_csr_rddata_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_pipelining_disable; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_wr_pause_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_bus_busy; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_pmu_bus_error; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_pmu_bus_error; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_pmu_bus_misaligned; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_pmu_bus_trxn; // @[el2_dec_tlu_ctl.scala 897:17] + wire [70:0] csr_io_ifu_ic_debug_rd_data; // @[el2_dec_tlu_ctl.scala 897:17] + wire [3:0] csr_io_dec_tlu_meipt; // @[el2_dec_tlu_ctl.scala 897:17] + wire [3:0] csr_io_pic_pl; // @[el2_dec_tlu_ctl.scala 897:17] + wire [3:0] csr_io_dec_tlu_meicurpl; // @[el2_dec_tlu_ctl.scala 897:17] + wire [29:0] csr_io_dec_tlu_meihap; // @[el2_dec_tlu_ctl.scala 897:17] + wire [7:0] csr_io_pic_claimid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_iccm_dma_sb_error; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_lsu_imprecise_error_addr_any; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_imprecise_error_load_any; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_imprecise_error_store_any; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_dec_tlu_mrac_ff; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_wb_coalescing_disable; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_bpred_disable; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_sideeffect_posted_disable; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_core_ecc_disable; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_external_ldfwd_disable; // @[el2_dec_tlu_ctl.scala 897:17] + wire [2:0] csr_io_dec_tlu_dma_qos_prty; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_dec_illegal_inst; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_error_pkt_r_mscause; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mexintpend; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_exu_npc_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mpc_reset_run_req; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_rst_vec; // @[el2_dec_tlu_ctl.scala 897:17] + wire [27:0] csr_io_core_id; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_dec_timer_rddata_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_timer_read_d; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_csr_wen_r_mod; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_rfpc_i0_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_i0_trigger_hit_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_fw_halt_req; // @[el2_dec_tlu_ctl.scala 897:17] + wire [1:0] csr_io_mstatus; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_exc_or_int_valid_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mret_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mstatus_mie_ns; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dcsr_single_step_running_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire [15:0] csr_io_dcsr; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_mtvec; // @[el2_dec_tlu_ctl.scala 897:17] + wire [5:0] csr_io_mip; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_timer_t0_pulse; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_timer_t1_pulse; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_timer_int_sync; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_soft_int_sync; // @[el2_dec_tlu_ctl.scala 897:17] + wire [5:0] csr_io_mie_ns; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_wr_clk; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ebreak_to_debug_mode_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_pmu_fw_halted; // @[el2_dec_tlu_ctl.scala 897:17] + wire [1:0] csr_io_lsu_fir_error; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_npc_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_flush_noredir_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_tlu_flush_path_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_npc_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_reset_delayed; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_mepc; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_interrupt_valid_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_i0_exception_valid_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mepc_trigger_hit_sel_pc_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_e4e5_int_clk; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_i0_exc_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_inst_acc_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_inst_acc_second_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_take_nmi; // @[el2_dec_tlu_ctl.scala 897:17] + wire [31:0] csr_io_lsu_error_pkt_addr_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire [4:0] csr_io_exc_cause_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_i0_valid_wb; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_exc_or_int_valid_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_interrupt_valid_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_clk_override; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_i0_exception_valid_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_i0_exc_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire [4:0] csr_io_exc_cause_wb; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_nmi_lsu_store_type; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_nmi_lsu_load_type; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_tlu_i0_commit_cmt; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ebreak_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ecall_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_illegal_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mdseac_locked_ns; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_mdseac_locked_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_nmi_int_detected_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_internal_dbg_halt_mode_f2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ic_perr_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_iccm_sbecc_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_single_ecc_error_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ifu_miss_state_idle_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_idle_any_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dbg_tlu_halted; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_debug_halt_req_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_force_halt; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_take_ext_int_start; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_trigger_hit_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_debug_halt_req; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_allow_dbg_halt_csr_write; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_internal_dbg_halt_mode_f; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_enter_debug_halt_req; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_internal_dbg_halt_mode; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_request_debug_mode_done; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_request_debug_mode_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire [30:0] csr_io_dpc; // @[el2_dec_tlu_ctl.scala 897:17] + wire [3:0] csr_io_update_hit_bit_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_take_timer_int; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_take_int_timer0_int; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_take_int_timer1_int; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_take_ext_int; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_tlu_flush_lower_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_br0_error_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_dec_tlu_br0_start_error_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_pmu_load_external_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_lsu_pmu_store_external_r; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_misa; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mvendorid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_marchid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mimpid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhartid; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mstatus; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mtvec; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mip; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mie; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mcyclel; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mcycleh; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_minstretl; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_minstreth; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mscratch; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mepc; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mcause; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mscause; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mtval; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mrac; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mdseac; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_meihap; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_meivt; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_meipt; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_meicurpl; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_meicidpl; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_dcsr; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mcgc; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mfdc; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_dpc; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mtsel; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mtdata1; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mtdata2; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc3; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc4; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc5; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc6; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc3h; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc4h; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc5h; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpmc6h; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpme3; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpme4; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpme5; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mhpme6; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mcountinhibit; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mpmc; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_micect; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_miccmect; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mdccmect; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mfdht; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_mfdhs; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_dicawics; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_dicad0h; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_dicad0; // @[el2_dec_tlu_ctl.scala 897:17] + wire csr_io_csr_pkt_csr_dicad1; // @[el2_dec_tlu_ctl.scala 897:17] + wire [9:0] csr_io_mtdata1_t_0; // @[el2_dec_tlu_ctl.scala 897:17] + wire [9:0] csr_io_mtdata1_t_1; // @[el2_dec_tlu_ctl.scala 897:17] + wire [9:0] csr_io_mtdata1_t_2; // @[el2_dec_tlu_ctl.scala 897:17] + wire [9:0] csr_io_mtdata1_t_3; // @[el2_dec_tlu_ctl.scala 897:17] + wire [11:0] csr_read_io_dec_csr_rdaddr_d; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_misa; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mvendorid; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_marchid; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mimpid; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhartid; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mstatus; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mtvec; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mip; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mie; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mcyclel; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mcycleh; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_minstretl; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_minstreth; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mscratch; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mepc; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mcause; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mscause; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mtval; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mrac; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dmst; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mdseac; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_meihap; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_meivt; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_meipt; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_meicurpl; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_meicidpl; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dcsr; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mcgc; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mfdc; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dpc; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mtsel; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mtdata1; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mtdata2; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc3; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc4; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc5; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc6; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc3h; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc4h; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc5h; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpmc6h; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpme3; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpme4; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpme5; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mhpme6; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mcountinhibit; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mitctl0; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mitctl1; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mitb0; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mitb1; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mitcnt0; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mitcnt1; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mpmc; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_meicpct; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_micect; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_miccmect; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mdccmect; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mfdht; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_mfdhs; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dicawics; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dicad0h; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dicad0; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dicad1; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_csr_dicago; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_presync; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_postsync; // @[el2_dec_tlu_ctl.scala 1090:22] + wire csr_read_io_csr_pkt_legal; // @[el2_dec_tlu_ctl.scala 1090:22] + reg dbg_halt_state_f; // @[el2_dec_tlu_ctl.scala 447:81] + wire _T = ~dbg_halt_state_f; // @[el2_dec_tlu_ctl.scala 354:33] + reg mpc_halt_state_f; // @[el2_dec_tlu_ctl.scala 442:81] + wire [2:0] _T_3 = {io_i_cpu_run_req,io_mpc_debug_halt_req,io_mpc_debug_run_req}; // @[Cat.scala 29:58] + wire [3:0] _T_6 = {io_nmi_int,io_timer_int,io_soft_int,io_i_cpu_halt_req}; // @[Cat.scala 29:58] + reg [6:0] _T_8; // @[el2_lib.scala 174:81] + reg [6:0] syncro_ff; // @[el2_lib.scala 174:58] + wire nmi_int_sync = syncro_ff[6]; // @[el2_dec_tlu_ctl.scala 382:67] + wire i_cpu_halt_req_sync = syncro_ff[3]; // @[el2_dec_tlu_ctl.scala 385:59] + wire i_cpu_run_req_sync = syncro_ff[2]; // @[el2_dec_tlu_ctl.scala 386:59] + wire mpc_debug_halt_req_sync_raw = syncro_ff[1]; // @[el2_dec_tlu_ctl.scala 387:51] + wire mpc_debug_run_req_sync = syncro_ff[0]; // @[el2_dec_tlu_ctl.scala 388:51] + wire dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[el2_dec_tlu_ctl.scala 345:41 el2_dec_tlu_ctl.scala 1083:31] + reg lsu_exc_valid_r_d1; // @[el2_dec_tlu_ctl.scala 693:74] + wire _T_11 = io_lsu_error_pkt_r_exc_valid | lsu_exc_valid_r_d1; // @[el2_dec_tlu_ctl.scala 392:65] + reg e5_valid; // @[el2_dec_tlu_ctl.scala 404:89] + wire e4e5_valid = io_dec_tlu_i0_valid_r | e5_valid; // @[el2_dec_tlu_ctl.scala 395:29] + reg debug_mode_status; // @[el2_dec_tlu_ctl.scala 405:73] + reg i_cpu_run_req_d1_raw; // @[el2_dec_tlu_ctl.scala 653:72] + reg nmi_int_delayed; // @[el2_dec_tlu_ctl.scala 420:64] + wire _T_37 = ~nmi_int_delayed; // @[el2_dec_tlu_ctl.scala 429:39] + wire _T_38 = nmi_int_sync & _T_37; // @[el2_dec_tlu_ctl.scala 429:37] + reg mdseac_locked_f; // @[el2_dec_tlu_ctl.scala 686:89] + wire _T_35 = ~mdseac_locked_f; // @[el2_dec_tlu_ctl.scala 427:26] + wire _T_36 = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_dec_tlu_ctl.scala 427:78] + wire nmi_lsu_detected = _T_35 & _T_36; // @[el2_dec_tlu_ctl.scala 427:43] + wire _T_39 = _T_38 | nmi_lsu_detected; // @[el2_dec_tlu_ctl.scala 429:57] + reg nmi_int_detected_f; // @[el2_dec_tlu_ctl.scala 421:72] + reg take_nmi_r_d1; // @[el2_dec_tlu_ctl.scala 894:90] + wire _T_40 = ~take_nmi_r_d1; // @[el2_dec_tlu_ctl.scala 429:100] + wire _T_41 = nmi_int_detected_f & _T_40; // @[el2_dec_tlu_ctl.scala 429:98] + wire _T_42 = _T_39 | _T_41; // @[el2_dec_tlu_ctl.scala 429:76] + reg take_ext_int_start_d3; // @[el2_dec_tlu_ctl.scala 826:58] + wire _T_43 = |io_lsu_fir_error; // @[el2_dec_tlu_ctl.scala 429:159] + wire _T_44 = take_ext_int_start_d3 & _T_43; // @[el2_dec_tlu_ctl.scala 429:140] + wire nmi_int_detected = _T_42 | _T_44; // @[el2_dec_tlu_ctl.scala 429:116] + wire _T_631 = ~io_dec_csr_stall_int_ff; // @[el2_dec_tlu_ctl.scala 803:22] + wire mstatus_mie_ns = csr_io_mstatus_mie_ns; // @[el2_dec_tlu_ctl.scala 344:41 el2_dec_tlu_ctl.scala 1082:31] + wire _T_632 = _T_631 & mstatus_mie_ns; // @[el2_dec_tlu_ctl.scala 803:47] + wire [5:0] mip = csr_io_mip; // @[el2_dec_tlu_ctl.scala 350:41 el2_dec_tlu_ctl.scala 1088:31] + wire _T_634 = _T_632 & mip[1]; // @[el2_dec_tlu_ctl.scala 803:64] + wire [5:0] mie_ns = csr_io_mie_ns; // @[el2_dec_tlu_ctl.scala 339:41 el2_dec_tlu_ctl.scala 1077:31] + wire timer_int_ready = _T_634 & mie_ns[1]; // @[el2_dec_tlu_ctl.scala 803:82] + wire _T_391 = nmi_int_detected | timer_int_ready; // @[el2_dec_tlu_ctl.scala 680:65] + wire _T_628 = _T_632 & mip[0]; // @[el2_dec_tlu_ctl.scala 802:64] + wire soft_int_ready = _T_628 & mie_ns[0]; // @[el2_dec_tlu_ctl.scala 802:82] + wire _T_392 = _T_391 | soft_int_ready; // @[el2_dec_tlu_ctl.scala 680:83] + reg int_timer0_int_hold_f; // @[el2_dec_tlu_ctl.scala 660:65] + wire _T_393 = _T_392 | int_timer0_int_hold_f; // @[el2_dec_tlu_ctl.scala 680:100] + reg int_timer1_int_hold_f; // @[el2_dec_tlu_ctl.scala 661:65] + wire _T_394 = _T_393 | int_timer1_int_hold_f; // @[el2_dec_tlu_ctl.scala 680:124] + wire _T_608 = _T_632 & mip[2]; // @[el2_dec_tlu_ctl.scala 799:65] + wire mhwakeup_ready = _T_608 & mie_ns[2]; // @[el2_dec_tlu_ctl.scala 799:83] + wire _T_395 = io_mhwakeup & mhwakeup_ready; // @[el2_dec_tlu_ctl.scala 680:163] + wire _T_396 = _T_394 | _T_395; // @[el2_dec_tlu_ctl.scala 680:148] + wire _T_397 = _T_396 & io_o_cpu_halt_status; // @[el2_dec_tlu_ctl.scala 680:182] + reg i_cpu_halt_req_d1; // @[el2_dec_tlu_ctl.scala 652:80] + wire _T_398 = ~i_cpu_halt_req_d1; // @[el2_dec_tlu_ctl.scala 680:207] + wire _T_399 = _T_397 & _T_398; // @[el2_dec_tlu_ctl.scala 680:205] + wire i_cpu_run_req_d1 = i_cpu_run_req_d1_raw | _T_399; // @[el2_dec_tlu_ctl.scala 680:44] + wire _T_14 = debug_mode_status | i_cpu_run_req_d1; // @[el2_dec_tlu_ctl.scala 396:49] + wire _T_685 = ~_T_43; // @[el2_dec_tlu_ctl.scala 831:45] + wire take_ext_int = take_ext_int_start_d3 & _T_685; // @[el2_dec_tlu_ctl.scala 831:43] + wire _T_698 = ~soft_int_ready; // @[el2_dec_tlu_ctl.scala 848:39] + wire _T_699 = timer_int_ready & _T_698; // @[el2_dec_tlu_ctl.scala 848:37] + wire _T_617 = ~io_lsu_fastint_stall_any; // @[el2_dec_tlu_ctl.scala 800:103] + wire ext_int_ready = mhwakeup_ready & _T_617; // @[el2_dec_tlu_ctl.scala 800:101] + wire _T_700 = ~ext_int_ready; // @[el2_dec_tlu_ctl.scala 848:57] + wire _T_701 = _T_699 & _T_700; // @[el2_dec_tlu_ctl.scala 848:55] + wire _T_622 = _T_632 & mip[5]; // @[el2_dec_tlu_ctl.scala 801:64] + wire ce_int_ready = _T_622 & mie_ns[5]; // @[el2_dec_tlu_ctl.scala 801:82] + wire _T_702 = ~ce_int_ready; // @[el2_dec_tlu_ctl.scala 848:74] + wire _T_703 = _T_701 & _T_702; // @[el2_dec_tlu_ctl.scala 848:72] + wire _T_152 = ~debug_mode_status; // @[el2_dec_tlu_ctl.scala 503:31] + reg dbg_halt_req_held; // @[el2_dec_tlu_ctl.scala 546:81] + wire _T_106 = io_dbg_halt_req | dbg_halt_req_held; // @[el2_dec_tlu_ctl.scala 480:42] + reg ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 827:58] + wire _T_107 = ~ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 480:65] + wire dbg_halt_req_final = _T_106 & _T_107; // @[el2_dec_tlu_ctl.scala 480:63] + wire mpc_debug_halt_req_sync = mpc_debug_halt_req_sync_raw & _T_107; // @[el2_dec_tlu_ctl.scala 439:61] + wire _T_109 = dbg_halt_req_final | mpc_debug_halt_req_sync; // @[el2_dec_tlu_ctl.scala 483:44] + reg reset_detect; // @[el2_dec_tlu_ctl.scala 416:80] + reg reset_detected; // @[el2_dec_tlu_ctl.scala 417:80] + wire reset_delayed = reset_detect ^ reset_detected; // @[el2_dec_tlu_ctl.scala 418:64] + wire _T_110 = ~io_mpc_reset_run_req; // @[el2_dec_tlu_ctl.scala 483:89] + wire _T_111 = reset_delayed & _T_110; // @[el2_dec_tlu_ctl.scala 483:87] + wire _T_112 = _T_109 | _T_111; // @[el2_dec_tlu_ctl.scala 483:70] + wire _T_114 = _T_112 & _T_152; // @[el2_dec_tlu_ctl.scala 483:113] + wire debug_halt_req = _T_114 & _T_107; // @[el2_dec_tlu_ctl.scala 483:141] + wire _T_153 = _T_152 & debug_halt_req; // @[el2_dec_tlu_ctl.scala 503:57] + reg dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 538:81] + wire _T_154 = _T_153 | dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 503:75] + reg trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 537:81] + wire _T_155 = _T_154 | trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 503:101] + reg ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 752:58] + wire enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 503:126] + reg debug_halt_req_f; // @[el2_dec_tlu_ctl.scala 535:81] + wire force_halt = csr_io_force_halt; // @[el2_dec_tlu_ctl.scala 342:57 el2_dec_tlu_ctl.scala 1080:31] + reg lsu_idle_any_f; // @[el2_dec_tlu_ctl.scala 531:89] + wire _T_142 = io_lsu_idle_any & lsu_idle_any_f; // @[el2_dec_tlu_ctl.scala 497:47] + wire _T_143 = _T_142 & io_ifu_miss_state_idle; // @[el2_dec_tlu_ctl.scala 497:64] + reg ifu_miss_state_idle_f; // @[el2_dec_tlu_ctl.scala 532:73] + wire _T_144 = _T_143 & ifu_miss_state_idle_f; // @[el2_dec_tlu_ctl.scala 497:89] + wire _T_145 = ~debug_halt_req; // @[el2_dec_tlu_ctl.scala 497:115] + wire _T_146 = _T_144 & _T_145; // @[el2_dec_tlu_ctl.scala 497:113] + reg debug_halt_req_d1; // @[el2_dec_tlu_ctl.scala 539:81] + wire _T_147 = ~debug_halt_req_d1; // @[el2_dec_tlu_ctl.scala 497:133] + wire _T_148 = _T_146 & _T_147; // @[el2_dec_tlu_ctl.scala 497:131] + wire _T_149 = ~io_dec_div_active; // @[el2_dec_tlu_ctl.scala 497:154] + wire _T_150 = _T_148 & _T_149; // @[el2_dec_tlu_ctl.scala 497:152] + wire core_empty = force_halt | _T_150; // @[el2_dec_tlu_ctl.scala 497:28] + wire _T_163 = debug_halt_req_f & core_empty; // @[el2_dec_tlu_ctl.scala 513:42] + reg dec_tlu_flush_noredir_r_d1; // @[el2_dec_tlu_ctl.scala 529:73] + reg dec_tlu_flush_pause_r_d1; // @[el2_dec_tlu_ctl.scala 545:73] + wire _T_132 = ~dec_tlu_flush_pause_r_d1; // @[el2_dec_tlu_ctl.scala 493:50] + wire _T_133 = dec_tlu_flush_noredir_r_d1 & _T_132; // @[el2_dec_tlu_ctl.scala 493:48] + reg take_ext_int_start_d1; // @[el2_dec_tlu_ctl.scala 824:58] + wire _T_134 = ~take_ext_int_start_d1; // @[el2_dec_tlu_ctl.scala 493:78] + wire _T_135 = _T_133 & _T_134; // @[el2_dec_tlu_ctl.scala 493:76] + reg halt_taken_f; // @[el2_dec_tlu_ctl.scala 530:81] + reg dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 533:81] + wire _T_136 = ~dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 493:120] + wire _T_137 = halt_taken_f & _T_136; // @[el2_dec_tlu_ctl.scala 493:118] + reg pmu_fw_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 659:73] + wire _T_138 = ~pmu_fw_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 493:140] + wire _T_139 = _T_137 & _T_138; // @[el2_dec_tlu_ctl.scala 493:138] + reg interrupt_valid_r_d1; // @[el2_dec_tlu_ctl.scala 888:82] + wire _T_140 = ~interrupt_valid_r_d1; // @[el2_dec_tlu_ctl.scala 493:163] + wire _T_141 = _T_139 & _T_140; // @[el2_dec_tlu_ctl.scala 493:161] + wire halt_taken = _T_135 | _T_141; // @[el2_dec_tlu_ctl.scala 493:102] + wire _T_164 = _T_163 & halt_taken; // @[el2_dec_tlu_ctl.scala 513:55] + reg debug_resume_req_f; // @[el2_dec_tlu_ctl.scala 536:81] + wire _T_165 = ~debug_resume_req_f; // @[el2_dec_tlu_ctl.scala 513:91] + wire _T_166 = dbg_tlu_halted_f & _T_165; // @[el2_dec_tlu_ctl.scala 513:89] + wire dbg_tlu_halted = _T_164 | _T_166; // @[el2_dec_tlu_ctl.scala 513:69] + wire _T_167 = ~dbg_tlu_halted; // @[el2_dec_tlu_ctl.scala 514:67] + wire _T_168 = debug_halt_req_f & _T_167; // @[el2_dec_tlu_ctl.scala 514:65] + wire debug_halt_req_ns = enter_debug_halt_req | _T_168; // @[el2_dec_tlu_ctl.scala 514:45] + wire [15:0] dcsr = csr_io_dcsr; // @[el2_dec_tlu_ctl.scala 348:41 el2_dec_tlu_ctl.scala 1086:31] + wire _T_157 = ~dcsr[2]; // @[el2_dec_tlu_ctl.scala 506:100] + wire _T_158 = debug_resume_req_f & _T_157; // @[el2_dec_tlu_ctl.scala 506:98] + wire _T_159 = ~_T_158; // @[el2_dec_tlu_ctl.scala 506:77] + wire _T_160 = debug_mode_status & _T_159; // @[el2_dec_tlu_ctl.scala 506:75] + wire internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[el2_dec_tlu_ctl.scala 506:47] + wire _T_177 = debug_resume_req_f & dcsr[2]; // @[el2_dec_tlu_ctl.scala 519:54] + reg dcsr_single_step_running_f; // @[el2_dec_tlu_ctl.scala 544:73] + wire _T_178 = ~dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 519:105] + wire _T_179 = dcsr_single_step_running_f & _T_178; // @[el2_dec_tlu_ctl.scala 519:103] + wire dcsr_single_step_running = _T_177 | _T_179; // @[el2_dec_tlu_ctl.scala 519:73] + wire _T_665 = ~dcsr_single_step_running; // @[el2_dec_tlu_ctl.scala 820:54] + wire _T_666 = _T_665 | io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 820:80] + wire _T_667 = internal_dbg_halt_mode & _T_666; // @[el2_dec_tlu_ctl.scala 820:51] + wire _T_346 = ~io_dec_tlu_debug_mode; // @[el2_dec_tlu_ctl.scala 649:56] + wire _T_347 = i_cpu_halt_req_sync & _T_346; // @[el2_dec_tlu_ctl.scala 649:54] + wire i_cpu_halt_req_sync_qual = _T_347 & _T_107; // @[el2_dec_tlu_ctl.scala 649:79] + wire ext_halt_pulse = i_cpu_halt_req_sync_qual & _T_398; // @[el2_dec_tlu_ctl.scala 665:49] + wire fw_halt_req = csr_io_fw_halt_req; // @[el2_dec_tlu_ctl.scala 346:41 el2_dec_tlu_ctl.scala 1084:31] + wire enter_pmu_fw_halt_req = ext_halt_pulse | fw_halt_req; // @[el2_dec_tlu_ctl.scala 666:47] + reg pmu_fw_halt_req_f; // @[el2_dec_tlu_ctl.scala 658:73] + wire _T_371 = pmu_fw_halt_req_f & core_empty; // @[el2_dec_tlu_ctl.scala 671:44] + wire _T_372 = _T_371 & halt_taken; // @[el2_dec_tlu_ctl.scala 671:57] + wire _T_373 = ~enter_debug_halt_req; // @[el2_dec_tlu_ctl.scala 671:72] + wire _T_374 = _T_372 & _T_373; // @[el2_dec_tlu_ctl.scala 671:70] + wire _T_375 = ~i_cpu_run_req_d1; // @[el2_dec_tlu_ctl.scala 671:120] + wire _T_376 = pmu_fw_tlu_halted_f & _T_375; // @[el2_dec_tlu_ctl.scala 671:118] + wire _T_377 = _T_374 | _T_376; // @[el2_dec_tlu_ctl.scala 671:95] + wire _T_378 = ~debug_halt_req_f; // @[el2_dec_tlu_ctl.scala 671:142] + wire pmu_fw_tlu_halted = _T_377 & _T_378; // @[el2_dec_tlu_ctl.scala 671:140] + wire _T_361 = ~pmu_fw_tlu_halted; // @[el2_dec_tlu_ctl.scala 667:71] + wire _T_362 = pmu_fw_halt_req_f & _T_361; // @[el2_dec_tlu_ctl.scala 667:69] + wire _T_363 = enter_pmu_fw_halt_req | _T_362; // @[el2_dec_tlu_ctl.scala 667:48] + wire pmu_fw_halt_req_ns = _T_363 & _T_378; // @[el2_dec_tlu_ctl.scala 667:92] + reg internal_pmu_fw_halt_mode_f; // @[el2_dec_tlu_ctl.scala 657:66] + wire _T_367 = internal_pmu_fw_halt_mode_f & _T_375; // @[el2_dec_tlu_ctl.scala 668:82] + wire _T_369 = _T_367 & _T_378; // @[el2_dec_tlu_ctl.scala 668:102] + wire internal_pmu_fw_halt_mode = pmu_fw_halt_req_ns | _T_369; // @[el2_dec_tlu_ctl.scala 668:51] + wire _T_668 = _T_667 | internal_pmu_fw_halt_mode; // @[el2_dec_tlu_ctl.scala 820:106] + wire _T_669 = _T_668 | i_cpu_halt_req_d1; // @[el2_dec_tlu_ctl.scala 820:134] + wire _T_738 = ~internal_pmu_fw_halt_mode; // @[el2_dec_tlu_ctl.scala 852:34] + wire _T_739 = nmi_int_detected & _T_738; // @[el2_dec_tlu_ctl.scala 852:32] + wire _T_740 = ~internal_dbg_halt_mode; // @[el2_dec_tlu_ctl.scala 852:64] + wire _T_742 = dcsr_single_step_running_f & dcsr[11]; // @[el2_dec_tlu_ctl.scala 852:118] + wire _T_743 = ~io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 852:140] + wire _T_744 = _T_742 & _T_743; // @[el2_dec_tlu_ctl.scala 852:138] + wire _T_746 = _T_744 & _T_178; // @[el2_dec_tlu_ctl.scala 852:163] + wire _T_747 = _T_740 | _T_746; // @[el2_dec_tlu_ctl.scala 852:88] + wire _T_748 = _T_739 & _T_747; // @[el2_dec_tlu_ctl.scala 852:61] + wire _T_463 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h8; // @[el2_dec_tlu_ctl.scala 738:51] + wire _T_464 = _T_463 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 738:64] + wire _T_297 = io_dec_tlu_flush_lower_wb | io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 600:57] + wire [3:0] _T_299 = _T_297 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_300 = ~_T_299; // @[el2_dec_tlu_ctl.scala 600:22] + wire [3:0] _T_292 = io_dec_tlu_i0_valid_r ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_294 = _T_292 & io_dec_tlu_packet_r_i0trigger; // @[el2_dec_tlu_ctl.scala 598:52] + wire [9:0] mtdata1_t_3 = csr_io_mtdata1_t_3; // @[el2_dec_tlu_ctl.scala 236:59 el2_dec_tlu_ctl.scala 1089:33] + wire [9:0] mtdata1_t_2 = csr_io_mtdata1_t_2; // @[el2_dec_tlu_ctl.scala 236:59 el2_dec_tlu_ctl.scala 1089:33] + wire [9:0] mtdata1_t_1 = csr_io_mtdata1_t_1; // @[el2_dec_tlu_ctl.scala 236:59 el2_dec_tlu_ctl.scala 1089:33] + wire [9:0] mtdata1_t_0 = csr_io_mtdata1_t_0; // @[el2_dec_tlu_ctl.scala 236:59 el2_dec_tlu_ctl.scala 1089:33] + wire [3:0] trigger_execute = {mtdata1_t_3[2],mtdata1_t_2[2],mtdata1_t_1[2],mtdata1_t_0[2]}; // @[Cat.scala 29:58] + wire [3:0] trigger_data = {mtdata1_t_3[7],mtdata1_t_2[7],mtdata1_t_1[7],mtdata1_t_0[7]}; // @[Cat.scala 29:58] + wire [3:0] _T_279 = trigger_execute & trigger_data; // @[el2_dec_tlu_ctl.scala 590:56] + wire inst_acc_r_raw = io_dec_tlu_packet_r_icaf & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 746:48] + wire [3:0] _T_281 = inst_acc_r_raw ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_282 = _T_279 & _T_281; // @[el2_dec_tlu_ctl.scala 590:71] + wire _T_283 = io_exu_i0_br_error_r | io_exu_i0_br_start_error_r; // @[el2_dec_tlu_ctl.scala 590:128] + wire [3:0] _T_285 = _T_283 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_286 = _T_282 | _T_285; // @[el2_dec_tlu_ctl.scala 590:97] + wire [3:0] i0_iside_trigger_has_pri_r = ~_T_286; // @[el2_dec_tlu_ctl.scala 590:37] + wire [3:0] _T_295 = _T_294 & i0_iside_trigger_has_pri_r; // @[el2_dec_tlu_ctl.scala 598:89] + wire [3:0] trigger_store = {mtdata1_t_3[1],mtdata1_t_2[1],mtdata1_t_1[1],mtdata1_t_0[1]}; // @[Cat.scala 29:58] + wire [3:0] _T_287 = trigger_store & trigger_data; // @[el2_dec_tlu_ctl.scala 593:50] + wire [3:0] _T_289 = io_lsu_error_pkt_r_exc_valid ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_290 = _T_287 & _T_289; // @[el2_dec_tlu_ctl.scala 593:65] + wire [3:0] i0_lsu_trigger_has_pri_r = ~_T_290; // @[el2_dec_tlu_ctl.scala 593:34] + wire [3:0] _T_296 = _T_295 & i0_lsu_trigger_has_pri_r; // @[el2_dec_tlu_ctl.scala 598:118] + wire [1:0] mstatus = csr_io_mstatus; // @[el2_dec_tlu_ctl.scala 347:41 el2_dec_tlu_ctl.scala 1085:31] + wire _T_259 = mtdata1_t_3[6] | mstatus[0]; // @[el2_dec_tlu_ctl.scala 587:62] + wire _T_261 = _T_259 & mtdata1_t_3[3]; // @[el2_dec_tlu_ctl.scala 587:86] + wire _T_264 = mtdata1_t_2[6] | mstatus[0]; // @[el2_dec_tlu_ctl.scala 587:150] + wire _T_266 = _T_264 & mtdata1_t_2[3]; // @[el2_dec_tlu_ctl.scala 587:174] + wire _T_269 = mtdata1_t_1[6] | mstatus[0]; // @[el2_dec_tlu_ctl.scala 587:239] + wire _T_271 = _T_269 & mtdata1_t_1[3]; // @[el2_dec_tlu_ctl.scala 587:263] + wire _T_274 = mtdata1_t_0[6] | mstatus[0]; // @[el2_dec_tlu_ctl.scala 587:328] + wire _T_276 = _T_274 & mtdata1_t_0[3]; // @[el2_dec_tlu_ctl.scala 587:352] + wire [3:0] trigger_enabled = {_T_261,_T_266,_T_271,_T_276}; // @[Cat.scala 29:58] + wire [3:0] i0trigger_qual_r = _T_296 & trigger_enabled; // @[el2_dec_tlu_ctl.scala 598:145] + wire [3:0] i0_trigger_r = _T_300 & i0trigger_qual_r; // @[el2_dec_tlu_ctl.scala 600:83] + wire _T_303 = ~mtdata1_t_2[5]; // @[el2_dec_tlu_ctl.scala 603:59] + wire _T_305 = _T_303 | i0_trigger_r[2]; // @[el2_dec_tlu_ctl.scala 603:88] + wire _T_306 = i0_trigger_r[3] & _T_305; // @[el2_dec_tlu_ctl.scala 603:56] + wire _T_311 = _T_303 | i0_trigger_r[3]; // @[el2_dec_tlu_ctl.scala 603:156] + wire _T_312 = i0_trigger_r[2] & _T_311; // @[el2_dec_tlu_ctl.scala 603:124] + wire _T_315 = ~mtdata1_t_0[5]; // @[el2_dec_tlu_ctl.scala 603:195] + wire _T_317 = _T_315 | i0_trigger_r[0]; // @[el2_dec_tlu_ctl.scala 603:224] + wire _T_318 = i0_trigger_r[1] & _T_317; // @[el2_dec_tlu_ctl.scala 603:192] + wire _T_323 = _T_315 | i0_trigger_r[1]; // @[el2_dec_tlu_ctl.scala 603:292] + wire _T_324 = i0_trigger_r[0] & _T_323; // @[el2_dec_tlu_ctl.scala 603:260] + wire [3:0] i0_trigger_chain_masked_r = {_T_306,_T_312,_T_318,_T_324}; // @[Cat.scala 29:58] + wire i0_trigger_hit_raw_r = |i0_trigger_chain_masked_r; // @[el2_dec_tlu_ctl.scala 606:56] + wire _T_465 = ~i0_trigger_hit_raw_r; // @[el2_dec_tlu_ctl.scala 738:90] + wire _T_466 = _T_464 & _T_465; // @[el2_dec_tlu_ctl.scala 738:88] + wire _T_468 = ~dcsr[15]; // @[el2_dec_tlu_ctl.scala 738:110] + wire _T_469 = _T_466 & _T_468; // @[el2_dec_tlu_ctl.scala 738:108] + reg tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 408:72] + wire _T_429 = ~tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 713:43] + wire _T_430 = io_dec_tlu_i0_valid_r & _T_429; // @[el2_dec_tlu_ctl.scala 713:41] + wire _T_432 = _T_430 & _T_283; // @[el2_dec_tlu_ctl.scala 713:65] + reg ic_perr_r_d1; // @[el2_dec_tlu_ctl.scala 402:81] + reg iccm_sbecc_r_d1; // @[el2_dec_tlu_ctl.scala 403:89] + wire _T_433 = ic_perr_r_d1 | iccm_sbecc_r_d1; // @[el2_dec_tlu_ctl.scala 713:137] + wire _T_435 = _T_433 & _T_107; // @[el2_dec_tlu_ctl.scala 713:156] + wire _T_436 = _T_432 | _T_435; // @[el2_dec_tlu_ctl.scala 713:120] + wire _T_438 = _T_436 & _T_465; // @[el2_dec_tlu_ctl.scala 713:179] + wire _T_410 = io_dec_tlu_i0_valid_r & _T_465; // @[el2_dec_tlu_ctl.scala 701:46] + wire _T_411 = ~io_lsu_error_pkt_r_inst_type; // @[el2_dec_tlu_ctl.scala 701:69] + wire _T_412 = _T_411 & io_lsu_error_pkt_r_single_ecc_error; // @[el2_dec_tlu_ctl.scala 701:99] + wire lsu_i0_rfnpc_r = _T_410 & _T_412; // @[el2_dec_tlu_ctl.scala 701:66] + wire _T_439 = ~lsu_i0_rfnpc_r; // @[el2_dec_tlu_ctl.scala 713:203] + wire rfpc_i0_r = _T_438 & _T_439; // @[el2_dec_tlu_ctl.scala 713:200] + wire _T_470 = ~rfpc_i0_r; // @[el2_dec_tlu_ctl.scala 738:132] + wire ebreak_r = _T_469 & _T_470; // @[el2_dec_tlu_ctl.scala 738:130] + wire _T_472 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h9; // @[el2_dec_tlu_ctl.scala 739:51] + wire _T_473 = _T_472 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 739:64] + wire _T_475 = _T_473 & _T_465; // @[el2_dec_tlu_ctl.scala 739:88] + wire ecall_r = _T_475 & _T_470; // @[el2_dec_tlu_ctl.scala 739:108] + wire _T_523 = ebreak_r | ecall_r; // @[el2_dec_tlu_ctl.scala 766:40] + wire _T_478 = ~io_dec_tlu_packet_r_legal; // @[el2_dec_tlu_ctl.scala 740:17] + wire _T_479 = _T_478 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 740:46] + wire _T_481 = _T_479 & _T_465; // @[el2_dec_tlu_ctl.scala 740:70] + wire illegal_r = _T_481 & _T_470; // @[el2_dec_tlu_ctl.scala 740:90] + wire _T_524 = _T_523 | illegal_r; // @[el2_dec_tlu_ctl.scala 766:50] + wire _T_511 = inst_acc_r_raw & _T_470; // @[el2_dec_tlu_ctl.scala 747:32] + wire inst_acc_r = _T_511 & _T_465; // @[el2_dec_tlu_ctl.scala 747:45] + wire _T_525 = _T_524 | inst_acc_r; // @[el2_dec_tlu_ctl.scala 766:62] + wire _T_527 = _T_525 & _T_470; // @[el2_dec_tlu_ctl.scala 766:76] + wire _T_528 = ~io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 766:91] + wire i0_exception_valid_r = _T_527 & _T_528; // @[el2_dec_tlu_ctl.scala 766:89] + wire _T_789 = i0_exception_valid_r | rfpc_i0_r; // @[el2_dec_tlu_ctl.scala 865:48] + wire _T_402 = ~io_dec_tlu_flush_lower_wb; // @[el2_dec_tlu_ctl.scala 689:60] + wire lsu_exc_valid_r_raw = io_lsu_error_pkt_r_exc_valid & _T_402; // @[el2_dec_tlu_ctl.scala 689:58] + wire _T_403 = io_lsu_error_pkt_r_exc_valid & lsu_exc_valid_r_raw; // @[el2_dec_tlu_ctl.scala 691:39] + wire _T_405 = _T_403 & _T_465; // @[el2_dec_tlu_ctl.scala 691:61] + wire lsu_exc_valid_r = _T_405 & _T_470; // @[el2_dec_tlu_ctl.scala 691:81] + wire _T_790 = _T_789 | lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 865:60] + wire _T_490 = io_dec_tlu_packet_r_fence_i & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 743:49] + wire _T_492 = _T_490 & _T_465; // @[el2_dec_tlu_ctl.scala 743:73] + wire fence_i_r = _T_492 & _T_470; // @[el2_dec_tlu_ctl.scala 743:94] + wire _T_791 = _T_790 | fence_i_r; // @[el2_dec_tlu_ctl.scala 865:78] + wire _T_792 = _T_791 | lsu_i0_rfnpc_r; // @[el2_dec_tlu_ctl.scala 865:90] + wire _T_414 = io_dec_tlu_i0_valid_r & _T_470; // @[el2_dec_tlu_ctl.scala 704:49] + wire _T_415 = ~lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 704:64] + wire _T_416 = _T_414 & _T_415; // @[el2_dec_tlu_ctl.scala 704:62] + wire _T_417 = ~inst_acc_r; // @[el2_dec_tlu_ctl.scala 704:81] + wire _T_418 = _T_416 & _T_417; // @[el2_dec_tlu_ctl.scala 704:78] + wire _T_420 = _T_418 & _T_528; // @[el2_dec_tlu_ctl.scala 704:93] + reg request_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 542:81] + wire _T_421 = ~request_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 704:120] + wire _T_422 = _T_420 & _T_421; // @[el2_dec_tlu_ctl.scala 704:118] + wire tlu_i0_commit_cmt = _T_422 & _T_465; // @[el2_dec_tlu_ctl.scala 704:145] + reg iccm_repair_state_d1; // @[el2_dec_tlu_ctl.scala 401:72] + wire _T_444 = tlu_i0_commit_cmt & iccm_repair_state_d1; // @[el2_dec_tlu_ctl.scala 722:51] + wire _T_484 = io_dec_tlu_packet_r_pmu_i0_itype == 4'hc; // @[el2_dec_tlu_ctl.scala 741:51] + wire _T_485 = _T_484 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 741:64] + wire _T_487 = _T_485 & _T_465; // @[el2_dec_tlu_ctl.scala 741:88] + wire mret_r = _T_487 & _T_470; // @[el2_dec_tlu_ctl.scala 741:108] + wire _T_446 = _T_523 | mret_r; // @[el2_dec_tlu_ctl.scala 722:97] + wire take_reset = reset_delayed & io_mpc_reset_run_req; // @[el2_dec_tlu_ctl.scala 851:31] + wire _T_447 = _T_446 | take_reset; // @[el2_dec_tlu_ctl.scala 722:106] + wire _T_448 = _T_447 | illegal_r; // @[el2_dec_tlu_ctl.scala 722:119] + wire _T_449 = io_dec_csr_wraddr_r == 12'h7c2; // @[el2_dec_tlu_ctl.scala 722:175] + wire _T_450 = dec_csr_wen_r_mod & _T_449; // @[el2_dec_tlu_ctl.scala 722:152] + wire _T_451 = _T_448 | _T_450; // @[el2_dec_tlu_ctl.scala 722:131] + wire _T_452 = ~_T_451; // @[el2_dec_tlu_ctl.scala 722:76] + wire iccm_repair_state_rfnpc = _T_444 & _T_452; // @[el2_dec_tlu_ctl.scala 722:74] + wire _T_793 = _T_792 | iccm_repair_state_rfnpc; // @[el2_dec_tlu_ctl.scala 865:107] + wire _T_794 = _T_793 | debug_resume_req_f; // @[el2_dec_tlu_ctl.scala 865:134] + wire _T_786 = i_cpu_run_req_d1 & pmu_fw_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 863:42] + wire _T_211 = ~io_dec_pause_state; // @[el2_dec_tlu_ctl.scala 562:22] + reg dec_pause_state_f; // @[el2_dec_tlu_ctl.scala 541:73] + wire _T_212 = _T_211 & dec_pause_state_f; // @[el2_dec_tlu_ctl.scala 562:42] + wire _T_213 = ext_int_ready | ce_int_ready; // @[el2_dec_tlu_ctl.scala 562:80] + wire _T_214 = _T_213 | timer_int_ready; // @[el2_dec_tlu_ctl.scala 562:95] + wire _T_215 = _T_214 | soft_int_ready; // @[el2_dec_tlu_ctl.scala 562:113] + wire _T_216 = _T_215 | int_timer0_int_hold_f; // @[el2_dec_tlu_ctl.scala 562:130] + wire _T_217 = _T_216 | int_timer1_int_hold_f; // @[el2_dec_tlu_ctl.scala 562:154] + wire _T_218 = _T_217 | nmi_int_detected; // @[el2_dec_tlu_ctl.scala 562:178] + wire _T_219 = _T_218 | ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 562:197] + wire _T_220 = ~_T_219; // @[el2_dec_tlu_ctl.scala 562:64] + wire _T_221 = _T_212 & _T_220; // @[el2_dec_tlu_ctl.scala 562:62] + wire _T_223 = _T_221 & _T_140; // @[el2_dec_tlu_ctl.scala 562:218] + wire _T_225 = _T_223 & _T_378; // @[el2_dec_tlu_ctl.scala 562:242] + wire _T_226 = ~pmu_fw_halt_req_f; // @[el2_dec_tlu_ctl.scala 562:264] + wire _T_227 = _T_225 & _T_226; // @[el2_dec_tlu_ctl.scala 562:262] + wire _T_228 = ~halt_taken_f; // @[el2_dec_tlu_ctl.scala 562:285] + wire pause_expired_r = _T_227 & _T_228; // @[el2_dec_tlu_ctl.scala 562:283] + wire sel_npc_resume = _T_786 | pause_expired_r; // @[el2_dec_tlu_ctl.scala 863:65] + wire _T_795 = _T_794 | sel_npc_resume; // @[el2_dec_tlu_ctl.scala 865:156] + reg dec_tlu_wr_pause_r_d1; // @[el2_dec_tlu_ctl.scala 540:73] + wire _T_796 = _T_795 | dec_tlu_wr_pause_r_d1; // @[el2_dec_tlu_ctl.scala 865:174] + wire synchronous_flush_r = _T_796 | i0_trigger_hit_raw_r; // @[el2_dec_tlu_ctl.scala 865:200] + wire _T_749 = ~synchronous_flush_r; // @[el2_dec_tlu_ctl.scala 852:194] + wire _T_750 = _T_748 & _T_749; // @[el2_dec_tlu_ctl.scala 852:192] + wire _T_751 = ~mret_r; // @[el2_dec_tlu_ctl.scala 852:217] + wire _T_752 = _T_750 & _T_751; // @[el2_dec_tlu_ctl.scala 852:215] + wire _T_753 = ~take_reset; // @[el2_dec_tlu_ctl.scala 852:227] + wire _T_754 = _T_752 & _T_753; // @[el2_dec_tlu_ctl.scala 852:225] + wire _T_519 = _T_466 & dcsr[15]; // @[el2_dec_tlu_ctl.scala 750:120] + wire ebreak_to_debug_mode_r = _T_519 & _T_470; // @[el2_dec_tlu_ctl.scala 750:141] + wire _T_755 = ~ebreak_to_debug_mode_r; // @[el2_dec_tlu_ctl.scala 852:241] + wire _T_756 = _T_754 & _T_755; // @[el2_dec_tlu_ctl.scala 852:239] + wire _T_760 = _T_107 | _T_44; // @[el2_dec_tlu_ctl.scala 852:287] + wire take_nmi = _T_756 & _T_760; // @[el2_dec_tlu_ctl.scala 852:265] + wire _T_670 = _T_669 | take_nmi; // @[el2_dec_tlu_ctl.scala 820:154] + wire _T_671 = _T_670 | ebreak_to_debug_mode_r; // @[el2_dec_tlu_ctl.scala 820:165] + wire _T_672 = _T_671 | synchronous_flush_r; // @[el2_dec_tlu_ctl.scala 820:190] + reg exc_or_int_valid_r_d1; // @[el2_dec_tlu_ctl.scala 890:82] + wire _T_673 = _T_672 | exc_or_int_valid_r_d1; // @[el2_dec_tlu_ctl.scala 820:213] + wire _T_674 = _T_673 | mret_r; // @[el2_dec_tlu_ctl.scala 820:237] + wire block_interrupts = _T_674 | ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 820:246] + wire _T_704 = ~block_interrupts; // @[el2_dec_tlu_ctl.scala 848:90] + wire take_timer_int = _T_703 & _T_704; // @[el2_dec_tlu_ctl.scala 848:88] + wire _T_762 = take_ext_int | take_timer_int; // @[el2_dec_tlu_ctl.scala 855:37] + wire _T_693 = soft_int_ready & _T_700; // @[el2_dec_tlu_ctl.scala 847:35] + wire _T_695 = _T_693 & _T_702; // @[el2_dec_tlu_ctl.scala 847:52] + wire take_soft_int = _T_695 & _T_704; // @[el2_dec_tlu_ctl.scala 847:68] + wire _T_763 = _T_762 | take_soft_int; // @[el2_dec_tlu_ctl.scala 855:54] + wire _T_764 = _T_763 | take_nmi; // @[el2_dec_tlu_ctl.scala 855:70] + wire _T_689 = ce_int_ready & _T_700; // @[el2_dec_tlu_ctl.scala 846:32] + wire take_ce_int = _T_689 & _T_704; // @[el2_dec_tlu_ctl.scala 846:49] + wire _T_765 = _T_764 | take_ce_int; // @[el2_dec_tlu_ctl.scala 855:81] + wire int_timer0_int_possible = mstatus_mie_ns & mie_ns[4]; // @[el2_dec_tlu_ctl.scala 806:48] + wire int_timer0_int_ready = mip[4] & int_timer0_int_possible; // @[el2_dec_tlu_ctl.scala 807:46] + wire _T_706 = int_timer0_int_ready | int_timer0_int_hold_f; // @[el2_dec_tlu_ctl.scala 849:48] + wire _T_707 = _T_706 & int_timer0_int_possible; // @[el2_dec_tlu_ctl.scala 849:73] + wire _T_709 = _T_707 & _T_631; // @[el2_dec_tlu_ctl.scala 849:99] + wire _T_710 = ~timer_int_ready; // @[el2_dec_tlu_ctl.scala 849:128] + wire _T_711 = _T_709 & _T_710; // @[el2_dec_tlu_ctl.scala 849:126] + wire _T_713 = _T_711 & _T_698; // @[el2_dec_tlu_ctl.scala 849:145] + wire _T_715 = _T_713 & _T_700; // @[el2_dec_tlu_ctl.scala 849:163] + wire _T_717 = _T_715 & _T_702; // @[el2_dec_tlu_ctl.scala 849:180] + wire take_int_timer0_int = _T_717 & _T_704; // @[el2_dec_tlu_ctl.scala 849:196] + wire _T_766 = _T_765 | take_int_timer0_int; // @[el2_dec_tlu_ctl.scala 855:95] + wire int_timer1_int_possible = mstatus_mie_ns & mie_ns[3]; // @[el2_dec_tlu_ctl.scala 808:48] + wire int_timer1_int_ready = mip[3] & int_timer1_int_possible; // @[el2_dec_tlu_ctl.scala 809:46] + wire _T_720 = int_timer1_int_ready | int_timer1_int_hold_f; // @[el2_dec_tlu_ctl.scala 850:48] + wire _T_721 = _T_720 & int_timer1_int_possible; // @[el2_dec_tlu_ctl.scala 850:73] + wire _T_723 = _T_721 & _T_631; // @[el2_dec_tlu_ctl.scala 850:99] + wire _T_725 = ~_T_706; // @[el2_dec_tlu_ctl.scala 850:128] + wire _T_726 = _T_723 & _T_725; // @[el2_dec_tlu_ctl.scala 850:126] + wire _T_728 = _T_726 & _T_710; // @[el2_dec_tlu_ctl.scala 850:176] + wire _T_730 = _T_728 & _T_698; // @[el2_dec_tlu_ctl.scala 850:195] + wire _T_732 = _T_730 & _T_700; // @[el2_dec_tlu_ctl.scala 850:213] + wire _T_734 = _T_732 & _T_702; // @[el2_dec_tlu_ctl.scala 850:230] + wire take_int_timer1_int = _T_734 & _T_704; // @[el2_dec_tlu_ctl.scala 850:246] + wire interrupt_valid_r = _T_766 | take_int_timer1_int; // @[el2_dec_tlu_ctl.scala 855:117] + wire _T_15 = _T_14 | interrupt_valid_r; // @[el2_dec_tlu_ctl.scala 396:68] + wire _T_16 = _T_15 | interrupt_valid_r_d1; // @[el2_dec_tlu_ctl.scala 396:88] + wire _T_17 = _T_16 | reset_delayed; // @[el2_dec_tlu_ctl.scala 396:111] + wire _T_18 = _T_17 | pause_expired_r; // @[el2_dec_tlu_ctl.scala 396:127] + reg pause_expired_wb; // @[el2_dec_tlu_ctl.scala 895:90] + wire _T_19 = _T_18 | pause_expired_wb; // @[el2_dec_tlu_ctl.scala 396:145] + wire _T_496 = io_ifu_ic_error_start & _T_107; // @[el2_dec_tlu_ctl.scala 744:42] + wire _T_498 = _T_152 | dcsr_single_step_running; // @[el2_dec_tlu_ctl.scala 744:92] + wire _T_499 = _T_496 & _T_498; // @[el2_dec_tlu_ctl.scala 744:63] + wire _T_500 = ~internal_pmu_fw_halt_mode_f; // @[el2_dec_tlu_ctl.scala 744:122] + wire ic_perr_r = _T_499 & _T_500; // @[el2_dec_tlu_ctl.scala 744:120] + wire _T_20 = _T_19 | ic_perr_r; // @[el2_dec_tlu_ctl.scala 396:164] + wire _T_21 = _T_20 | ic_perr_r_d1; // @[el2_dec_tlu_ctl.scala 396:176] + wire _T_503 = io_ifu_iccm_rd_ecc_single_err & _T_107; // @[el2_dec_tlu_ctl.scala 745:50] + wire _T_506 = _T_503 & _T_498; // @[el2_dec_tlu_ctl.scala 745:71] + wire iccm_sbecc_r = _T_506 & _T_500; // @[el2_dec_tlu_ctl.scala 745:128] + wire _T_22 = _T_21 | iccm_sbecc_r; // @[el2_dec_tlu_ctl.scala 396:191] + wire _T_23 = _T_22 | iccm_sbecc_r_d1; // @[el2_dec_tlu_ctl.scala 396:206] + wire flush_clkvalid = _T_23 | io_dec_tlu_dec_clk_override; // @[el2_dec_tlu_ctl.scala 396:224] + reg lsu_pmu_load_external_r; // @[el2_dec_tlu_ctl.scala 406:72] + reg lsu_pmu_store_external_r; // @[el2_dec_tlu_ctl.scala 407:64] + reg _T_32; // @[el2_dec_tlu_ctl.scala 409:65] + reg internal_dbg_halt_mode_f2; // @[el2_dec_tlu_ctl.scala 410:64] + reg _T_33; // @[el2_dec_tlu_ctl.scala 411:73] + reg nmi_lsu_load_type_f; // @[el2_dec_tlu_ctl.scala 422:72] + reg nmi_lsu_store_type_f; // @[el2_dec_tlu_ctl.scala 423:64] + wire _T_46 = nmi_lsu_detected & io_lsu_imprecise_error_load_any; // @[el2_dec_tlu_ctl.scala 431:42] + wire _T_49 = ~_T_41; // @[el2_dec_tlu_ctl.scala 431:78] + wire _T_50 = _T_46 & _T_49; // @[el2_dec_tlu_ctl.scala 431:76] + wire _T_52 = nmi_lsu_load_type_f & _T_40; // @[el2_dec_tlu_ctl.scala 431:141] + wire _T_54 = nmi_lsu_detected & io_lsu_imprecise_error_store_any; // @[el2_dec_tlu_ctl.scala 432:43] + wire _T_58 = _T_54 & _T_49; // @[el2_dec_tlu_ctl.scala 432:78] + wire _T_60 = nmi_lsu_store_type_f & _T_40; // @[el2_dec_tlu_ctl.scala 432:144] + reg mpc_debug_halt_req_sync_f; // @[el2_dec_tlu_ctl.scala 440:64] + reg mpc_debug_run_req_sync_f; // @[el2_dec_tlu_ctl.scala 441:64] + reg mpc_run_state_f; // @[el2_dec_tlu_ctl.scala 443:80] + reg debug_brkpt_status_f; // @[el2_dec_tlu_ctl.scala 444:72] + reg mpc_debug_halt_ack_f; // @[el2_dec_tlu_ctl.scala 445:72] + reg mpc_debug_run_ack_f; // @[el2_dec_tlu_ctl.scala 446:80] + reg dbg_run_state_f; // @[el2_dec_tlu_ctl.scala 448:80] + reg _T_65; // @[el2_dec_tlu_ctl.scala 449:73] + wire _T_66 = ~mpc_debug_halt_req_sync_f; // @[el2_dec_tlu_ctl.scala 453:65] + wire mpc_debug_halt_req_sync_pulse = mpc_debug_halt_req_sync & _T_66; // @[el2_dec_tlu_ctl.scala 453:63] + wire _T_67 = ~mpc_debug_run_req_sync_f; // @[el2_dec_tlu_ctl.scala 454:64] + wire mpc_debug_run_req_sync_pulse = mpc_debug_run_req_sync & _T_67; // @[el2_dec_tlu_ctl.scala 454:62] + wire _T_68 = mpc_halt_state_f | mpc_debug_halt_req_sync_pulse; // @[el2_dec_tlu_ctl.scala 456:42] + wire _T_71 = _T_68 | _T_111; // @[el2_dec_tlu_ctl.scala 456:74] + wire _T_72 = ~mpc_debug_run_req_sync; // @[el2_dec_tlu_ctl.scala 456:119] + wire mpc_halt_state_ns = _T_71 & _T_72; // @[el2_dec_tlu_ctl.scala 456:117] + wire _T_74 = ~mpc_debug_run_ack_f; // @[el2_dec_tlu_ctl.scala 457:74] + wire _T_75 = mpc_debug_run_req_sync_pulse & _T_74; // @[el2_dec_tlu_ctl.scala 457:72] + wire _T_76 = mpc_run_state_f | _T_75; // @[el2_dec_tlu_ctl.scala 457:40] + wire _T_77 = ~dcsr_single_step_running_f; // @[el2_dec_tlu_ctl.scala 457:127] + wire _T_78 = debug_mode_status & _T_77; // @[el2_dec_tlu_ctl.scala 457:125] + wire mpc_run_state_ns = _T_76 & _T_78; // @[el2_dec_tlu_ctl.scala 457:97] + wire _T_80 = dbg_halt_req_final | dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 459:64] + wire _T_81 = _T_80 | trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 459:90] + wire _T_82 = _T_81 | ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 459:115] + wire _T_83 = dbg_halt_state_f | _T_82; // @[el2_dec_tlu_ctl.scala 459:42] + wire _T_84 = ~io_dbg_resume_req; // @[el2_dec_tlu_ctl.scala 459:147] + wire dbg_halt_state_ns = _T_83 & _T_84; // @[el2_dec_tlu_ctl.scala 459:145] + wire _T_86 = dbg_run_state_f | io_dbg_resume_req; // @[el2_dec_tlu_ctl.scala 460:40] + wire dbg_run_state_ns = _T_86 & _T_78; // @[el2_dec_tlu_ctl.scala 460:61] + wire debug_brkpt_valid = ebreak_to_debug_mode_r_d1 | trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 466:53] + wire _T_92 = debug_brkpt_valid | debug_brkpt_status_f; // @[el2_dec_tlu_ctl.scala 467:47] + wire _T_94 = internal_dbg_halt_mode & _T_77; // @[el2_dec_tlu_ctl.scala 467:97] + wire _T_96 = mpc_halt_state_f & debug_mode_status; // @[el2_dec_tlu_ctl.scala 470:45] + wire _T_97 = _T_96 & mpc_debug_halt_req_sync; // @[el2_dec_tlu_ctl.scala 470:72] + wire _T_99 = ~dbg_halt_state_ns; // @[el2_dec_tlu_ctl.scala 471:53] + wire _T_100 = mpc_debug_run_req_sync & _T_99; // @[el2_dec_tlu_ctl.scala 471:51] + wire _T_101 = ~mpc_debug_halt_req_sync; // @[el2_dec_tlu_ctl.scala 471:74] + wire _T_102 = _T_100 & _T_101; // @[el2_dec_tlu_ctl.scala 471:72] + wire _T_103 = mpc_debug_run_ack_f & mpc_debug_run_req_sync; // @[el2_dec_tlu_ctl.scala 471:123] + wire _T_118 = mpc_run_state_ns & _T_99; // @[el2_dec_tlu_ctl.scala 485:67] + wire _T_119 = ~mpc_halt_state_ns; // @[el2_dec_tlu_ctl.scala 485:111] + wire _T_120 = dbg_run_state_ns & _T_119; // @[el2_dec_tlu_ctl.scala 485:109] + wire _T_121 = _T_118 | _T_120; // @[el2_dec_tlu_ctl.scala 485:89] + wire _T_122 = debug_halt_req_f | pmu_fw_halt_req_f; // @[el2_dec_tlu_ctl.scala 490:37] + wire _T_124 = _T_122 & _T_749; // @[el2_dec_tlu_ctl.scala 490:58] + wire _T_126 = _T_124 & _T_751; // @[el2_dec_tlu_ctl.scala 490:81] + wire _T_128 = _T_126 & _T_228; // @[el2_dec_tlu_ctl.scala 490:91] + wire _T_129 = ~dec_tlu_flush_noredir_r_d1; // @[el2_dec_tlu_ctl.scala 490:109] + wire _T_130 = _T_128 & _T_129; // @[el2_dec_tlu_ctl.scala 490:107] + wire take_halt = _T_130 & _T_753; // @[el2_dec_tlu_ctl.scala 490:137] + wire _T_170 = debug_resume_req_f & dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 515:43] + wire _T_172 = io_dec_tlu_i0_valid_r & _T_528; // @[el2_dec_tlu_ctl.scala 517:53] + wire _T_174 = _T_172 & dcsr[2]; // @[el2_dec_tlu_ctl.scala 517:78] + wire _T_329 = mtdata1_t_3[6] & mtdata1_t_3[9]; // @[el2_dec_tlu_ctl.scala 612:61] + wire _T_332 = mtdata1_t_2[6] & mtdata1_t_2[9]; // @[el2_dec_tlu_ctl.scala 612:121] + wire _T_335 = mtdata1_t_1[6] & mtdata1_t_1[9]; // @[el2_dec_tlu_ctl.scala 612:181] + wire _T_338 = mtdata1_t_0[6] & mtdata1_t_0[9]; // @[el2_dec_tlu_ctl.scala 612:241] + wire [3:0] trigger_action = {_T_329,_T_332,_T_335,_T_338}; // @[Cat.scala 29:58] + wire [3:0] _T_343 = i0_trigger_chain_masked_r & trigger_action; // @[el2_dec_tlu_ctl.scala 618:56] + wire i0_trigger_action_r = |_T_343; // @[el2_dec_tlu_ctl.scala 618:74] + wire trigger_hit_dmode_r = i0_trigger_hit_raw_r & i0_trigger_action_r; // @[el2_dec_tlu_ctl.scala 620:44] + wire _T_180 = trigger_hit_dmode_r | ebreak_to_debug_mode_r; // @[el2_dec_tlu_ctl.scala 524:51] + wire _T_182 = request_debug_mode_r_d1 & _T_402; // @[el2_dec_tlu_ctl.scala 524:104] + reg request_debug_mode_done_f; // @[el2_dec_tlu_ctl.scala 543:73] + wire _T_183 = request_debug_mode_r_d1 | request_debug_mode_done_f; // @[el2_dec_tlu_ctl.scala 526:58] + reg _T_190; // @[el2_dec_tlu_ctl.scala 534:73] + wire _T_201 = fence_i_r & internal_dbg_halt_mode; // @[el2_dec_tlu_ctl.scala 555:56] + wire _T_202 = take_halt | _T_201; // @[el2_dec_tlu_ctl.scala 555:43] + wire _T_203 = _T_202 | io_dec_tlu_flush_pause_r; // @[el2_dec_tlu_ctl.scala 555:82] + wire _T_204 = i0_trigger_hit_raw_r & trigger_hit_dmode_r; // @[el2_dec_tlu_ctl.scala 555:129] + wire _T_205 = _T_203 | _T_204; // @[el2_dec_tlu_ctl.scala 555:109] + wire take_ext_int_start = ext_int_ready & _T_704; // @[el2_dec_tlu_ctl.scala 828:41] + wire _T_207 = ~interrupt_valid_r; // @[el2_dec_tlu_ctl.scala 560:55] + wire _T_208 = dec_tlu_wr_pause_r_d1 & _T_207; // @[el2_dec_tlu_ctl.scala 560:53] + wire _T_209 = ~take_ext_int_start; // @[el2_dec_tlu_ctl.scala 560:76] + wire _T_231 = io_dec_tlu_flush_lower_r & dcsr[2]; // @[el2_dec_tlu_ctl.scala 564:60] + wire _T_232 = io_dec_tlu_resume_ack | dcsr_single_step_running; // @[el2_dec_tlu_ctl.scala 564:103] + wire _T_233 = _T_231 & _T_232; // @[el2_dec_tlu_ctl.scala 564:78] + wire _T_234 = ~io_dec_tlu_flush_noredir_r; // @[el2_dec_tlu_ctl.scala 564:133] + wire [3:0] _T_342 = i0_trigger_hit_raw_r ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire _T_345 = ~trigger_hit_dmode_r; // @[el2_dec_tlu_ctl.scala 622:54] + wire mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[el2_dec_tlu_ctl.scala 622:52] + wire _T_350 = i_cpu_run_req_sync & _T_346; // @[el2_dec_tlu_ctl.scala 650:52] + wire _T_351 = _T_350 & pmu_fw_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 650:77] + wire i_cpu_run_req_sync_qual = _T_351 & _T_107; // @[el2_dec_tlu_ctl.scala 650:99] + reg _T_353; // @[el2_dec_tlu_ctl.scala 654:73] + reg _T_354; // @[el2_dec_tlu_ctl.scala 655:81] + reg _T_355; // @[el2_dec_tlu_ctl.scala 656:81] + wire _T_384 = io_o_cpu_halt_status & _T_375; // @[el2_dec_tlu_ctl.scala 674:88] + wire _T_386 = _T_384 & _T_152; // @[el2_dec_tlu_ctl.scala 674:108] + wire _T_388 = io_o_cpu_halt_status & i_cpu_run_req_sync_qual; // @[el2_dec_tlu_ctl.scala 675:40] + wire _T_389 = io_o_cpu_run_ack & i_cpu_run_req_sync_qual; // @[el2_dec_tlu_ctl.scala 675:87] + reg lsu_single_ecc_error_r_d1; // @[el2_dec_tlu_ctl.scala 687:64] + reg lsu_i0_exc_r_d1; // @[el2_dec_tlu_ctl.scala 694:73] + wire _T_408 = ~io_lsu_error_pkt_r_exc_type; // @[el2_dec_tlu_ctl.scala 695:39] + wire lsu_exc_ma_r = lsu_exc_valid_r & _T_408; // @[el2_dec_tlu_ctl.scala 695:37] + wire lsu_exc_acc_r = lsu_exc_valid_r & io_lsu_error_pkt_r_exc_type; // @[el2_dec_tlu_ctl.scala 696:37] + wire lsu_exc_st_r = lsu_exc_valid_r & io_lsu_error_pkt_r_inst_type; // @[el2_dec_tlu_ctl.scala 697:37] + wire _T_424 = rfpc_i0_r | lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 707:37] + wire _T_425 = _T_424 | inst_acc_r; // @[el2_dec_tlu_ctl.scala 707:52] + wire _T_426 = illegal_r & io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 707:78] + wire _T_427 = _T_425 | _T_426; // @[el2_dec_tlu_ctl.scala 707:65] + wire _T_441 = ~io_dec_tlu_flush_lower_r; // @[el2_dec_tlu_ctl.scala 716:69] + wire _T_442 = iccm_repair_state_d1 & _T_441; // @[el2_dec_tlu_ctl.scala 716:67] + wire _T_453 = io_exu_i0_br_error_r & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 725:50] + wire _T_455 = io_exu_i0_br_start_error_r & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 726:62] + wire _T_457 = io_exu_i0_br_valid_r & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 727:46] + wire _T_459 = _T_457 & _T_429; // @[el2_dec_tlu_ctl.scala 727:70] + wire _T_460 = ~io_exu_i0_br_mp_r; // @[el2_dec_tlu_ctl.scala 727:97] + wire _T_461 = ~io_exu_pmu_i0_br_ataken; // @[el2_dec_tlu_ctl.scala 727:118] + wire _T_462 = _T_460 | _T_461; // @[el2_dec_tlu_ctl.scala 727:116] + wire _T_529 = ~take_nmi; // @[el2_dec_tlu_ctl.scala 775:21] + wire _T_530 = take_ext_int & _T_529; // @[el2_dec_tlu_ctl.scala 775:19] + wire _T_533 = take_timer_int & _T_529; // @[el2_dec_tlu_ctl.scala 776:21] + wire _T_536 = take_soft_int & _T_529; // @[el2_dec_tlu_ctl.scala 777:20] + wire _T_539 = take_int_timer0_int & _T_529; // @[el2_dec_tlu_ctl.scala 778:26] + wire _T_542 = take_int_timer1_int & _T_529; // @[el2_dec_tlu_ctl.scala 779:26] + wire _T_545 = take_ce_int & _T_529; // @[el2_dec_tlu_ctl.scala 780:18] + wire _T_548 = illegal_r & _T_529; // @[el2_dec_tlu_ctl.scala 781:16] + wire _T_551 = ecall_r & _T_529; // @[el2_dec_tlu_ctl.scala 782:15] + wire _T_554 = inst_acc_r & _T_529; // @[el2_dec_tlu_ctl.scala 783:18] + wire _T_556 = ebreak_r | i0_trigger_hit_raw_r; // @[el2_dec_tlu_ctl.scala 784:16] + wire _T_558 = _T_556 & _T_529; // @[el2_dec_tlu_ctl.scala 784:36] + wire _T_560 = ~lsu_exc_st_r; // @[el2_dec_tlu_ctl.scala 785:21] + wire _T_561 = lsu_exc_ma_r & _T_560; // @[el2_dec_tlu_ctl.scala 785:19] + wire _T_563 = _T_561 & _T_529; // @[el2_dec_tlu_ctl.scala 785:35] + wire _T_566 = lsu_exc_acc_r & _T_560; // @[el2_dec_tlu_ctl.scala 786:20] + wire _T_568 = _T_566 & _T_529; // @[el2_dec_tlu_ctl.scala 786:36] + wire _T_570 = lsu_exc_ma_r & lsu_exc_st_r; // @[el2_dec_tlu_ctl.scala 787:19] + wire _T_572 = _T_570 & _T_529; // @[el2_dec_tlu_ctl.scala 787:34] + wire _T_574 = lsu_exc_acc_r & lsu_exc_st_r; // @[el2_dec_tlu_ctl.scala 788:20] + wire _T_576 = _T_574 & _T_529; // @[el2_dec_tlu_ctl.scala 788:35] + wire [4:0] _T_578 = _T_530 ? 5'hb : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_579 = _T_533 ? 5'h7 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_580 = _T_536 ? 5'h3 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_581 = _T_539 ? 5'h1d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_582 = _T_542 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_583 = _T_545 ? 5'h1e : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_584 = _T_548 ? 5'h2 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_585 = _T_551 ? 5'hb : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_586 = _T_554 ? 5'h1 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_587 = _T_558 ? 5'h3 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_588 = _T_563 ? 5'h4 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_589 = _T_568 ? 5'h5 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_590 = _T_572 ? 5'h6 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_591 = _T_576 ? 5'h7 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_592 = _T_578 | _T_579; // @[Mux.scala 27:72] + wire [4:0] _T_593 = _T_592 | _T_580; // @[Mux.scala 27:72] + wire [4:0] _T_594 = _T_593 | _T_581; // @[Mux.scala 27:72] + wire [4:0] _T_595 = _T_594 | _T_582; // @[Mux.scala 27:72] + wire [4:0] _T_596 = _T_595 | _T_583; // @[Mux.scala 27:72] + wire [4:0] _T_597 = _T_596 | _T_584; // @[Mux.scala 27:72] + wire [4:0] _T_598 = _T_597 | _T_585; // @[Mux.scala 27:72] + wire [4:0] _T_599 = _T_598 | _T_586; // @[Mux.scala 27:72] + wire [4:0] _T_600 = _T_599 | _T_587; // @[Mux.scala 27:72] + wire [4:0] _T_601 = _T_600 | _T_588; // @[Mux.scala 27:72] + wire [4:0] _T_602 = _T_601 | _T_589; // @[Mux.scala 27:72] + wire [4:0] _T_603 = _T_602 | _T_590; // @[Mux.scala 27:72] + wire [4:0] exc_cause_r = _T_603 | _T_591; // @[Mux.scala 27:72] + wire _T_641 = io_dec_csr_stall_int_ff | synchronous_flush_r; // @[el2_dec_tlu_ctl.scala 813:51] + wire _T_642 = _T_641 | exc_or_int_valid_r_d1; // @[el2_dec_tlu_ctl.scala 813:73] + wire int_timer_stalled = _T_642 | mret_r; // @[el2_dec_tlu_ctl.scala 813:97] + wire _T_643 = pmu_fw_tlu_halted_f | int_timer_stalled; // @[el2_dec_tlu_ctl.scala 815:71] + wire _T_644 = int_timer0_int_ready & _T_643; // @[el2_dec_tlu_ctl.scala 815:48] + wire _T_645 = int_timer0_int_possible & int_timer0_int_hold_f; // @[el2_dec_tlu_ctl.scala 815:120] + wire _T_647 = _T_645 & _T_207; // @[el2_dec_tlu_ctl.scala 815:144] + wire _T_649 = _T_647 & _T_209; // @[el2_dec_tlu_ctl.scala 815:165] + wire _T_651 = _T_649 & _T_152; // @[el2_dec_tlu_ctl.scala 815:187] + wire _T_654 = int_timer1_int_ready & _T_643; // @[el2_dec_tlu_ctl.scala 816:48] + wire _T_655 = int_timer1_int_possible & int_timer1_int_hold_f; // @[el2_dec_tlu_ctl.scala 816:120] + wire _T_657 = _T_655 & _T_207; // @[el2_dec_tlu_ctl.scala 816:144] + wire _T_659 = _T_657 & _T_209; // @[el2_dec_tlu_ctl.scala 816:165] + wire _T_661 = _T_659 & _T_152; // @[el2_dec_tlu_ctl.scala 816:187] + reg take_ext_int_start_d2; // @[el2_dec_tlu_ctl.scala 825:58] + wire _T_681 = take_ext_int_start | take_ext_int_start_d1; // @[el2_dec_tlu_ctl.scala 830:42] + wire _T_682 = _T_681 | take_ext_int_start_d2; // @[el2_dec_tlu_ctl.scala 830:66] + wire csr_pkt_csr_meicpct = csr_read_io_csr_pkt_csr_meicpct; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire fast_int_meicpct = csr_pkt_csr_meicpct & io_dec_csr_any_unq_d; // @[el2_dec_tlu_ctl.scala 832:45] + wire [30:0] mtvec = csr_io_mtvec; // @[el2_dec_tlu_ctl.scala 349:41 el2_dec_tlu_ctl.scala 1087:31] + wire [30:0] _T_769 = {mtvec[30:1],1'h0}; // @[Cat.scala 29:58] + wire [30:0] _T_771 = {25'h0,exc_cause_r,1'h0}; // @[Cat.scala 29:58] + wire [30:0] vectored_path = _T_769 + _T_771; // @[el2_dec_tlu_ctl.scala 860:50] + wire [30:0] _T_778 = mtvec[0] ? vectored_path : _T_769; // @[el2_dec_tlu_ctl.scala 861:60] + wire [30:0] interrupt_path = take_nmi ? io_nmi_vec : _T_778; // @[el2_dec_tlu_ctl.scala 861:27] + wire _T_779 = lsu_i0_rfnpc_r | fence_i_r; // @[el2_dec_tlu_ctl.scala 862:35] + wire _T_780 = _T_779 | iccm_repair_state_rfnpc; // @[el2_dec_tlu_ctl.scala 862:47] + wire _T_782 = i_cpu_run_req_d1 & _T_207; // @[el2_dec_tlu_ctl.scala 862:93] + wire _T_783 = _T_780 | _T_782; // @[el2_dec_tlu_ctl.scala 862:73] + wire _T_785 = rfpc_i0_r & _T_743; // @[el2_dec_tlu_ctl.scala 862:128] + wire sel_npc_r = _T_783 | _T_785; // @[el2_dec_tlu_ctl.scala 862:115] + wire _T_798 = interrupt_valid_r | mret_r; // @[el2_dec_tlu_ctl.scala 866:42] + wire _T_799 = _T_798 | synchronous_flush_r; // @[el2_dec_tlu_ctl.scala 866:51] + wire _T_800 = _T_799 | take_halt; // @[el2_dec_tlu_ctl.scala 866:73] + wire _T_801 = _T_800 | take_reset; // @[el2_dec_tlu_ctl.scala 866:85] + wire _T_807 = _T_529 & sel_npc_r; // @[el2_dec_tlu_ctl.scala 870:21] + wire _T_810 = _T_529 & rfpc_i0_r; // @[el2_dec_tlu_ctl.scala 871:21] + wire _T_812 = _T_810 & io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 871:39] + wire _T_813 = ~sel_npc_r; // @[el2_dec_tlu_ctl.scala 871:80] + wire _T_814 = _T_812 & _T_813; // @[el2_dec_tlu_ctl.scala 871:69] + wire _T_816 = ~take_ext_int; // @[el2_dec_tlu_ctl.scala 872:44] + wire _T_817 = interrupt_valid_r & _T_816; // @[el2_dec_tlu_ctl.scala 872:30] + wire _T_818 = i0_exception_valid_r | lsu_exc_valid_r; // @[el2_dec_tlu_ctl.scala 873:28] + wire _T_821 = _T_818 | mepc_trigger_hit_sel_pc_r; // @[el2_dec_tlu_ctl.scala 873:46] + wire _T_823 = _T_821 & _T_207; // @[el2_dec_tlu_ctl.scala 873:91] + wire _T_825 = _T_823 & _T_816; // @[el2_dec_tlu_ctl.scala 873:112] + wire _T_830 = _T_529 & mret_r; // @[el2_dec_tlu_ctl.scala 874:16] + wire _T_833 = _T_529 & debug_resume_req_f; // @[el2_dec_tlu_ctl.scala 875:16] + wire _T_836 = _T_529 & sel_npc_resume; // @[el2_dec_tlu_ctl.scala 876:16] + wire [30:0] _T_838 = take_ext_int ? io_lsu_fir_addr : 31'h0; // @[Mux.scala 27:72] + wire [30:0] npc_r = csr_io_npc_r; // @[el2_dec_tlu_ctl.scala 337:41 el2_dec_tlu_ctl.scala 1075:31] + wire [30:0] _T_839 = _T_807 ? npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_840 = _T_814 ? io_dec_tlu_i0_pc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_841 = _T_817 ? interrupt_path : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_842 = _T_825 ? _T_769 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] mepc = csr_io_mepc; // @[el2_dec_tlu_ctl.scala 340:41 el2_dec_tlu_ctl.scala 1078:31] + wire [30:0] _T_843 = _T_830 ? mepc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] dpc = csr_io_dpc; // @[el2_dec_tlu_ctl.scala 343:41 el2_dec_tlu_ctl.scala 1081:31] + wire [30:0] _T_844 = _T_833 ? dpc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] npc_r_d1 = csr_io_npc_r_d1; // @[el2_dec_tlu_ctl.scala 338:41 el2_dec_tlu_ctl.scala 1076:31] + wire [30:0] _T_845 = _T_836 ? npc_r_d1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_846 = _T_838 | _T_839; // @[Mux.scala 27:72] + wire [30:0] _T_847 = _T_846 | _T_840; // @[Mux.scala 27:72] + wire [30:0] _T_848 = _T_847 | _T_841; // @[Mux.scala 27:72] + wire [30:0] _T_849 = _T_848 | _T_842; // @[Mux.scala 27:72] + wire [30:0] _T_850 = _T_849 | _T_843; // @[Mux.scala 27:72] + wire [30:0] _T_851 = _T_850 | _T_844; // @[Mux.scala 27:72] + wire [30:0] _T_852 = _T_851 | _T_845; // @[Mux.scala 27:72] + reg [30:0] tlu_flush_path_r_d1; // @[el2_dec_tlu_ctl.scala 879:58] + wire _T_854 = lsu_exc_valid_r | i0_exception_valid_r; // @[el2_dec_tlu_ctl.scala 886:44] + wire _T_855 = _T_854 | interrupt_valid_r; // @[el2_dec_tlu_ctl.scala 886:67] + reg i0_exception_valid_r_d1; // @[el2_dec_tlu_ctl.scala 889:81] + reg [4:0] exc_cause_wb; // @[el2_dec_tlu_ctl.scala 891:89] + wire _T_860 = ~illegal_r; // @[el2_dec_tlu_ctl.scala 892:119] + reg i0_valid_wb; // @[el2_dec_tlu_ctl.scala 892:97] + reg trigger_hit_r_d1; // @[el2_dec_tlu_ctl.scala 893:81] + wire csr_pkt_presync = csr_read_io_csr_pkt_presync; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_864 = csr_pkt_presync & io_dec_csr_any_unq_d; // @[el2_dec_tlu_ctl.scala 1094:44] + wire _T_865 = ~io_dec_csr_wen_unq_d; // @[el2_dec_tlu_ctl.scala 1094:69] + wire csr_pkt_postsync = csr_read_io_csr_pkt_postsync; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_874 = csr_pkt_csr_dcsr | csr_pkt_csr_dpc; // @[el2_dec_tlu_ctl.scala 1099:57] + wire csr_pkt_csr_dmst = csr_read_io_csr_pkt_csr_dmst; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_875 = _T_874 | csr_pkt_csr_dmst; // @[el2_dec_tlu_ctl.scala 1099:75] + wire csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_876 = _T_875 | csr_pkt_csr_dicawics; // @[el2_dec_tlu_ctl.scala 1099:94] + wire csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_877 = _T_876 | csr_pkt_csr_dicad0; // @[el2_dec_tlu_ctl.scala 1099:117] + wire csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_878 = _T_877 | csr_pkt_csr_dicad0h; // @[el2_dec_tlu_ctl.scala 1099:138] + wire csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_879 = _T_878 | csr_pkt_csr_dicad1; // @[el2_dec_tlu_ctl.scala 1099:160] + wire csr_pkt_csr_dicago = csr_read_io_csr_pkt_csr_dicago; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_880 = _T_879 | csr_pkt_csr_dicago; // @[el2_dec_tlu_ctl.scala 1099:181] + wire _T_881 = ~_T_880; // @[el2_dec_tlu_ctl.scala 1099:38] + wire _T_882 = _T_881 | dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 1099:203] + wire csr_pkt_legal = csr_read_io_csr_pkt_legal; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_883 = csr_pkt_legal & _T_882; // @[el2_dec_tlu_ctl.scala 1099:35] + wire _T_884 = ~fast_int_meicpct; // @[el2_dec_tlu_ctl.scala 1099:225] + wire valid_csr = _T_883 & _T_884; // @[el2_dec_tlu_ctl.scala 1099:223] + wire _T_887 = io_dec_csr_any_unq_d & valid_csr; // @[el2_dec_tlu_ctl.scala 1101:48] + wire csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_888 = csr_pkt_csr_mvendorid | csr_pkt_csr_marchid; // @[el2_dec_tlu_ctl.scala 1101:109] + wire csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_889 = _T_888 | csr_pkt_csr_mimpid; // @[el2_dec_tlu_ctl.scala 1101:131] + wire csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_890 = _T_889 | csr_pkt_csr_mhartid; // @[el2_dec_tlu_ctl.scala 1101:152] + wire csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_891 = _T_890 | csr_pkt_csr_mdseac; // @[el2_dec_tlu_ctl.scala 1101:174] + wire csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[el2_dec_tlu_ctl.scala 351:41 el2_dec_tlu_ctl.scala 1092:10] + wire _T_892 = _T_891 | csr_pkt_csr_meihap; // @[el2_dec_tlu_ctl.scala 1101:195] + wire _T_893 = io_dec_csr_wen_unq_d & _T_892; // @[el2_dec_tlu_ctl.scala 1101:84] + wire _T_894 = ~_T_893; // @[el2_dec_tlu_ctl.scala 1101:61] + el2_dec_timer_ctl int_timers ( // @[el2_dec_tlu_ctl.scala 355:24] + .clock(int_timers_clock), + .reset(int_timers_reset), + .io_free_clk(int_timers_io_free_clk), + .io_scan_mode(int_timers_io_scan_mode), + .io_dec_csr_wen_r_mod(int_timers_io_dec_csr_wen_r_mod), + .io_dec_csr_wraddr_r(int_timers_io_dec_csr_wraddr_r), + .io_dec_csr_wrdata_r(int_timers_io_dec_csr_wrdata_r), + .io_csr_mitctl0(int_timers_io_csr_mitctl0), + .io_csr_mitctl1(int_timers_io_csr_mitctl1), + .io_csr_mitb0(int_timers_io_csr_mitb0), + .io_csr_mitb1(int_timers_io_csr_mitb1), + .io_csr_mitcnt0(int_timers_io_csr_mitcnt0), + .io_csr_mitcnt1(int_timers_io_csr_mitcnt1), + .io_dec_pause_state(int_timers_io_dec_pause_state), + .io_dec_tlu_pmu_fw_halted(int_timers_io_dec_tlu_pmu_fw_halted), + .io_internal_dbg_halt_timers(int_timers_io_internal_dbg_halt_timers), + .io_dec_timer_rddata_d(int_timers_io_dec_timer_rddata_d), + .io_dec_timer_read_d(int_timers_io_dec_timer_read_d), + .io_dec_timer_t0_pulse(int_timers_io_dec_timer_t0_pulse), + .io_dec_timer_t1_pulse(int_timers_io_dec_timer_t1_pulse) + ); + rvclkhdr rvclkhdr ( // @[el2_lib.scala 474:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[el2_lib.scala 474:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[el2_lib.scala 474:22] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[el2_lib.scala 474:22] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + csr_tlu csr ( // @[el2_dec_tlu_ctl.scala 897:17] + .clock(csr_clock), + .reset(csr_reset), + .io_free_clk(csr_io_free_clk), + .io_active_clk(csr_io_active_clk), + .io_scan_mode(csr_io_scan_mode), + .io_dec_csr_wrdata_r(csr_io_dec_csr_wrdata_r), + .io_dec_csr_wraddr_r(csr_io_dec_csr_wraddr_r), + .io_dec_csr_rdaddr_d(csr_io_dec_csr_rdaddr_d), + .io_dec_csr_wen_unq_d(csr_io_dec_csr_wen_unq_d), + .io_dec_i0_decode_d(csr_io_dec_i0_decode_d), + .io_dec_tlu_ic_diag_pkt_icache_wrdata(csr_io_dec_tlu_ic_diag_pkt_icache_wrdata), + .io_dec_tlu_ic_diag_pkt_icache_dicawics(csr_io_dec_tlu_ic_diag_pkt_icache_dicawics), + .io_dec_tlu_ic_diag_pkt_icache_rd_valid(csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid), + .io_dec_tlu_ic_diag_pkt_icache_wr_valid(csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid), + .io_ifu_ic_debug_rd_data_valid(csr_io_ifu_ic_debug_rd_data_valid), + .io_trigger_pkt_any_0_select(csr_io_trigger_pkt_any_0_select), + .io_trigger_pkt_any_0_match_(csr_io_trigger_pkt_any_0_match_), + .io_trigger_pkt_any_0_store(csr_io_trigger_pkt_any_0_store), + .io_trigger_pkt_any_0_load(csr_io_trigger_pkt_any_0_load), + .io_trigger_pkt_any_0_execute(csr_io_trigger_pkt_any_0_execute), + .io_trigger_pkt_any_0_m(csr_io_trigger_pkt_any_0_m), + .io_trigger_pkt_any_0_tdata2(csr_io_trigger_pkt_any_0_tdata2), + .io_trigger_pkt_any_1_select(csr_io_trigger_pkt_any_1_select), + .io_trigger_pkt_any_1_match_(csr_io_trigger_pkt_any_1_match_), + .io_trigger_pkt_any_1_store(csr_io_trigger_pkt_any_1_store), + .io_trigger_pkt_any_1_load(csr_io_trigger_pkt_any_1_load), + .io_trigger_pkt_any_1_execute(csr_io_trigger_pkt_any_1_execute), + .io_trigger_pkt_any_1_m(csr_io_trigger_pkt_any_1_m), + .io_trigger_pkt_any_1_tdata2(csr_io_trigger_pkt_any_1_tdata2), + .io_trigger_pkt_any_2_select(csr_io_trigger_pkt_any_2_select), + .io_trigger_pkt_any_2_match_(csr_io_trigger_pkt_any_2_match_), + .io_trigger_pkt_any_2_store(csr_io_trigger_pkt_any_2_store), + .io_trigger_pkt_any_2_load(csr_io_trigger_pkt_any_2_load), + .io_trigger_pkt_any_2_execute(csr_io_trigger_pkt_any_2_execute), + .io_trigger_pkt_any_2_m(csr_io_trigger_pkt_any_2_m), + .io_trigger_pkt_any_2_tdata2(csr_io_trigger_pkt_any_2_tdata2), + .io_trigger_pkt_any_3_select(csr_io_trigger_pkt_any_3_select), + .io_trigger_pkt_any_3_match_(csr_io_trigger_pkt_any_3_match_), + .io_trigger_pkt_any_3_store(csr_io_trigger_pkt_any_3_store), + .io_trigger_pkt_any_3_load(csr_io_trigger_pkt_any_3_load), + .io_trigger_pkt_any_3_execute(csr_io_trigger_pkt_any_3_execute), + .io_trigger_pkt_any_3_m(csr_io_trigger_pkt_any_3_m), + .io_trigger_pkt_any_3_tdata2(csr_io_trigger_pkt_any_3_tdata2), + .io_ifu_pmu_bus_trxn(csr_io_ifu_pmu_bus_trxn), + .io_dma_iccm_stall_any(csr_io_dma_iccm_stall_any), + .io_dma_dccm_stall_any(csr_io_dma_dccm_stall_any), + .io_lsu_store_stall_any(csr_io_lsu_store_stall_any), + .io_dec_pmu_presync_stall(csr_io_dec_pmu_presync_stall), + .io_dec_pmu_postsync_stall(csr_io_dec_pmu_postsync_stall), + .io_dec_pmu_decode_stall(csr_io_dec_pmu_decode_stall), + .io_ifu_pmu_fetch_stall(csr_io_ifu_pmu_fetch_stall), + .io_dec_tlu_packet_r_icaf_type(csr_io_dec_tlu_packet_r_icaf_type), + .io_dec_tlu_packet_r_pmu_i0_itype(csr_io_dec_tlu_packet_r_pmu_i0_itype), + .io_dec_tlu_packet_r_pmu_i0_br_unpred(csr_io_dec_tlu_packet_r_pmu_i0_br_unpred), + .io_dec_tlu_packet_r_pmu_divide(csr_io_dec_tlu_packet_r_pmu_divide), + .io_dec_tlu_packet_r_pmu_lsu_misaligned(csr_io_dec_tlu_packet_r_pmu_lsu_misaligned), + .io_exu_pmu_i0_br_ataken(csr_io_exu_pmu_i0_br_ataken), + .io_exu_pmu_i0_br_misp(csr_io_exu_pmu_i0_br_misp), + .io_dec_pmu_instr_decoded(csr_io_dec_pmu_instr_decoded), + .io_ifu_pmu_instr_aligned(csr_io_ifu_pmu_instr_aligned), + .io_exu_pmu_i0_pc4(csr_io_exu_pmu_i0_pc4), + .io_ifu_pmu_ic_miss(csr_io_ifu_pmu_ic_miss), + .io_ifu_pmu_ic_hit(csr_io_ifu_pmu_ic_hit), + .io_dec_tlu_int_valid_wb1(csr_io_dec_tlu_int_valid_wb1), + .io_dec_tlu_i0_exc_valid_wb1(csr_io_dec_tlu_i0_exc_valid_wb1), + .io_dec_tlu_i0_valid_wb1(csr_io_dec_tlu_i0_valid_wb1), + .io_dec_csr_wen_r(csr_io_dec_csr_wen_r), + .io_dec_tlu_mtval_wb1(csr_io_dec_tlu_mtval_wb1), + .io_dec_tlu_exc_cause_wb1(csr_io_dec_tlu_exc_cause_wb1), + .io_dec_tlu_perfcnt0(csr_io_dec_tlu_perfcnt0), + .io_dec_tlu_perfcnt1(csr_io_dec_tlu_perfcnt1), + .io_dec_tlu_perfcnt2(csr_io_dec_tlu_perfcnt2), + .io_dec_tlu_perfcnt3(csr_io_dec_tlu_perfcnt3), + .io_dec_tlu_dbg_halted(csr_io_dec_tlu_dbg_halted), + .io_dma_pmu_dccm_write(csr_io_dma_pmu_dccm_write), + .io_dma_pmu_dccm_read(csr_io_dma_pmu_dccm_read), + .io_dma_pmu_any_write(csr_io_dma_pmu_any_write), + .io_dma_pmu_any_read(csr_io_dma_pmu_any_read), + .io_lsu_pmu_bus_busy(csr_io_lsu_pmu_bus_busy), + .io_dec_tlu_i0_pc_r(csr_io_dec_tlu_i0_pc_r), + .io_dec_tlu_i0_valid_r(csr_io_dec_tlu_i0_valid_r), + .io_dec_csr_any_unq_d(csr_io_dec_csr_any_unq_d), + .io_dec_tlu_misc_clk_override(csr_io_dec_tlu_misc_clk_override), + .io_dec_tlu_dec_clk_override(csr_io_dec_tlu_dec_clk_override), + .io_dec_tlu_ifu_clk_override(csr_io_dec_tlu_ifu_clk_override), + .io_dec_tlu_lsu_clk_override(csr_io_dec_tlu_lsu_clk_override), + .io_dec_tlu_bus_clk_override(csr_io_dec_tlu_bus_clk_override), + .io_dec_tlu_pic_clk_override(csr_io_dec_tlu_pic_clk_override), + .io_dec_tlu_dccm_clk_override(csr_io_dec_tlu_dccm_clk_override), + .io_dec_tlu_icm_clk_override(csr_io_dec_tlu_icm_clk_override), + .io_dec_csr_rddata_d(csr_io_dec_csr_rddata_d), + .io_dec_tlu_pipelining_disable(csr_io_dec_tlu_pipelining_disable), + .io_dec_tlu_wr_pause_r(csr_io_dec_tlu_wr_pause_r), + .io_ifu_pmu_bus_busy(csr_io_ifu_pmu_bus_busy), + .io_lsu_pmu_bus_error(csr_io_lsu_pmu_bus_error), + .io_ifu_pmu_bus_error(csr_io_ifu_pmu_bus_error), + .io_lsu_pmu_bus_misaligned(csr_io_lsu_pmu_bus_misaligned), + .io_lsu_pmu_bus_trxn(csr_io_lsu_pmu_bus_trxn), + .io_ifu_ic_debug_rd_data(csr_io_ifu_ic_debug_rd_data), + .io_dec_tlu_meipt(csr_io_dec_tlu_meipt), + .io_pic_pl(csr_io_pic_pl), + .io_dec_tlu_meicurpl(csr_io_dec_tlu_meicurpl), + .io_dec_tlu_meihap(csr_io_dec_tlu_meihap), + .io_pic_claimid(csr_io_pic_claimid), + .io_iccm_dma_sb_error(csr_io_iccm_dma_sb_error), + .io_lsu_imprecise_error_addr_any(csr_io_lsu_imprecise_error_addr_any), + .io_lsu_imprecise_error_load_any(csr_io_lsu_imprecise_error_load_any), + .io_lsu_imprecise_error_store_any(csr_io_lsu_imprecise_error_store_any), + .io_dec_tlu_mrac_ff(csr_io_dec_tlu_mrac_ff), + .io_dec_tlu_wb_coalescing_disable(csr_io_dec_tlu_wb_coalescing_disable), + .io_dec_tlu_bpred_disable(csr_io_dec_tlu_bpred_disable), + .io_dec_tlu_sideeffect_posted_disable(csr_io_dec_tlu_sideeffect_posted_disable), + .io_dec_tlu_core_ecc_disable(csr_io_dec_tlu_core_ecc_disable), + .io_dec_tlu_external_ldfwd_disable(csr_io_dec_tlu_external_ldfwd_disable), + .io_dec_tlu_dma_qos_prty(csr_io_dec_tlu_dma_qos_prty), + .io_dec_illegal_inst(csr_io_dec_illegal_inst), + .io_lsu_error_pkt_r_mscause(csr_io_lsu_error_pkt_r_mscause), + .io_mexintpend(csr_io_mexintpend), + .io_exu_npc_r(csr_io_exu_npc_r), + .io_mpc_reset_run_req(csr_io_mpc_reset_run_req), + .io_rst_vec(csr_io_rst_vec), + .io_core_id(csr_io_core_id), + .io_dec_timer_rddata_d(csr_io_dec_timer_rddata_d), + .io_dec_timer_read_d(csr_io_dec_timer_read_d), + .io_dec_csr_wen_r_mod(csr_io_dec_csr_wen_r_mod), + .io_rfpc_i0_r(csr_io_rfpc_i0_r), + .io_i0_trigger_hit_r(csr_io_i0_trigger_hit_r), + .io_fw_halt_req(csr_io_fw_halt_req), + .io_mstatus(csr_io_mstatus), + .io_exc_or_int_valid_r(csr_io_exc_or_int_valid_r), + .io_mret_r(csr_io_mret_r), + .io_mstatus_mie_ns(csr_io_mstatus_mie_ns), + .io_dcsr_single_step_running_f(csr_io_dcsr_single_step_running_f), + .io_dcsr(csr_io_dcsr), + .io_mtvec(csr_io_mtvec), + .io_mip(csr_io_mip), + .io_dec_timer_t0_pulse(csr_io_dec_timer_t0_pulse), + .io_dec_timer_t1_pulse(csr_io_dec_timer_t1_pulse), + .io_timer_int_sync(csr_io_timer_int_sync), + .io_soft_int_sync(csr_io_soft_int_sync), + .io_mie_ns(csr_io_mie_ns), + .io_csr_wr_clk(csr_io_csr_wr_clk), + .io_ebreak_to_debug_mode_r(csr_io_ebreak_to_debug_mode_r), + .io_dec_tlu_pmu_fw_halted(csr_io_dec_tlu_pmu_fw_halted), + .io_lsu_fir_error(csr_io_lsu_fir_error), + .io_npc_r(csr_io_npc_r), + .io_tlu_flush_lower_r_d1(csr_io_tlu_flush_lower_r_d1), + .io_dec_tlu_flush_noredir_r_d1(csr_io_dec_tlu_flush_noredir_r_d1), + .io_tlu_flush_path_r_d1(csr_io_tlu_flush_path_r_d1), + .io_npc_r_d1(csr_io_npc_r_d1), + .io_reset_delayed(csr_io_reset_delayed), + .io_mepc(csr_io_mepc), + .io_interrupt_valid_r(csr_io_interrupt_valid_r), + .io_i0_exception_valid_r(csr_io_i0_exception_valid_r), + .io_lsu_exc_valid_r(csr_io_lsu_exc_valid_r), + .io_mepc_trigger_hit_sel_pc_r(csr_io_mepc_trigger_hit_sel_pc_r), + .io_e4e5_int_clk(csr_io_e4e5_int_clk), + .io_lsu_i0_exc_r(csr_io_lsu_i0_exc_r), + .io_inst_acc_r(csr_io_inst_acc_r), + .io_inst_acc_second_r(csr_io_inst_acc_second_r), + .io_take_nmi(csr_io_take_nmi), + .io_lsu_error_pkt_addr_r(csr_io_lsu_error_pkt_addr_r), + .io_exc_cause_r(csr_io_exc_cause_r), + .io_i0_valid_wb(csr_io_i0_valid_wb), + .io_exc_or_int_valid_r_d1(csr_io_exc_or_int_valid_r_d1), + .io_interrupt_valid_r_d1(csr_io_interrupt_valid_r_d1), + .io_clk_override(csr_io_clk_override), + .io_i0_exception_valid_r_d1(csr_io_i0_exception_valid_r_d1), + .io_lsu_i0_exc_r_d1(csr_io_lsu_i0_exc_r_d1), + .io_exc_cause_wb(csr_io_exc_cause_wb), + .io_nmi_lsu_store_type(csr_io_nmi_lsu_store_type), + .io_nmi_lsu_load_type(csr_io_nmi_lsu_load_type), + .io_tlu_i0_commit_cmt(csr_io_tlu_i0_commit_cmt), + .io_ebreak_r(csr_io_ebreak_r), + .io_ecall_r(csr_io_ecall_r), + .io_illegal_r(csr_io_illegal_r), + .io_mdseac_locked_ns(csr_io_mdseac_locked_ns), + .io_mdseac_locked_f(csr_io_mdseac_locked_f), + .io_nmi_int_detected_f(csr_io_nmi_int_detected_f), + .io_internal_dbg_halt_mode_f2(csr_io_internal_dbg_halt_mode_f2), + .io_ext_int_freeze_d1(csr_io_ext_int_freeze_d1), + .io_ic_perr_r_d1(csr_io_ic_perr_r_d1), + .io_iccm_sbecc_r_d1(csr_io_iccm_sbecc_r_d1), + .io_lsu_single_ecc_error_r_d1(csr_io_lsu_single_ecc_error_r_d1), + .io_ifu_miss_state_idle_f(csr_io_ifu_miss_state_idle_f), + .io_lsu_idle_any_f(csr_io_lsu_idle_any_f), + .io_dbg_tlu_halted_f(csr_io_dbg_tlu_halted_f), + .io_dbg_tlu_halted(csr_io_dbg_tlu_halted), + .io_debug_halt_req_f(csr_io_debug_halt_req_f), + .io_force_halt(csr_io_force_halt), + .io_take_ext_int_start(csr_io_take_ext_int_start), + .io_trigger_hit_dmode_r_d1(csr_io_trigger_hit_dmode_r_d1), + .io_trigger_hit_r_d1(csr_io_trigger_hit_r_d1), + .io_dcsr_single_step_done_f(csr_io_dcsr_single_step_done_f), + .io_ebreak_to_debug_mode_r_d1(csr_io_ebreak_to_debug_mode_r_d1), + .io_debug_halt_req(csr_io_debug_halt_req), + .io_allow_dbg_halt_csr_write(csr_io_allow_dbg_halt_csr_write), + .io_internal_dbg_halt_mode_f(csr_io_internal_dbg_halt_mode_f), + .io_enter_debug_halt_req(csr_io_enter_debug_halt_req), + .io_internal_dbg_halt_mode(csr_io_internal_dbg_halt_mode), + .io_request_debug_mode_done(csr_io_request_debug_mode_done), + .io_request_debug_mode_r(csr_io_request_debug_mode_r), + .io_dpc(csr_io_dpc), + .io_update_hit_bit_r(csr_io_update_hit_bit_r), + .io_take_timer_int(csr_io_take_timer_int), + .io_take_int_timer0_int(csr_io_take_int_timer0_int), + .io_take_int_timer1_int(csr_io_take_int_timer1_int), + .io_take_ext_int(csr_io_take_ext_int), + .io_tlu_flush_lower_r(csr_io_tlu_flush_lower_r), + .io_dec_tlu_br0_error_r(csr_io_dec_tlu_br0_error_r), + .io_dec_tlu_br0_start_error_r(csr_io_dec_tlu_br0_start_error_r), + .io_lsu_pmu_load_external_r(csr_io_lsu_pmu_load_external_r), + .io_lsu_pmu_store_external_r(csr_io_lsu_pmu_store_external_r), + .io_csr_pkt_csr_misa(csr_io_csr_pkt_csr_misa), + .io_csr_pkt_csr_mvendorid(csr_io_csr_pkt_csr_mvendorid), + .io_csr_pkt_csr_marchid(csr_io_csr_pkt_csr_marchid), + .io_csr_pkt_csr_mimpid(csr_io_csr_pkt_csr_mimpid), + .io_csr_pkt_csr_mhartid(csr_io_csr_pkt_csr_mhartid), + .io_csr_pkt_csr_mstatus(csr_io_csr_pkt_csr_mstatus), + .io_csr_pkt_csr_mtvec(csr_io_csr_pkt_csr_mtvec), + .io_csr_pkt_csr_mip(csr_io_csr_pkt_csr_mip), + .io_csr_pkt_csr_mie(csr_io_csr_pkt_csr_mie), + .io_csr_pkt_csr_mcyclel(csr_io_csr_pkt_csr_mcyclel), + .io_csr_pkt_csr_mcycleh(csr_io_csr_pkt_csr_mcycleh), + .io_csr_pkt_csr_minstretl(csr_io_csr_pkt_csr_minstretl), + .io_csr_pkt_csr_minstreth(csr_io_csr_pkt_csr_minstreth), + .io_csr_pkt_csr_mscratch(csr_io_csr_pkt_csr_mscratch), + .io_csr_pkt_csr_mepc(csr_io_csr_pkt_csr_mepc), + .io_csr_pkt_csr_mcause(csr_io_csr_pkt_csr_mcause), + .io_csr_pkt_csr_mscause(csr_io_csr_pkt_csr_mscause), + .io_csr_pkt_csr_mtval(csr_io_csr_pkt_csr_mtval), + .io_csr_pkt_csr_mrac(csr_io_csr_pkt_csr_mrac), + .io_csr_pkt_csr_mdseac(csr_io_csr_pkt_csr_mdseac), + .io_csr_pkt_csr_meihap(csr_io_csr_pkt_csr_meihap), + .io_csr_pkt_csr_meivt(csr_io_csr_pkt_csr_meivt), + .io_csr_pkt_csr_meipt(csr_io_csr_pkt_csr_meipt), + .io_csr_pkt_csr_meicurpl(csr_io_csr_pkt_csr_meicurpl), + .io_csr_pkt_csr_meicidpl(csr_io_csr_pkt_csr_meicidpl), + .io_csr_pkt_csr_dcsr(csr_io_csr_pkt_csr_dcsr), + .io_csr_pkt_csr_mcgc(csr_io_csr_pkt_csr_mcgc), + .io_csr_pkt_csr_mfdc(csr_io_csr_pkt_csr_mfdc), + .io_csr_pkt_csr_dpc(csr_io_csr_pkt_csr_dpc), + .io_csr_pkt_csr_mtsel(csr_io_csr_pkt_csr_mtsel), + .io_csr_pkt_csr_mtdata1(csr_io_csr_pkt_csr_mtdata1), + .io_csr_pkt_csr_mtdata2(csr_io_csr_pkt_csr_mtdata2), + .io_csr_pkt_csr_mhpmc3(csr_io_csr_pkt_csr_mhpmc3), + .io_csr_pkt_csr_mhpmc4(csr_io_csr_pkt_csr_mhpmc4), + .io_csr_pkt_csr_mhpmc5(csr_io_csr_pkt_csr_mhpmc5), + .io_csr_pkt_csr_mhpmc6(csr_io_csr_pkt_csr_mhpmc6), + .io_csr_pkt_csr_mhpmc3h(csr_io_csr_pkt_csr_mhpmc3h), + .io_csr_pkt_csr_mhpmc4h(csr_io_csr_pkt_csr_mhpmc4h), + .io_csr_pkt_csr_mhpmc5h(csr_io_csr_pkt_csr_mhpmc5h), + .io_csr_pkt_csr_mhpmc6h(csr_io_csr_pkt_csr_mhpmc6h), + .io_csr_pkt_csr_mhpme3(csr_io_csr_pkt_csr_mhpme3), + .io_csr_pkt_csr_mhpme4(csr_io_csr_pkt_csr_mhpme4), + .io_csr_pkt_csr_mhpme5(csr_io_csr_pkt_csr_mhpme5), + .io_csr_pkt_csr_mhpme6(csr_io_csr_pkt_csr_mhpme6), + .io_csr_pkt_csr_mcountinhibit(csr_io_csr_pkt_csr_mcountinhibit), + .io_csr_pkt_csr_mpmc(csr_io_csr_pkt_csr_mpmc), + .io_csr_pkt_csr_micect(csr_io_csr_pkt_csr_micect), + .io_csr_pkt_csr_miccmect(csr_io_csr_pkt_csr_miccmect), + .io_csr_pkt_csr_mdccmect(csr_io_csr_pkt_csr_mdccmect), + .io_csr_pkt_csr_mfdht(csr_io_csr_pkt_csr_mfdht), + .io_csr_pkt_csr_mfdhs(csr_io_csr_pkt_csr_mfdhs), + .io_csr_pkt_csr_dicawics(csr_io_csr_pkt_csr_dicawics), + .io_csr_pkt_csr_dicad0h(csr_io_csr_pkt_csr_dicad0h), + .io_csr_pkt_csr_dicad0(csr_io_csr_pkt_csr_dicad0), + .io_csr_pkt_csr_dicad1(csr_io_csr_pkt_csr_dicad1), + .io_mtdata1_t_0(csr_io_mtdata1_t_0), + .io_mtdata1_t_1(csr_io_mtdata1_t_1), + .io_mtdata1_t_2(csr_io_mtdata1_t_2), + .io_mtdata1_t_3(csr_io_mtdata1_t_3) + ); + el2_dec_decode_csr_read csr_read ( // @[el2_dec_tlu_ctl.scala 1090:22] + .io_dec_csr_rdaddr_d(csr_read_io_dec_csr_rdaddr_d), + .io_csr_pkt_csr_misa(csr_read_io_csr_pkt_csr_misa), + .io_csr_pkt_csr_mvendorid(csr_read_io_csr_pkt_csr_mvendorid), + .io_csr_pkt_csr_marchid(csr_read_io_csr_pkt_csr_marchid), + .io_csr_pkt_csr_mimpid(csr_read_io_csr_pkt_csr_mimpid), + .io_csr_pkt_csr_mhartid(csr_read_io_csr_pkt_csr_mhartid), + .io_csr_pkt_csr_mstatus(csr_read_io_csr_pkt_csr_mstatus), + .io_csr_pkt_csr_mtvec(csr_read_io_csr_pkt_csr_mtvec), + .io_csr_pkt_csr_mip(csr_read_io_csr_pkt_csr_mip), + .io_csr_pkt_csr_mie(csr_read_io_csr_pkt_csr_mie), + .io_csr_pkt_csr_mcyclel(csr_read_io_csr_pkt_csr_mcyclel), + .io_csr_pkt_csr_mcycleh(csr_read_io_csr_pkt_csr_mcycleh), + .io_csr_pkt_csr_minstretl(csr_read_io_csr_pkt_csr_minstretl), + .io_csr_pkt_csr_minstreth(csr_read_io_csr_pkt_csr_minstreth), + .io_csr_pkt_csr_mscratch(csr_read_io_csr_pkt_csr_mscratch), + .io_csr_pkt_csr_mepc(csr_read_io_csr_pkt_csr_mepc), + .io_csr_pkt_csr_mcause(csr_read_io_csr_pkt_csr_mcause), + .io_csr_pkt_csr_mscause(csr_read_io_csr_pkt_csr_mscause), + .io_csr_pkt_csr_mtval(csr_read_io_csr_pkt_csr_mtval), + .io_csr_pkt_csr_mrac(csr_read_io_csr_pkt_csr_mrac), + .io_csr_pkt_csr_dmst(csr_read_io_csr_pkt_csr_dmst), + .io_csr_pkt_csr_mdseac(csr_read_io_csr_pkt_csr_mdseac), + .io_csr_pkt_csr_meihap(csr_read_io_csr_pkt_csr_meihap), + .io_csr_pkt_csr_meivt(csr_read_io_csr_pkt_csr_meivt), + .io_csr_pkt_csr_meipt(csr_read_io_csr_pkt_csr_meipt), + .io_csr_pkt_csr_meicurpl(csr_read_io_csr_pkt_csr_meicurpl), + .io_csr_pkt_csr_meicidpl(csr_read_io_csr_pkt_csr_meicidpl), + .io_csr_pkt_csr_dcsr(csr_read_io_csr_pkt_csr_dcsr), + .io_csr_pkt_csr_mcgc(csr_read_io_csr_pkt_csr_mcgc), + .io_csr_pkt_csr_mfdc(csr_read_io_csr_pkt_csr_mfdc), + .io_csr_pkt_csr_dpc(csr_read_io_csr_pkt_csr_dpc), + .io_csr_pkt_csr_mtsel(csr_read_io_csr_pkt_csr_mtsel), + .io_csr_pkt_csr_mtdata1(csr_read_io_csr_pkt_csr_mtdata1), + .io_csr_pkt_csr_mtdata2(csr_read_io_csr_pkt_csr_mtdata2), + .io_csr_pkt_csr_mhpmc3(csr_read_io_csr_pkt_csr_mhpmc3), + .io_csr_pkt_csr_mhpmc4(csr_read_io_csr_pkt_csr_mhpmc4), + .io_csr_pkt_csr_mhpmc5(csr_read_io_csr_pkt_csr_mhpmc5), + .io_csr_pkt_csr_mhpmc6(csr_read_io_csr_pkt_csr_mhpmc6), + .io_csr_pkt_csr_mhpmc3h(csr_read_io_csr_pkt_csr_mhpmc3h), + .io_csr_pkt_csr_mhpmc4h(csr_read_io_csr_pkt_csr_mhpmc4h), + .io_csr_pkt_csr_mhpmc5h(csr_read_io_csr_pkt_csr_mhpmc5h), + .io_csr_pkt_csr_mhpmc6h(csr_read_io_csr_pkt_csr_mhpmc6h), + .io_csr_pkt_csr_mhpme3(csr_read_io_csr_pkt_csr_mhpme3), + .io_csr_pkt_csr_mhpme4(csr_read_io_csr_pkt_csr_mhpme4), + .io_csr_pkt_csr_mhpme5(csr_read_io_csr_pkt_csr_mhpme5), + .io_csr_pkt_csr_mhpme6(csr_read_io_csr_pkt_csr_mhpme6), + .io_csr_pkt_csr_mcountinhibit(csr_read_io_csr_pkt_csr_mcountinhibit), + .io_csr_pkt_csr_mitctl0(csr_read_io_csr_pkt_csr_mitctl0), + .io_csr_pkt_csr_mitctl1(csr_read_io_csr_pkt_csr_mitctl1), + .io_csr_pkt_csr_mitb0(csr_read_io_csr_pkt_csr_mitb0), + .io_csr_pkt_csr_mitb1(csr_read_io_csr_pkt_csr_mitb1), + .io_csr_pkt_csr_mitcnt0(csr_read_io_csr_pkt_csr_mitcnt0), + .io_csr_pkt_csr_mitcnt1(csr_read_io_csr_pkt_csr_mitcnt1), + .io_csr_pkt_csr_mpmc(csr_read_io_csr_pkt_csr_mpmc), + .io_csr_pkt_csr_meicpct(csr_read_io_csr_pkt_csr_meicpct), + .io_csr_pkt_csr_micect(csr_read_io_csr_pkt_csr_micect), + .io_csr_pkt_csr_miccmect(csr_read_io_csr_pkt_csr_miccmect), + .io_csr_pkt_csr_mdccmect(csr_read_io_csr_pkt_csr_mdccmect), + .io_csr_pkt_csr_mfdht(csr_read_io_csr_pkt_csr_mfdht), + .io_csr_pkt_csr_mfdhs(csr_read_io_csr_pkt_csr_mfdhs), + .io_csr_pkt_csr_dicawics(csr_read_io_csr_pkt_csr_dicawics), + .io_csr_pkt_csr_dicad0h(csr_read_io_csr_pkt_csr_dicad0h), + .io_csr_pkt_csr_dicad0(csr_read_io_csr_pkt_csr_dicad0), + .io_csr_pkt_csr_dicad1(csr_read_io_csr_pkt_csr_dicad1), + .io_csr_pkt_csr_dicago(csr_read_io_csr_pkt_csr_dicago), + .io_csr_pkt_presync(csr_read_io_csr_pkt_presync), + .io_csr_pkt_postsync(csr_read_io_csr_pkt_postsync), + .io_csr_pkt_legal(csr_read_io_csr_pkt_legal) + ); + assign io_dec_dbg_cmd_done = io_dec_tlu_i0_valid_r & io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 568:23] + assign io_dec_dbg_cmd_fail = illegal_r & io_dec_dbg_cmd_done; // @[el2_dec_tlu_ctl.scala 569:23] + assign io_dec_tlu_dbg_halted = dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 550:41] + assign io_dec_tlu_debug_mode = debug_mode_status; // @[el2_dec_tlu_ctl.scala 551:41] + assign io_dec_tlu_resume_ack = _T_190; // @[el2_dec_tlu_ctl.scala 534:41] + assign io_dec_tlu_debug_stall = debug_halt_req_f; // @[el2_dec_tlu_ctl.scala 549:41] + assign io_dec_tlu_flush_noredir_r = _T_205 | take_ext_int_start; // @[el2_dec_tlu_ctl.scala 555:30] + assign io_dec_tlu_mpc_halted_only = _T_65; // @[el2_dec_tlu_ctl.scala 449:41] + assign io_dec_tlu_flush_leak_one_r = _T_233 & _T_234; // @[el2_dec_tlu_ctl.scala 564:31] + assign io_dec_tlu_flush_err_r = io_dec_tlu_flush_lower_r & _T_433; // @[el2_dec_tlu_ctl.scala 565:26] + assign io_dec_tlu_flush_extint = ext_int_ready & _T_704; // @[el2_dec_tlu_ctl.scala 557:27] + assign io_dec_tlu_meihap = csr_io_dec_tlu_meihap; // @[el2_dec_tlu_ctl.scala 956:44] + assign io_trigger_pkt_any_0_select = csr_io_trigger_pkt_any_0_select; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_0_match_ = csr_io_trigger_pkt_any_0_match_; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_0_store = csr_io_trigger_pkt_any_0_store; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_0_load = csr_io_trigger_pkt_any_0_load; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_0_execute = csr_io_trigger_pkt_any_0_execute; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_0_m = csr_io_trigger_pkt_any_0_m; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_0_tdata2 = csr_io_trigger_pkt_any_0_tdata2; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_select = csr_io_trigger_pkt_any_1_select; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_match_ = csr_io_trigger_pkt_any_1_match_; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_store = csr_io_trigger_pkt_any_1_store; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_load = csr_io_trigger_pkt_any_1_load; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_execute = csr_io_trigger_pkt_any_1_execute; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_m = csr_io_trigger_pkt_any_1_m; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_1_tdata2 = csr_io_trigger_pkt_any_1_tdata2; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_select = csr_io_trigger_pkt_any_2_select; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_match_ = csr_io_trigger_pkt_any_2_match_; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_store = csr_io_trigger_pkt_any_2_store; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_load = csr_io_trigger_pkt_any_2_load; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_execute = csr_io_trigger_pkt_any_2_execute; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_m = csr_io_trigger_pkt_any_2_m; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_2_tdata2 = csr_io_trigger_pkt_any_2_tdata2; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_select = csr_io_trigger_pkt_any_3_select; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_match_ = csr_io_trigger_pkt_any_3_match_; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_store = csr_io_trigger_pkt_any_3_store; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_load = csr_io_trigger_pkt_any_3_load; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_execute = csr_io_trigger_pkt_any_3_execute; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_m = csr_io_trigger_pkt_any_3_m; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_trigger_pkt_any_3_tdata2 = csr_io_trigger_pkt_any_3_tdata2; // @[el2_dec_tlu_ctl.scala 962:40] + assign io_dec_tlu_ic_diag_pkt_icache_wrdata = csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_dec_tlu_ctl.scala 961:44] + assign io_dec_tlu_ic_diag_pkt_icache_dicawics = csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[el2_dec_tlu_ctl.scala 961:44] + assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_dec_tlu_ctl.scala 961:44] + assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_dec_tlu_ctl.scala 961:44] + assign io_o_cpu_halt_status = _T_353; // @[el2_dec_tlu_ctl.scala 654:41] + assign io_o_cpu_halt_ack = _T_354; // @[el2_dec_tlu_ctl.scala 655:49] + assign io_o_cpu_run_ack = _T_355; // @[el2_dec_tlu_ctl.scala 656:49] + assign io_o_debug_mode_status = debug_mode_status; // @[el2_dec_tlu_ctl.scala 677:26] + assign io_mpc_debug_halt_ack = mpc_debug_halt_ack_f; // @[el2_dec_tlu_ctl.scala 474:25] + assign io_mpc_debug_run_ack = mpc_debug_run_ack_f; // @[el2_dec_tlu_ctl.scala 475:25] + assign io_debug_brkpt_status = debug_brkpt_status_f; // @[el2_dec_tlu_ctl.scala 476:25] + assign io_dec_tlu_meicurpl = csr_io_dec_tlu_meicurpl; // @[el2_dec_tlu_ctl.scala 955:44] + assign io_dec_tlu_meipt = csr_io_dec_tlu_meipt; // @[el2_dec_tlu_ctl.scala 957:44] + assign io_dec_csr_rddata_d = csr_io_dec_csr_rddata_d; // @[el2_dec_tlu_ctl.scala 977:40] + assign io_dec_csr_legal_d = _T_887 & _T_894; // @[el2_dec_tlu_ctl.scala 1101:22] + assign io_dec_tlu_br0_r_pkt_valid = _T_459 & _T_462; // @[el2_dec_tlu_ctl.scala 733:49] + assign io_dec_tlu_br0_r_pkt_hist = io_exu_i0_br_hist_r; // @[el2_dec_tlu_ctl.scala 730:49] + assign io_dec_tlu_br0_r_pkt_br_error = _T_453 & _T_429; // @[el2_dec_tlu_ctl.scala 731:49] + assign io_dec_tlu_br0_r_pkt_br_start_error = _T_455 & _T_429; // @[el2_dec_tlu_ctl.scala 732:41] + assign io_dec_tlu_br0_r_pkt_way = io_exu_i0_br_way_r; // @[el2_dec_tlu_ctl.scala 734:49] + assign io_dec_tlu_br0_r_pkt_middle = io_exu_i0_br_middle_r; // @[el2_dec_tlu_ctl.scala 735:49] + assign io_dec_tlu_i0_kill_writeb_wb = _T_32; // @[el2_dec_tlu_ctl.scala 409:33] + assign io_dec_tlu_flush_lower_wb = tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 881:33] + assign io_dec_tlu_i0_commit_cmt = _T_422 & _T_465; // @[el2_dec_tlu_ctl.scala 708:28] + assign io_dec_tlu_i0_kill_writeb_r = _T_427 | i0_trigger_hit_raw_r; // @[el2_dec_tlu_ctl.scala 415:33] + assign io_dec_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[el2_dec_tlu_ctl.scala 882:33] + assign io_dec_tlu_flush_path_r = take_reset ? io_rst_vec : _T_852; // @[el2_dec_tlu_ctl.scala 883:33] + assign io_dec_tlu_fence_i_r = _T_492 & _T_470; // @[el2_dec_tlu_ctl.scala 753:24] + assign io_dec_tlu_wr_pause_r = csr_io_dec_tlu_wr_pause_r; // @[el2_dec_tlu_ctl.scala 979:40] + assign io_dec_tlu_flush_pause_r = _T_208 & _T_209; // @[el2_dec_tlu_ctl.scala 560:28] + assign io_dec_tlu_presync_d = _T_864 & _T_865; // @[el2_dec_tlu_ctl.scala 1094:25] + assign io_dec_tlu_postsync_d = csr_pkt_postsync & io_dec_csr_any_unq_d; // @[el2_dec_tlu_ctl.scala 1095:25] + assign io_dec_tlu_mrac_ff = csr_io_dec_tlu_mrac_ff; // @[el2_dec_tlu_ctl.scala 980:40] + assign io_dec_tlu_force_halt = _T_33; // @[el2_dec_tlu_ctl.scala 411:41] + assign io_dec_tlu_perfcnt0 = csr_io_dec_tlu_perfcnt0; // @[el2_dec_tlu_ctl.scala 965:40] + assign io_dec_tlu_perfcnt1 = csr_io_dec_tlu_perfcnt1; // @[el2_dec_tlu_ctl.scala 966:40] + assign io_dec_tlu_perfcnt2 = csr_io_dec_tlu_perfcnt2; // @[el2_dec_tlu_ctl.scala 967:40] + assign io_dec_tlu_perfcnt3 = csr_io_dec_tlu_perfcnt3; // @[el2_dec_tlu_ctl.scala 968:40] + assign io_dec_tlu_i0_exc_valid_wb1 = csr_io_dec_tlu_i0_exc_valid_wb1; // @[el2_dec_tlu_ctl.scala 959:44] + assign io_dec_tlu_i0_valid_wb1 = csr_io_dec_tlu_i0_valid_wb1; // @[el2_dec_tlu_ctl.scala 960:44] + assign io_dec_tlu_int_valid_wb1 = csr_io_dec_tlu_int_valid_wb1; // @[el2_dec_tlu_ctl.scala 958:44] + assign io_dec_tlu_exc_cause_wb1 = csr_io_dec_tlu_exc_cause_wb1; // @[el2_dec_tlu_ctl.scala 964:40] + assign io_dec_tlu_mtval_wb1 = csr_io_dec_tlu_mtval_wb1; // @[el2_dec_tlu_ctl.scala 963:40] + assign io_dec_tlu_external_ldfwd_disable = csr_io_dec_tlu_external_ldfwd_disable; // @[el2_dec_tlu_ctl.scala 985:40] + assign io_dec_tlu_sideeffect_posted_disable = csr_io_dec_tlu_sideeffect_posted_disable; // @[el2_dec_tlu_ctl.scala 983:40] + assign io_dec_tlu_core_ecc_disable = csr_io_dec_tlu_core_ecc_disable; // @[el2_dec_tlu_ctl.scala 984:40] + assign io_dec_tlu_bpred_disable = csr_io_dec_tlu_bpred_disable; // @[el2_dec_tlu_ctl.scala 982:40] + assign io_dec_tlu_wb_coalescing_disable = csr_io_dec_tlu_wb_coalescing_disable; // @[el2_dec_tlu_ctl.scala 981:40] + assign io_dec_tlu_pipelining_disable = csr_io_dec_tlu_pipelining_disable; // @[el2_dec_tlu_ctl.scala 978:40] + assign io_dec_tlu_dma_qos_prty = csr_io_dec_tlu_dma_qos_prty; // @[el2_dec_tlu_ctl.scala 986:40] + assign io_dec_tlu_misc_clk_override = csr_io_dec_tlu_misc_clk_override; // @[el2_dec_tlu_ctl.scala 969:40] + assign io_dec_tlu_dec_clk_override = csr_io_dec_tlu_dec_clk_override; // @[el2_dec_tlu_ctl.scala 970:40] + assign io_dec_tlu_ifu_clk_override = csr_io_dec_tlu_ifu_clk_override; // @[el2_dec_tlu_ctl.scala 971:40] + assign io_dec_tlu_lsu_clk_override = csr_io_dec_tlu_lsu_clk_override; // @[el2_dec_tlu_ctl.scala 972:40] + assign io_dec_tlu_bus_clk_override = csr_io_dec_tlu_bus_clk_override; // @[el2_dec_tlu_ctl.scala 973:40] + assign io_dec_tlu_pic_clk_override = csr_io_dec_tlu_pic_clk_override; // @[el2_dec_tlu_ctl.scala 974:40] + assign io_dec_tlu_dccm_clk_override = csr_io_dec_tlu_dccm_clk_override; // @[el2_dec_tlu_ctl.scala 975:40] + assign io_dec_tlu_icm_clk_override = csr_io_dec_tlu_icm_clk_override; // @[el2_dec_tlu_ctl.scala 976:40] + assign int_timers_clock = clock; + assign int_timers_reset = reset; + assign int_timers_io_free_clk = io_free_clk; // @[el2_dec_tlu_ctl.scala 356:57] + assign int_timers_io_scan_mode = io_scan_mode; // @[el2_dec_tlu_ctl.scala 357:57] + assign int_timers_io_dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[el2_dec_tlu_ctl.scala 358:49] + assign int_timers_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[el2_dec_tlu_ctl.scala 360:49] + assign int_timers_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[el2_dec_tlu_ctl.scala 361:49] + assign int_timers_io_csr_mitctl0 = csr_read_io_csr_pkt_csr_mitctl0; // @[el2_dec_tlu_ctl.scala 362:49] + assign int_timers_io_csr_mitctl1 = csr_read_io_csr_pkt_csr_mitctl1; // @[el2_dec_tlu_ctl.scala 363:49] + assign int_timers_io_csr_mitb0 = csr_read_io_csr_pkt_csr_mitb0; // @[el2_dec_tlu_ctl.scala 364:57] + assign int_timers_io_csr_mitb1 = csr_read_io_csr_pkt_csr_mitb1; // @[el2_dec_tlu_ctl.scala 365:57] + assign int_timers_io_csr_mitcnt0 = csr_read_io_csr_pkt_csr_mitcnt0; // @[el2_dec_tlu_ctl.scala 366:49] + assign int_timers_io_csr_mitcnt1 = csr_read_io_csr_pkt_csr_mitcnt1; // @[el2_dec_tlu_ctl.scala 367:49] + assign int_timers_io_dec_pause_state = io_dec_pause_state; // @[el2_dec_tlu_ctl.scala 368:41] + assign int_timers_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 369:41] + assign int_timers_io_internal_dbg_halt_timers = debug_mode_status & _T_665; // @[el2_dec_tlu_ctl.scala 370:41] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 475:17] + assign rvclkhdr_io_en = dec_csr_wen_r_mod | io_dec_tlu_dec_clk_override; // @[el2_lib.scala 476:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 477:23] + assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 475:17] + assign rvclkhdr_1_io_en = _T_11 | io_dec_tlu_dec_clk_override; // @[el2_lib.scala 476:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 477:23] + assign rvclkhdr_2_io_clk = clock; // @[el2_lib.scala 475:17] + assign rvclkhdr_2_io_en = e4e5_valid | io_dec_tlu_dec_clk_override; // @[el2_lib.scala 476:16] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 477:23] + assign rvclkhdr_3_io_clk = clock; // @[el2_lib.scala 475:17] + assign rvclkhdr_3_io_en = e4e5_valid | flush_clkvalid; // @[el2_lib.scala 476:16] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 477:23] + assign csr_clock = clock; + assign csr_reset = reset; + assign csr_io_free_clk = io_free_clk; // @[el2_dec_tlu_ctl.scala 898:44] + assign csr_io_active_clk = io_active_clk; // @[el2_dec_tlu_ctl.scala 899:44] + assign csr_io_scan_mode = io_scan_mode; // @[el2_dec_tlu_ctl.scala 900:44] + assign csr_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[el2_dec_tlu_ctl.scala 901:44] + assign csr_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[el2_dec_tlu_ctl.scala 902:44] + assign csr_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[el2_dec_tlu_ctl.scala 903:44] + assign csr_io_dec_csr_wen_unq_d = io_dec_csr_wen_unq_d; // @[el2_dec_tlu_ctl.scala 904:44] + assign csr_io_dec_i0_decode_d = io_dec_i0_decode_d; // @[el2_dec_tlu_ctl.scala 905:44] + assign csr_io_ifu_ic_debug_rd_data_valid = io_ifu_ic_debug_rd_data_valid; // @[el2_dec_tlu_ctl.scala 906:44] + assign csr_io_ifu_pmu_bus_trxn = io_ifu_pmu_bus_trxn; // @[el2_dec_tlu_ctl.scala 907:44] + assign csr_io_dma_iccm_stall_any = io_dma_iccm_stall_any; // @[el2_dec_tlu_ctl.scala 908:44] + assign csr_io_dma_dccm_stall_any = io_dma_dccm_stall_any; // @[el2_dec_tlu_ctl.scala 909:44] + assign csr_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[el2_dec_tlu_ctl.scala 910:44] + assign csr_io_dec_pmu_presync_stall = io_dec_pmu_presync_stall; // @[el2_dec_tlu_ctl.scala 911:44] + assign csr_io_dec_pmu_postsync_stall = io_dec_pmu_postsync_stall; // @[el2_dec_tlu_ctl.scala 912:44] + assign csr_io_dec_pmu_decode_stall = io_dec_pmu_decode_stall; // @[el2_dec_tlu_ctl.scala 913:44] + assign csr_io_ifu_pmu_fetch_stall = io_ifu_pmu_fetch_stall; // @[el2_dec_tlu_ctl.scala 914:44] + assign csr_io_dec_tlu_packet_r_icaf_type = io_dec_tlu_packet_r_icaf_type; // @[el2_dec_tlu_ctl.scala 915:44] + assign csr_io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_packet_r_pmu_i0_itype; // @[el2_dec_tlu_ctl.scala 915:44] + assign csr_io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[el2_dec_tlu_ctl.scala 915:44] + assign csr_io_dec_tlu_packet_r_pmu_divide = io_dec_tlu_packet_r_pmu_divide; // @[el2_dec_tlu_ctl.scala 915:44] + assign csr_io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec_tlu_ctl.scala 915:44] + assign csr_io_exu_pmu_i0_br_ataken = io_exu_pmu_i0_br_ataken; // @[el2_dec_tlu_ctl.scala 916:44] + assign csr_io_exu_pmu_i0_br_misp = io_exu_pmu_i0_br_misp; // @[el2_dec_tlu_ctl.scala 917:44] + assign csr_io_dec_pmu_instr_decoded = io_dec_pmu_instr_decoded; // @[el2_dec_tlu_ctl.scala 918:44] + assign csr_io_ifu_pmu_instr_aligned = io_ifu_pmu_instr_aligned; // @[el2_dec_tlu_ctl.scala 919:44] + assign csr_io_exu_pmu_i0_pc4 = io_exu_pmu_i0_pc4; // @[el2_dec_tlu_ctl.scala 920:44] + assign csr_io_ifu_pmu_ic_miss = io_ifu_pmu_ic_miss; // @[el2_dec_tlu_ctl.scala 921:44] + assign csr_io_ifu_pmu_ic_hit = io_ifu_pmu_ic_hit; // @[el2_dec_tlu_ctl.scala 922:44] + assign csr_io_dec_csr_wen_r = io_dec_csr_wen_r; // @[el2_dec_tlu_ctl.scala 923:44] + assign csr_io_dec_tlu_dbg_halted = io_dec_tlu_dbg_halted; // @[el2_dec_tlu_ctl.scala 924:44] + assign csr_io_dma_pmu_dccm_write = io_dma_pmu_dccm_write; // @[el2_dec_tlu_ctl.scala 925:44] + assign csr_io_dma_pmu_dccm_read = io_dma_pmu_dccm_read; // @[el2_dec_tlu_ctl.scala 926:44] + assign csr_io_dma_pmu_any_write = io_dma_pmu_any_write; // @[el2_dec_tlu_ctl.scala 927:44] + assign csr_io_dma_pmu_any_read = io_dma_pmu_any_read; // @[el2_dec_tlu_ctl.scala 928:44] + assign csr_io_lsu_pmu_bus_busy = io_lsu_pmu_bus_busy; // @[el2_dec_tlu_ctl.scala 929:44] + assign csr_io_dec_tlu_i0_pc_r = io_dec_tlu_i0_pc_r; // @[el2_dec_tlu_ctl.scala 930:44] + assign csr_io_dec_tlu_i0_valid_r = io_dec_tlu_i0_valid_r; // @[el2_dec_tlu_ctl.scala 931:44] + assign csr_io_dec_csr_any_unq_d = io_dec_csr_any_unq_d; // @[el2_dec_tlu_ctl.scala 933:44] + assign csr_io_ifu_pmu_bus_busy = io_ifu_pmu_bus_busy; // @[el2_dec_tlu_ctl.scala 934:44] + assign csr_io_lsu_pmu_bus_error = io_lsu_pmu_bus_error; // @[el2_dec_tlu_ctl.scala 935:44] + assign csr_io_ifu_pmu_bus_error = io_ifu_pmu_bus_error; // @[el2_dec_tlu_ctl.scala 936:44] + assign csr_io_lsu_pmu_bus_misaligned = io_lsu_pmu_bus_misaligned; // @[el2_dec_tlu_ctl.scala 937:44] + assign csr_io_lsu_pmu_bus_trxn = io_lsu_pmu_bus_trxn; // @[el2_dec_tlu_ctl.scala 938:44] + assign csr_io_ifu_ic_debug_rd_data = io_ifu_ic_debug_rd_data; // @[el2_dec_tlu_ctl.scala 939:44] + assign csr_io_pic_pl = io_pic_pl; // @[el2_dec_tlu_ctl.scala 940:44] + assign csr_io_pic_claimid = io_pic_claimid; // @[el2_dec_tlu_ctl.scala 941:44] + assign csr_io_iccm_dma_sb_error = io_iccm_dma_sb_error; // @[el2_dec_tlu_ctl.scala 942:44] + assign csr_io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_addr_any; // @[el2_dec_tlu_ctl.scala 943:44] + assign csr_io_lsu_imprecise_error_load_any = io_lsu_imprecise_error_load_any; // @[el2_dec_tlu_ctl.scala 944:44] + assign csr_io_lsu_imprecise_error_store_any = io_lsu_imprecise_error_store_any; // @[el2_dec_tlu_ctl.scala 945:44] + assign csr_io_dec_illegal_inst = io_dec_illegal_inst; // @[el2_dec_tlu_ctl.scala 946:44 el2_dec_tlu_ctl.scala 987:44] + assign csr_io_lsu_error_pkt_r_mscause = io_lsu_error_pkt_r_mscause; // @[el2_dec_tlu_ctl.scala 947:44 el2_dec_tlu_ctl.scala 988:44] + assign csr_io_mexintpend = io_mexintpend; // @[el2_dec_tlu_ctl.scala 948:44 el2_dec_tlu_ctl.scala 989:44] + assign csr_io_exu_npc_r = io_exu_npc_r; // @[el2_dec_tlu_ctl.scala 949:44 el2_dec_tlu_ctl.scala 990:44] + assign csr_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[el2_dec_tlu_ctl.scala 950:44 el2_dec_tlu_ctl.scala 991:44] + assign csr_io_rst_vec = io_rst_vec; // @[el2_dec_tlu_ctl.scala 951:44 el2_dec_tlu_ctl.scala 992:44] + assign csr_io_core_id = io_core_id; // @[el2_dec_tlu_ctl.scala 952:44 el2_dec_tlu_ctl.scala 993:44] + assign csr_io_dec_timer_rddata_d = int_timers_io_dec_timer_rddata_d; // @[el2_dec_tlu_ctl.scala 953:44 el2_dec_tlu_ctl.scala 994:44] + assign csr_io_dec_timer_read_d = int_timers_io_dec_timer_read_d; // @[el2_dec_tlu_ctl.scala 954:44 el2_dec_tlu_ctl.scala 995:44] + assign csr_io_rfpc_i0_r = _T_438 & _T_439; // @[el2_dec_tlu_ctl.scala 998:39] + assign csr_io_i0_trigger_hit_r = |i0_trigger_chain_masked_r; // @[el2_dec_tlu_ctl.scala 999:39] + assign csr_io_exc_or_int_valid_r = _T_855 | mepc_trigger_hit_sel_pc_r; // @[el2_dec_tlu_ctl.scala 1000:39] + assign csr_io_mret_r = _T_487 & _T_470; // @[el2_dec_tlu_ctl.scala 1001:39] + assign csr_io_dcsr_single_step_running_f = dcsr_single_step_running_f; // @[el2_dec_tlu_ctl.scala 1002:39] + assign csr_io_dec_timer_t0_pulse = int_timers_io_dec_timer_t0_pulse; // @[el2_dec_tlu_ctl.scala 1003:39] + assign csr_io_dec_timer_t1_pulse = int_timers_io_dec_timer_t1_pulse; // @[el2_dec_tlu_ctl.scala 1004:39] + assign csr_io_timer_int_sync = syncro_ff[5]; // @[el2_dec_tlu_ctl.scala 1005:39] + assign csr_io_soft_int_sync = syncro_ff[4]; // @[el2_dec_tlu_ctl.scala 1006:39] + assign csr_io_csr_wr_clk = rvclkhdr_io_l1clk; // @[el2_dec_tlu_ctl.scala 1007:39] + assign csr_io_ebreak_to_debug_mode_r = _T_519 & _T_470; // @[el2_dec_tlu_ctl.scala 1008:39] + assign csr_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 1009:39] + assign csr_io_lsu_fir_error = io_lsu_fir_error; // @[el2_dec_tlu_ctl.scala 1010:39] + assign csr_io_tlu_flush_lower_r_d1 = tlu_flush_lower_r_d1; // @[el2_dec_tlu_ctl.scala 1011:39] + assign csr_io_dec_tlu_flush_noredir_r_d1 = dec_tlu_flush_noredir_r_d1; // @[el2_dec_tlu_ctl.scala 1012:39] + assign csr_io_tlu_flush_path_r_d1 = tlu_flush_path_r_d1; // @[el2_dec_tlu_ctl.scala 1013:39] + assign csr_io_reset_delayed = reset_detect ^ reset_detected; // @[el2_dec_tlu_ctl.scala 1014:39] + assign csr_io_interrupt_valid_r = _T_766 | take_int_timer1_int; // @[el2_dec_tlu_ctl.scala 1015:39] + assign csr_io_i0_exception_valid_r = _T_527 & _T_528; // @[el2_dec_tlu_ctl.scala 1016:39] + assign csr_io_lsu_exc_valid_r = _T_405 & _T_470; // @[el2_dec_tlu_ctl.scala 1017:39] + assign csr_io_mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[el2_dec_tlu_ctl.scala 1018:39] + assign csr_io_e4e5_int_clk = rvclkhdr_3_io_l1clk; // @[el2_dec_tlu_ctl.scala 1019:39] + assign csr_io_lsu_i0_exc_r = _T_405 & _T_470; // @[el2_dec_tlu_ctl.scala 1020:39] + assign csr_io_inst_acc_r = _T_511 & _T_465; // @[el2_dec_tlu_ctl.scala 1021:39] + assign csr_io_inst_acc_second_r = io_dec_tlu_packet_r_icaf_f1; // @[el2_dec_tlu_ctl.scala 1022:39] + assign csr_io_take_nmi = _T_756 & _T_760; // @[el2_dec_tlu_ctl.scala 1023:39] + assign csr_io_lsu_error_pkt_addr_r = {{31'd0}, io_lsu_error_pkt_r_addr}; // @[el2_dec_tlu_ctl.scala 1024:39] + assign csr_io_exc_cause_r = _T_603 | _T_591; // @[el2_dec_tlu_ctl.scala 1025:39] + assign csr_io_i0_valid_wb = i0_valid_wb; // @[el2_dec_tlu_ctl.scala 1026:39] + assign csr_io_exc_or_int_valid_r_d1 = exc_or_int_valid_r_d1; // @[el2_dec_tlu_ctl.scala 1027:39] + assign csr_io_interrupt_valid_r_d1 = interrupt_valid_r_d1; // @[el2_dec_tlu_ctl.scala 1028:39] + assign csr_io_clk_override = io_dec_tlu_dec_clk_override; // @[el2_dec_tlu_ctl.scala 1029:39] + assign csr_io_i0_exception_valid_r_d1 = i0_exception_valid_r_d1; // @[el2_dec_tlu_ctl.scala 1030:39] + assign csr_io_lsu_i0_exc_r_d1 = lsu_i0_exc_r_d1; // @[el2_dec_tlu_ctl.scala 1031:39] + assign csr_io_exc_cause_wb = exc_cause_wb; // @[el2_dec_tlu_ctl.scala 1032:39] + assign csr_io_nmi_lsu_store_type = _T_58 | _T_60; // @[el2_dec_tlu_ctl.scala 1033:39] + assign csr_io_nmi_lsu_load_type = _T_50 | _T_52; // @[el2_dec_tlu_ctl.scala 1034:39] + assign csr_io_tlu_i0_commit_cmt = _T_422 & _T_465; // @[el2_dec_tlu_ctl.scala 1035:39] + assign csr_io_ebreak_r = _T_469 & _T_470; // @[el2_dec_tlu_ctl.scala 1036:39] + assign csr_io_ecall_r = _T_475 & _T_470; // @[el2_dec_tlu_ctl.scala 1037:39] + assign csr_io_illegal_r = _T_481 & _T_470; // @[el2_dec_tlu_ctl.scala 1038:39] + assign csr_io_mdseac_locked_f = mdseac_locked_f; // @[el2_dec_tlu_ctl.scala 1039:39] + assign csr_io_nmi_int_detected_f = nmi_int_detected_f; // @[el2_dec_tlu_ctl.scala 1040:39] + assign csr_io_internal_dbg_halt_mode_f2 = internal_dbg_halt_mode_f2; // @[el2_dec_tlu_ctl.scala 1041:39] + assign csr_io_ext_int_freeze_d1 = ext_int_freeze_d1; // @[el2_dec_tlu_ctl.scala 1042:39] + assign csr_io_ic_perr_r_d1 = ic_perr_r_d1; // @[el2_dec_tlu_ctl.scala 1043:39] + assign csr_io_iccm_sbecc_r_d1 = iccm_sbecc_r_d1; // @[el2_dec_tlu_ctl.scala 1044:39] + assign csr_io_lsu_single_ecc_error_r_d1 = lsu_single_ecc_error_r_d1; // @[el2_dec_tlu_ctl.scala 1045:39] + assign csr_io_ifu_miss_state_idle_f = ifu_miss_state_idle_f; // @[el2_dec_tlu_ctl.scala 1046:39] + assign csr_io_lsu_idle_any_f = lsu_idle_any_f; // @[el2_dec_tlu_ctl.scala 1047:39] + assign csr_io_dbg_tlu_halted_f = dbg_tlu_halted_f; // @[el2_dec_tlu_ctl.scala 1048:39] + assign csr_io_dbg_tlu_halted = _T_164 | _T_166; // @[el2_dec_tlu_ctl.scala 1049:39] + assign csr_io_debug_halt_req_f = debug_halt_req_f; // @[el2_dec_tlu_ctl.scala 1050:51] + assign csr_io_take_ext_int_start = ext_int_ready & _T_704; // @[el2_dec_tlu_ctl.scala 1051:47] + assign csr_io_trigger_hit_dmode_r_d1 = trigger_hit_dmode_r_d1; // @[el2_dec_tlu_ctl.scala 1052:43] + assign csr_io_trigger_hit_r_d1 = trigger_hit_r_d1; // @[el2_dec_tlu_ctl.scala 1053:43] + assign csr_io_dcsr_single_step_done_f = dcsr_single_step_done_f; // @[el2_dec_tlu_ctl.scala 1054:43] + assign csr_io_ebreak_to_debug_mode_r_d1 = ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 1055:39] + assign csr_io_debug_halt_req = _T_114 & _T_107; // @[el2_dec_tlu_ctl.scala 1056:51] + assign csr_io_allow_dbg_halt_csr_write = debug_mode_status & _T_77; // @[el2_dec_tlu_ctl.scala 1057:39] + assign csr_io_internal_dbg_halt_mode_f = debug_mode_status; // @[el2_dec_tlu_ctl.scala 1058:39] + assign csr_io_enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[el2_dec_tlu_ctl.scala 1059:39] + assign csr_io_internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[el2_dec_tlu_ctl.scala 1060:39] + assign csr_io_request_debug_mode_done = _T_183 & _T_136; // @[el2_dec_tlu_ctl.scala 1061:39] + assign csr_io_request_debug_mode_r = _T_180 | _T_182; // @[el2_dec_tlu_ctl.scala 1062:39] + assign csr_io_update_hit_bit_r = _T_342 & i0_trigger_chain_masked_r; // @[el2_dec_tlu_ctl.scala 1063:39] + assign csr_io_take_timer_int = _T_703 & _T_704; // @[el2_dec_tlu_ctl.scala 1064:39] + assign csr_io_take_int_timer0_int = _T_717 & _T_704; // @[el2_dec_tlu_ctl.scala 1065:39] + assign csr_io_take_int_timer1_int = _T_734 & _T_704; // @[el2_dec_tlu_ctl.scala 1066:39] + assign csr_io_take_ext_int = take_ext_int_start_d3 & _T_685; // @[el2_dec_tlu_ctl.scala 1067:39] + assign csr_io_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[el2_dec_tlu_ctl.scala 1068:39] + assign csr_io_dec_tlu_br0_error_r = _T_453 & _T_429; // @[el2_dec_tlu_ctl.scala 1069:39] + assign csr_io_dec_tlu_br0_start_error_r = _T_455 & _T_429; // @[el2_dec_tlu_ctl.scala 1070:39] + assign csr_io_lsu_pmu_load_external_r = lsu_pmu_load_external_r; // @[el2_dec_tlu_ctl.scala 1071:39] + assign csr_io_lsu_pmu_store_external_r = lsu_pmu_store_external_r; // @[el2_dec_tlu_ctl.scala 1072:39] + assign csr_io_csr_pkt_csr_misa = csr_read_io_csr_pkt_csr_misa; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mstatus = csr_read_io_csr_pkt_csr_mstatus; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mtvec = csr_read_io_csr_pkt_csr_mtvec; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mip = csr_read_io_csr_pkt_csr_mip; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mie = csr_read_io_csr_pkt_csr_mie; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mcyclel = csr_read_io_csr_pkt_csr_mcyclel; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mcycleh = csr_read_io_csr_pkt_csr_mcycleh; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_minstretl = csr_read_io_csr_pkt_csr_minstretl; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_minstreth = csr_read_io_csr_pkt_csr_minstreth; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mscratch = csr_read_io_csr_pkt_csr_mscratch; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mepc = csr_read_io_csr_pkt_csr_mepc; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mcause = csr_read_io_csr_pkt_csr_mcause; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mscause = csr_read_io_csr_pkt_csr_mscause; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mtval = csr_read_io_csr_pkt_csr_mtval; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mrac = csr_read_io_csr_pkt_csr_mrac; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_meivt = csr_read_io_csr_pkt_csr_meivt; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_meipt = csr_read_io_csr_pkt_csr_meipt; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_meicurpl = csr_read_io_csr_pkt_csr_meicurpl; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_meicidpl = csr_read_io_csr_pkt_csr_meicidpl; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mcgc = csr_read_io_csr_pkt_csr_mcgc; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mfdc = csr_read_io_csr_pkt_csr_mfdc; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mtsel = csr_read_io_csr_pkt_csr_mtsel; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mtdata1 = csr_read_io_csr_pkt_csr_mtdata1; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mtdata2 = csr_read_io_csr_pkt_csr_mtdata2; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc3 = csr_read_io_csr_pkt_csr_mhpmc3; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc4 = csr_read_io_csr_pkt_csr_mhpmc4; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc5 = csr_read_io_csr_pkt_csr_mhpmc5; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc6 = csr_read_io_csr_pkt_csr_mhpmc6; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc3h = csr_read_io_csr_pkt_csr_mhpmc3h; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc4h = csr_read_io_csr_pkt_csr_mhpmc4h; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc5h = csr_read_io_csr_pkt_csr_mhpmc5h; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpmc6h = csr_read_io_csr_pkt_csr_mhpmc6h; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpme3 = csr_read_io_csr_pkt_csr_mhpme3; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpme4 = csr_read_io_csr_pkt_csr_mhpme4; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpme5 = csr_read_io_csr_pkt_csr_mhpme5; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mhpme6 = csr_read_io_csr_pkt_csr_mhpme6; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mcountinhibit = csr_read_io_csr_pkt_csr_mcountinhibit; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mpmc = csr_read_io_csr_pkt_csr_mpmc; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_micect = csr_read_io_csr_pkt_csr_micect; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_miccmect = csr_read_io_csr_pkt_csr_miccmect; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mdccmect = csr_read_io_csr_pkt_csr_mdccmect; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mfdht = csr_read_io_csr_pkt_csr_mfdht; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_mfdhs = csr_read_io_csr_pkt_csr_mfdhs; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_io_csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[el2_dec_tlu_ctl.scala 1073:39] + assign csr_read_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[el2_dec_tlu_ctl.scala 1091:31] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + dbg_halt_state_f = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + mpc_halt_state_f = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_8 = _RAND_2[6:0]; + _RAND_3 = {1{`RANDOM}}; + syncro_ff = _RAND_3[6:0]; + _RAND_4 = {1{`RANDOM}}; + lsu_exc_valid_r_d1 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + e5_valid = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + debug_mode_status = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + i_cpu_run_req_d1_raw = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + nmi_int_delayed = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + mdseac_locked_f = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + nmi_int_detected_f = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + take_nmi_r_d1 = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + take_ext_int_start_d3 = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + int_timer0_int_hold_f = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + int_timer1_int_hold_f = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + i_cpu_halt_req_d1 = _RAND_15[0:0]; + _RAND_16 = {1{`RANDOM}}; + dbg_halt_req_held = _RAND_16[0:0]; + _RAND_17 = {1{`RANDOM}}; + ext_int_freeze_d1 = _RAND_17[0:0]; + _RAND_18 = {1{`RANDOM}}; + reset_detect = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + reset_detected = _RAND_19[0:0]; + _RAND_20 = {1{`RANDOM}}; + dcsr_single_step_done_f = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + trigger_hit_dmode_r_d1 = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + ebreak_to_debug_mode_r_d1 = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + debug_halt_req_f = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + lsu_idle_any_f = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + ifu_miss_state_idle_f = _RAND_25[0:0]; + _RAND_26 = {1{`RANDOM}}; + debug_halt_req_d1 = _RAND_26[0:0]; + _RAND_27 = {1{`RANDOM}}; + dec_tlu_flush_noredir_r_d1 = _RAND_27[0:0]; + _RAND_28 = {1{`RANDOM}}; + dec_tlu_flush_pause_r_d1 = _RAND_28[0:0]; + _RAND_29 = {1{`RANDOM}}; + take_ext_int_start_d1 = _RAND_29[0:0]; + _RAND_30 = {1{`RANDOM}}; + halt_taken_f = _RAND_30[0:0]; + _RAND_31 = {1{`RANDOM}}; + dbg_tlu_halted_f = _RAND_31[0:0]; + _RAND_32 = {1{`RANDOM}}; + pmu_fw_tlu_halted_f = _RAND_32[0:0]; + _RAND_33 = {1{`RANDOM}}; + interrupt_valid_r_d1 = _RAND_33[0:0]; + _RAND_34 = {1{`RANDOM}}; + debug_resume_req_f = _RAND_34[0:0]; + _RAND_35 = {1{`RANDOM}}; + dcsr_single_step_running_f = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + pmu_fw_halt_req_f = _RAND_36[0:0]; + _RAND_37 = {1{`RANDOM}}; + internal_pmu_fw_halt_mode_f = _RAND_37[0:0]; + _RAND_38 = {1{`RANDOM}}; + tlu_flush_lower_r_d1 = _RAND_38[0:0]; + _RAND_39 = {1{`RANDOM}}; + ic_perr_r_d1 = _RAND_39[0:0]; + _RAND_40 = {1{`RANDOM}}; + iccm_sbecc_r_d1 = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + request_debug_mode_r_d1 = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + iccm_repair_state_d1 = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + dec_pause_state_f = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + dec_tlu_wr_pause_r_d1 = _RAND_44[0:0]; + _RAND_45 = {1{`RANDOM}}; + exc_or_int_valid_r_d1 = _RAND_45[0:0]; + _RAND_46 = {1{`RANDOM}}; + pause_expired_wb = _RAND_46[0:0]; + _RAND_47 = {1{`RANDOM}}; + lsu_pmu_load_external_r = _RAND_47[0:0]; + _RAND_48 = {1{`RANDOM}}; + lsu_pmu_store_external_r = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + _T_32 = _RAND_49[0:0]; + _RAND_50 = {1{`RANDOM}}; + internal_dbg_halt_mode_f2 = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + _T_33 = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + nmi_lsu_load_type_f = _RAND_52[0:0]; + _RAND_53 = {1{`RANDOM}}; + nmi_lsu_store_type_f = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + mpc_debug_halt_req_sync_f = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + mpc_debug_run_req_sync_f = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + mpc_run_state_f = _RAND_56[0:0]; + _RAND_57 = {1{`RANDOM}}; + debug_brkpt_status_f = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + mpc_debug_halt_ack_f = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + mpc_debug_run_ack_f = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + dbg_run_state_f = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + _T_65 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + request_debug_mode_done_f = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + _T_190 = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + _T_353 = _RAND_64[0:0]; + _RAND_65 = {1{`RANDOM}}; + _T_354 = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + _T_355 = _RAND_66[0:0]; + _RAND_67 = {1{`RANDOM}}; + lsu_single_ecc_error_r_d1 = _RAND_67[0:0]; + _RAND_68 = {1{`RANDOM}}; + lsu_i0_exc_r_d1 = _RAND_68[0:0]; + _RAND_69 = {1{`RANDOM}}; + take_ext_int_start_d2 = _RAND_69[0:0]; + _RAND_70 = {1{`RANDOM}}; + tlu_flush_path_r_d1 = _RAND_70[30:0]; + _RAND_71 = {1{`RANDOM}}; + i0_exception_valid_r_d1 = _RAND_71[0:0]; + _RAND_72 = {1{`RANDOM}}; + exc_cause_wb = _RAND_72[4:0]; + _RAND_73 = {1{`RANDOM}}; + i0_valid_wb = _RAND_73[0:0]; + _RAND_74 = {1{`RANDOM}}; + trigger_hit_r_d1 = _RAND_74[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + dbg_halt_state_f = 1'h0; + end + if (reset) begin + mpc_halt_state_f = 1'h0; + end + if (reset) begin + _T_8 = 7'h0; + end + if (reset) begin + syncro_ff = 7'h0; + end + if (reset) begin + lsu_exc_valid_r_d1 = 1'h0; + end + if (reset) begin + e5_valid = 1'h0; + end + if (reset) begin + debug_mode_status = 1'h0; + end + if (reset) begin + i_cpu_run_req_d1_raw = 1'h0; + end + if (reset) begin + nmi_int_delayed = 1'h0; + end + if (reset) begin + mdseac_locked_f = 1'h0; + end + if (reset) begin + nmi_int_detected_f = 1'h0; + end + if (reset) begin + take_nmi_r_d1 = 1'h0; + end + if (reset) begin + take_ext_int_start_d3 = 1'h0; + end + if (reset) begin + int_timer0_int_hold_f = 1'h0; + end + if (reset) begin + int_timer1_int_hold_f = 1'h0; + end + if (reset) begin + i_cpu_halt_req_d1 = 1'h0; + end + if (reset) begin + dbg_halt_req_held = 1'h0; + end + if (reset) begin + ext_int_freeze_d1 = 1'h0; + end + if (reset) begin + reset_detect = 1'h0; + end + if (reset) begin + reset_detected = 1'h0; + end + if (reset) begin + dcsr_single_step_done_f = 1'h0; + end + if (reset) begin + trigger_hit_dmode_r_d1 = 1'h0; + end + if (reset) begin + ebreak_to_debug_mode_r_d1 = 1'h0; + end + if (reset) begin + debug_halt_req_f = 1'h0; + end + if (reset) begin + lsu_idle_any_f = 1'h0; + end + if (reset) begin + ifu_miss_state_idle_f = 1'h0; + end + if (reset) begin + debug_halt_req_d1 = 1'h0; + end + if (reset) begin + dec_tlu_flush_noredir_r_d1 = 1'h0; + end + if (reset) begin + dec_tlu_flush_pause_r_d1 = 1'h0; + end + if (reset) begin + take_ext_int_start_d1 = 1'h0; + end + if (reset) begin + halt_taken_f = 1'h0; + end + if (reset) begin + dbg_tlu_halted_f = 1'h0; + end + if (reset) begin + pmu_fw_tlu_halted_f = 1'h0; + end + if (reset) begin + interrupt_valid_r_d1 = 1'h0; + end + if (reset) begin + debug_resume_req_f = 1'h0; + end + if (reset) begin + dcsr_single_step_running_f = 1'h0; + end + if (reset) begin + pmu_fw_halt_req_f = 1'h0; + end + if (reset) begin + internal_pmu_fw_halt_mode_f = 1'h0; + end + if (reset) begin + tlu_flush_lower_r_d1 = 1'h0; + end + if (reset) begin + ic_perr_r_d1 = 1'h0; + end + if (reset) begin + iccm_sbecc_r_d1 = 1'h0; + end + if (reset) begin + request_debug_mode_r_d1 = 1'h0; + end + if (reset) begin + iccm_repair_state_d1 = 1'h0; + end + if (reset) begin + dec_pause_state_f = 1'h0; + end + if (reset) begin + dec_tlu_wr_pause_r_d1 = 1'h0; + end + if (reset) begin + exc_or_int_valid_r_d1 = 1'h0; + end + if (reset) begin + pause_expired_wb = 1'h0; + end + if (reset) begin + lsu_pmu_load_external_r = 1'h0; + end + if (reset) begin + lsu_pmu_store_external_r = 1'h0; + end + if (reset) begin + _T_32 = 1'h0; + end + if (reset) begin + internal_dbg_halt_mode_f2 = 1'h0; + end + if (reset) begin + _T_33 = 1'h0; + end + if (reset) begin + nmi_lsu_load_type_f = 1'h0; + end + if (reset) begin + nmi_lsu_store_type_f = 1'h0; + end + if (reset) begin + mpc_debug_halt_req_sync_f = 1'h0; + end + if (reset) begin + mpc_debug_run_req_sync_f = 1'h0; + end + if (reset) begin + mpc_run_state_f = 1'h0; + end + if (reset) begin + debug_brkpt_status_f = 1'h0; + end + if (reset) begin + mpc_debug_halt_ack_f = 1'h0; + end + if (reset) begin + mpc_debug_run_ack_f = 1'h0; + end + if (reset) begin + dbg_run_state_f = 1'h0; + end + if (reset) begin + _T_65 = 1'h0; + end + if (reset) begin + request_debug_mode_done_f = 1'h0; + end + if (reset) begin + _T_190 = 1'h0; + end + if (reset) begin + _T_353 = 1'h0; + end + if (reset) begin + _T_354 = 1'h0; + end + if (reset) begin + _T_355 = 1'h0; + end + if (reset) begin + lsu_single_ecc_error_r_d1 = 1'h0; + end + if (reset) begin + lsu_i0_exc_r_d1 = 1'h0; + end + if (reset) begin + take_ext_int_start_d2 = 1'h0; + end + if (reset) begin + tlu_flush_path_r_d1 = 31'h0; + end + if (reset) begin + i0_exception_valid_r_d1 = 1'h0; + end + if (reset) begin + exc_cause_wb = 5'h0; + end + if (reset) begin + i0_valid_wb = 1'h0; + end + if (reset) begin + trigger_hit_r_d1 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dbg_halt_state_f <= 1'h0; + end else begin + dbg_halt_state_f <= _T_83 & _T_84; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_halt_state_f <= 1'h0; + end else begin + mpc_halt_state_f <= _T_71 & _T_72; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_8 <= 7'h0; + end else begin + _T_8 <= {_T_6,_T_3}; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + syncro_ff <= 7'h0; + end else begin + syncro_ff <= _T_8; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + lsu_exc_valid_r_d1 <= 1'h0; + end else begin + lsu_exc_valid_r_d1 <= _T_405 & _T_470; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + e5_valid <= 1'h0; + end else begin + e5_valid <= io_dec_tlu_i0_valid_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_mode_status <= 1'h0; + end else begin + debug_mode_status <= debug_halt_req_ns | _T_160; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + i_cpu_run_req_d1_raw <= 1'h0; + end else begin + i_cpu_run_req_d1_raw <= _T_351 & _T_107; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + nmi_int_delayed <= 1'h0; + end else begin + nmi_int_delayed <= syncro_ff[6]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mdseac_locked_f <= 1'h0; + end else begin + mdseac_locked_f <= csr_io_mdseac_locked_ns; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + nmi_int_detected_f <= 1'h0; + end else begin + nmi_int_detected_f <= _T_42 | _T_44; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + take_nmi_r_d1 <= 1'h0; + end else begin + take_nmi_r_d1 <= _T_756 & _T_760; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + take_ext_int_start_d3 <= 1'h0; + end else begin + take_ext_int_start_d3 <= take_ext_int_start_d2; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + int_timer0_int_hold_f <= 1'h0; + end else begin + int_timer0_int_hold_f <= _T_644 | _T_651; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + int_timer1_int_hold_f <= 1'h0; + end else begin + int_timer1_int_hold_f <= _T_654 | _T_661; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + i_cpu_halt_req_d1 <= 1'h0; + end else begin + i_cpu_halt_req_d1 <= _T_347 & _T_107; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dbg_halt_req_held <= 1'h0; + end else begin + dbg_halt_req_held <= _T_106 & ext_int_freeze_d1; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ext_int_freeze_d1 <= 1'h0; + end else begin + ext_int_freeze_d1 <= _T_682 | take_ext_int_start_d3; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + reset_detect <= 1'h0; + end else begin + reset_detect <= 1'h1; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + reset_detected <= 1'h0; + end else begin + reset_detected <= reset_detect; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dcsr_single_step_done_f <= 1'h0; + end else begin + dcsr_single_step_done_f <= _T_174 & _T_470; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + trigger_hit_dmode_r_d1 <= 1'h0; + end else begin + trigger_hit_dmode_r_d1 <= i0_trigger_hit_raw_r & i0_trigger_action_r; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + ebreak_to_debug_mode_r_d1 <= 1'h0; + end else begin + ebreak_to_debug_mode_r_d1 <= _T_519 & _T_470; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_halt_req_f <= 1'h0; + end else begin + debug_halt_req_f <= enter_debug_halt_req | _T_168; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_idle_any_f <= 1'h0; + end else begin + lsu_idle_any_f <= io_lsu_idle_any; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ifu_miss_state_idle_f <= 1'h0; + end else begin + ifu_miss_state_idle_f <= io_ifu_miss_state_idle; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_halt_req_d1 <= 1'h0; + end else begin + debug_halt_req_d1 <= _T_114 & _T_107; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dec_tlu_flush_noredir_r_d1 <= 1'h0; + end else begin + dec_tlu_flush_noredir_r_d1 <= io_dec_tlu_flush_noredir_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dec_tlu_flush_pause_r_d1 <= 1'h0; + end else begin + dec_tlu_flush_pause_r_d1 <= io_dec_tlu_flush_pause_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + take_ext_int_start_d1 <= 1'h0; + end else begin + take_ext_int_start_d1 <= ext_int_ready & _T_704; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + halt_taken_f <= 1'h0; + end else begin + halt_taken_f <= _T_135 | _T_141; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dbg_tlu_halted_f <= 1'h0; + end else begin + dbg_tlu_halted_f <= _T_164 | _T_166; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + pmu_fw_tlu_halted_f <= 1'h0; + end else begin + pmu_fw_tlu_halted_f <= _T_377 & _T_378; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + interrupt_valid_r_d1 <= 1'h0; + end else begin + interrupt_valid_r_d1 <= _T_766 | take_int_timer1_int; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_resume_req_f <= 1'h0; + end else begin + debug_resume_req_f <= _T_165 & _T_121; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dcsr_single_step_running_f <= 1'h0; + end else begin + dcsr_single_step_running_f <= _T_177 | _T_179; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + pmu_fw_halt_req_f <= 1'h0; + end else begin + pmu_fw_halt_req_f <= _T_363 & _T_378; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + internal_pmu_fw_halt_mode_f <= 1'h0; + end else begin + internal_pmu_fw_halt_mode_f <= pmu_fw_halt_req_ns | _T_369; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + tlu_flush_lower_r_d1 <= 1'h0; + end else begin + tlu_flush_lower_r_d1 <= _T_801 | take_ext_int_start; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + ic_perr_r_d1 <= 1'h0; + end else begin + ic_perr_r_d1 <= _T_499 & _T_500; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_sbecc_r_d1 <= 1'h0; + end else begin + iccm_sbecc_r_d1 <= _T_506 & _T_500; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + request_debug_mode_r_d1 <= 1'h0; + end else begin + request_debug_mode_r_d1 <= _T_180 | _T_182; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + iccm_repair_state_d1 <= 1'h0; + end else begin + iccm_repair_state_d1 <= iccm_sbecc_r_d1 | _T_442; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dec_pause_state_f <= 1'h0; + end else begin + dec_pause_state_f <= io_dec_pause_state; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dec_tlu_wr_pause_r_d1 <= 1'h0; + end else begin + dec_tlu_wr_pause_r_d1 <= io_dec_tlu_wr_pause_r; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + exc_or_int_valid_r_d1 <= 1'h0; + end else begin + exc_or_int_valid_r_d1 <= _T_855 | mepc_trigger_hit_sel_pc_r; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + pause_expired_wb <= 1'h0; + end else begin + pause_expired_wb <= _T_227 & _T_228; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_pmu_load_external_r <= 1'h0; + end else begin + lsu_pmu_load_external_r <= io_lsu_pmu_load_external_m; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_pmu_store_external_r <= 1'h0; + end else begin + lsu_pmu_store_external_r <= io_lsu_pmu_store_external_m; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_32 <= 1'h0; + end else begin + _T_32 <= _T_427 | i0_trigger_hit_raw_r; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + internal_dbg_halt_mode_f2 <= 1'h0; + end else begin + internal_dbg_halt_mode_f2 <= debug_mode_status; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_33 <= 1'h0; + end else begin + _T_33 <= csr_io_force_halt; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + nmi_lsu_load_type_f <= 1'h0; + end else begin + nmi_lsu_load_type_f <= _T_50 | _T_52; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + nmi_lsu_store_type_f <= 1'h0; + end else begin + nmi_lsu_store_type_f <= _T_58 | _T_60; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_debug_halt_req_sync_f <= 1'h0; + end else begin + mpc_debug_halt_req_sync_f <= mpc_debug_halt_req_sync_raw & _T_107; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_debug_run_req_sync_f <= 1'h0; + end else begin + mpc_debug_run_req_sync_f <= syncro_ff[0]; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_run_state_f <= 1'h0; + end else begin + mpc_run_state_f <= _T_76 & _T_78; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + debug_brkpt_status_f <= 1'h0; + end else begin + debug_brkpt_status_f <= _T_92 & _T_94; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_debug_halt_ack_f <= 1'h0; + end else begin + mpc_debug_halt_ack_f <= _T_97 & core_empty; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + mpc_debug_run_ack_f <= 1'h0; + end else begin + mpc_debug_run_ack_f <= _T_102 | _T_103; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + dbg_run_state_f <= 1'h0; + end else begin + dbg_run_state_f <= _T_86 & _T_78; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_65 <= 1'h0; + end else begin + _T_65 <= _T & mpc_halt_state_f; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + request_debug_mode_done_f <= 1'h0; + end else begin + request_debug_mode_done_f <= _T_183 & _T_136; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_190 <= 1'h0; + end else begin + _T_190 <= _T_170 & dbg_run_state_ns; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_353 <= 1'h0; + end else begin + _T_353 <= _T_376 | _T_386; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_354 <= 1'h0; + end else begin + _T_354 <= i_cpu_halt_req_d1 & pmu_fw_tlu_halted_f; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + _T_355 <= 1'h0; + end else begin + _T_355 <= _T_388 | _T_389; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_single_ecc_error_r_d1 <= 1'h0; + end else begin + lsu_single_ecc_error_r_d1 <= io_lsu_single_ecc_error_incr; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + lsu_i0_exc_r_d1 <= 1'h0; + end else begin + lsu_i0_exc_r_d1 <= _T_405 & _T_470; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + take_ext_int_start_d2 <= 1'h0; + end else begin + take_ext_int_start_d2 <= take_ext_int_start_d1; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + tlu_flush_path_r_d1 <= 31'h0; + end else if (take_reset) begin + tlu_flush_path_r_d1 <= io_rst_vec; + end else begin + tlu_flush_path_r_d1 <= _T_852; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + i0_exception_valid_r_d1 <= 1'h0; + end else begin + i0_exception_valid_r_d1 <= _T_527 & _T_528; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + exc_cause_wb <= 5'h0; + end else begin + exc_cause_wb <= _T_603 | _T_591; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + i0_valid_wb <= 1'h0; + end else begin + i0_valid_wb <= tlu_i0_commit_cmt & _T_860; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + trigger_hit_r_d1 <= 1'h0; + end else begin + trigger_hit_r_d1 <= |i0_trigger_chain_masked_r; + end + end +endmodule diff --git a/el2_dec_trigger.anno.json b/el2_dec_trigger.anno.json new file mode 100644 index 00000000..66d7424a --- /dev/null +++ b/el2_dec_trigger.anno.json @@ -0,0 +1,45 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec_trigger|el2_dec_trigger>io_dec_i0_trigger_match_d", + "sources":[ + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_0_execute", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_0_m", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_1_execute", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_1_m", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_3_execute", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_3_m", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_2_execute", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_2_m", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_0_tdata2", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_0_match_", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_1_tdata2", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_1_match_", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_3_tdata2", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_3_match_", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_2_tdata2", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_2_match_", + "~el2_dec_trigger|el2_dec_trigger>io_dec_i0_pc_d", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_0_select", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_1_select", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_3_select", + "~el2_dec_trigger|el2_dec_trigger>io_trigger_pkt_any_2_select" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"el2_dec_trigger" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/el2_dec_trigger.fir b/el2_dec_trigger.fir new file mode 100644 index 00000000..34be29e2 --- /dev/null +++ b/el2_dec_trigger.fir @@ -0,0 +1,1457 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit el2_dec_trigger : + module el2_dec_trigger : + input clock : Clock + input reset : UInt<1> + output io : {flip trigger_pkt_any : {select : UInt<1>, match_ : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip dec_i0_pc_d : UInt<31>, dec_i0_trigger_match_d : UInt<4>} + + node _T = eq(io.trigger_pkt_any[0].select, UInt<1>("h00")) @[el2_dec_trigger.scala 14:63] + node _T_1 = and(_T, io.trigger_pkt_any[0].execute) @[el2_dec_trigger.scala 14:93] + wire _T_2 : UInt<1>[32] @[el2_lib.scala 161:48] + _T_2[0] <= _T_1 @[el2_lib.scala 161:48] + _T_2[1] <= _T_1 @[el2_lib.scala 161:48] + _T_2[2] <= _T_1 @[el2_lib.scala 161:48] + _T_2[3] <= _T_1 @[el2_lib.scala 161:48] + _T_2[4] <= _T_1 @[el2_lib.scala 161:48] + _T_2[5] <= _T_1 @[el2_lib.scala 161:48] + _T_2[6] <= _T_1 @[el2_lib.scala 161:48] + _T_2[7] <= _T_1 @[el2_lib.scala 161:48] + _T_2[8] <= _T_1 @[el2_lib.scala 161:48] + _T_2[9] <= _T_1 @[el2_lib.scala 161:48] + _T_2[10] <= _T_1 @[el2_lib.scala 161:48] + _T_2[11] <= _T_1 @[el2_lib.scala 161:48] + _T_2[12] <= _T_1 @[el2_lib.scala 161:48] + _T_2[13] <= _T_1 @[el2_lib.scala 161:48] + _T_2[14] <= _T_1 @[el2_lib.scala 161:48] + _T_2[15] <= _T_1 @[el2_lib.scala 161:48] + _T_2[16] <= _T_1 @[el2_lib.scala 161:48] + _T_2[17] <= _T_1 @[el2_lib.scala 161:48] + _T_2[18] <= _T_1 @[el2_lib.scala 161:48] + _T_2[19] <= _T_1 @[el2_lib.scala 161:48] + _T_2[20] <= _T_1 @[el2_lib.scala 161:48] + _T_2[21] <= _T_1 @[el2_lib.scala 161:48] + _T_2[22] <= _T_1 @[el2_lib.scala 161:48] + _T_2[23] <= _T_1 @[el2_lib.scala 161:48] + _T_2[24] <= _T_1 @[el2_lib.scala 161:48] + _T_2[25] <= _T_1 @[el2_lib.scala 161:48] + _T_2[26] <= _T_1 @[el2_lib.scala 161:48] + _T_2[27] <= _T_1 @[el2_lib.scala 161:48] + _T_2[28] <= _T_1 @[el2_lib.scala 161:48] + _T_2[29] <= _T_1 @[el2_lib.scala 161:48] + _T_2[30] <= _T_1 @[el2_lib.scala 161:48] + _T_2[31] <= _T_1 @[el2_lib.scala 161:48] + node _T_3 = cat(_T_2[0], _T_2[1]) @[Cat.scala 29:58] + node _T_4 = cat(_T_3, _T_2[2]) @[Cat.scala 29:58] + node _T_5 = cat(_T_4, _T_2[3]) @[Cat.scala 29:58] + node _T_6 = cat(_T_5, _T_2[4]) @[Cat.scala 29:58] + node _T_7 = cat(_T_6, _T_2[5]) @[Cat.scala 29:58] + node _T_8 = cat(_T_7, _T_2[6]) @[Cat.scala 29:58] + node _T_9 = cat(_T_8, _T_2[7]) @[Cat.scala 29:58] + node _T_10 = cat(_T_9, _T_2[8]) @[Cat.scala 29:58] + node _T_11 = cat(_T_10, _T_2[9]) @[Cat.scala 29:58] + node _T_12 = cat(_T_11, _T_2[10]) @[Cat.scala 29:58] + node _T_13 = cat(_T_12, _T_2[11]) @[Cat.scala 29:58] + node _T_14 = cat(_T_13, _T_2[12]) @[Cat.scala 29:58] + node _T_15 = cat(_T_14, _T_2[13]) @[Cat.scala 29:58] + node _T_16 = cat(_T_15, _T_2[14]) @[Cat.scala 29:58] + node _T_17 = cat(_T_16, _T_2[15]) @[Cat.scala 29:58] + node _T_18 = cat(_T_17, _T_2[16]) @[Cat.scala 29:58] + node _T_19 = cat(_T_18, _T_2[17]) @[Cat.scala 29:58] + node _T_20 = cat(_T_19, _T_2[18]) @[Cat.scala 29:58] + node _T_21 = cat(_T_20, _T_2[19]) @[Cat.scala 29:58] + node _T_22 = cat(_T_21, _T_2[20]) @[Cat.scala 29:58] + node _T_23 = cat(_T_22, _T_2[21]) @[Cat.scala 29:58] + node _T_24 = cat(_T_23, _T_2[22]) @[Cat.scala 29:58] + node _T_25 = cat(_T_24, _T_2[23]) @[Cat.scala 29:58] + node _T_26 = cat(_T_25, _T_2[24]) @[Cat.scala 29:58] + node _T_27 = cat(_T_26, _T_2[25]) @[Cat.scala 29:58] + node _T_28 = cat(_T_27, _T_2[26]) @[Cat.scala 29:58] + node _T_29 = cat(_T_28, _T_2[27]) @[Cat.scala 29:58] + node _T_30 = cat(_T_29, _T_2[28]) @[Cat.scala 29:58] + node _T_31 = cat(_T_30, _T_2[29]) @[Cat.scala 29:58] + node _T_32 = cat(_T_31, _T_2[30]) @[Cat.scala 29:58] + node _T_33 = cat(_T_32, _T_2[31]) @[Cat.scala 29:58] + node _T_34 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[el2_dec_trigger.scala 14:177] + node _T_35 = cat(io.dec_i0_pc_d, _T_34) @[Cat.scala 29:58] + node _T_36 = and(_T_33, _T_35) @[el2_dec_trigger.scala 14:127] + node _T_37 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[el2_dec_trigger.scala 14:63] + node _T_38 = and(_T_37, io.trigger_pkt_any[1].execute) @[el2_dec_trigger.scala 14:93] + wire _T_39 : UInt<1>[32] @[el2_lib.scala 161:48] + _T_39[0] <= _T_38 @[el2_lib.scala 161:48] + _T_39[1] <= _T_38 @[el2_lib.scala 161:48] + _T_39[2] <= _T_38 @[el2_lib.scala 161:48] + _T_39[3] <= _T_38 @[el2_lib.scala 161:48] + _T_39[4] <= _T_38 @[el2_lib.scala 161:48] + _T_39[5] <= _T_38 @[el2_lib.scala 161:48] + _T_39[6] <= _T_38 @[el2_lib.scala 161:48] + _T_39[7] <= _T_38 @[el2_lib.scala 161:48] + _T_39[8] <= _T_38 @[el2_lib.scala 161:48] + _T_39[9] <= _T_38 @[el2_lib.scala 161:48] + _T_39[10] <= _T_38 @[el2_lib.scala 161:48] + _T_39[11] <= _T_38 @[el2_lib.scala 161:48] + _T_39[12] <= _T_38 @[el2_lib.scala 161:48] + _T_39[13] <= _T_38 @[el2_lib.scala 161:48] + _T_39[14] <= _T_38 @[el2_lib.scala 161:48] + _T_39[15] <= _T_38 @[el2_lib.scala 161:48] + _T_39[16] <= _T_38 @[el2_lib.scala 161:48] + _T_39[17] <= _T_38 @[el2_lib.scala 161:48] + _T_39[18] <= _T_38 @[el2_lib.scala 161:48] + _T_39[19] <= _T_38 @[el2_lib.scala 161:48] + _T_39[20] <= _T_38 @[el2_lib.scala 161:48] + _T_39[21] <= _T_38 @[el2_lib.scala 161:48] + _T_39[22] <= _T_38 @[el2_lib.scala 161:48] + _T_39[23] <= _T_38 @[el2_lib.scala 161:48] + _T_39[24] <= _T_38 @[el2_lib.scala 161:48] + _T_39[25] <= _T_38 @[el2_lib.scala 161:48] + _T_39[26] <= _T_38 @[el2_lib.scala 161:48] + _T_39[27] <= _T_38 @[el2_lib.scala 161:48] + _T_39[28] <= _T_38 @[el2_lib.scala 161:48] + _T_39[29] <= _T_38 @[el2_lib.scala 161:48] + _T_39[30] <= _T_38 @[el2_lib.scala 161:48] + _T_39[31] <= _T_38 @[el2_lib.scala 161:48] + node _T_40 = cat(_T_39[0], _T_39[1]) @[Cat.scala 29:58] + node _T_41 = cat(_T_40, _T_39[2]) @[Cat.scala 29:58] + node _T_42 = cat(_T_41, _T_39[3]) @[Cat.scala 29:58] + node _T_43 = cat(_T_42, _T_39[4]) @[Cat.scala 29:58] + node _T_44 = cat(_T_43, _T_39[5]) @[Cat.scala 29:58] + node _T_45 = cat(_T_44, _T_39[6]) @[Cat.scala 29:58] + node _T_46 = cat(_T_45, _T_39[7]) @[Cat.scala 29:58] + node _T_47 = cat(_T_46, _T_39[8]) @[Cat.scala 29:58] + node _T_48 = cat(_T_47, _T_39[9]) @[Cat.scala 29:58] + node _T_49 = cat(_T_48, _T_39[10]) @[Cat.scala 29:58] + node _T_50 = cat(_T_49, _T_39[11]) @[Cat.scala 29:58] + node _T_51 = cat(_T_50, _T_39[12]) @[Cat.scala 29:58] + node _T_52 = cat(_T_51, _T_39[13]) @[Cat.scala 29:58] + node _T_53 = cat(_T_52, _T_39[14]) @[Cat.scala 29:58] + node _T_54 = cat(_T_53, _T_39[15]) @[Cat.scala 29:58] + node _T_55 = cat(_T_54, _T_39[16]) @[Cat.scala 29:58] + node _T_56 = cat(_T_55, _T_39[17]) @[Cat.scala 29:58] + node _T_57 = cat(_T_56, _T_39[18]) @[Cat.scala 29:58] + node _T_58 = cat(_T_57, _T_39[19]) @[Cat.scala 29:58] + node _T_59 = cat(_T_58, _T_39[20]) @[Cat.scala 29:58] + node _T_60 = cat(_T_59, _T_39[21]) @[Cat.scala 29:58] + node _T_61 = cat(_T_60, _T_39[22]) @[Cat.scala 29:58] + node _T_62 = cat(_T_61, _T_39[23]) @[Cat.scala 29:58] + node _T_63 = cat(_T_62, _T_39[24]) @[Cat.scala 29:58] + node _T_64 = cat(_T_63, _T_39[25]) @[Cat.scala 29:58] + node _T_65 = cat(_T_64, _T_39[26]) @[Cat.scala 29:58] + node _T_66 = cat(_T_65, _T_39[27]) @[Cat.scala 29:58] + node _T_67 = cat(_T_66, _T_39[28]) @[Cat.scala 29:58] + node _T_68 = cat(_T_67, _T_39[29]) @[Cat.scala 29:58] + node _T_69 = cat(_T_68, _T_39[30]) @[Cat.scala 29:58] + node _T_70 = cat(_T_69, _T_39[31]) @[Cat.scala 29:58] + node _T_71 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_dec_trigger.scala 14:177] + node _T_72 = cat(io.dec_i0_pc_d, _T_71) @[Cat.scala 29:58] + node _T_73 = and(_T_70, _T_72) @[el2_dec_trigger.scala 14:127] + node _T_74 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[el2_dec_trigger.scala 14:63] + node _T_75 = and(_T_74, io.trigger_pkt_any[2].execute) @[el2_dec_trigger.scala 14:93] + wire _T_76 : UInt<1>[32] @[el2_lib.scala 161:48] + _T_76[0] <= _T_75 @[el2_lib.scala 161:48] + _T_76[1] <= _T_75 @[el2_lib.scala 161:48] + _T_76[2] <= _T_75 @[el2_lib.scala 161:48] + _T_76[3] <= _T_75 @[el2_lib.scala 161:48] + _T_76[4] <= _T_75 @[el2_lib.scala 161:48] + _T_76[5] <= _T_75 @[el2_lib.scala 161:48] + _T_76[6] <= _T_75 @[el2_lib.scala 161:48] + _T_76[7] <= _T_75 @[el2_lib.scala 161:48] + _T_76[8] <= _T_75 @[el2_lib.scala 161:48] + _T_76[9] <= _T_75 @[el2_lib.scala 161:48] + _T_76[10] <= _T_75 @[el2_lib.scala 161:48] + _T_76[11] <= _T_75 @[el2_lib.scala 161:48] + _T_76[12] <= _T_75 @[el2_lib.scala 161:48] + _T_76[13] <= _T_75 @[el2_lib.scala 161:48] + _T_76[14] <= _T_75 @[el2_lib.scala 161:48] + _T_76[15] <= _T_75 @[el2_lib.scala 161:48] + _T_76[16] <= _T_75 @[el2_lib.scala 161:48] + _T_76[17] <= _T_75 @[el2_lib.scala 161:48] + _T_76[18] <= _T_75 @[el2_lib.scala 161:48] + _T_76[19] <= _T_75 @[el2_lib.scala 161:48] + _T_76[20] <= _T_75 @[el2_lib.scala 161:48] + _T_76[21] <= _T_75 @[el2_lib.scala 161:48] + _T_76[22] <= _T_75 @[el2_lib.scala 161:48] + _T_76[23] <= _T_75 @[el2_lib.scala 161:48] + _T_76[24] <= _T_75 @[el2_lib.scala 161:48] + _T_76[25] <= _T_75 @[el2_lib.scala 161:48] + _T_76[26] <= _T_75 @[el2_lib.scala 161:48] + _T_76[27] <= _T_75 @[el2_lib.scala 161:48] + _T_76[28] <= _T_75 @[el2_lib.scala 161:48] + _T_76[29] <= _T_75 @[el2_lib.scala 161:48] + _T_76[30] <= _T_75 @[el2_lib.scala 161:48] + _T_76[31] <= _T_75 @[el2_lib.scala 161:48] + node _T_77 = cat(_T_76[0], _T_76[1]) @[Cat.scala 29:58] + node _T_78 = cat(_T_77, _T_76[2]) @[Cat.scala 29:58] + node _T_79 = cat(_T_78, _T_76[3]) @[Cat.scala 29:58] + node _T_80 = cat(_T_79, _T_76[4]) @[Cat.scala 29:58] + node _T_81 = cat(_T_80, _T_76[5]) @[Cat.scala 29:58] + node _T_82 = cat(_T_81, _T_76[6]) @[Cat.scala 29:58] + node _T_83 = cat(_T_82, _T_76[7]) @[Cat.scala 29:58] + node _T_84 = cat(_T_83, _T_76[8]) @[Cat.scala 29:58] + node _T_85 = cat(_T_84, _T_76[9]) @[Cat.scala 29:58] + node _T_86 = cat(_T_85, _T_76[10]) @[Cat.scala 29:58] + node _T_87 = cat(_T_86, _T_76[11]) @[Cat.scala 29:58] + node _T_88 = cat(_T_87, _T_76[12]) @[Cat.scala 29:58] + node _T_89 = cat(_T_88, _T_76[13]) @[Cat.scala 29:58] + node _T_90 = cat(_T_89, _T_76[14]) @[Cat.scala 29:58] + node _T_91 = cat(_T_90, _T_76[15]) @[Cat.scala 29:58] + node _T_92 = cat(_T_91, _T_76[16]) @[Cat.scala 29:58] + node _T_93 = cat(_T_92, _T_76[17]) @[Cat.scala 29:58] + node _T_94 = cat(_T_93, _T_76[18]) @[Cat.scala 29:58] + node _T_95 = cat(_T_94, _T_76[19]) @[Cat.scala 29:58] + node _T_96 = cat(_T_95, _T_76[20]) @[Cat.scala 29:58] + node _T_97 = cat(_T_96, _T_76[21]) @[Cat.scala 29:58] + node _T_98 = cat(_T_97, _T_76[22]) @[Cat.scala 29:58] + node _T_99 = cat(_T_98, _T_76[23]) @[Cat.scala 29:58] + node _T_100 = cat(_T_99, _T_76[24]) @[Cat.scala 29:58] + node _T_101 = cat(_T_100, _T_76[25]) @[Cat.scala 29:58] + node _T_102 = cat(_T_101, _T_76[26]) @[Cat.scala 29:58] + node _T_103 = cat(_T_102, _T_76[27]) @[Cat.scala 29:58] + node _T_104 = cat(_T_103, _T_76[28]) @[Cat.scala 29:58] + node _T_105 = cat(_T_104, _T_76[29]) @[Cat.scala 29:58] + node _T_106 = cat(_T_105, _T_76[30]) @[Cat.scala 29:58] + node _T_107 = cat(_T_106, _T_76[31]) @[Cat.scala 29:58] + node _T_108 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_dec_trigger.scala 14:177] + node _T_109 = cat(io.dec_i0_pc_d, _T_108) @[Cat.scala 29:58] + node _T_110 = and(_T_107, _T_109) @[el2_dec_trigger.scala 14:127] + node _T_111 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[el2_dec_trigger.scala 14:63] + node _T_112 = and(_T_111, io.trigger_pkt_any[3].execute) @[el2_dec_trigger.scala 14:93] + wire _T_113 : UInt<1>[32] @[el2_lib.scala 161:48] + _T_113[0] <= _T_112 @[el2_lib.scala 161:48] + _T_113[1] <= _T_112 @[el2_lib.scala 161:48] + _T_113[2] <= _T_112 @[el2_lib.scala 161:48] + _T_113[3] <= _T_112 @[el2_lib.scala 161:48] + _T_113[4] <= _T_112 @[el2_lib.scala 161:48] + _T_113[5] <= _T_112 @[el2_lib.scala 161:48] + _T_113[6] <= _T_112 @[el2_lib.scala 161:48] + _T_113[7] <= _T_112 @[el2_lib.scala 161:48] + _T_113[8] <= _T_112 @[el2_lib.scala 161:48] + _T_113[9] <= _T_112 @[el2_lib.scala 161:48] + _T_113[10] <= _T_112 @[el2_lib.scala 161:48] + _T_113[11] <= _T_112 @[el2_lib.scala 161:48] + _T_113[12] <= _T_112 @[el2_lib.scala 161:48] + _T_113[13] <= _T_112 @[el2_lib.scala 161:48] + _T_113[14] <= _T_112 @[el2_lib.scala 161:48] + _T_113[15] <= _T_112 @[el2_lib.scala 161:48] + _T_113[16] <= _T_112 @[el2_lib.scala 161:48] + _T_113[17] <= _T_112 @[el2_lib.scala 161:48] + _T_113[18] <= _T_112 @[el2_lib.scala 161:48] + _T_113[19] <= _T_112 @[el2_lib.scala 161:48] + _T_113[20] <= _T_112 @[el2_lib.scala 161:48] + _T_113[21] <= _T_112 @[el2_lib.scala 161:48] + _T_113[22] <= _T_112 @[el2_lib.scala 161:48] + _T_113[23] <= _T_112 @[el2_lib.scala 161:48] + _T_113[24] <= _T_112 @[el2_lib.scala 161:48] + _T_113[25] <= _T_112 @[el2_lib.scala 161:48] + _T_113[26] <= _T_112 @[el2_lib.scala 161:48] + _T_113[27] <= _T_112 @[el2_lib.scala 161:48] + _T_113[28] <= _T_112 @[el2_lib.scala 161:48] + _T_113[29] <= _T_112 @[el2_lib.scala 161:48] + _T_113[30] <= _T_112 @[el2_lib.scala 161:48] + _T_113[31] <= _T_112 @[el2_lib.scala 161:48] + node _T_114 = cat(_T_113[0], _T_113[1]) @[Cat.scala 29:58] + node _T_115 = cat(_T_114, _T_113[2]) @[Cat.scala 29:58] + node _T_116 = cat(_T_115, _T_113[3]) @[Cat.scala 29:58] + node _T_117 = cat(_T_116, _T_113[4]) @[Cat.scala 29:58] + node _T_118 = cat(_T_117, _T_113[5]) @[Cat.scala 29:58] + node _T_119 = cat(_T_118, _T_113[6]) @[Cat.scala 29:58] + node _T_120 = cat(_T_119, _T_113[7]) @[Cat.scala 29:58] + node _T_121 = cat(_T_120, _T_113[8]) @[Cat.scala 29:58] + node _T_122 = cat(_T_121, _T_113[9]) @[Cat.scala 29:58] + node _T_123 = cat(_T_122, _T_113[10]) @[Cat.scala 29:58] + node _T_124 = cat(_T_123, _T_113[11]) @[Cat.scala 29:58] + node _T_125 = cat(_T_124, _T_113[12]) @[Cat.scala 29:58] + node _T_126 = cat(_T_125, _T_113[13]) @[Cat.scala 29:58] + node _T_127 = cat(_T_126, _T_113[14]) @[Cat.scala 29:58] + node _T_128 = cat(_T_127, _T_113[15]) @[Cat.scala 29:58] + node _T_129 = cat(_T_128, _T_113[16]) @[Cat.scala 29:58] + node _T_130 = cat(_T_129, _T_113[17]) @[Cat.scala 29:58] + node _T_131 = cat(_T_130, _T_113[18]) @[Cat.scala 29:58] + node _T_132 = cat(_T_131, _T_113[19]) @[Cat.scala 29:58] + node _T_133 = cat(_T_132, _T_113[20]) @[Cat.scala 29:58] + node _T_134 = cat(_T_133, _T_113[21]) @[Cat.scala 29:58] + node _T_135 = cat(_T_134, _T_113[22]) @[Cat.scala 29:58] + node _T_136 = cat(_T_135, _T_113[23]) @[Cat.scala 29:58] + node _T_137 = cat(_T_136, _T_113[24]) @[Cat.scala 29:58] + node _T_138 = cat(_T_137, _T_113[25]) @[Cat.scala 29:58] + node _T_139 = cat(_T_138, _T_113[26]) @[Cat.scala 29:58] + node _T_140 = cat(_T_139, _T_113[27]) @[Cat.scala 29:58] + node _T_141 = cat(_T_140, _T_113[28]) @[Cat.scala 29:58] + node _T_142 = cat(_T_141, _T_113[29]) @[Cat.scala 29:58] + node _T_143 = cat(_T_142, _T_113[30]) @[Cat.scala 29:58] + node _T_144 = cat(_T_143, _T_113[31]) @[Cat.scala 29:58] + node _T_145 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_dec_trigger.scala 14:177] + node _T_146 = cat(io.dec_i0_pc_d, _T_145) @[Cat.scala 29:58] + node _T_147 = and(_T_144, _T_146) @[el2_dec_trigger.scala 14:127] + wire dec_i0_match_data : UInt<32>[4] @[el2_dec_trigger.scala 14:46] + dec_i0_match_data[0] <= _T_36 @[el2_dec_trigger.scala 14:46] + dec_i0_match_data[1] <= _T_73 @[el2_dec_trigger.scala 14:46] + dec_i0_match_data[2] <= _T_110 @[el2_dec_trigger.scala 14:46] + dec_i0_match_data[3] <= _T_147 @[el2_dec_trigger.scala 14:46] + node _T_148 = and(io.trigger_pkt_any[0].execute, io.trigger_pkt_any[0].m) @[el2_dec_trigger.scala 15:83] + node _T_149 = bits(io.trigger_pkt_any[0].match_, 0, 0) @[el2_dec_trigger.scala 15:213] + wire _T_150 : UInt<1>[32] @[el2_lib.scala 231:24] + node _T_151 = andr(io.trigger_pkt_any[0].tdata2) @[el2_lib.scala 232:45] + node _T_152 = not(_T_151) @[el2_lib.scala 232:39] + node _T_153 = and(_T_149, _T_152) @[el2_lib.scala 232:37] + node _T_154 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[el2_lib.scala 233:48] + node _T_155 = bits(dec_i0_match_data[0], 0, 0) @[el2_lib.scala 233:60] + node _T_156 = eq(_T_154, _T_155) @[el2_lib.scala 233:52] + node _T_157 = or(_T_153, _T_156) @[el2_lib.scala 233:41] + _T_150[0] <= _T_157 @[el2_lib.scala 233:18] + node _T_158 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[el2_lib.scala 235:28] + node _T_159 = andr(_T_158) @[el2_lib.scala 235:36] + node _T_160 = and(_T_159, _T_153) @[el2_lib.scala 235:41] + node _T_161 = bits(io.trigger_pkt_any[0].tdata2, 1, 1) @[el2_lib.scala 235:74] + node _T_162 = bits(dec_i0_match_data[0], 1, 1) @[el2_lib.scala 235:86] + node _T_163 = eq(_T_161, _T_162) @[el2_lib.scala 235:78] + node _T_164 = mux(_T_160, UInt<1>("h01"), _T_163) @[el2_lib.scala 235:23] + _T_150[1] <= _T_164 @[el2_lib.scala 235:17] + node _T_165 = bits(io.trigger_pkt_any[0].tdata2, 1, 0) @[el2_lib.scala 235:28] + node _T_166 = andr(_T_165) @[el2_lib.scala 235:36] + node _T_167 = and(_T_166, _T_153) @[el2_lib.scala 235:41] + node _T_168 = bits(io.trigger_pkt_any[0].tdata2, 2, 2) @[el2_lib.scala 235:74] + node _T_169 = bits(dec_i0_match_data[0], 2, 2) @[el2_lib.scala 235:86] + node _T_170 = eq(_T_168, _T_169) @[el2_lib.scala 235:78] + node _T_171 = mux(_T_167, UInt<1>("h01"), _T_170) @[el2_lib.scala 235:23] + _T_150[2] <= _T_171 @[el2_lib.scala 235:17] + node _T_172 = bits(io.trigger_pkt_any[0].tdata2, 2, 0) @[el2_lib.scala 235:28] + node _T_173 = andr(_T_172) @[el2_lib.scala 235:36] + node _T_174 = and(_T_173, _T_153) @[el2_lib.scala 235:41] + node _T_175 = bits(io.trigger_pkt_any[0].tdata2, 3, 3) @[el2_lib.scala 235:74] + node _T_176 = bits(dec_i0_match_data[0], 3, 3) @[el2_lib.scala 235:86] + node _T_177 = eq(_T_175, _T_176) @[el2_lib.scala 235:78] + node _T_178 = mux(_T_174, UInt<1>("h01"), _T_177) @[el2_lib.scala 235:23] + _T_150[3] <= _T_178 @[el2_lib.scala 235:17] + node _T_179 = bits(io.trigger_pkt_any[0].tdata2, 3, 0) @[el2_lib.scala 235:28] + node _T_180 = andr(_T_179) @[el2_lib.scala 235:36] + node _T_181 = and(_T_180, _T_153) @[el2_lib.scala 235:41] + node _T_182 = bits(io.trigger_pkt_any[0].tdata2, 4, 4) @[el2_lib.scala 235:74] + node _T_183 = bits(dec_i0_match_data[0], 4, 4) @[el2_lib.scala 235:86] + node _T_184 = eq(_T_182, _T_183) @[el2_lib.scala 235:78] + node _T_185 = mux(_T_181, UInt<1>("h01"), _T_184) @[el2_lib.scala 235:23] + _T_150[4] <= _T_185 @[el2_lib.scala 235:17] + node _T_186 = bits(io.trigger_pkt_any[0].tdata2, 4, 0) @[el2_lib.scala 235:28] + node _T_187 = andr(_T_186) @[el2_lib.scala 235:36] + node _T_188 = and(_T_187, _T_153) @[el2_lib.scala 235:41] + node _T_189 = bits(io.trigger_pkt_any[0].tdata2, 5, 5) @[el2_lib.scala 235:74] + node _T_190 = bits(dec_i0_match_data[0], 5, 5) @[el2_lib.scala 235:86] + node _T_191 = eq(_T_189, _T_190) @[el2_lib.scala 235:78] + node _T_192 = mux(_T_188, UInt<1>("h01"), _T_191) @[el2_lib.scala 235:23] + _T_150[5] <= _T_192 @[el2_lib.scala 235:17] + node _T_193 = bits(io.trigger_pkt_any[0].tdata2, 5, 0) @[el2_lib.scala 235:28] + node _T_194 = andr(_T_193) @[el2_lib.scala 235:36] + node _T_195 = and(_T_194, _T_153) @[el2_lib.scala 235:41] + node _T_196 = bits(io.trigger_pkt_any[0].tdata2, 6, 6) @[el2_lib.scala 235:74] + node _T_197 = bits(dec_i0_match_data[0], 6, 6) @[el2_lib.scala 235:86] + node _T_198 = eq(_T_196, _T_197) @[el2_lib.scala 235:78] + node _T_199 = mux(_T_195, UInt<1>("h01"), _T_198) @[el2_lib.scala 235:23] + _T_150[6] <= _T_199 @[el2_lib.scala 235:17] + node _T_200 = bits(io.trigger_pkt_any[0].tdata2, 6, 0) @[el2_lib.scala 235:28] + node _T_201 = andr(_T_200) @[el2_lib.scala 235:36] + node _T_202 = and(_T_201, _T_153) @[el2_lib.scala 235:41] + node _T_203 = bits(io.trigger_pkt_any[0].tdata2, 7, 7) @[el2_lib.scala 235:74] + node _T_204 = bits(dec_i0_match_data[0], 7, 7) @[el2_lib.scala 235:86] + node _T_205 = eq(_T_203, _T_204) @[el2_lib.scala 235:78] + node _T_206 = mux(_T_202, UInt<1>("h01"), _T_205) @[el2_lib.scala 235:23] + _T_150[7] <= _T_206 @[el2_lib.scala 235:17] + node _T_207 = bits(io.trigger_pkt_any[0].tdata2, 7, 0) @[el2_lib.scala 235:28] + node _T_208 = andr(_T_207) @[el2_lib.scala 235:36] + node _T_209 = and(_T_208, _T_153) @[el2_lib.scala 235:41] + node _T_210 = bits(io.trigger_pkt_any[0].tdata2, 8, 8) @[el2_lib.scala 235:74] + node _T_211 = bits(dec_i0_match_data[0], 8, 8) @[el2_lib.scala 235:86] + node _T_212 = eq(_T_210, _T_211) @[el2_lib.scala 235:78] + node _T_213 = mux(_T_209, UInt<1>("h01"), _T_212) @[el2_lib.scala 235:23] + _T_150[8] <= _T_213 @[el2_lib.scala 235:17] + node _T_214 = bits(io.trigger_pkt_any[0].tdata2, 8, 0) @[el2_lib.scala 235:28] + node _T_215 = andr(_T_214) @[el2_lib.scala 235:36] + node _T_216 = and(_T_215, _T_153) @[el2_lib.scala 235:41] + node _T_217 = bits(io.trigger_pkt_any[0].tdata2, 9, 9) @[el2_lib.scala 235:74] + node _T_218 = bits(dec_i0_match_data[0], 9, 9) @[el2_lib.scala 235:86] + node _T_219 = eq(_T_217, _T_218) @[el2_lib.scala 235:78] + node _T_220 = mux(_T_216, UInt<1>("h01"), _T_219) @[el2_lib.scala 235:23] + _T_150[9] <= _T_220 @[el2_lib.scala 235:17] + node _T_221 = bits(io.trigger_pkt_any[0].tdata2, 9, 0) @[el2_lib.scala 235:28] + node _T_222 = andr(_T_221) @[el2_lib.scala 235:36] + node _T_223 = and(_T_222, _T_153) @[el2_lib.scala 235:41] + node _T_224 = bits(io.trigger_pkt_any[0].tdata2, 10, 10) @[el2_lib.scala 235:74] + node _T_225 = bits(dec_i0_match_data[0], 10, 10) @[el2_lib.scala 235:86] + node _T_226 = eq(_T_224, _T_225) @[el2_lib.scala 235:78] + node _T_227 = mux(_T_223, UInt<1>("h01"), _T_226) @[el2_lib.scala 235:23] + _T_150[10] <= _T_227 @[el2_lib.scala 235:17] + node _T_228 = bits(io.trigger_pkt_any[0].tdata2, 10, 0) @[el2_lib.scala 235:28] + node _T_229 = andr(_T_228) @[el2_lib.scala 235:36] + node _T_230 = and(_T_229, _T_153) @[el2_lib.scala 235:41] + node _T_231 = bits(io.trigger_pkt_any[0].tdata2, 11, 11) @[el2_lib.scala 235:74] + node _T_232 = bits(dec_i0_match_data[0], 11, 11) @[el2_lib.scala 235:86] + node _T_233 = eq(_T_231, _T_232) @[el2_lib.scala 235:78] + node _T_234 = mux(_T_230, UInt<1>("h01"), _T_233) @[el2_lib.scala 235:23] + _T_150[11] <= _T_234 @[el2_lib.scala 235:17] + node _T_235 = bits(io.trigger_pkt_any[0].tdata2, 11, 0) @[el2_lib.scala 235:28] + node _T_236 = andr(_T_235) @[el2_lib.scala 235:36] + node _T_237 = and(_T_236, _T_153) @[el2_lib.scala 235:41] + node _T_238 = bits(io.trigger_pkt_any[0].tdata2, 12, 12) @[el2_lib.scala 235:74] + node _T_239 = bits(dec_i0_match_data[0], 12, 12) @[el2_lib.scala 235:86] + node _T_240 = eq(_T_238, _T_239) @[el2_lib.scala 235:78] + node _T_241 = mux(_T_237, UInt<1>("h01"), _T_240) @[el2_lib.scala 235:23] + _T_150[12] <= _T_241 @[el2_lib.scala 235:17] + node _T_242 = bits(io.trigger_pkt_any[0].tdata2, 12, 0) @[el2_lib.scala 235:28] + node _T_243 = andr(_T_242) @[el2_lib.scala 235:36] + node _T_244 = and(_T_243, _T_153) @[el2_lib.scala 235:41] + node _T_245 = bits(io.trigger_pkt_any[0].tdata2, 13, 13) @[el2_lib.scala 235:74] + node _T_246 = bits(dec_i0_match_data[0], 13, 13) @[el2_lib.scala 235:86] + node _T_247 = eq(_T_245, _T_246) @[el2_lib.scala 235:78] + node _T_248 = mux(_T_244, UInt<1>("h01"), _T_247) @[el2_lib.scala 235:23] + _T_150[13] <= _T_248 @[el2_lib.scala 235:17] + node _T_249 = bits(io.trigger_pkt_any[0].tdata2, 13, 0) @[el2_lib.scala 235:28] + node _T_250 = andr(_T_249) @[el2_lib.scala 235:36] + node _T_251 = and(_T_250, _T_153) @[el2_lib.scala 235:41] + node _T_252 = bits(io.trigger_pkt_any[0].tdata2, 14, 14) @[el2_lib.scala 235:74] + node _T_253 = bits(dec_i0_match_data[0], 14, 14) @[el2_lib.scala 235:86] + node _T_254 = eq(_T_252, _T_253) @[el2_lib.scala 235:78] + node _T_255 = mux(_T_251, UInt<1>("h01"), _T_254) @[el2_lib.scala 235:23] + _T_150[14] <= _T_255 @[el2_lib.scala 235:17] + node _T_256 = bits(io.trigger_pkt_any[0].tdata2, 14, 0) @[el2_lib.scala 235:28] + node _T_257 = andr(_T_256) @[el2_lib.scala 235:36] + node _T_258 = and(_T_257, _T_153) @[el2_lib.scala 235:41] + node _T_259 = bits(io.trigger_pkt_any[0].tdata2, 15, 15) @[el2_lib.scala 235:74] + node _T_260 = bits(dec_i0_match_data[0], 15, 15) @[el2_lib.scala 235:86] + node _T_261 = eq(_T_259, _T_260) @[el2_lib.scala 235:78] + node _T_262 = mux(_T_258, UInt<1>("h01"), _T_261) @[el2_lib.scala 235:23] + _T_150[15] <= _T_262 @[el2_lib.scala 235:17] + node _T_263 = bits(io.trigger_pkt_any[0].tdata2, 15, 0) @[el2_lib.scala 235:28] + node _T_264 = andr(_T_263) @[el2_lib.scala 235:36] + node _T_265 = and(_T_264, _T_153) @[el2_lib.scala 235:41] + node _T_266 = bits(io.trigger_pkt_any[0].tdata2, 16, 16) @[el2_lib.scala 235:74] + node _T_267 = bits(dec_i0_match_data[0], 16, 16) @[el2_lib.scala 235:86] + node _T_268 = eq(_T_266, _T_267) @[el2_lib.scala 235:78] + node _T_269 = mux(_T_265, UInt<1>("h01"), _T_268) @[el2_lib.scala 235:23] + _T_150[16] <= _T_269 @[el2_lib.scala 235:17] + node _T_270 = bits(io.trigger_pkt_any[0].tdata2, 16, 0) @[el2_lib.scala 235:28] + node _T_271 = andr(_T_270) @[el2_lib.scala 235:36] + node _T_272 = and(_T_271, _T_153) @[el2_lib.scala 235:41] + node _T_273 = bits(io.trigger_pkt_any[0].tdata2, 17, 17) @[el2_lib.scala 235:74] + node _T_274 = bits(dec_i0_match_data[0], 17, 17) @[el2_lib.scala 235:86] + node _T_275 = eq(_T_273, _T_274) @[el2_lib.scala 235:78] + node _T_276 = mux(_T_272, UInt<1>("h01"), _T_275) @[el2_lib.scala 235:23] + _T_150[17] <= _T_276 @[el2_lib.scala 235:17] + node _T_277 = bits(io.trigger_pkt_any[0].tdata2, 17, 0) @[el2_lib.scala 235:28] + node _T_278 = andr(_T_277) @[el2_lib.scala 235:36] + node _T_279 = and(_T_278, _T_153) @[el2_lib.scala 235:41] + node _T_280 = bits(io.trigger_pkt_any[0].tdata2, 18, 18) @[el2_lib.scala 235:74] + node _T_281 = bits(dec_i0_match_data[0], 18, 18) @[el2_lib.scala 235:86] + node _T_282 = eq(_T_280, _T_281) @[el2_lib.scala 235:78] + node _T_283 = mux(_T_279, UInt<1>("h01"), _T_282) @[el2_lib.scala 235:23] + _T_150[18] <= _T_283 @[el2_lib.scala 235:17] + node _T_284 = bits(io.trigger_pkt_any[0].tdata2, 18, 0) @[el2_lib.scala 235:28] + node _T_285 = andr(_T_284) @[el2_lib.scala 235:36] + node _T_286 = and(_T_285, _T_153) @[el2_lib.scala 235:41] + node _T_287 = bits(io.trigger_pkt_any[0].tdata2, 19, 19) @[el2_lib.scala 235:74] + node _T_288 = bits(dec_i0_match_data[0], 19, 19) @[el2_lib.scala 235:86] + node _T_289 = eq(_T_287, _T_288) @[el2_lib.scala 235:78] + node _T_290 = mux(_T_286, UInt<1>("h01"), _T_289) @[el2_lib.scala 235:23] + _T_150[19] <= _T_290 @[el2_lib.scala 235:17] + node _T_291 = bits(io.trigger_pkt_any[0].tdata2, 19, 0) @[el2_lib.scala 235:28] + node _T_292 = andr(_T_291) @[el2_lib.scala 235:36] + node _T_293 = and(_T_292, _T_153) @[el2_lib.scala 235:41] + node _T_294 = bits(io.trigger_pkt_any[0].tdata2, 20, 20) @[el2_lib.scala 235:74] + node _T_295 = bits(dec_i0_match_data[0], 20, 20) @[el2_lib.scala 235:86] + node _T_296 = eq(_T_294, _T_295) @[el2_lib.scala 235:78] + node _T_297 = mux(_T_293, UInt<1>("h01"), _T_296) @[el2_lib.scala 235:23] + _T_150[20] <= _T_297 @[el2_lib.scala 235:17] + node _T_298 = bits(io.trigger_pkt_any[0].tdata2, 20, 0) @[el2_lib.scala 235:28] + node _T_299 = andr(_T_298) @[el2_lib.scala 235:36] + node _T_300 = and(_T_299, _T_153) @[el2_lib.scala 235:41] + node _T_301 = bits(io.trigger_pkt_any[0].tdata2, 21, 21) @[el2_lib.scala 235:74] + node _T_302 = bits(dec_i0_match_data[0], 21, 21) @[el2_lib.scala 235:86] + node _T_303 = eq(_T_301, _T_302) @[el2_lib.scala 235:78] + node _T_304 = mux(_T_300, UInt<1>("h01"), _T_303) @[el2_lib.scala 235:23] + _T_150[21] <= _T_304 @[el2_lib.scala 235:17] + node _T_305 = bits(io.trigger_pkt_any[0].tdata2, 21, 0) @[el2_lib.scala 235:28] + node _T_306 = andr(_T_305) @[el2_lib.scala 235:36] + node _T_307 = and(_T_306, _T_153) @[el2_lib.scala 235:41] + node _T_308 = bits(io.trigger_pkt_any[0].tdata2, 22, 22) @[el2_lib.scala 235:74] + node _T_309 = bits(dec_i0_match_data[0], 22, 22) @[el2_lib.scala 235:86] + node _T_310 = eq(_T_308, _T_309) @[el2_lib.scala 235:78] + node _T_311 = mux(_T_307, UInt<1>("h01"), _T_310) @[el2_lib.scala 235:23] + _T_150[22] <= _T_311 @[el2_lib.scala 235:17] + node _T_312 = bits(io.trigger_pkt_any[0].tdata2, 22, 0) @[el2_lib.scala 235:28] + node _T_313 = andr(_T_312) @[el2_lib.scala 235:36] + node _T_314 = and(_T_313, _T_153) @[el2_lib.scala 235:41] + node _T_315 = bits(io.trigger_pkt_any[0].tdata2, 23, 23) @[el2_lib.scala 235:74] + node _T_316 = bits(dec_i0_match_data[0], 23, 23) @[el2_lib.scala 235:86] + node _T_317 = eq(_T_315, _T_316) @[el2_lib.scala 235:78] + node _T_318 = mux(_T_314, UInt<1>("h01"), _T_317) @[el2_lib.scala 235:23] + _T_150[23] <= _T_318 @[el2_lib.scala 235:17] + node _T_319 = bits(io.trigger_pkt_any[0].tdata2, 23, 0) @[el2_lib.scala 235:28] + node _T_320 = andr(_T_319) @[el2_lib.scala 235:36] + node _T_321 = and(_T_320, _T_153) @[el2_lib.scala 235:41] + node _T_322 = bits(io.trigger_pkt_any[0].tdata2, 24, 24) @[el2_lib.scala 235:74] + node _T_323 = bits(dec_i0_match_data[0], 24, 24) @[el2_lib.scala 235:86] + node _T_324 = eq(_T_322, _T_323) @[el2_lib.scala 235:78] + node _T_325 = mux(_T_321, UInt<1>("h01"), _T_324) @[el2_lib.scala 235:23] + _T_150[24] <= _T_325 @[el2_lib.scala 235:17] + node _T_326 = bits(io.trigger_pkt_any[0].tdata2, 24, 0) @[el2_lib.scala 235:28] + node _T_327 = andr(_T_326) @[el2_lib.scala 235:36] + node _T_328 = and(_T_327, _T_153) @[el2_lib.scala 235:41] + node _T_329 = bits(io.trigger_pkt_any[0].tdata2, 25, 25) @[el2_lib.scala 235:74] + node _T_330 = bits(dec_i0_match_data[0], 25, 25) @[el2_lib.scala 235:86] + node _T_331 = eq(_T_329, _T_330) @[el2_lib.scala 235:78] + node _T_332 = mux(_T_328, UInt<1>("h01"), _T_331) @[el2_lib.scala 235:23] + _T_150[25] <= _T_332 @[el2_lib.scala 235:17] + node _T_333 = bits(io.trigger_pkt_any[0].tdata2, 25, 0) @[el2_lib.scala 235:28] + node _T_334 = andr(_T_333) @[el2_lib.scala 235:36] + node _T_335 = and(_T_334, _T_153) @[el2_lib.scala 235:41] + node _T_336 = bits(io.trigger_pkt_any[0].tdata2, 26, 26) @[el2_lib.scala 235:74] + node _T_337 = bits(dec_i0_match_data[0], 26, 26) @[el2_lib.scala 235:86] + node _T_338 = eq(_T_336, _T_337) @[el2_lib.scala 235:78] + node _T_339 = mux(_T_335, UInt<1>("h01"), _T_338) @[el2_lib.scala 235:23] + _T_150[26] <= _T_339 @[el2_lib.scala 235:17] + node _T_340 = bits(io.trigger_pkt_any[0].tdata2, 26, 0) @[el2_lib.scala 235:28] + node _T_341 = andr(_T_340) @[el2_lib.scala 235:36] + node _T_342 = and(_T_341, _T_153) @[el2_lib.scala 235:41] + node _T_343 = bits(io.trigger_pkt_any[0].tdata2, 27, 27) @[el2_lib.scala 235:74] + node _T_344 = bits(dec_i0_match_data[0], 27, 27) @[el2_lib.scala 235:86] + node _T_345 = eq(_T_343, _T_344) @[el2_lib.scala 235:78] + node _T_346 = mux(_T_342, UInt<1>("h01"), _T_345) @[el2_lib.scala 235:23] + _T_150[27] <= _T_346 @[el2_lib.scala 235:17] + node _T_347 = bits(io.trigger_pkt_any[0].tdata2, 27, 0) @[el2_lib.scala 235:28] + node _T_348 = andr(_T_347) @[el2_lib.scala 235:36] + node _T_349 = and(_T_348, _T_153) @[el2_lib.scala 235:41] + node _T_350 = bits(io.trigger_pkt_any[0].tdata2, 28, 28) @[el2_lib.scala 235:74] + node _T_351 = bits(dec_i0_match_data[0], 28, 28) @[el2_lib.scala 235:86] + node _T_352 = eq(_T_350, _T_351) @[el2_lib.scala 235:78] + node _T_353 = mux(_T_349, UInt<1>("h01"), _T_352) @[el2_lib.scala 235:23] + _T_150[28] <= _T_353 @[el2_lib.scala 235:17] + node _T_354 = bits(io.trigger_pkt_any[0].tdata2, 28, 0) @[el2_lib.scala 235:28] + node _T_355 = andr(_T_354) @[el2_lib.scala 235:36] + node _T_356 = and(_T_355, _T_153) @[el2_lib.scala 235:41] + node _T_357 = bits(io.trigger_pkt_any[0].tdata2, 29, 29) @[el2_lib.scala 235:74] + node _T_358 = bits(dec_i0_match_data[0], 29, 29) @[el2_lib.scala 235:86] + node _T_359 = eq(_T_357, _T_358) @[el2_lib.scala 235:78] + node _T_360 = mux(_T_356, UInt<1>("h01"), _T_359) @[el2_lib.scala 235:23] + _T_150[29] <= _T_360 @[el2_lib.scala 235:17] + node _T_361 = bits(io.trigger_pkt_any[0].tdata2, 29, 0) @[el2_lib.scala 235:28] + node _T_362 = andr(_T_361) @[el2_lib.scala 235:36] + node _T_363 = and(_T_362, _T_153) @[el2_lib.scala 235:41] + node _T_364 = bits(io.trigger_pkt_any[0].tdata2, 30, 30) @[el2_lib.scala 235:74] + node _T_365 = bits(dec_i0_match_data[0], 30, 30) @[el2_lib.scala 235:86] + node _T_366 = eq(_T_364, _T_365) @[el2_lib.scala 235:78] + node _T_367 = mux(_T_363, UInt<1>("h01"), _T_366) @[el2_lib.scala 235:23] + _T_150[30] <= _T_367 @[el2_lib.scala 235:17] + node _T_368 = bits(io.trigger_pkt_any[0].tdata2, 30, 0) @[el2_lib.scala 235:28] + node _T_369 = andr(_T_368) @[el2_lib.scala 235:36] + node _T_370 = and(_T_369, _T_153) @[el2_lib.scala 235:41] + node _T_371 = bits(io.trigger_pkt_any[0].tdata2, 31, 31) @[el2_lib.scala 235:74] + node _T_372 = bits(dec_i0_match_data[0], 31, 31) @[el2_lib.scala 235:86] + node _T_373 = eq(_T_371, _T_372) @[el2_lib.scala 235:78] + node _T_374 = mux(_T_370, UInt<1>("h01"), _T_373) @[el2_lib.scala 235:23] + _T_150[31] <= _T_374 @[el2_lib.scala 235:17] + node _T_375 = cat(_T_150[1], _T_150[0]) @[el2_lib.scala 236:14] + node _T_376 = cat(_T_150[3], _T_150[2]) @[el2_lib.scala 236:14] + node _T_377 = cat(_T_376, _T_375) @[el2_lib.scala 236:14] + node _T_378 = cat(_T_150[5], _T_150[4]) @[el2_lib.scala 236:14] + node _T_379 = cat(_T_150[7], _T_150[6]) @[el2_lib.scala 236:14] + node _T_380 = cat(_T_379, _T_378) @[el2_lib.scala 236:14] + node _T_381 = cat(_T_380, _T_377) @[el2_lib.scala 236:14] + node _T_382 = cat(_T_150[9], _T_150[8]) @[el2_lib.scala 236:14] + node _T_383 = cat(_T_150[11], _T_150[10]) @[el2_lib.scala 236:14] + node _T_384 = cat(_T_383, _T_382) @[el2_lib.scala 236:14] + node _T_385 = cat(_T_150[13], _T_150[12]) @[el2_lib.scala 236:14] + node _T_386 = cat(_T_150[15], _T_150[14]) @[el2_lib.scala 236:14] + node _T_387 = cat(_T_386, _T_385) @[el2_lib.scala 236:14] + node _T_388 = cat(_T_387, _T_384) @[el2_lib.scala 236:14] + node _T_389 = cat(_T_388, _T_381) @[el2_lib.scala 236:14] + node _T_390 = cat(_T_150[17], _T_150[16]) @[el2_lib.scala 236:14] + node _T_391 = cat(_T_150[19], _T_150[18]) @[el2_lib.scala 236:14] + node _T_392 = cat(_T_391, _T_390) @[el2_lib.scala 236:14] + node _T_393 = cat(_T_150[21], _T_150[20]) @[el2_lib.scala 236:14] + node _T_394 = cat(_T_150[23], _T_150[22]) @[el2_lib.scala 236:14] + node _T_395 = cat(_T_394, _T_393) @[el2_lib.scala 236:14] + node _T_396 = cat(_T_395, _T_392) @[el2_lib.scala 236:14] + node _T_397 = cat(_T_150[25], _T_150[24]) @[el2_lib.scala 236:14] + node _T_398 = cat(_T_150[27], _T_150[26]) @[el2_lib.scala 236:14] + node _T_399 = cat(_T_398, _T_397) @[el2_lib.scala 236:14] + node _T_400 = cat(_T_150[29], _T_150[28]) @[el2_lib.scala 236:14] + node _T_401 = cat(_T_150[31], _T_150[30]) @[el2_lib.scala 236:14] + node _T_402 = cat(_T_401, _T_400) @[el2_lib.scala 236:14] + node _T_403 = cat(_T_402, _T_399) @[el2_lib.scala 236:14] + node _T_404 = cat(_T_403, _T_396) @[el2_lib.scala 236:14] + node _T_405 = cat(_T_404, _T_389) @[el2_lib.scala 236:14] + node _T_406 = and(_T_148, _T_405) @[el2_dec_trigger.scala 15:109] + node _T_407 = and(io.trigger_pkt_any[1].execute, io.trigger_pkt_any[1].m) @[el2_dec_trigger.scala 15:83] + node _T_408 = bits(io.trigger_pkt_any[1].match_, 0, 0) @[el2_dec_trigger.scala 15:213] + wire _T_409 : UInt<1>[32] @[el2_lib.scala 231:24] + node _T_410 = andr(io.trigger_pkt_any[1].tdata2) @[el2_lib.scala 232:45] + node _T_411 = not(_T_410) @[el2_lib.scala 232:39] + node _T_412 = and(_T_408, _T_411) @[el2_lib.scala 232:37] + node _T_413 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_lib.scala 233:48] + node _T_414 = bits(dec_i0_match_data[1], 0, 0) @[el2_lib.scala 233:60] + node _T_415 = eq(_T_413, _T_414) @[el2_lib.scala 233:52] + node _T_416 = or(_T_412, _T_415) @[el2_lib.scala 233:41] + _T_409[0] <= _T_416 @[el2_lib.scala 233:18] + node _T_417 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_lib.scala 235:28] + node _T_418 = andr(_T_417) @[el2_lib.scala 235:36] + node _T_419 = and(_T_418, _T_412) @[el2_lib.scala 235:41] + node _T_420 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[el2_lib.scala 235:74] + node _T_421 = bits(dec_i0_match_data[1], 1, 1) @[el2_lib.scala 235:86] + node _T_422 = eq(_T_420, _T_421) @[el2_lib.scala 235:78] + node _T_423 = mux(_T_419, UInt<1>("h01"), _T_422) @[el2_lib.scala 235:23] + _T_409[1] <= _T_423 @[el2_lib.scala 235:17] + node _T_424 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[el2_lib.scala 235:28] + node _T_425 = andr(_T_424) @[el2_lib.scala 235:36] + node _T_426 = and(_T_425, _T_412) @[el2_lib.scala 235:41] + node _T_427 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[el2_lib.scala 235:74] + node _T_428 = bits(dec_i0_match_data[1], 2, 2) @[el2_lib.scala 235:86] + node _T_429 = eq(_T_427, _T_428) @[el2_lib.scala 235:78] + node _T_430 = mux(_T_426, UInt<1>("h01"), _T_429) @[el2_lib.scala 235:23] + _T_409[2] <= _T_430 @[el2_lib.scala 235:17] + node _T_431 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[el2_lib.scala 235:28] + node _T_432 = andr(_T_431) @[el2_lib.scala 235:36] + node _T_433 = and(_T_432, _T_412) @[el2_lib.scala 235:41] + node _T_434 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[el2_lib.scala 235:74] + node _T_435 = bits(dec_i0_match_data[1], 3, 3) @[el2_lib.scala 235:86] + node _T_436 = eq(_T_434, _T_435) @[el2_lib.scala 235:78] + node _T_437 = mux(_T_433, UInt<1>("h01"), _T_436) @[el2_lib.scala 235:23] + _T_409[3] <= _T_437 @[el2_lib.scala 235:17] + node _T_438 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[el2_lib.scala 235:28] + node _T_439 = andr(_T_438) @[el2_lib.scala 235:36] + node _T_440 = and(_T_439, _T_412) @[el2_lib.scala 235:41] + node _T_441 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[el2_lib.scala 235:74] + node _T_442 = bits(dec_i0_match_data[1], 4, 4) @[el2_lib.scala 235:86] + node _T_443 = eq(_T_441, _T_442) @[el2_lib.scala 235:78] + node _T_444 = mux(_T_440, UInt<1>("h01"), _T_443) @[el2_lib.scala 235:23] + _T_409[4] <= _T_444 @[el2_lib.scala 235:17] + node _T_445 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[el2_lib.scala 235:28] + node _T_446 = andr(_T_445) @[el2_lib.scala 235:36] + node _T_447 = and(_T_446, _T_412) @[el2_lib.scala 235:41] + node _T_448 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[el2_lib.scala 235:74] + node _T_449 = bits(dec_i0_match_data[1], 5, 5) @[el2_lib.scala 235:86] + node _T_450 = eq(_T_448, _T_449) @[el2_lib.scala 235:78] + node _T_451 = mux(_T_447, UInt<1>("h01"), _T_450) @[el2_lib.scala 235:23] + _T_409[5] <= _T_451 @[el2_lib.scala 235:17] + node _T_452 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[el2_lib.scala 235:28] + node _T_453 = andr(_T_452) @[el2_lib.scala 235:36] + node _T_454 = and(_T_453, _T_412) @[el2_lib.scala 235:41] + node _T_455 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[el2_lib.scala 235:74] + node _T_456 = bits(dec_i0_match_data[1], 6, 6) @[el2_lib.scala 235:86] + node _T_457 = eq(_T_455, _T_456) @[el2_lib.scala 235:78] + node _T_458 = mux(_T_454, UInt<1>("h01"), _T_457) @[el2_lib.scala 235:23] + _T_409[6] <= _T_458 @[el2_lib.scala 235:17] + node _T_459 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[el2_lib.scala 235:28] + node _T_460 = andr(_T_459) @[el2_lib.scala 235:36] + node _T_461 = and(_T_460, _T_412) @[el2_lib.scala 235:41] + node _T_462 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[el2_lib.scala 235:74] + node _T_463 = bits(dec_i0_match_data[1], 7, 7) @[el2_lib.scala 235:86] + node _T_464 = eq(_T_462, _T_463) @[el2_lib.scala 235:78] + node _T_465 = mux(_T_461, UInt<1>("h01"), _T_464) @[el2_lib.scala 235:23] + _T_409[7] <= _T_465 @[el2_lib.scala 235:17] + node _T_466 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[el2_lib.scala 235:28] + node _T_467 = andr(_T_466) @[el2_lib.scala 235:36] + node _T_468 = and(_T_467, _T_412) @[el2_lib.scala 235:41] + node _T_469 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[el2_lib.scala 235:74] + node _T_470 = bits(dec_i0_match_data[1], 8, 8) @[el2_lib.scala 235:86] + node _T_471 = eq(_T_469, _T_470) @[el2_lib.scala 235:78] + node _T_472 = mux(_T_468, UInt<1>("h01"), _T_471) @[el2_lib.scala 235:23] + _T_409[8] <= _T_472 @[el2_lib.scala 235:17] + node _T_473 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[el2_lib.scala 235:28] + node _T_474 = andr(_T_473) @[el2_lib.scala 235:36] + node _T_475 = and(_T_474, _T_412) @[el2_lib.scala 235:41] + node _T_476 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[el2_lib.scala 235:74] + node _T_477 = bits(dec_i0_match_data[1], 9, 9) @[el2_lib.scala 235:86] + node _T_478 = eq(_T_476, _T_477) @[el2_lib.scala 235:78] + node _T_479 = mux(_T_475, UInt<1>("h01"), _T_478) @[el2_lib.scala 235:23] + _T_409[9] <= _T_479 @[el2_lib.scala 235:17] + node _T_480 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[el2_lib.scala 235:28] + node _T_481 = andr(_T_480) @[el2_lib.scala 235:36] + node _T_482 = and(_T_481, _T_412) @[el2_lib.scala 235:41] + node _T_483 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[el2_lib.scala 235:74] + node _T_484 = bits(dec_i0_match_data[1], 10, 10) @[el2_lib.scala 235:86] + node _T_485 = eq(_T_483, _T_484) @[el2_lib.scala 235:78] + node _T_486 = mux(_T_482, UInt<1>("h01"), _T_485) @[el2_lib.scala 235:23] + _T_409[10] <= _T_486 @[el2_lib.scala 235:17] + node _T_487 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[el2_lib.scala 235:28] + node _T_488 = andr(_T_487) @[el2_lib.scala 235:36] + node _T_489 = and(_T_488, _T_412) @[el2_lib.scala 235:41] + node _T_490 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[el2_lib.scala 235:74] + node _T_491 = bits(dec_i0_match_data[1], 11, 11) @[el2_lib.scala 235:86] + node _T_492 = eq(_T_490, _T_491) @[el2_lib.scala 235:78] + node _T_493 = mux(_T_489, UInt<1>("h01"), _T_492) @[el2_lib.scala 235:23] + _T_409[11] <= _T_493 @[el2_lib.scala 235:17] + node _T_494 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[el2_lib.scala 235:28] + node _T_495 = andr(_T_494) @[el2_lib.scala 235:36] + node _T_496 = and(_T_495, _T_412) @[el2_lib.scala 235:41] + node _T_497 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[el2_lib.scala 235:74] + node _T_498 = bits(dec_i0_match_data[1], 12, 12) @[el2_lib.scala 235:86] + node _T_499 = eq(_T_497, _T_498) @[el2_lib.scala 235:78] + node _T_500 = mux(_T_496, UInt<1>("h01"), _T_499) @[el2_lib.scala 235:23] + _T_409[12] <= _T_500 @[el2_lib.scala 235:17] + node _T_501 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[el2_lib.scala 235:28] + node _T_502 = andr(_T_501) @[el2_lib.scala 235:36] + node _T_503 = and(_T_502, _T_412) @[el2_lib.scala 235:41] + node _T_504 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[el2_lib.scala 235:74] + node _T_505 = bits(dec_i0_match_data[1], 13, 13) @[el2_lib.scala 235:86] + node _T_506 = eq(_T_504, _T_505) @[el2_lib.scala 235:78] + node _T_507 = mux(_T_503, UInt<1>("h01"), _T_506) @[el2_lib.scala 235:23] + _T_409[13] <= _T_507 @[el2_lib.scala 235:17] + node _T_508 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[el2_lib.scala 235:28] + node _T_509 = andr(_T_508) @[el2_lib.scala 235:36] + node _T_510 = and(_T_509, _T_412) @[el2_lib.scala 235:41] + node _T_511 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[el2_lib.scala 235:74] + node _T_512 = bits(dec_i0_match_data[1], 14, 14) @[el2_lib.scala 235:86] + node _T_513 = eq(_T_511, _T_512) @[el2_lib.scala 235:78] + node _T_514 = mux(_T_510, UInt<1>("h01"), _T_513) @[el2_lib.scala 235:23] + _T_409[14] <= _T_514 @[el2_lib.scala 235:17] + node _T_515 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[el2_lib.scala 235:28] + node _T_516 = andr(_T_515) @[el2_lib.scala 235:36] + node _T_517 = and(_T_516, _T_412) @[el2_lib.scala 235:41] + node _T_518 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[el2_lib.scala 235:74] + node _T_519 = bits(dec_i0_match_data[1], 15, 15) @[el2_lib.scala 235:86] + node _T_520 = eq(_T_518, _T_519) @[el2_lib.scala 235:78] + node _T_521 = mux(_T_517, UInt<1>("h01"), _T_520) @[el2_lib.scala 235:23] + _T_409[15] <= _T_521 @[el2_lib.scala 235:17] + node _T_522 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[el2_lib.scala 235:28] + node _T_523 = andr(_T_522) @[el2_lib.scala 235:36] + node _T_524 = and(_T_523, _T_412) @[el2_lib.scala 235:41] + node _T_525 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[el2_lib.scala 235:74] + node _T_526 = bits(dec_i0_match_data[1], 16, 16) @[el2_lib.scala 235:86] + node _T_527 = eq(_T_525, _T_526) @[el2_lib.scala 235:78] + node _T_528 = mux(_T_524, UInt<1>("h01"), _T_527) @[el2_lib.scala 235:23] + _T_409[16] <= _T_528 @[el2_lib.scala 235:17] + node _T_529 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[el2_lib.scala 235:28] + node _T_530 = andr(_T_529) @[el2_lib.scala 235:36] + node _T_531 = and(_T_530, _T_412) @[el2_lib.scala 235:41] + node _T_532 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[el2_lib.scala 235:74] + node _T_533 = bits(dec_i0_match_data[1], 17, 17) @[el2_lib.scala 235:86] + node _T_534 = eq(_T_532, _T_533) @[el2_lib.scala 235:78] + node _T_535 = mux(_T_531, UInt<1>("h01"), _T_534) @[el2_lib.scala 235:23] + _T_409[17] <= _T_535 @[el2_lib.scala 235:17] + node _T_536 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[el2_lib.scala 235:28] + node _T_537 = andr(_T_536) @[el2_lib.scala 235:36] + node _T_538 = and(_T_537, _T_412) @[el2_lib.scala 235:41] + node _T_539 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[el2_lib.scala 235:74] + node _T_540 = bits(dec_i0_match_data[1], 18, 18) @[el2_lib.scala 235:86] + node _T_541 = eq(_T_539, _T_540) @[el2_lib.scala 235:78] + node _T_542 = mux(_T_538, UInt<1>("h01"), _T_541) @[el2_lib.scala 235:23] + _T_409[18] <= _T_542 @[el2_lib.scala 235:17] + node _T_543 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[el2_lib.scala 235:28] + node _T_544 = andr(_T_543) @[el2_lib.scala 235:36] + node _T_545 = and(_T_544, _T_412) @[el2_lib.scala 235:41] + node _T_546 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[el2_lib.scala 235:74] + node _T_547 = bits(dec_i0_match_data[1], 19, 19) @[el2_lib.scala 235:86] + node _T_548 = eq(_T_546, _T_547) @[el2_lib.scala 235:78] + node _T_549 = mux(_T_545, UInt<1>("h01"), _T_548) @[el2_lib.scala 235:23] + _T_409[19] <= _T_549 @[el2_lib.scala 235:17] + node _T_550 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[el2_lib.scala 235:28] + node _T_551 = andr(_T_550) @[el2_lib.scala 235:36] + node _T_552 = and(_T_551, _T_412) @[el2_lib.scala 235:41] + node _T_553 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[el2_lib.scala 235:74] + node _T_554 = bits(dec_i0_match_data[1], 20, 20) @[el2_lib.scala 235:86] + node _T_555 = eq(_T_553, _T_554) @[el2_lib.scala 235:78] + node _T_556 = mux(_T_552, UInt<1>("h01"), _T_555) @[el2_lib.scala 235:23] + _T_409[20] <= _T_556 @[el2_lib.scala 235:17] + node _T_557 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[el2_lib.scala 235:28] + node _T_558 = andr(_T_557) @[el2_lib.scala 235:36] + node _T_559 = and(_T_558, _T_412) @[el2_lib.scala 235:41] + node _T_560 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[el2_lib.scala 235:74] + node _T_561 = bits(dec_i0_match_data[1], 21, 21) @[el2_lib.scala 235:86] + node _T_562 = eq(_T_560, _T_561) @[el2_lib.scala 235:78] + node _T_563 = mux(_T_559, UInt<1>("h01"), _T_562) @[el2_lib.scala 235:23] + _T_409[21] <= _T_563 @[el2_lib.scala 235:17] + node _T_564 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[el2_lib.scala 235:28] + node _T_565 = andr(_T_564) @[el2_lib.scala 235:36] + node _T_566 = and(_T_565, _T_412) @[el2_lib.scala 235:41] + node _T_567 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[el2_lib.scala 235:74] + node _T_568 = bits(dec_i0_match_data[1], 22, 22) @[el2_lib.scala 235:86] + node _T_569 = eq(_T_567, _T_568) @[el2_lib.scala 235:78] + node _T_570 = mux(_T_566, UInt<1>("h01"), _T_569) @[el2_lib.scala 235:23] + _T_409[22] <= _T_570 @[el2_lib.scala 235:17] + node _T_571 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[el2_lib.scala 235:28] + node _T_572 = andr(_T_571) @[el2_lib.scala 235:36] + node _T_573 = and(_T_572, _T_412) @[el2_lib.scala 235:41] + node _T_574 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[el2_lib.scala 235:74] + node _T_575 = bits(dec_i0_match_data[1], 23, 23) @[el2_lib.scala 235:86] + node _T_576 = eq(_T_574, _T_575) @[el2_lib.scala 235:78] + node _T_577 = mux(_T_573, UInt<1>("h01"), _T_576) @[el2_lib.scala 235:23] + _T_409[23] <= _T_577 @[el2_lib.scala 235:17] + node _T_578 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[el2_lib.scala 235:28] + node _T_579 = andr(_T_578) @[el2_lib.scala 235:36] + node _T_580 = and(_T_579, _T_412) @[el2_lib.scala 235:41] + node _T_581 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[el2_lib.scala 235:74] + node _T_582 = bits(dec_i0_match_data[1], 24, 24) @[el2_lib.scala 235:86] + node _T_583 = eq(_T_581, _T_582) @[el2_lib.scala 235:78] + node _T_584 = mux(_T_580, UInt<1>("h01"), _T_583) @[el2_lib.scala 235:23] + _T_409[24] <= _T_584 @[el2_lib.scala 235:17] + node _T_585 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[el2_lib.scala 235:28] + node _T_586 = andr(_T_585) @[el2_lib.scala 235:36] + node _T_587 = and(_T_586, _T_412) @[el2_lib.scala 235:41] + node _T_588 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[el2_lib.scala 235:74] + node _T_589 = bits(dec_i0_match_data[1], 25, 25) @[el2_lib.scala 235:86] + node _T_590 = eq(_T_588, _T_589) @[el2_lib.scala 235:78] + node _T_591 = mux(_T_587, UInt<1>("h01"), _T_590) @[el2_lib.scala 235:23] + _T_409[25] <= _T_591 @[el2_lib.scala 235:17] + node _T_592 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[el2_lib.scala 235:28] + node _T_593 = andr(_T_592) @[el2_lib.scala 235:36] + node _T_594 = and(_T_593, _T_412) @[el2_lib.scala 235:41] + node _T_595 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[el2_lib.scala 235:74] + node _T_596 = bits(dec_i0_match_data[1], 26, 26) @[el2_lib.scala 235:86] + node _T_597 = eq(_T_595, _T_596) @[el2_lib.scala 235:78] + node _T_598 = mux(_T_594, UInt<1>("h01"), _T_597) @[el2_lib.scala 235:23] + _T_409[26] <= _T_598 @[el2_lib.scala 235:17] + node _T_599 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[el2_lib.scala 235:28] + node _T_600 = andr(_T_599) @[el2_lib.scala 235:36] + node _T_601 = and(_T_600, _T_412) @[el2_lib.scala 235:41] + node _T_602 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[el2_lib.scala 235:74] + node _T_603 = bits(dec_i0_match_data[1], 27, 27) @[el2_lib.scala 235:86] + node _T_604 = eq(_T_602, _T_603) @[el2_lib.scala 235:78] + node _T_605 = mux(_T_601, UInt<1>("h01"), _T_604) @[el2_lib.scala 235:23] + _T_409[27] <= _T_605 @[el2_lib.scala 235:17] + node _T_606 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[el2_lib.scala 235:28] + node _T_607 = andr(_T_606) @[el2_lib.scala 235:36] + node _T_608 = and(_T_607, _T_412) @[el2_lib.scala 235:41] + node _T_609 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[el2_lib.scala 235:74] + node _T_610 = bits(dec_i0_match_data[1], 28, 28) @[el2_lib.scala 235:86] + node _T_611 = eq(_T_609, _T_610) @[el2_lib.scala 235:78] + node _T_612 = mux(_T_608, UInt<1>("h01"), _T_611) @[el2_lib.scala 235:23] + _T_409[28] <= _T_612 @[el2_lib.scala 235:17] + node _T_613 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[el2_lib.scala 235:28] + node _T_614 = andr(_T_613) @[el2_lib.scala 235:36] + node _T_615 = and(_T_614, _T_412) @[el2_lib.scala 235:41] + node _T_616 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[el2_lib.scala 235:74] + node _T_617 = bits(dec_i0_match_data[1], 29, 29) @[el2_lib.scala 235:86] + node _T_618 = eq(_T_616, _T_617) @[el2_lib.scala 235:78] + node _T_619 = mux(_T_615, UInt<1>("h01"), _T_618) @[el2_lib.scala 235:23] + _T_409[29] <= _T_619 @[el2_lib.scala 235:17] + node _T_620 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[el2_lib.scala 235:28] + node _T_621 = andr(_T_620) @[el2_lib.scala 235:36] + node _T_622 = and(_T_621, _T_412) @[el2_lib.scala 235:41] + node _T_623 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[el2_lib.scala 235:74] + node _T_624 = bits(dec_i0_match_data[1], 30, 30) @[el2_lib.scala 235:86] + node _T_625 = eq(_T_623, _T_624) @[el2_lib.scala 235:78] + node _T_626 = mux(_T_622, UInt<1>("h01"), _T_625) @[el2_lib.scala 235:23] + _T_409[30] <= _T_626 @[el2_lib.scala 235:17] + node _T_627 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[el2_lib.scala 235:28] + node _T_628 = andr(_T_627) @[el2_lib.scala 235:36] + node _T_629 = and(_T_628, _T_412) @[el2_lib.scala 235:41] + node _T_630 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[el2_lib.scala 235:74] + node _T_631 = bits(dec_i0_match_data[1], 31, 31) @[el2_lib.scala 235:86] + node _T_632 = eq(_T_630, _T_631) @[el2_lib.scala 235:78] + node _T_633 = mux(_T_629, UInt<1>("h01"), _T_632) @[el2_lib.scala 235:23] + _T_409[31] <= _T_633 @[el2_lib.scala 235:17] + node _T_634 = cat(_T_409[1], _T_409[0]) @[el2_lib.scala 236:14] + node _T_635 = cat(_T_409[3], _T_409[2]) @[el2_lib.scala 236:14] + node _T_636 = cat(_T_635, _T_634) @[el2_lib.scala 236:14] + node _T_637 = cat(_T_409[5], _T_409[4]) @[el2_lib.scala 236:14] + node _T_638 = cat(_T_409[7], _T_409[6]) @[el2_lib.scala 236:14] + node _T_639 = cat(_T_638, _T_637) @[el2_lib.scala 236:14] + node _T_640 = cat(_T_639, _T_636) @[el2_lib.scala 236:14] + node _T_641 = cat(_T_409[9], _T_409[8]) @[el2_lib.scala 236:14] + node _T_642 = cat(_T_409[11], _T_409[10]) @[el2_lib.scala 236:14] + node _T_643 = cat(_T_642, _T_641) @[el2_lib.scala 236:14] + node _T_644 = cat(_T_409[13], _T_409[12]) @[el2_lib.scala 236:14] + node _T_645 = cat(_T_409[15], _T_409[14]) @[el2_lib.scala 236:14] + node _T_646 = cat(_T_645, _T_644) @[el2_lib.scala 236:14] + node _T_647 = cat(_T_646, _T_643) @[el2_lib.scala 236:14] + node _T_648 = cat(_T_647, _T_640) @[el2_lib.scala 236:14] + node _T_649 = cat(_T_409[17], _T_409[16]) @[el2_lib.scala 236:14] + node _T_650 = cat(_T_409[19], _T_409[18]) @[el2_lib.scala 236:14] + node _T_651 = cat(_T_650, _T_649) @[el2_lib.scala 236:14] + node _T_652 = cat(_T_409[21], _T_409[20]) @[el2_lib.scala 236:14] + node _T_653 = cat(_T_409[23], _T_409[22]) @[el2_lib.scala 236:14] + node _T_654 = cat(_T_653, _T_652) @[el2_lib.scala 236:14] + node _T_655 = cat(_T_654, _T_651) @[el2_lib.scala 236:14] + node _T_656 = cat(_T_409[25], _T_409[24]) @[el2_lib.scala 236:14] + node _T_657 = cat(_T_409[27], _T_409[26]) @[el2_lib.scala 236:14] + node _T_658 = cat(_T_657, _T_656) @[el2_lib.scala 236:14] + node _T_659 = cat(_T_409[29], _T_409[28]) @[el2_lib.scala 236:14] + node _T_660 = cat(_T_409[31], _T_409[30]) @[el2_lib.scala 236:14] + node _T_661 = cat(_T_660, _T_659) @[el2_lib.scala 236:14] + node _T_662 = cat(_T_661, _T_658) @[el2_lib.scala 236:14] + node _T_663 = cat(_T_662, _T_655) @[el2_lib.scala 236:14] + node _T_664 = cat(_T_663, _T_648) @[el2_lib.scala 236:14] + node _T_665 = and(_T_407, _T_664) @[el2_dec_trigger.scala 15:109] + node _T_666 = and(io.trigger_pkt_any[2].execute, io.trigger_pkt_any[2].m) @[el2_dec_trigger.scala 15:83] + node _T_667 = bits(io.trigger_pkt_any[2].match_, 0, 0) @[el2_dec_trigger.scala 15:213] + wire _T_668 : UInt<1>[32] @[el2_lib.scala 231:24] + node _T_669 = andr(io.trigger_pkt_any[2].tdata2) @[el2_lib.scala 232:45] + node _T_670 = not(_T_669) @[el2_lib.scala 232:39] + node _T_671 = and(_T_667, _T_670) @[el2_lib.scala 232:37] + node _T_672 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_lib.scala 233:48] + node _T_673 = bits(dec_i0_match_data[2], 0, 0) @[el2_lib.scala 233:60] + node _T_674 = eq(_T_672, _T_673) @[el2_lib.scala 233:52] + node _T_675 = or(_T_671, _T_674) @[el2_lib.scala 233:41] + _T_668[0] <= _T_675 @[el2_lib.scala 233:18] + node _T_676 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_lib.scala 235:28] + node _T_677 = andr(_T_676) @[el2_lib.scala 235:36] + node _T_678 = and(_T_677, _T_671) @[el2_lib.scala 235:41] + node _T_679 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[el2_lib.scala 235:74] + node _T_680 = bits(dec_i0_match_data[2], 1, 1) @[el2_lib.scala 235:86] + node _T_681 = eq(_T_679, _T_680) @[el2_lib.scala 235:78] + node _T_682 = mux(_T_678, UInt<1>("h01"), _T_681) @[el2_lib.scala 235:23] + _T_668[1] <= _T_682 @[el2_lib.scala 235:17] + node _T_683 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[el2_lib.scala 235:28] + node _T_684 = andr(_T_683) @[el2_lib.scala 235:36] + node _T_685 = and(_T_684, _T_671) @[el2_lib.scala 235:41] + node _T_686 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[el2_lib.scala 235:74] + node _T_687 = bits(dec_i0_match_data[2], 2, 2) @[el2_lib.scala 235:86] + node _T_688 = eq(_T_686, _T_687) @[el2_lib.scala 235:78] + node _T_689 = mux(_T_685, UInt<1>("h01"), _T_688) @[el2_lib.scala 235:23] + _T_668[2] <= _T_689 @[el2_lib.scala 235:17] + node _T_690 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[el2_lib.scala 235:28] + node _T_691 = andr(_T_690) @[el2_lib.scala 235:36] + node _T_692 = and(_T_691, _T_671) @[el2_lib.scala 235:41] + node _T_693 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[el2_lib.scala 235:74] + node _T_694 = bits(dec_i0_match_data[2], 3, 3) @[el2_lib.scala 235:86] + node _T_695 = eq(_T_693, _T_694) @[el2_lib.scala 235:78] + node _T_696 = mux(_T_692, UInt<1>("h01"), _T_695) @[el2_lib.scala 235:23] + _T_668[3] <= _T_696 @[el2_lib.scala 235:17] + node _T_697 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[el2_lib.scala 235:28] + node _T_698 = andr(_T_697) @[el2_lib.scala 235:36] + node _T_699 = and(_T_698, _T_671) @[el2_lib.scala 235:41] + node _T_700 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[el2_lib.scala 235:74] + node _T_701 = bits(dec_i0_match_data[2], 4, 4) @[el2_lib.scala 235:86] + node _T_702 = eq(_T_700, _T_701) @[el2_lib.scala 235:78] + node _T_703 = mux(_T_699, UInt<1>("h01"), _T_702) @[el2_lib.scala 235:23] + _T_668[4] <= _T_703 @[el2_lib.scala 235:17] + node _T_704 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[el2_lib.scala 235:28] + node _T_705 = andr(_T_704) @[el2_lib.scala 235:36] + node _T_706 = and(_T_705, _T_671) @[el2_lib.scala 235:41] + node _T_707 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[el2_lib.scala 235:74] + node _T_708 = bits(dec_i0_match_data[2], 5, 5) @[el2_lib.scala 235:86] + node _T_709 = eq(_T_707, _T_708) @[el2_lib.scala 235:78] + node _T_710 = mux(_T_706, UInt<1>("h01"), _T_709) @[el2_lib.scala 235:23] + _T_668[5] <= _T_710 @[el2_lib.scala 235:17] + node _T_711 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[el2_lib.scala 235:28] + node _T_712 = andr(_T_711) @[el2_lib.scala 235:36] + node _T_713 = and(_T_712, _T_671) @[el2_lib.scala 235:41] + node _T_714 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[el2_lib.scala 235:74] + node _T_715 = bits(dec_i0_match_data[2], 6, 6) @[el2_lib.scala 235:86] + node _T_716 = eq(_T_714, _T_715) @[el2_lib.scala 235:78] + node _T_717 = mux(_T_713, UInt<1>("h01"), _T_716) @[el2_lib.scala 235:23] + _T_668[6] <= _T_717 @[el2_lib.scala 235:17] + node _T_718 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[el2_lib.scala 235:28] + node _T_719 = andr(_T_718) @[el2_lib.scala 235:36] + node _T_720 = and(_T_719, _T_671) @[el2_lib.scala 235:41] + node _T_721 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[el2_lib.scala 235:74] + node _T_722 = bits(dec_i0_match_data[2], 7, 7) @[el2_lib.scala 235:86] + node _T_723 = eq(_T_721, _T_722) @[el2_lib.scala 235:78] + node _T_724 = mux(_T_720, UInt<1>("h01"), _T_723) @[el2_lib.scala 235:23] + _T_668[7] <= _T_724 @[el2_lib.scala 235:17] + node _T_725 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[el2_lib.scala 235:28] + node _T_726 = andr(_T_725) @[el2_lib.scala 235:36] + node _T_727 = and(_T_726, _T_671) @[el2_lib.scala 235:41] + node _T_728 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[el2_lib.scala 235:74] + node _T_729 = bits(dec_i0_match_data[2], 8, 8) @[el2_lib.scala 235:86] + node _T_730 = eq(_T_728, _T_729) @[el2_lib.scala 235:78] + node _T_731 = mux(_T_727, UInt<1>("h01"), _T_730) @[el2_lib.scala 235:23] + _T_668[8] <= _T_731 @[el2_lib.scala 235:17] + node _T_732 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[el2_lib.scala 235:28] + node _T_733 = andr(_T_732) @[el2_lib.scala 235:36] + node _T_734 = and(_T_733, _T_671) @[el2_lib.scala 235:41] + node _T_735 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[el2_lib.scala 235:74] + node _T_736 = bits(dec_i0_match_data[2], 9, 9) @[el2_lib.scala 235:86] + node _T_737 = eq(_T_735, _T_736) @[el2_lib.scala 235:78] + node _T_738 = mux(_T_734, UInt<1>("h01"), _T_737) @[el2_lib.scala 235:23] + _T_668[9] <= _T_738 @[el2_lib.scala 235:17] + node _T_739 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[el2_lib.scala 235:28] + node _T_740 = andr(_T_739) @[el2_lib.scala 235:36] + node _T_741 = and(_T_740, _T_671) @[el2_lib.scala 235:41] + node _T_742 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[el2_lib.scala 235:74] + node _T_743 = bits(dec_i0_match_data[2], 10, 10) @[el2_lib.scala 235:86] + node _T_744 = eq(_T_742, _T_743) @[el2_lib.scala 235:78] + node _T_745 = mux(_T_741, UInt<1>("h01"), _T_744) @[el2_lib.scala 235:23] + _T_668[10] <= _T_745 @[el2_lib.scala 235:17] + node _T_746 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[el2_lib.scala 235:28] + node _T_747 = andr(_T_746) @[el2_lib.scala 235:36] + node _T_748 = and(_T_747, _T_671) @[el2_lib.scala 235:41] + node _T_749 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[el2_lib.scala 235:74] + node _T_750 = bits(dec_i0_match_data[2], 11, 11) @[el2_lib.scala 235:86] + node _T_751 = eq(_T_749, _T_750) @[el2_lib.scala 235:78] + node _T_752 = mux(_T_748, UInt<1>("h01"), _T_751) @[el2_lib.scala 235:23] + _T_668[11] <= _T_752 @[el2_lib.scala 235:17] + node _T_753 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[el2_lib.scala 235:28] + node _T_754 = andr(_T_753) @[el2_lib.scala 235:36] + node _T_755 = and(_T_754, _T_671) @[el2_lib.scala 235:41] + node _T_756 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[el2_lib.scala 235:74] + node _T_757 = bits(dec_i0_match_data[2], 12, 12) @[el2_lib.scala 235:86] + node _T_758 = eq(_T_756, _T_757) @[el2_lib.scala 235:78] + node _T_759 = mux(_T_755, UInt<1>("h01"), _T_758) @[el2_lib.scala 235:23] + _T_668[12] <= _T_759 @[el2_lib.scala 235:17] + node _T_760 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[el2_lib.scala 235:28] + node _T_761 = andr(_T_760) @[el2_lib.scala 235:36] + node _T_762 = and(_T_761, _T_671) @[el2_lib.scala 235:41] + node _T_763 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[el2_lib.scala 235:74] + node _T_764 = bits(dec_i0_match_data[2], 13, 13) @[el2_lib.scala 235:86] + node _T_765 = eq(_T_763, _T_764) @[el2_lib.scala 235:78] + node _T_766 = mux(_T_762, UInt<1>("h01"), _T_765) @[el2_lib.scala 235:23] + _T_668[13] <= _T_766 @[el2_lib.scala 235:17] + node _T_767 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[el2_lib.scala 235:28] + node _T_768 = andr(_T_767) @[el2_lib.scala 235:36] + node _T_769 = and(_T_768, _T_671) @[el2_lib.scala 235:41] + node _T_770 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[el2_lib.scala 235:74] + node _T_771 = bits(dec_i0_match_data[2], 14, 14) @[el2_lib.scala 235:86] + node _T_772 = eq(_T_770, _T_771) @[el2_lib.scala 235:78] + node _T_773 = mux(_T_769, UInt<1>("h01"), _T_772) @[el2_lib.scala 235:23] + _T_668[14] <= _T_773 @[el2_lib.scala 235:17] + node _T_774 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[el2_lib.scala 235:28] + node _T_775 = andr(_T_774) @[el2_lib.scala 235:36] + node _T_776 = and(_T_775, _T_671) @[el2_lib.scala 235:41] + node _T_777 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[el2_lib.scala 235:74] + node _T_778 = bits(dec_i0_match_data[2], 15, 15) @[el2_lib.scala 235:86] + node _T_779 = eq(_T_777, _T_778) @[el2_lib.scala 235:78] + node _T_780 = mux(_T_776, UInt<1>("h01"), _T_779) @[el2_lib.scala 235:23] + _T_668[15] <= _T_780 @[el2_lib.scala 235:17] + node _T_781 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[el2_lib.scala 235:28] + node _T_782 = andr(_T_781) @[el2_lib.scala 235:36] + node _T_783 = and(_T_782, _T_671) @[el2_lib.scala 235:41] + node _T_784 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[el2_lib.scala 235:74] + node _T_785 = bits(dec_i0_match_data[2], 16, 16) @[el2_lib.scala 235:86] + node _T_786 = eq(_T_784, _T_785) @[el2_lib.scala 235:78] + node _T_787 = mux(_T_783, UInt<1>("h01"), _T_786) @[el2_lib.scala 235:23] + _T_668[16] <= _T_787 @[el2_lib.scala 235:17] + node _T_788 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[el2_lib.scala 235:28] + node _T_789 = andr(_T_788) @[el2_lib.scala 235:36] + node _T_790 = and(_T_789, _T_671) @[el2_lib.scala 235:41] + node _T_791 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[el2_lib.scala 235:74] + node _T_792 = bits(dec_i0_match_data[2], 17, 17) @[el2_lib.scala 235:86] + node _T_793 = eq(_T_791, _T_792) @[el2_lib.scala 235:78] + node _T_794 = mux(_T_790, UInt<1>("h01"), _T_793) @[el2_lib.scala 235:23] + _T_668[17] <= _T_794 @[el2_lib.scala 235:17] + node _T_795 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[el2_lib.scala 235:28] + node _T_796 = andr(_T_795) @[el2_lib.scala 235:36] + node _T_797 = and(_T_796, _T_671) @[el2_lib.scala 235:41] + node _T_798 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[el2_lib.scala 235:74] + node _T_799 = bits(dec_i0_match_data[2], 18, 18) @[el2_lib.scala 235:86] + node _T_800 = eq(_T_798, _T_799) @[el2_lib.scala 235:78] + node _T_801 = mux(_T_797, UInt<1>("h01"), _T_800) @[el2_lib.scala 235:23] + _T_668[18] <= _T_801 @[el2_lib.scala 235:17] + node _T_802 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[el2_lib.scala 235:28] + node _T_803 = andr(_T_802) @[el2_lib.scala 235:36] + node _T_804 = and(_T_803, _T_671) @[el2_lib.scala 235:41] + node _T_805 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[el2_lib.scala 235:74] + node _T_806 = bits(dec_i0_match_data[2], 19, 19) @[el2_lib.scala 235:86] + node _T_807 = eq(_T_805, _T_806) @[el2_lib.scala 235:78] + node _T_808 = mux(_T_804, UInt<1>("h01"), _T_807) @[el2_lib.scala 235:23] + _T_668[19] <= _T_808 @[el2_lib.scala 235:17] + node _T_809 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[el2_lib.scala 235:28] + node _T_810 = andr(_T_809) @[el2_lib.scala 235:36] + node _T_811 = and(_T_810, _T_671) @[el2_lib.scala 235:41] + node _T_812 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[el2_lib.scala 235:74] + node _T_813 = bits(dec_i0_match_data[2], 20, 20) @[el2_lib.scala 235:86] + node _T_814 = eq(_T_812, _T_813) @[el2_lib.scala 235:78] + node _T_815 = mux(_T_811, UInt<1>("h01"), _T_814) @[el2_lib.scala 235:23] + _T_668[20] <= _T_815 @[el2_lib.scala 235:17] + node _T_816 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[el2_lib.scala 235:28] + node _T_817 = andr(_T_816) @[el2_lib.scala 235:36] + node _T_818 = and(_T_817, _T_671) @[el2_lib.scala 235:41] + node _T_819 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[el2_lib.scala 235:74] + node _T_820 = bits(dec_i0_match_data[2], 21, 21) @[el2_lib.scala 235:86] + node _T_821 = eq(_T_819, _T_820) @[el2_lib.scala 235:78] + node _T_822 = mux(_T_818, UInt<1>("h01"), _T_821) @[el2_lib.scala 235:23] + _T_668[21] <= _T_822 @[el2_lib.scala 235:17] + node _T_823 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[el2_lib.scala 235:28] + node _T_824 = andr(_T_823) @[el2_lib.scala 235:36] + node _T_825 = and(_T_824, _T_671) @[el2_lib.scala 235:41] + node _T_826 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[el2_lib.scala 235:74] + node _T_827 = bits(dec_i0_match_data[2], 22, 22) @[el2_lib.scala 235:86] + node _T_828 = eq(_T_826, _T_827) @[el2_lib.scala 235:78] + node _T_829 = mux(_T_825, UInt<1>("h01"), _T_828) @[el2_lib.scala 235:23] + _T_668[22] <= _T_829 @[el2_lib.scala 235:17] + node _T_830 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[el2_lib.scala 235:28] + node _T_831 = andr(_T_830) @[el2_lib.scala 235:36] + node _T_832 = and(_T_831, _T_671) @[el2_lib.scala 235:41] + node _T_833 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[el2_lib.scala 235:74] + node _T_834 = bits(dec_i0_match_data[2], 23, 23) @[el2_lib.scala 235:86] + node _T_835 = eq(_T_833, _T_834) @[el2_lib.scala 235:78] + node _T_836 = mux(_T_832, UInt<1>("h01"), _T_835) @[el2_lib.scala 235:23] + _T_668[23] <= _T_836 @[el2_lib.scala 235:17] + node _T_837 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[el2_lib.scala 235:28] + node _T_838 = andr(_T_837) @[el2_lib.scala 235:36] + node _T_839 = and(_T_838, _T_671) @[el2_lib.scala 235:41] + node _T_840 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[el2_lib.scala 235:74] + node _T_841 = bits(dec_i0_match_data[2], 24, 24) @[el2_lib.scala 235:86] + node _T_842 = eq(_T_840, _T_841) @[el2_lib.scala 235:78] + node _T_843 = mux(_T_839, UInt<1>("h01"), _T_842) @[el2_lib.scala 235:23] + _T_668[24] <= _T_843 @[el2_lib.scala 235:17] + node _T_844 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[el2_lib.scala 235:28] + node _T_845 = andr(_T_844) @[el2_lib.scala 235:36] + node _T_846 = and(_T_845, _T_671) @[el2_lib.scala 235:41] + node _T_847 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[el2_lib.scala 235:74] + node _T_848 = bits(dec_i0_match_data[2], 25, 25) @[el2_lib.scala 235:86] + node _T_849 = eq(_T_847, _T_848) @[el2_lib.scala 235:78] + node _T_850 = mux(_T_846, UInt<1>("h01"), _T_849) @[el2_lib.scala 235:23] + _T_668[25] <= _T_850 @[el2_lib.scala 235:17] + node _T_851 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[el2_lib.scala 235:28] + node _T_852 = andr(_T_851) @[el2_lib.scala 235:36] + node _T_853 = and(_T_852, _T_671) @[el2_lib.scala 235:41] + node _T_854 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[el2_lib.scala 235:74] + node _T_855 = bits(dec_i0_match_data[2], 26, 26) @[el2_lib.scala 235:86] + node _T_856 = eq(_T_854, _T_855) @[el2_lib.scala 235:78] + node _T_857 = mux(_T_853, UInt<1>("h01"), _T_856) @[el2_lib.scala 235:23] + _T_668[26] <= _T_857 @[el2_lib.scala 235:17] + node _T_858 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[el2_lib.scala 235:28] + node _T_859 = andr(_T_858) @[el2_lib.scala 235:36] + node _T_860 = and(_T_859, _T_671) @[el2_lib.scala 235:41] + node _T_861 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[el2_lib.scala 235:74] + node _T_862 = bits(dec_i0_match_data[2], 27, 27) @[el2_lib.scala 235:86] + node _T_863 = eq(_T_861, _T_862) @[el2_lib.scala 235:78] + node _T_864 = mux(_T_860, UInt<1>("h01"), _T_863) @[el2_lib.scala 235:23] + _T_668[27] <= _T_864 @[el2_lib.scala 235:17] + node _T_865 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[el2_lib.scala 235:28] + node _T_866 = andr(_T_865) @[el2_lib.scala 235:36] + node _T_867 = and(_T_866, _T_671) @[el2_lib.scala 235:41] + node _T_868 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[el2_lib.scala 235:74] + node _T_869 = bits(dec_i0_match_data[2], 28, 28) @[el2_lib.scala 235:86] + node _T_870 = eq(_T_868, _T_869) @[el2_lib.scala 235:78] + node _T_871 = mux(_T_867, UInt<1>("h01"), _T_870) @[el2_lib.scala 235:23] + _T_668[28] <= _T_871 @[el2_lib.scala 235:17] + node _T_872 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[el2_lib.scala 235:28] + node _T_873 = andr(_T_872) @[el2_lib.scala 235:36] + node _T_874 = and(_T_873, _T_671) @[el2_lib.scala 235:41] + node _T_875 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[el2_lib.scala 235:74] + node _T_876 = bits(dec_i0_match_data[2], 29, 29) @[el2_lib.scala 235:86] + node _T_877 = eq(_T_875, _T_876) @[el2_lib.scala 235:78] + node _T_878 = mux(_T_874, UInt<1>("h01"), _T_877) @[el2_lib.scala 235:23] + _T_668[29] <= _T_878 @[el2_lib.scala 235:17] + node _T_879 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[el2_lib.scala 235:28] + node _T_880 = andr(_T_879) @[el2_lib.scala 235:36] + node _T_881 = and(_T_880, _T_671) @[el2_lib.scala 235:41] + node _T_882 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[el2_lib.scala 235:74] + node _T_883 = bits(dec_i0_match_data[2], 30, 30) @[el2_lib.scala 235:86] + node _T_884 = eq(_T_882, _T_883) @[el2_lib.scala 235:78] + node _T_885 = mux(_T_881, UInt<1>("h01"), _T_884) @[el2_lib.scala 235:23] + _T_668[30] <= _T_885 @[el2_lib.scala 235:17] + node _T_886 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[el2_lib.scala 235:28] + node _T_887 = andr(_T_886) @[el2_lib.scala 235:36] + node _T_888 = and(_T_887, _T_671) @[el2_lib.scala 235:41] + node _T_889 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[el2_lib.scala 235:74] + node _T_890 = bits(dec_i0_match_data[2], 31, 31) @[el2_lib.scala 235:86] + node _T_891 = eq(_T_889, _T_890) @[el2_lib.scala 235:78] + node _T_892 = mux(_T_888, UInt<1>("h01"), _T_891) @[el2_lib.scala 235:23] + _T_668[31] <= _T_892 @[el2_lib.scala 235:17] + node _T_893 = cat(_T_668[1], _T_668[0]) @[el2_lib.scala 236:14] + node _T_894 = cat(_T_668[3], _T_668[2]) @[el2_lib.scala 236:14] + node _T_895 = cat(_T_894, _T_893) @[el2_lib.scala 236:14] + node _T_896 = cat(_T_668[5], _T_668[4]) @[el2_lib.scala 236:14] + node _T_897 = cat(_T_668[7], _T_668[6]) @[el2_lib.scala 236:14] + node _T_898 = cat(_T_897, _T_896) @[el2_lib.scala 236:14] + node _T_899 = cat(_T_898, _T_895) @[el2_lib.scala 236:14] + node _T_900 = cat(_T_668[9], _T_668[8]) @[el2_lib.scala 236:14] + node _T_901 = cat(_T_668[11], _T_668[10]) @[el2_lib.scala 236:14] + node _T_902 = cat(_T_901, _T_900) @[el2_lib.scala 236:14] + node _T_903 = cat(_T_668[13], _T_668[12]) @[el2_lib.scala 236:14] + node _T_904 = cat(_T_668[15], _T_668[14]) @[el2_lib.scala 236:14] + node _T_905 = cat(_T_904, _T_903) @[el2_lib.scala 236:14] + node _T_906 = cat(_T_905, _T_902) @[el2_lib.scala 236:14] + node _T_907 = cat(_T_906, _T_899) @[el2_lib.scala 236:14] + node _T_908 = cat(_T_668[17], _T_668[16]) @[el2_lib.scala 236:14] + node _T_909 = cat(_T_668[19], _T_668[18]) @[el2_lib.scala 236:14] + node _T_910 = cat(_T_909, _T_908) @[el2_lib.scala 236:14] + node _T_911 = cat(_T_668[21], _T_668[20]) @[el2_lib.scala 236:14] + node _T_912 = cat(_T_668[23], _T_668[22]) @[el2_lib.scala 236:14] + node _T_913 = cat(_T_912, _T_911) @[el2_lib.scala 236:14] + node _T_914 = cat(_T_913, _T_910) @[el2_lib.scala 236:14] + node _T_915 = cat(_T_668[25], _T_668[24]) @[el2_lib.scala 236:14] + node _T_916 = cat(_T_668[27], _T_668[26]) @[el2_lib.scala 236:14] + node _T_917 = cat(_T_916, _T_915) @[el2_lib.scala 236:14] + node _T_918 = cat(_T_668[29], _T_668[28]) @[el2_lib.scala 236:14] + node _T_919 = cat(_T_668[31], _T_668[30]) @[el2_lib.scala 236:14] + node _T_920 = cat(_T_919, _T_918) @[el2_lib.scala 236:14] + node _T_921 = cat(_T_920, _T_917) @[el2_lib.scala 236:14] + node _T_922 = cat(_T_921, _T_914) @[el2_lib.scala 236:14] + node _T_923 = cat(_T_922, _T_907) @[el2_lib.scala 236:14] + node _T_924 = and(_T_666, _T_923) @[el2_dec_trigger.scala 15:109] + node _T_925 = and(io.trigger_pkt_any[3].execute, io.trigger_pkt_any[3].m) @[el2_dec_trigger.scala 15:83] + node _T_926 = bits(io.trigger_pkt_any[3].match_, 0, 0) @[el2_dec_trigger.scala 15:213] + wire _T_927 : UInt<1>[32] @[el2_lib.scala 231:24] + node _T_928 = andr(io.trigger_pkt_any[3].tdata2) @[el2_lib.scala 232:45] + node _T_929 = not(_T_928) @[el2_lib.scala 232:39] + node _T_930 = and(_T_926, _T_929) @[el2_lib.scala 232:37] + node _T_931 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_lib.scala 233:48] + node _T_932 = bits(dec_i0_match_data[3], 0, 0) @[el2_lib.scala 233:60] + node _T_933 = eq(_T_931, _T_932) @[el2_lib.scala 233:52] + node _T_934 = or(_T_930, _T_933) @[el2_lib.scala 233:41] + _T_927[0] <= _T_934 @[el2_lib.scala 233:18] + node _T_935 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_lib.scala 235:28] + node _T_936 = andr(_T_935) @[el2_lib.scala 235:36] + node _T_937 = and(_T_936, _T_930) @[el2_lib.scala 235:41] + node _T_938 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[el2_lib.scala 235:74] + node _T_939 = bits(dec_i0_match_data[3], 1, 1) @[el2_lib.scala 235:86] + node _T_940 = eq(_T_938, _T_939) @[el2_lib.scala 235:78] + node _T_941 = mux(_T_937, UInt<1>("h01"), _T_940) @[el2_lib.scala 235:23] + _T_927[1] <= _T_941 @[el2_lib.scala 235:17] + node _T_942 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[el2_lib.scala 235:28] + node _T_943 = andr(_T_942) @[el2_lib.scala 235:36] + node _T_944 = and(_T_943, _T_930) @[el2_lib.scala 235:41] + node _T_945 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[el2_lib.scala 235:74] + node _T_946 = bits(dec_i0_match_data[3], 2, 2) @[el2_lib.scala 235:86] + node _T_947 = eq(_T_945, _T_946) @[el2_lib.scala 235:78] + node _T_948 = mux(_T_944, UInt<1>("h01"), _T_947) @[el2_lib.scala 235:23] + _T_927[2] <= _T_948 @[el2_lib.scala 235:17] + node _T_949 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[el2_lib.scala 235:28] + node _T_950 = andr(_T_949) @[el2_lib.scala 235:36] + node _T_951 = and(_T_950, _T_930) @[el2_lib.scala 235:41] + node _T_952 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[el2_lib.scala 235:74] + node _T_953 = bits(dec_i0_match_data[3], 3, 3) @[el2_lib.scala 235:86] + node _T_954 = eq(_T_952, _T_953) @[el2_lib.scala 235:78] + node _T_955 = mux(_T_951, UInt<1>("h01"), _T_954) @[el2_lib.scala 235:23] + _T_927[3] <= _T_955 @[el2_lib.scala 235:17] + node _T_956 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[el2_lib.scala 235:28] + node _T_957 = andr(_T_956) @[el2_lib.scala 235:36] + node _T_958 = and(_T_957, _T_930) @[el2_lib.scala 235:41] + node _T_959 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[el2_lib.scala 235:74] + node _T_960 = bits(dec_i0_match_data[3], 4, 4) @[el2_lib.scala 235:86] + node _T_961 = eq(_T_959, _T_960) @[el2_lib.scala 235:78] + node _T_962 = mux(_T_958, UInt<1>("h01"), _T_961) @[el2_lib.scala 235:23] + _T_927[4] <= _T_962 @[el2_lib.scala 235:17] + node _T_963 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[el2_lib.scala 235:28] + node _T_964 = andr(_T_963) @[el2_lib.scala 235:36] + node _T_965 = and(_T_964, _T_930) @[el2_lib.scala 235:41] + node _T_966 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[el2_lib.scala 235:74] + node _T_967 = bits(dec_i0_match_data[3], 5, 5) @[el2_lib.scala 235:86] + node _T_968 = eq(_T_966, _T_967) @[el2_lib.scala 235:78] + node _T_969 = mux(_T_965, UInt<1>("h01"), _T_968) @[el2_lib.scala 235:23] + _T_927[5] <= _T_969 @[el2_lib.scala 235:17] + node _T_970 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[el2_lib.scala 235:28] + node _T_971 = andr(_T_970) @[el2_lib.scala 235:36] + node _T_972 = and(_T_971, _T_930) @[el2_lib.scala 235:41] + node _T_973 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[el2_lib.scala 235:74] + node _T_974 = bits(dec_i0_match_data[3], 6, 6) @[el2_lib.scala 235:86] + node _T_975 = eq(_T_973, _T_974) @[el2_lib.scala 235:78] + node _T_976 = mux(_T_972, UInt<1>("h01"), _T_975) @[el2_lib.scala 235:23] + _T_927[6] <= _T_976 @[el2_lib.scala 235:17] + node _T_977 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[el2_lib.scala 235:28] + node _T_978 = andr(_T_977) @[el2_lib.scala 235:36] + node _T_979 = and(_T_978, _T_930) @[el2_lib.scala 235:41] + node _T_980 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[el2_lib.scala 235:74] + node _T_981 = bits(dec_i0_match_data[3], 7, 7) @[el2_lib.scala 235:86] + node _T_982 = eq(_T_980, _T_981) @[el2_lib.scala 235:78] + node _T_983 = mux(_T_979, UInt<1>("h01"), _T_982) @[el2_lib.scala 235:23] + _T_927[7] <= _T_983 @[el2_lib.scala 235:17] + node _T_984 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[el2_lib.scala 235:28] + node _T_985 = andr(_T_984) @[el2_lib.scala 235:36] + node _T_986 = and(_T_985, _T_930) @[el2_lib.scala 235:41] + node _T_987 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[el2_lib.scala 235:74] + node _T_988 = bits(dec_i0_match_data[3], 8, 8) @[el2_lib.scala 235:86] + node _T_989 = eq(_T_987, _T_988) @[el2_lib.scala 235:78] + node _T_990 = mux(_T_986, UInt<1>("h01"), _T_989) @[el2_lib.scala 235:23] + _T_927[8] <= _T_990 @[el2_lib.scala 235:17] + node _T_991 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[el2_lib.scala 235:28] + node _T_992 = andr(_T_991) @[el2_lib.scala 235:36] + node _T_993 = and(_T_992, _T_930) @[el2_lib.scala 235:41] + node _T_994 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[el2_lib.scala 235:74] + node _T_995 = bits(dec_i0_match_data[3], 9, 9) @[el2_lib.scala 235:86] + node _T_996 = eq(_T_994, _T_995) @[el2_lib.scala 235:78] + node _T_997 = mux(_T_993, UInt<1>("h01"), _T_996) @[el2_lib.scala 235:23] + _T_927[9] <= _T_997 @[el2_lib.scala 235:17] + node _T_998 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[el2_lib.scala 235:28] + node _T_999 = andr(_T_998) @[el2_lib.scala 235:36] + node _T_1000 = and(_T_999, _T_930) @[el2_lib.scala 235:41] + node _T_1001 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[el2_lib.scala 235:74] + node _T_1002 = bits(dec_i0_match_data[3], 10, 10) @[el2_lib.scala 235:86] + node _T_1003 = eq(_T_1001, _T_1002) @[el2_lib.scala 235:78] + node _T_1004 = mux(_T_1000, UInt<1>("h01"), _T_1003) @[el2_lib.scala 235:23] + _T_927[10] <= _T_1004 @[el2_lib.scala 235:17] + node _T_1005 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[el2_lib.scala 235:28] + node _T_1006 = andr(_T_1005) @[el2_lib.scala 235:36] + node _T_1007 = and(_T_1006, _T_930) @[el2_lib.scala 235:41] + node _T_1008 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[el2_lib.scala 235:74] + node _T_1009 = bits(dec_i0_match_data[3], 11, 11) @[el2_lib.scala 235:86] + node _T_1010 = eq(_T_1008, _T_1009) @[el2_lib.scala 235:78] + node _T_1011 = mux(_T_1007, UInt<1>("h01"), _T_1010) @[el2_lib.scala 235:23] + _T_927[11] <= _T_1011 @[el2_lib.scala 235:17] + node _T_1012 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[el2_lib.scala 235:28] + node _T_1013 = andr(_T_1012) @[el2_lib.scala 235:36] + node _T_1014 = and(_T_1013, _T_930) @[el2_lib.scala 235:41] + node _T_1015 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[el2_lib.scala 235:74] + node _T_1016 = bits(dec_i0_match_data[3], 12, 12) @[el2_lib.scala 235:86] + node _T_1017 = eq(_T_1015, _T_1016) @[el2_lib.scala 235:78] + node _T_1018 = mux(_T_1014, UInt<1>("h01"), _T_1017) @[el2_lib.scala 235:23] + _T_927[12] <= _T_1018 @[el2_lib.scala 235:17] + node _T_1019 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[el2_lib.scala 235:28] + node _T_1020 = andr(_T_1019) @[el2_lib.scala 235:36] + node _T_1021 = and(_T_1020, _T_930) @[el2_lib.scala 235:41] + node _T_1022 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[el2_lib.scala 235:74] + node _T_1023 = bits(dec_i0_match_data[3], 13, 13) @[el2_lib.scala 235:86] + node _T_1024 = eq(_T_1022, _T_1023) @[el2_lib.scala 235:78] + node _T_1025 = mux(_T_1021, UInt<1>("h01"), _T_1024) @[el2_lib.scala 235:23] + _T_927[13] <= _T_1025 @[el2_lib.scala 235:17] + node _T_1026 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[el2_lib.scala 235:28] + node _T_1027 = andr(_T_1026) @[el2_lib.scala 235:36] + node _T_1028 = and(_T_1027, _T_930) @[el2_lib.scala 235:41] + node _T_1029 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[el2_lib.scala 235:74] + node _T_1030 = bits(dec_i0_match_data[3], 14, 14) @[el2_lib.scala 235:86] + node _T_1031 = eq(_T_1029, _T_1030) @[el2_lib.scala 235:78] + node _T_1032 = mux(_T_1028, UInt<1>("h01"), _T_1031) @[el2_lib.scala 235:23] + _T_927[14] <= _T_1032 @[el2_lib.scala 235:17] + node _T_1033 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[el2_lib.scala 235:28] + node _T_1034 = andr(_T_1033) @[el2_lib.scala 235:36] + node _T_1035 = and(_T_1034, _T_930) @[el2_lib.scala 235:41] + node _T_1036 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[el2_lib.scala 235:74] + node _T_1037 = bits(dec_i0_match_data[3], 15, 15) @[el2_lib.scala 235:86] + node _T_1038 = eq(_T_1036, _T_1037) @[el2_lib.scala 235:78] + node _T_1039 = mux(_T_1035, UInt<1>("h01"), _T_1038) @[el2_lib.scala 235:23] + _T_927[15] <= _T_1039 @[el2_lib.scala 235:17] + node _T_1040 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[el2_lib.scala 235:28] + node _T_1041 = andr(_T_1040) @[el2_lib.scala 235:36] + node _T_1042 = and(_T_1041, _T_930) @[el2_lib.scala 235:41] + node _T_1043 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[el2_lib.scala 235:74] + node _T_1044 = bits(dec_i0_match_data[3], 16, 16) @[el2_lib.scala 235:86] + node _T_1045 = eq(_T_1043, _T_1044) @[el2_lib.scala 235:78] + node _T_1046 = mux(_T_1042, UInt<1>("h01"), _T_1045) @[el2_lib.scala 235:23] + _T_927[16] <= _T_1046 @[el2_lib.scala 235:17] + node _T_1047 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[el2_lib.scala 235:28] + node _T_1048 = andr(_T_1047) @[el2_lib.scala 235:36] + node _T_1049 = and(_T_1048, _T_930) @[el2_lib.scala 235:41] + node _T_1050 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[el2_lib.scala 235:74] + node _T_1051 = bits(dec_i0_match_data[3], 17, 17) @[el2_lib.scala 235:86] + node _T_1052 = eq(_T_1050, _T_1051) @[el2_lib.scala 235:78] + node _T_1053 = mux(_T_1049, UInt<1>("h01"), _T_1052) @[el2_lib.scala 235:23] + _T_927[17] <= _T_1053 @[el2_lib.scala 235:17] + node _T_1054 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[el2_lib.scala 235:28] + node _T_1055 = andr(_T_1054) @[el2_lib.scala 235:36] + node _T_1056 = and(_T_1055, _T_930) @[el2_lib.scala 235:41] + node _T_1057 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[el2_lib.scala 235:74] + node _T_1058 = bits(dec_i0_match_data[3], 18, 18) @[el2_lib.scala 235:86] + node _T_1059 = eq(_T_1057, _T_1058) @[el2_lib.scala 235:78] + node _T_1060 = mux(_T_1056, UInt<1>("h01"), _T_1059) @[el2_lib.scala 235:23] + _T_927[18] <= _T_1060 @[el2_lib.scala 235:17] + node _T_1061 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[el2_lib.scala 235:28] + node _T_1062 = andr(_T_1061) @[el2_lib.scala 235:36] + node _T_1063 = and(_T_1062, _T_930) @[el2_lib.scala 235:41] + node _T_1064 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[el2_lib.scala 235:74] + node _T_1065 = bits(dec_i0_match_data[3], 19, 19) @[el2_lib.scala 235:86] + node _T_1066 = eq(_T_1064, _T_1065) @[el2_lib.scala 235:78] + node _T_1067 = mux(_T_1063, UInt<1>("h01"), _T_1066) @[el2_lib.scala 235:23] + _T_927[19] <= _T_1067 @[el2_lib.scala 235:17] + node _T_1068 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[el2_lib.scala 235:28] + node _T_1069 = andr(_T_1068) @[el2_lib.scala 235:36] + node _T_1070 = and(_T_1069, _T_930) @[el2_lib.scala 235:41] + node _T_1071 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[el2_lib.scala 235:74] + node _T_1072 = bits(dec_i0_match_data[3], 20, 20) @[el2_lib.scala 235:86] + node _T_1073 = eq(_T_1071, _T_1072) @[el2_lib.scala 235:78] + node _T_1074 = mux(_T_1070, UInt<1>("h01"), _T_1073) @[el2_lib.scala 235:23] + _T_927[20] <= _T_1074 @[el2_lib.scala 235:17] + node _T_1075 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[el2_lib.scala 235:28] + node _T_1076 = andr(_T_1075) @[el2_lib.scala 235:36] + node _T_1077 = and(_T_1076, _T_930) @[el2_lib.scala 235:41] + node _T_1078 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[el2_lib.scala 235:74] + node _T_1079 = bits(dec_i0_match_data[3], 21, 21) @[el2_lib.scala 235:86] + node _T_1080 = eq(_T_1078, _T_1079) @[el2_lib.scala 235:78] + node _T_1081 = mux(_T_1077, UInt<1>("h01"), _T_1080) @[el2_lib.scala 235:23] + _T_927[21] <= _T_1081 @[el2_lib.scala 235:17] + node _T_1082 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[el2_lib.scala 235:28] + node _T_1083 = andr(_T_1082) @[el2_lib.scala 235:36] + node _T_1084 = and(_T_1083, _T_930) @[el2_lib.scala 235:41] + node _T_1085 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[el2_lib.scala 235:74] + node _T_1086 = bits(dec_i0_match_data[3], 22, 22) @[el2_lib.scala 235:86] + node _T_1087 = eq(_T_1085, _T_1086) @[el2_lib.scala 235:78] + node _T_1088 = mux(_T_1084, UInt<1>("h01"), _T_1087) @[el2_lib.scala 235:23] + _T_927[22] <= _T_1088 @[el2_lib.scala 235:17] + node _T_1089 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[el2_lib.scala 235:28] + node _T_1090 = andr(_T_1089) @[el2_lib.scala 235:36] + node _T_1091 = and(_T_1090, _T_930) @[el2_lib.scala 235:41] + node _T_1092 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[el2_lib.scala 235:74] + node _T_1093 = bits(dec_i0_match_data[3], 23, 23) @[el2_lib.scala 235:86] + node _T_1094 = eq(_T_1092, _T_1093) @[el2_lib.scala 235:78] + node _T_1095 = mux(_T_1091, UInt<1>("h01"), _T_1094) @[el2_lib.scala 235:23] + _T_927[23] <= _T_1095 @[el2_lib.scala 235:17] + node _T_1096 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[el2_lib.scala 235:28] + node _T_1097 = andr(_T_1096) @[el2_lib.scala 235:36] + node _T_1098 = and(_T_1097, _T_930) @[el2_lib.scala 235:41] + node _T_1099 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[el2_lib.scala 235:74] + node _T_1100 = bits(dec_i0_match_data[3], 24, 24) @[el2_lib.scala 235:86] + node _T_1101 = eq(_T_1099, _T_1100) @[el2_lib.scala 235:78] + node _T_1102 = mux(_T_1098, UInt<1>("h01"), _T_1101) @[el2_lib.scala 235:23] + _T_927[24] <= _T_1102 @[el2_lib.scala 235:17] + node _T_1103 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[el2_lib.scala 235:28] + node _T_1104 = andr(_T_1103) @[el2_lib.scala 235:36] + node _T_1105 = and(_T_1104, _T_930) @[el2_lib.scala 235:41] + node _T_1106 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[el2_lib.scala 235:74] + node _T_1107 = bits(dec_i0_match_data[3], 25, 25) @[el2_lib.scala 235:86] + node _T_1108 = eq(_T_1106, _T_1107) @[el2_lib.scala 235:78] + node _T_1109 = mux(_T_1105, UInt<1>("h01"), _T_1108) @[el2_lib.scala 235:23] + _T_927[25] <= _T_1109 @[el2_lib.scala 235:17] + node _T_1110 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[el2_lib.scala 235:28] + node _T_1111 = andr(_T_1110) @[el2_lib.scala 235:36] + node _T_1112 = and(_T_1111, _T_930) @[el2_lib.scala 235:41] + node _T_1113 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[el2_lib.scala 235:74] + node _T_1114 = bits(dec_i0_match_data[3], 26, 26) @[el2_lib.scala 235:86] + node _T_1115 = eq(_T_1113, _T_1114) @[el2_lib.scala 235:78] + node _T_1116 = mux(_T_1112, UInt<1>("h01"), _T_1115) @[el2_lib.scala 235:23] + _T_927[26] <= _T_1116 @[el2_lib.scala 235:17] + node _T_1117 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[el2_lib.scala 235:28] + node _T_1118 = andr(_T_1117) @[el2_lib.scala 235:36] + node _T_1119 = and(_T_1118, _T_930) @[el2_lib.scala 235:41] + node _T_1120 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[el2_lib.scala 235:74] + node _T_1121 = bits(dec_i0_match_data[3], 27, 27) @[el2_lib.scala 235:86] + node _T_1122 = eq(_T_1120, _T_1121) @[el2_lib.scala 235:78] + node _T_1123 = mux(_T_1119, UInt<1>("h01"), _T_1122) @[el2_lib.scala 235:23] + _T_927[27] <= _T_1123 @[el2_lib.scala 235:17] + node _T_1124 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[el2_lib.scala 235:28] + node _T_1125 = andr(_T_1124) @[el2_lib.scala 235:36] + node _T_1126 = and(_T_1125, _T_930) @[el2_lib.scala 235:41] + node _T_1127 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[el2_lib.scala 235:74] + node _T_1128 = bits(dec_i0_match_data[3], 28, 28) @[el2_lib.scala 235:86] + node _T_1129 = eq(_T_1127, _T_1128) @[el2_lib.scala 235:78] + node _T_1130 = mux(_T_1126, UInt<1>("h01"), _T_1129) @[el2_lib.scala 235:23] + _T_927[28] <= _T_1130 @[el2_lib.scala 235:17] + node _T_1131 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[el2_lib.scala 235:28] + node _T_1132 = andr(_T_1131) @[el2_lib.scala 235:36] + node _T_1133 = and(_T_1132, _T_930) @[el2_lib.scala 235:41] + node _T_1134 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[el2_lib.scala 235:74] + node _T_1135 = bits(dec_i0_match_data[3], 29, 29) @[el2_lib.scala 235:86] + node _T_1136 = eq(_T_1134, _T_1135) @[el2_lib.scala 235:78] + node _T_1137 = mux(_T_1133, UInt<1>("h01"), _T_1136) @[el2_lib.scala 235:23] + _T_927[29] <= _T_1137 @[el2_lib.scala 235:17] + node _T_1138 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[el2_lib.scala 235:28] + node _T_1139 = andr(_T_1138) @[el2_lib.scala 235:36] + node _T_1140 = and(_T_1139, _T_930) @[el2_lib.scala 235:41] + node _T_1141 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[el2_lib.scala 235:74] + node _T_1142 = bits(dec_i0_match_data[3], 30, 30) @[el2_lib.scala 235:86] + node _T_1143 = eq(_T_1141, _T_1142) @[el2_lib.scala 235:78] + node _T_1144 = mux(_T_1140, UInt<1>("h01"), _T_1143) @[el2_lib.scala 235:23] + _T_927[30] <= _T_1144 @[el2_lib.scala 235:17] + node _T_1145 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[el2_lib.scala 235:28] + node _T_1146 = andr(_T_1145) @[el2_lib.scala 235:36] + node _T_1147 = and(_T_1146, _T_930) @[el2_lib.scala 235:41] + node _T_1148 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[el2_lib.scala 235:74] + node _T_1149 = bits(dec_i0_match_data[3], 31, 31) @[el2_lib.scala 235:86] + node _T_1150 = eq(_T_1148, _T_1149) @[el2_lib.scala 235:78] + node _T_1151 = mux(_T_1147, UInt<1>("h01"), _T_1150) @[el2_lib.scala 235:23] + _T_927[31] <= _T_1151 @[el2_lib.scala 235:17] + node _T_1152 = cat(_T_927[1], _T_927[0]) @[el2_lib.scala 236:14] + node _T_1153 = cat(_T_927[3], _T_927[2]) @[el2_lib.scala 236:14] + node _T_1154 = cat(_T_1153, _T_1152) @[el2_lib.scala 236:14] + node _T_1155 = cat(_T_927[5], _T_927[4]) @[el2_lib.scala 236:14] + node _T_1156 = cat(_T_927[7], _T_927[6]) @[el2_lib.scala 236:14] + node _T_1157 = cat(_T_1156, _T_1155) @[el2_lib.scala 236:14] + node _T_1158 = cat(_T_1157, _T_1154) @[el2_lib.scala 236:14] + node _T_1159 = cat(_T_927[9], _T_927[8]) @[el2_lib.scala 236:14] + node _T_1160 = cat(_T_927[11], _T_927[10]) @[el2_lib.scala 236:14] + node _T_1161 = cat(_T_1160, _T_1159) @[el2_lib.scala 236:14] + node _T_1162 = cat(_T_927[13], _T_927[12]) @[el2_lib.scala 236:14] + node _T_1163 = cat(_T_927[15], _T_927[14]) @[el2_lib.scala 236:14] + node _T_1164 = cat(_T_1163, _T_1162) @[el2_lib.scala 236:14] + node _T_1165 = cat(_T_1164, _T_1161) @[el2_lib.scala 236:14] + node _T_1166 = cat(_T_1165, _T_1158) @[el2_lib.scala 236:14] + node _T_1167 = cat(_T_927[17], _T_927[16]) @[el2_lib.scala 236:14] + node _T_1168 = cat(_T_927[19], _T_927[18]) @[el2_lib.scala 236:14] + node _T_1169 = cat(_T_1168, _T_1167) @[el2_lib.scala 236:14] + node _T_1170 = cat(_T_927[21], _T_927[20]) @[el2_lib.scala 236:14] + node _T_1171 = cat(_T_927[23], _T_927[22]) @[el2_lib.scala 236:14] + node _T_1172 = cat(_T_1171, _T_1170) @[el2_lib.scala 236:14] + node _T_1173 = cat(_T_1172, _T_1169) @[el2_lib.scala 236:14] + node _T_1174 = cat(_T_927[25], _T_927[24]) @[el2_lib.scala 236:14] + node _T_1175 = cat(_T_927[27], _T_927[26]) @[el2_lib.scala 236:14] + node _T_1176 = cat(_T_1175, _T_1174) @[el2_lib.scala 236:14] + node _T_1177 = cat(_T_927[29], _T_927[28]) @[el2_lib.scala 236:14] + node _T_1178 = cat(_T_927[31], _T_927[30]) @[el2_lib.scala 236:14] + node _T_1179 = cat(_T_1178, _T_1177) @[el2_lib.scala 236:14] + node _T_1180 = cat(_T_1179, _T_1176) @[el2_lib.scala 236:14] + node _T_1181 = cat(_T_1180, _T_1173) @[el2_lib.scala 236:14] + node _T_1182 = cat(_T_1181, _T_1166) @[el2_lib.scala 236:14] + node _T_1183 = and(_T_925, _T_1182) @[el2_dec_trigger.scala 15:109] + node _T_1184 = cat(_T_1183, _T_924) @[Cat.scala 29:58] + node _T_1185 = cat(_T_1184, _T_665) @[Cat.scala 29:58] + node _T_1186 = cat(_T_1185, _T_406) @[Cat.scala 29:58] + io.dec_i0_trigger_match_d <= _T_1186 @[el2_dec_trigger.scala 15:29] + diff --git a/el2_dec_trigger.v b/el2_dec_trigger.v new file mode 100644 index 00000000..32c37bca --- /dev/null +++ b/el2_dec_trigger.v @@ -0,0 +1,613 @@ +module el2_dec_trigger( + input clock, + input reset, + input io_trigger_pkt_any_0_select, + input io_trigger_pkt_any_0_match_, + input io_trigger_pkt_any_0_store, + input io_trigger_pkt_any_0_load, + input io_trigger_pkt_any_0_execute, + input io_trigger_pkt_any_0_m, + input [31:0] io_trigger_pkt_any_0_tdata2, + input io_trigger_pkt_any_1_select, + input io_trigger_pkt_any_1_match_, + input io_trigger_pkt_any_1_store, + input io_trigger_pkt_any_1_load, + input io_trigger_pkt_any_1_execute, + input io_trigger_pkt_any_1_m, + input [31:0] io_trigger_pkt_any_1_tdata2, + input io_trigger_pkt_any_2_select, + input io_trigger_pkt_any_2_match_, + input io_trigger_pkt_any_2_store, + input io_trigger_pkt_any_2_load, + input io_trigger_pkt_any_2_execute, + input io_trigger_pkt_any_2_m, + input [31:0] io_trigger_pkt_any_2_tdata2, + input io_trigger_pkt_any_3_select, + input io_trigger_pkt_any_3_match_, + input io_trigger_pkt_any_3_store, + input io_trigger_pkt_any_3_load, + input io_trigger_pkt_any_3_execute, + input io_trigger_pkt_any_3_m, + input [31:0] io_trigger_pkt_any_3_tdata2, + input [30:0] io_dec_i0_pc_d, + output [3:0] io_dec_i0_trigger_match_d +); + wire _T = ~io_trigger_pkt_any_0_select; // @[el2_dec_trigger.scala 14:63] + wire _T_1 = _T & io_trigger_pkt_any_0_execute; // @[el2_dec_trigger.scala 14:93] + wire [9:0] _T_11 = {_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1}; // @[Cat.scala 29:58] + wire [18:0] _T_20 = {_T_11,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1}; // @[Cat.scala 29:58] + wire [27:0] _T_29 = {_T_20,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1,_T_1}; // @[Cat.scala 29:58] + wire [31:0] _T_33 = {_T_29,_T_1,_T_1,_T_1,_T_1}; // @[Cat.scala 29:58] + wire [31:0] _T_35 = {io_dec_i0_pc_d,io_trigger_pkt_any_0_tdata2[0]}; // @[Cat.scala 29:58] + wire [31:0] dec_i0_match_data_0 = _T_33 & _T_35; // @[el2_dec_trigger.scala 14:127] + wire _T_37 = ~io_trigger_pkt_any_1_select; // @[el2_dec_trigger.scala 14:63] + wire _T_38 = _T_37 & io_trigger_pkt_any_1_execute; // @[el2_dec_trigger.scala 14:93] + wire [9:0] _T_48 = {_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38}; // @[Cat.scala 29:58] + wire [18:0] _T_57 = {_T_48,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38}; // @[Cat.scala 29:58] + wire [27:0] _T_66 = {_T_57,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38,_T_38}; // @[Cat.scala 29:58] + wire [31:0] _T_70 = {_T_66,_T_38,_T_38,_T_38,_T_38}; // @[Cat.scala 29:58] + wire [31:0] _T_72 = {io_dec_i0_pc_d,io_trigger_pkt_any_1_tdata2[0]}; // @[Cat.scala 29:58] + wire [31:0] dec_i0_match_data_1 = _T_70 & _T_72; // @[el2_dec_trigger.scala 14:127] + wire _T_74 = ~io_trigger_pkt_any_2_select; // @[el2_dec_trigger.scala 14:63] + wire _T_75 = _T_74 & io_trigger_pkt_any_2_execute; // @[el2_dec_trigger.scala 14:93] + wire [9:0] _T_85 = {_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75}; // @[Cat.scala 29:58] + wire [18:0] _T_94 = {_T_85,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75}; // @[Cat.scala 29:58] + wire [27:0] _T_103 = {_T_94,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75,_T_75}; // @[Cat.scala 29:58] + wire [31:0] _T_107 = {_T_103,_T_75,_T_75,_T_75,_T_75}; // @[Cat.scala 29:58] + wire [31:0] _T_109 = {io_dec_i0_pc_d,io_trigger_pkt_any_2_tdata2[0]}; // @[Cat.scala 29:58] + wire [31:0] dec_i0_match_data_2 = _T_107 & _T_109; // @[el2_dec_trigger.scala 14:127] + wire _T_111 = ~io_trigger_pkt_any_3_select; // @[el2_dec_trigger.scala 14:63] + wire _T_112 = _T_111 & io_trigger_pkt_any_3_execute; // @[el2_dec_trigger.scala 14:93] + wire [9:0] _T_122 = {_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112}; // @[Cat.scala 29:58] + wire [18:0] _T_131 = {_T_122,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112}; // @[Cat.scala 29:58] + wire [27:0] _T_140 = {_T_131,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112,_T_112}; // @[Cat.scala 29:58] + wire [31:0] _T_144 = {_T_140,_T_112,_T_112,_T_112,_T_112}; // @[Cat.scala 29:58] + wire [31:0] _T_146 = {io_dec_i0_pc_d,io_trigger_pkt_any_3_tdata2[0]}; // @[Cat.scala 29:58] + wire [31:0] dec_i0_match_data_3 = _T_144 & _T_146; // @[el2_dec_trigger.scala 14:127] + wire _T_148 = io_trigger_pkt_any_0_execute & io_trigger_pkt_any_0_m; // @[el2_dec_trigger.scala 15:83] + wire _T_151 = &io_trigger_pkt_any_0_tdata2; // @[el2_lib.scala 232:45] + wire _T_152 = ~_T_151; // @[el2_lib.scala 232:39] + wire _T_153 = io_trigger_pkt_any_0_match_ & _T_152; // @[el2_lib.scala 232:37] + wire _T_156 = io_trigger_pkt_any_0_tdata2[0] == dec_i0_match_data_0[0]; // @[el2_lib.scala 233:52] + wire _T_157 = _T_153 | _T_156; // @[el2_lib.scala 233:41] + wire _T_159 = &io_trigger_pkt_any_0_tdata2[0]; // @[el2_lib.scala 235:36] + wire _T_160 = _T_159 & _T_153; // @[el2_lib.scala 235:41] + wire _T_163 = io_trigger_pkt_any_0_tdata2[1] == dec_i0_match_data_0[1]; // @[el2_lib.scala 235:78] + wire _T_164 = _T_160 | _T_163; // @[el2_lib.scala 235:23] + wire _T_166 = &io_trigger_pkt_any_0_tdata2[1:0]; // @[el2_lib.scala 235:36] + wire _T_167 = _T_166 & _T_153; // @[el2_lib.scala 235:41] + wire _T_170 = io_trigger_pkt_any_0_tdata2[2] == dec_i0_match_data_0[2]; // @[el2_lib.scala 235:78] + wire _T_171 = _T_167 | _T_170; // @[el2_lib.scala 235:23] + wire _T_173 = &io_trigger_pkt_any_0_tdata2[2:0]; // @[el2_lib.scala 235:36] + wire _T_174 = _T_173 & _T_153; // @[el2_lib.scala 235:41] + wire _T_177 = io_trigger_pkt_any_0_tdata2[3] == dec_i0_match_data_0[3]; // @[el2_lib.scala 235:78] + wire _T_178 = _T_174 | _T_177; // @[el2_lib.scala 235:23] + wire _T_180 = &io_trigger_pkt_any_0_tdata2[3:0]; // @[el2_lib.scala 235:36] + wire _T_181 = _T_180 & _T_153; // @[el2_lib.scala 235:41] + wire _T_184 = io_trigger_pkt_any_0_tdata2[4] == dec_i0_match_data_0[4]; // @[el2_lib.scala 235:78] + wire _T_185 = _T_181 | _T_184; // @[el2_lib.scala 235:23] + wire _T_187 = &io_trigger_pkt_any_0_tdata2[4:0]; // @[el2_lib.scala 235:36] + wire _T_188 = _T_187 & _T_153; // @[el2_lib.scala 235:41] + wire _T_191 = io_trigger_pkt_any_0_tdata2[5] == dec_i0_match_data_0[5]; // @[el2_lib.scala 235:78] + wire _T_192 = _T_188 | _T_191; // @[el2_lib.scala 235:23] + wire _T_194 = &io_trigger_pkt_any_0_tdata2[5:0]; // @[el2_lib.scala 235:36] + wire _T_195 = _T_194 & _T_153; // @[el2_lib.scala 235:41] + wire _T_198 = io_trigger_pkt_any_0_tdata2[6] == dec_i0_match_data_0[6]; // @[el2_lib.scala 235:78] + wire _T_199 = _T_195 | _T_198; // @[el2_lib.scala 235:23] + wire _T_201 = &io_trigger_pkt_any_0_tdata2[6:0]; // @[el2_lib.scala 235:36] + wire _T_202 = _T_201 & _T_153; // @[el2_lib.scala 235:41] + wire _T_205 = io_trigger_pkt_any_0_tdata2[7] == dec_i0_match_data_0[7]; // @[el2_lib.scala 235:78] + wire _T_206 = _T_202 | _T_205; // @[el2_lib.scala 235:23] + wire _T_208 = &io_trigger_pkt_any_0_tdata2[7:0]; // @[el2_lib.scala 235:36] + wire _T_209 = _T_208 & _T_153; // @[el2_lib.scala 235:41] + wire _T_212 = io_trigger_pkt_any_0_tdata2[8] == dec_i0_match_data_0[8]; // @[el2_lib.scala 235:78] + wire _T_213 = _T_209 | _T_212; // @[el2_lib.scala 235:23] + wire _T_215 = &io_trigger_pkt_any_0_tdata2[8:0]; // @[el2_lib.scala 235:36] + wire _T_216 = _T_215 & _T_153; // @[el2_lib.scala 235:41] + wire _T_219 = io_trigger_pkt_any_0_tdata2[9] == dec_i0_match_data_0[9]; // @[el2_lib.scala 235:78] + wire _T_220 = _T_216 | _T_219; // @[el2_lib.scala 235:23] + wire _T_222 = &io_trigger_pkt_any_0_tdata2[9:0]; // @[el2_lib.scala 235:36] + wire _T_223 = _T_222 & _T_153; // @[el2_lib.scala 235:41] + wire _T_226 = io_trigger_pkt_any_0_tdata2[10] == dec_i0_match_data_0[10]; // @[el2_lib.scala 235:78] + wire _T_227 = _T_223 | _T_226; // @[el2_lib.scala 235:23] + wire _T_229 = &io_trigger_pkt_any_0_tdata2[10:0]; // @[el2_lib.scala 235:36] + wire _T_230 = _T_229 & _T_153; // @[el2_lib.scala 235:41] + wire _T_233 = io_trigger_pkt_any_0_tdata2[11] == dec_i0_match_data_0[11]; // @[el2_lib.scala 235:78] + wire _T_234 = _T_230 | _T_233; // @[el2_lib.scala 235:23] + wire _T_236 = &io_trigger_pkt_any_0_tdata2[11:0]; // @[el2_lib.scala 235:36] + wire _T_237 = _T_236 & _T_153; // @[el2_lib.scala 235:41] + wire _T_240 = io_trigger_pkt_any_0_tdata2[12] == dec_i0_match_data_0[12]; // @[el2_lib.scala 235:78] + wire _T_241 = _T_237 | _T_240; // @[el2_lib.scala 235:23] + wire _T_243 = &io_trigger_pkt_any_0_tdata2[12:0]; // @[el2_lib.scala 235:36] + wire _T_244 = _T_243 & _T_153; // @[el2_lib.scala 235:41] + wire _T_247 = io_trigger_pkt_any_0_tdata2[13] == dec_i0_match_data_0[13]; // @[el2_lib.scala 235:78] + wire _T_248 = _T_244 | _T_247; // @[el2_lib.scala 235:23] + wire _T_250 = &io_trigger_pkt_any_0_tdata2[13:0]; // @[el2_lib.scala 235:36] + wire _T_251 = _T_250 & _T_153; // @[el2_lib.scala 235:41] + wire _T_254 = io_trigger_pkt_any_0_tdata2[14] == dec_i0_match_data_0[14]; // @[el2_lib.scala 235:78] + wire _T_255 = _T_251 | _T_254; // @[el2_lib.scala 235:23] + wire _T_257 = &io_trigger_pkt_any_0_tdata2[14:0]; // @[el2_lib.scala 235:36] + wire _T_258 = _T_257 & _T_153; // @[el2_lib.scala 235:41] + wire _T_261 = io_trigger_pkt_any_0_tdata2[15] == dec_i0_match_data_0[15]; // @[el2_lib.scala 235:78] + wire _T_262 = _T_258 | _T_261; // @[el2_lib.scala 235:23] + wire _T_264 = &io_trigger_pkt_any_0_tdata2[15:0]; // @[el2_lib.scala 235:36] + wire _T_265 = _T_264 & _T_153; // @[el2_lib.scala 235:41] + wire _T_268 = io_trigger_pkt_any_0_tdata2[16] == dec_i0_match_data_0[16]; // @[el2_lib.scala 235:78] + wire _T_269 = _T_265 | _T_268; // @[el2_lib.scala 235:23] + wire _T_271 = &io_trigger_pkt_any_0_tdata2[16:0]; // @[el2_lib.scala 235:36] + wire _T_272 = _T_271 & _T_153; // @[el2_lib.scala 235:41] + wire _T_275 = io_trigger_pkt_any_0_tdata2[17] == dec_i0_match_data_0[17]; // @[el2_lib.scala 235:78] + wire _T_276 = _T_272 | _T_275; // @[el2_lib.scala 235:23] + wire _T_278 = &io_trigger_pkt_any_0_tdata2[17:0]; // @[el2_lib.scala 235:36] + wire _T_279 = _T_278 & _T_153; // @[el2_lib.scala 235:41] + wire _T_282 = io_trigger_pkt_any_0_tdata2[18] == dec_i0_match_data_0[18]; // @[el2_lib.scala 235:78] + wire _T_283 = _T_279 | _T_282; // @[el2_lib.scala 235:23] + wire _T_285 = &io_trigger_pkt_any_0_tdata2[18:0]; // @[el2_lib.scala 235:36] + wire _T_286 = _T_285 & _T_153; // @[el2_lib.scala 235:41] + wire _T_289 = io_trigger_pkt_any_0_tdata2[19] == dec_i0_match_data_0[19]; // @[el2_lib.scala 235:78] + wire _T_290 = _T_286 | _T_289; // @[el2_lib.scala 235:23] + wire _T_292 = &io_trigger_pkt_any_0_tdata2[19:0]; // @[el2_lib.scala 235:36] + wire _T_293 = _T_292 & _T_153; // @[el2_lib.scala 235:41] + wire _T_296 = io_trigger_pkt_any_0_tdata2[20] == dec_i0_match_data_0[20]; // @[el2_lib.scala 235:78] + wire _T_297 = _T_293 | _T_296; // @[el2_lib.scala 235:23] + wire _T_299 = &io_trigger_pkt_any_0_tdata2[20:0]; // @[el2_lib.scala 235:36] + wire _T_300 = _T_299 & _T_153; // @[el2_lib.scala 235:41] + wire _T_303 = io_trigger_pkt_any_0_tdata2[21] == dec_i0_match_data_0[21]; // @[el2_lib.scala 235:78] + wire _T_304 = _T_300 | _T_303; // @[el2_lib.scala 235:23] + wire _T_306 = &io_trigger_pkt_any_0_tdata2[21:0]; // @[el2_lib.scala 235:36] + wire _T_307 = _T_306 & _T_153; // @[el2_lib.scala 235:41] + wire _T_310 = io_trigger_pkt_any_0_tdata2[22] == dec_i0_match_data_0[22]; // @[el2_lib.scala 235:78] + wire _T_311 = _T_307 | _T_310; // @[el2_lib.scala 235:23] + wire _T_313 = &io_trigger_pkt_any_0_tdata2[22:0]; // @[el2_lib.scala 235:36] + wire _T_314 = _T_313 & _T_153; // @[el2_lib.scala 235:41] + wire _T_317 = io_trigger_pkt_any_0_tdata2[23] == dec_i0_match_data_0[23]; // @[el2_lib.scala 235:78] + wire _T_318 = _T_314 | _T_317; // @[el2_lib.scala 235:23] + wire _T_320 = &io_trigger_pkt_any_0_tdata2[23:0]; // @[el2_lib.scala 235:36] + wire _T_321 = _T_320 & _T_153; // @[el2_lib.scala 235:41] + wire _T_324 = io_trigger_pkt_any_0_tdata2[24] == dec_i0_match_data_0[24]; // @[el2_lib.scala 235:78] + wire _T_325 = _T_321 | _T_324; // @[el2_lib.scala 235:23] + wire _T_327 = &io_trigger_pkt_any_0_tdata2[24:0]; // @[el2_lib.scala 235:36] + wire _T_328 = _T_327 & _T_153; // @[el2_lib.scala 235:41] + wire _T_331 = io_trigger_pkt_any_0_tdata2[25] == dec_i0_match_data_0[25]; // @[el2_lib.scala 235:78] + wire _T_332 = _T_328 | _T_331; // @[el2_lib.scala 235:23] + wire _T_334 = &io_trigger_pkt_any_0_tdata2[25:0]; // @[el2_lib.scala 235:36] + wire _T_335 = _T_334 & _T_153; // @[el2_lib.scala 235:41] + wire _T_338 = io_trigger_pkt_any_0_tdata2[26] == dec_i0_match_data_0[26]; // @[el2_lib.scala 235:78] + wire _T_339 = _T_335 | _T_338; // @[el2_lib.scala 235:23] + wire _T_341 = &io_trigger_pkt_any_0_tdata2[26:0]; // @[el2_lib.scala 235:36] + wire _T_342 = _T_341 & _T_153; // @[el2_lib.scala 235:41] + wire _T_345 = io_trigger_pkt_any_0_tdata2[27] == dec_i0_match_data_0[27]; // @[el2_lib.scala 235:78] + wire _T_346 = _T_342 | _T_345; // @[el2_lib.scala 235:23] + wire _T_348 = &io_trigger_pkt_any_0_tdata2[27:0]; // @[el2_lib.scala 235:36] + wire _T_349 = _T_348 & _T_153; // @[el2_lib.scala 235:41] + wire _T_352 = io_trigger_pkt_any_0_tdata2[28] == dec_i0_match_data_0[28]; // @[el2_lib.scala 235:78] + wire _T_353 = _T_349 | _T_352; // @[el2_lib.scala 235:23] + wire _T_355 = &io_trigger_pkt_any_0_tdata2[28:0]; // @[el2_lib.scala 235:36] + wire _T_356 = _T_355 & _T_153; // @[el2_lib.scala 235:41] + wire _T_359 = io_trigger_pkt_any_0_tdata2[29] == dec_i0_match_data_0[29]; // @[el2_lib.scala 235:78] + wire _T_360 = _T_356 | _T_359; // @[el2_lib.scala 235:23] + wire _T_362 = &io_trigger_pkt_any_0_tdata2[29:0]; // @[el2_lib.scala 235:36] + wire _T_363 = _T_362 & _T_153; // @[el2_lib.scala 235:41] + wire _T_366 = io_trigger_pkt_any_0_tdata2[30] == dec_i0_match_data_0[30]; // @[el2_lib.scala 235:78] + wire _T_367 = _T_363 | _T_366; // @[el2_lib.scala 235:23] + wire _T_369 = &io_trigger_pkt_any_0_tdata2[30:0]; // @[el2_lib.scala 235:36] + wire _T_370 = _T_369 & _T_153; // @[el2_lib.scala 235:41] + wire _T_373 = io_trigger_pkt_any_0_tdata2[31] == dec_i0_match_data_0[31]; // @[el2_lib.scala 235:78] + wire _T_374 = _T_370 | _T_373; // @[el2_lib.scala 235:23] + wire [7:0] _T_381 = {_T_206,_T_199,_T_192,_T_185,_T_178,_T_171,_T_164,_T_157}; // @[el2_lib.scala 236:14] + wire [15:0] _T_389 = {_T_262,_T_255,_T_248,_T_241,_T_234,_T_227,_T_220,_T_213,_T_381}; // @[el2_lib.scala 236:14] + wire [7:0] _T_396 = {_T_318,_T_311,_T_304,_T_297,_T_290,_T_283,_T_276,_T_269}; // @[el2_lib.scala 236:14] + wire [31:0] _T_405 = {_T_374,_T_367,_T_360,_T_353,_T_346,_T_339,_T_332,_T_325,_T_396,_T_389}; // @[el2_lib.scala 236:14] + wire [31:0] _GEN_0 = {{31'd0}, _T_148}; // @[el2_dec_trigger.scala 15:109] + wire [31:0] _T_406 = _GEN_0 & _T_405; // @[el2_dec_trigger.scala 15:109] + wire _T_407 = io_trigger_pkt_any_1_execute & io_trigger_pkt_any_1_m; // @[el2_dec_trigger.scala 15:83] + wire _T_410 = &io_trigger_pkt_any_1_tdata2; // @[el2_lib.scala 232:45] + wire _T_411 = ~_T_410; // @[el2_lib.scala 232:39] + wire _T_412 = io_trigger_pkt_any_1_match_ & _T_411; // @[el2_lib.scala 232:37] + wire _T_415 = io_trigger_pkt_any_1_tdata2[0] == dec_i0_match_data_1[0]; // @[el2_lib.scala 233:52] + wire _T_416 = _T_412 | _T_415; // @[el2_lib.scala 233:41] + wire _T_418 = &io_trigger_pkt_any_1_tdata2[0]; // @[el2_lib.scala 235:36] + wire _T_419 = _T_418 & _T_412; // @[el2_lib.scala 235:41] + wire _T_422 = io_trigger_pkt_any_1_tdata2[1] == dec_i0_match_data_1[1]; // @[el2_lib.scala 235:78] + wire _T_423 = _T_419 | _T_422; // @[el2_lib.scala 235:23] + wire _T_425 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[el2_lib.scala 235:36] + wire _T_426 = _T_425 & _T_412; // @[el2_lib.scala 235:41] + wire _T_429 = io_trigger_pkt_any_1_tdata2[2] == dec_i0_match_data_1[2]; // @[el2_lib.scala 235:78] + wire _T_430 = _T_426 | _T_429; // @[el2_lib.scala 235:23] + wire _T_432 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[el2_lib.scala 235:36] + wire _T_433 = _T_432 & _T_412; // @[el2_lib.scala 235:41] + wire _T_436 = io_trigger_pkt_any_1_tdata2[3] == dec_i0_match_data_1[3]; // @[el2_lib.scala 235:78] + wire _T_437 = _T_433 | _T_436; // @[el2_lib.scala 235:23] + wire _T_439 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[el2_lib.scala 235:36] + wire _T_440 = _T_439 & _T_412; // @[el2_lib.scala 235:41] + wire _T_443 = io_trigger_pkt_any_1_tdata2[4] == dec_i0_match_data_1[4]; // @[el2_lib.scala 235:78] + wire _T_444 = _T_440 | _T_443; // @[el2_lib.scala 235:23] + wire _T_446 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[el2_lib.scala 235:36] + wire _T_447 = _T_446 & _T_412; // @[el2_lib.scala 235:41] + wire _T_450 = io_trigger_pkt_any_1_tdata2[5] == dec_i0_match_data_1[5]; // @[el2_lib.scala 235:78] + wire _T_451 = _T_447 | _T_450; // @[el2_lib.scala 235:23] + wire _T_453 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[el2_lib.scala 235:36] + wire _T_454 = _T_453 & _T_412; // @[el2_lib.scala 235:41] + wire _T_457 = io_trigger_pkt_any_1_tdata2[6] == dec_i0_match_data_1[6]; // @[el2_lib.scala 235:78] + wire _T_458 = _T_454 | _T_457; // @[el2_lib.scala 235:23] + wire _T_460 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[el2_lib.scala 235:36] + wire _T_461 = _T_460 & _T_412; // @[el2_lib.scala 235:41] + wire _T_464 = io_trigger_pkt_any_1_tdata2[7] == dec_i0_match_data_1[7]; // @[el2_lib.scala 235:78] + wire _T_465 = _T_461 | _T_464; // @[el2_lib.scala 235:23] + wire _T_467 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[el2_lib.scala 235:36] + wire _T_468 = _T_467 & _T_412; // @[el2_lib.scala 235:41] + wire _T_471 = io_trigger_pkt_any_1_tdata2[8] == dec_i0_match_data_1[8]; // @[el2_lib.scala 235:78] + wire _T_472 = _T_468 | _T_471; // @[el2_lib.scala 235:23] + wire _T_474 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[el2_lib.scala 235:36] + wire _T_475 = _T_474 & _T_412; // @[el2_lib.scala 235:41] + wire _T_478 = io_trigger_pkt_any_1_tdata2[9] == dec_i0_match_data_1[9]; // @[el2_lib.scala 235:78] + wire _T_479 = _T_475 | _T_478; // @[el2_lib.scala 235:23] + wire _T_481 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[el2_lib.scala 235:36] + wire _T_482 = _T_481 & _T_412; // @[el2_lib.scala 235:41] + wire _T_485 = io_trigger_pkt_any_1_tdata2[10] == dec_i0_match_data_1[10]; // @[el2_lib.scala 235:78] + wire _T_486 = _T_482 | _T_485; // @[el2_lib.scala 235:23] + wire _T_488 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[el2_lib.scala 235:36] + wire _T_489 = _T_488 & _T_412; // @[el2_lib.scala 235:41] + wire _T_492 = io_trigger_pkt_any_1_tdata2[11] == dec_i0_match_data_1[11]; // @[el2_lib.scala 235:78] + wire _T_493 = _T_489 | _T_492; // @[el2_lib.scala 235:23] + wire _T_495 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[el2_lib.scala 235:36] + wire _T_496 = _T_495 & _T_412; // @[el2_lib.scala 235:41] + wire _T_499 = io_trigger_pkt_any_1_tdata2[12] == dec_i0_match_data_1[12]; // @[el2_lib.scala 235:78] + wire _T_500 = _T_496 | _T_499; // @[el2_lib.scala 235:23] + wire _T_502 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[el2_lib.scala 235:36] + wire _T_503 = _T_502 & _T_412; // @[el2_lib.scala 235:41] + wire _T_506 = io_trigger_pkt_any_1_tdata2[13] == dec_i0_match_data_1[13]; // @[el2_lib.scala 235:78] + wire _T_507 = _T_503 | _T_506; // @[el2_lib.scala 235:23] + wire _T_509 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[el2_lib.scala 235:36] + wire _T_510 = _T_509 & _T_412; // @[el2_lib.scala 235:41] + wire _T_513 = io_trigger_pkt_any_1_tdata2[14] == dec_i0_match_data_1[14]; // @[el2_lib.scala 235:78] + wire _T_514 = _T_510 | _T_513; // @[el2_lib.scala 235:23] + wire _T_516 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[el2_lib.scala 235:36] + wire _T_517 = _T_516 & _T_412; // @[el2_lib.scala 235:41] + wire _T_520 = io_trigger_pkt_any_1_tdata2[15] == dec_i0_match_data_1[15]; // @[el2_lib.scala 235:78] + wire _T_521 = _T_517 | _T_520; // @[el2_lib.scala 235:23] + wire _T_523 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[el2_lib.scala 235:36] + wire _T_524 = _T_523 & _T_412; // @[el2_lib.scala 235:41] + wire _T_527 = io_trigger_pkt_any_1_tdata2[16] == dec_i0_match_data_1[16]; // @[el2_lib.scala 235:78] + wire _T_528 = _T_524 | _T_527; // @[el2_lib.scala 235:23] + wire _T_530 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[el2_lib.scala 235:36] + wire _T_531 = _T_530 & _T_412; // @[el2_lib.scala 235:41] + wire _T_534 = io_trigger_pkt_any_1_tdata2[17] == dec_i0_match_data_1[17]; // @[el2_lib.scala 235:78] + wire _T_535 = _T_531 | _T_534; // @[el2_lib.scala 235:23] + wire _T_537 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[el2_lib.scala 235:36] + wire _T_538 = _T_537 & _T_412; // @[el2_lib.scala 235:41] + wire _T_541 = io_trigger_pkt_any_1_tdata2[18] == dec_i0_match_data_1[18]; // @[el2_lib.scala 235:78] + wire _T_542 = _T_538 | _T_541; // @[el2_lib.scala 235:23] + wire _T_544 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[el2_lib.scala 235:36] + wire _T_545 = _T_544 & _T_412; // @[el2_lib.scala 235:41] + wire _T_548 = io_trigger_pkt_any_1_tdata2[19] == dec_i0_match_data_1[19]; // @[el2_lib.scala 235:78] + wire _T_549 = _T_545 | _T_548; // @[el2_lib.scala 235:23] + wire _T_551 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[el2_lib.scala 235:36] + wire _T_552 = _T_551 & _T_412; // @[el2_lib.scala 235:41] + wire _T_555 = io_trigger_pkt_any_1_tdata2[20] == dec_i0_match_data_1[20]; // @[el2_lib.scala 235:78] + wire _T_556 = _T_552 | _T_555; // @[el2_lib.scala 235:23] + wire _T_558 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[el2_lib.scala 235:36] + wire _T_559 = _T_558 & _T_412; // @[el2_lib.scala 235:41] + wire _T_562 = io_trigger_pkt_any_1_tdata2[21] == dec_i0_match_data_1[21]; // @[el2_lib.scala 235:78] + wire _T_563 = _T_559 | _T_562; // @[el2_lib.scala 235:23] + wire _T_565 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[el2_lib.scala 235:36] + wire _T_566 = _T_565 & _T_412; // @[el2_lib.scala 235:41] + wire _T_569 = io_trigger_pkt_any_1_tdata2[22] == dec_i0_match_data_1[22]; // @[el2_lib.scala 235:78] + wire _T_570 = _T_566 | _T_569; // @[el2_lib.scala 235:23] + wire _T_572 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[el2_lib.scala 235:36] + wire _T_573 = _T_572 & _T_412; // @[el2_lib.scala 235:41] + wire _T_576 = io_trigger_pkt_any_1_tdata2[23] == dec_i0_match_data_1[23]; // @[el2_lib.scala 235:78] + wire _T_577 = _T_573 | _T_576; // @[el2_lib.scala 235:23] + wire _T_579 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[el2_lib.scala 235:36] + wire _T_580 = _T_579 & _T_412; // @[el2_lib.scala 235:41] + wire _T_583 = io_trigger_pkt_any_1_tdata2[24] == dec_i0_match_data_1[24]; // @[el2_lib.scala 235:78] + wire _T_584 = _T_580 | _T_583; // @[el2_lib.scala 235:23] + wire _T_586 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[el2_lib.scala 235:36] + wire _T_587 = _T_586 & _T_412; // @[el2_lib.scala 235:41] + wire _T_590 = io_trigger_pkt_any_1_tdata2[25] == dec_i0_match_data_1[25]; // @[el2_lib.scala 235:78] + wire _T_591 = _T_587 | _T_590; // @[el2_lib.scala 235:23] + wire _T_593 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[el2_lib.scala 235:36] + wire _T_594 = _T_593 & _T_412; // @[el2_lib.scala 235:41] + wire _T_597 = io_trigger_pkt_any_1_tdata2[26] == dec_i0_match_data_1[26]; // @[el2_lib.scala 235:78] + wire _T_598 = _T_594 | _T_597; // @[el2_lib.scala 235:23] + wire _T_600 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[el2_lib.scala 235:36] + wire _T_601 = _T_600 & _T_412; // @[el2_lib.scala 235:41] + wire _T_604 = io_trigger_pkt_any_1_tdata2[27] == dec_i0_match_data_1[27]; // @[el2_lib.scala 235:78] + wire _T_605 = _T_601 | _T_604; // @[el2_lib.scala 235:23] + wire _T_607 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[el2_lib.scala 235:36] + wire _T_608 = _T_607 & _T_412; // @[el2_lib.scala 235:41] + wire _T_611 = io_trigger_pkt_any_1_tdata2[28] == dec_i0_match_data_1[28]; // @[el2_lib.scala 235:78] + wire _T_612 = _T_608 | _T_611; // @[el2_lib.scala 235:23] + wire _T_614 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[el2_lib.scala 235:36] + wire _T_615 = _T_614 & _T_412; // @[el2_lib.scala 235:41] + wire _T_618 = io_trigger_pkt_any_1_tdata2[29] == dec_i0_match_data_1[29]; // @[el2_lib.scala 235:78] + wire _T_619 = _T_615 | _T_618; // @[el2_lib.scala 235:23] + wire _T_621 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[el2_lib.scala 235:36] + wire _T_622 = _T_621 & _T_412; // @[el2_lib.scala 235:41] + wire _T_625 = io_trigger_pkt_any_1_tdata2[30] == dec_i0_match_data_1[30]; // @[el2_lib.scala 235:78] + wire _T_626 = _T_622 | _T_625; // @[el2_lib.scala 235:23] + wire _T_628 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[el2_lib.scala 235:36] + wire _T_629 = _T_628 & _T_412; // @[el2_lib.scala 235:41] + wire _T_632 = io_trigger_pkt_any_1_tdata2[31] == dec_i0_match_data_1[31]; // @[el2_lib.scala 235:78] + wire _T_633 = _T_629 | _T_632; // @[el2_lib.scala 235:23] + wire [7:0] _T_640 = {_T_465,_T_458,_T_451,_T_444,_T_437,_T_430,_T_423,_T_416}; // @[el2_lib.scala 236:14] + wire [15:0] _T_648 = {_T_521,_T_514,_T_507,_T_500,_T_493,_T_486,_T_479,_T_472,_T_640}; // @[el2_lib.scala 236:14] + wire [7:0] _T_655 = {_T_577,_T_570,_T_563,_T_556,_T_549,_T_542,_T_535,_T_528}; // @[el2_lib.scala 236:14] + wire [31:0] _T_664 = {_T_633,_T_626,_T_619,_T_612,_T_605,_T_598,_T_591,_T_584,_T_655,_T_648}; // @[el2_lib.scala 236:14] + wire [31:0] _GEN_1 = {{31'd0}, _T_407}; // @[el2_dec_trigger.scala 15:109] + wire [31:0] _T_665 = _GEN_1 & _T_664; // @[el2_dec_trigger.scala 15:109] + wire _T_666 = io_trigger_pkt_any_2_execute & io_trigger_pkt_any_2_m; // @[el2_dec_trigger.scala 15:83] + wire _T_669 = &io_trigger_pkt_any_2_tdata2; // @[el2_lib.scala 232:45] + wire _T_670 = ~_T_669; // @[el2_lib.scala 232:39] + wire _T_671 = io_trigger_pkt_any_2_match_ & _T_670; // @[el2_lib.scala 232:37] + wire _T_674 = io_trigger_pkt_any_2_tdata2[0] == dec_i0_match_data_2[0]; // @[el2_lib.scala 233:52] + wire _T_675 = _T_671 | _T_674; // @[el2_lib.scala 233:41] + wire _T_677 = &io_trigger_pkt_any_2_tdata2[0]; // @[el2_lib.scala 235:36] + wire _T_678 = _T_677 & _T_671; // @[el2_lib.scala 235:41] + wire _T_681 = io_trigger_pkt_any_2_tdata2[1] == dec_i0_match_data_2[1]; // @[el2_lib.scala 235:78] + wire _T_682 = _T_678 | _T_681; // @[el2_lib.scala 235:23] + wire _T_684 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[el2_lib.scala 235:36] + wire _T_685 = _T_684 & _T_671; // @[el2_lib.scala 235:41] + wire _T_688 = io_trigger_pkt_any_2_tdata2[2] == dec_i0_match_data_2[2]; // @[el2_lib.scala 235:78] + wire _T_689 = _T_685 | _T_688; // @[el2_lib.scala 235:23] + wire _T_691 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[el2_lib.scala 235:36] + wire _T_692 = _T_691 & _T_671; // @[el2_lib.scala 235:41] + wire _T_695 = io_trigger_pkt_any_2_tdata2[3] == dec_i0_match_data_2[3]; // @[el2_lib.scala 235:78] + wire _T_696 = _T_692 | _T_695; // @[el2_lib.scala 235:23] + wire _T_698 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[el2_lib.scala 235:36] + wire _T_699 = _T_698 & _T_671; // @[el2_lib.scala 235:41] + wire _T_702 = io_trigger_pkt_any_2_tdata2[4] == dec_i0_match_data_2[4]; // @[el2_lib.scala 235:78] + wire _T_703 = _T_699 | _T_702; // @[el2_lib.scala 235:23] + wire _T_705 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[el2_lib.scala 235:36] + wire _T_706 = _T_705 & _T_671; // @[el2_lib.scala 235:41] + wire _T_709 = io_trigger_pkt_any_2_tdata2[5] == dec_i0_match_data_2[5]; // @[el2_lib.scala 235:78] + wire _T_710 = _T_706 | _T_709; // @[el2_lib.scala 235:23] + wire _T_712 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[el2_lib.scala 235:36] + wire _T_713 = _T_712 & _T_671; // @[el2_lib.scala 235:41] + wire _T_716 = io_trigger_pkt_any_2_tdata2[6] == dec_i0_match_data_2[6]; // @[el2_lib.scala 235:78] + wire _T_717 = _T_713 | _T_716; // @[el2_lib.scala 235:23] + wire _T_719 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[el2_lib.scala 235:36] + wire _T_720 = _T_719 & _T_671; // @[el2_lib.scala 235:41] + wire _T_723 = io_trigger_pkt_any_2_tdata2[7] == dec_i0_match_data_2[7]; // @[el2_lib.scala 235:78] + wire _T_724 = _T_720 | _T_723; // @[el2_lib.scala 235:23] + wire _T_726 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[el2_lib.scala 235:36] + wire _T_727 = _T_726 & _T_671; // @[el2_lib.scala 235:41] + wire _T_730 = io_trigger_pkt_any_2_tdata2[8] == dec_i0_match_data_2[8]; // @[el2_lib.scala 235:78] + wire _T_731 = _T_727 | _T_730; // @[el2_lib.scala 235:23] + wire _T_733 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[el2_lib.scala 235:36] + wire _T_734 = _T_733 & _T_671; // @[el2_lib.scala 235:41] + wire _T_737 = io_trigger_pkt_any_2_tdata2[9] == dec_i0_match_data_2[9]; // @[el2_lib.scala 235:78] + wire _T_738 = _T_734 | _T_737; // @[el2_lib.scala 235:23] + wire _T_740 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[el2_lib.scala 235:36] + wire _T_741 = _T_740 & _T_671; // @[el2_lib.scala 235:41] + wire _T_744 = io_trigger_pkt_any_2_tdata2[10] == dec_i0_match_data_2[10]; // @[el2_lib.scala 235:78] + wire _T_745 = _T_741 | _T_744; // @[el2_lib.scala 235:23] + wire _T_747 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[el2_lib.scala 235:36] + wire _T_748 = _T_747 & _T_671; // @[el2_lib.scala 235:41] + wire _T_751 = io_trigger_pkt_any_2_tdata2[11] == dec_i0_match_data_2[11]; // @[el2_lib.scala 235:78] + wire _T_752 = _T_748 | _T_751; // @[el2_lib.scala 235:23] + wire _T_754 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[el2_lib.scala 235:36] + wire _T_755 = _T_754 & _T_671; // @[el2_lib.scala 235:41] + wire _T_758 = io_trigger_pkt_any_2_tdata2[12] == dec_i0_match_data_2[12]; // @[el2_lib.scala 235:78] + wire _T_759 = _T_755 | _T_758; // @[el2_lib.scala 235:23] + wire _T_761 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[el2_lib.scala 235:36] + wire _T_762 = _T_761 & _T_671; // @[el2_lib.scala 235:41] + wire _T_765 = io_trigger_pkt_any_2_tdata2[13] == dec_i0_match_data_2[13]; // @[el2_lib.scala 235:78] + wire _T_766 = _T_762 | _T_765; // @[el2_lib.scala 235:23] + wire _T_768 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[el2_lib.scala 235:36] + wire _T_769 = _T_768 & _T_671; // @[el2_lib.scala 235:41] + wire _T_772 = io_trigger_pkt_any_2_tdata2[14] == dec_i0_match_data_2[14]; // @[el2_lib.scala 235:78] + wire _T_773 = _T_769 | _T_772; // @[el2_lib.scala 235:23] + wire _T_775 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[el2_lib.scala 235:36] + wire _T_776 = _T_775 & _T_671; // @[el2_lib.scala 235:41] + wire _T_779 = io_trigger_pkt_any_2_tdata2[15] == dec_i0_match_data_2[15]; // @[el2_lib.scala 235:78] + wire _T_780 = _T_776 | _T_779; // @[el2_lib.scala 235:23] + wire _T_782 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[el2_lib.scala 235:36] + wire _T_783 = _T_782 & _T_671; // @[el2_lib.scala 235:41] + wire _T_786 = io_trigger_pkt_any_2_tdata2[16] == dec_i0_match_data_2[16]; // @[el2_lib.scala 235:78] + wire _T_787 = _T_783 | _T_786; // @[el2_lib.scala 235:23] + wire _T_789 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[el2_lib.scala 235:36] + wire _T_790 = _T_789 & _T_671; // @[el2_lib.scala 235:41] + wire _T_793 = io_trigger_pkt_any_2_tdata2[17] == dec_i0_match_data_2[17]; // @[el2_lib.scala 235:78] + wire _T_794 = _T_790 | _T_793; // @[el2_lib.scala 235:23] + wire _T_796 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[el2_lib.scala 235:36] + wire _T_797 = _T_796 & _T_671; // @[el2_lib.scala 235:41] + wire _T_800 = io_trigger_pkt_any_2_tdata2[18] == dec_i0_match_data_2[18]; // @[el2_lib.scala 235:78] + wire _T_801 = _T_797 | _T_800; // @[el2_lib.scala 235:23] + wire _T_803 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[el2_lib.scala 235:36] + wire _T_804 = _T_803 & _T_671; // @[el2_lib.scala 235:41] + wire _T_807 = io_trigger_pkt_any_2_tdata2[19] == dec_i0_match_data_2[19]; // @[el2_lib.scala 235:78] + wire _T_808 = _T_804 | _T_807; // @[el2_lib.scala 235:23] + wire _T_810 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[el2_lib.scala 235:36] + wire _T_811 = _T_810 & _T_671; // @[el2_lib.scala 235:41] + wire _T_814 = io_trigger_pkt_any_2_tdata2[20] == dec_i0_match_data_2[20]; // @[el2_lib.scala 235:78] + wire _T_815 = _T_811 | _T_814; // @[el2_lib.scala 235:23] + wire _T_817 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[el2_lib.scala 235:36] + wire _T_818 = _T_817 & _T_671; // @[el2_lib.scala 235:41] + wire _T_821 = io_trigger_pkt_any_2_tdata2[21] == dec_i0_match_data_2[21]; // @[el2_lib.scala 235:78] + wire _T_822 = _T_818 | _T_821; // @[el2_lib.scala 235:23] + wire _T_824 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[el2_lib.scala 235:36] + wire _T_825 = _T_824 & _T_671; // @[el2_lib.scala 235:41] + wire _T_828 = io_trigger_pkt_any_2_tdata2[22] == dec_i0_match_data_2[22]; // @[el2_lib.scala 235:78] + wire _T_829 = _T_825 | _T_828; // @[el2_lib.scala 235:23] + wire _T_831 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[el2_lib.scala 235:36] + wire _T_832 = _T_831 & _T_671; // @[el2_lib.scala 235:41] + wire _T_835 = io_trigger_pkt_any_2_tdata2[23] == dec_i0_match_data_2[23]; // @[el2_lib.scala 235:78] + wire _T_836 = _T_832 | _T_835; // @[el2_lib.scala 235:23] + wire _T_838 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[el2_lib.scala 235:36] + wire _T_839 = _T_838 & _T_671; // @[el2_lib.scala 235:41] + wire _T_842 = io_trigger_pkt_any_2_tdata2[24] == dec_i0_match_data_2[24]; // @[el2_lib.scala 235:78] + wire _T_843 = _T_839 | _T_842; // @[el2_lib.scala 235:23] + wire _T_845 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[el2_lib.scala 235:36] + wire _T_846 = _T_845 & _T_671; // @[el2_lib.scala 235:41] + wire _T_849 = io_trigger_pkt_any_2_tdata2[25] == dec_i0_match_data_2[25]; // @[el2_lib.scala 235:78] + wire _T_850 = _T_846 | _T_849; // @[el2_lib.scala 235:23] + wire _T_852 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[el2_lib.scala 235:36] + wire _T_853 = _T_852 & _T_671; // @[el2_lib.scala 235:41] + wire _T_856 = io_trigger_pkt_any_2_tdata2[26] == dec_i0_match_data_2[26]; // @[el2_lib.scala 235:78] + wire _T_857 = _T_853 | _T_856; // @[el2_lib.scala 235:23] + wire _T_859 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[el2_lib.scala 235:36] + wire _T_860 = _T_859 & _T_671; // @[el2_lib.scala 235:41] + wire _T_863 = io_trigger_pkt_any_2_tdata2[27] == dec_i0_match_data_2[27]; // @[el2_lib.scala 235:78] + wire _T_864 = _T_860 | _T_863; // @[el2_lib.scala 235:23] + wire _T_866 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[el2_lib.scala 235:36] + wire _T_867 = _T_866 & _T_671; // @[el2_lib.scala 235:41] + wire _T_870 = io_trigger_pkt_any_2_tdata2[28] == dec_i0_match_data_2[28]; // @[el2_lib.scala 235:78] + wire _T_871 = _T_867 | _T_870; // @[el2_lib.scala 235:23] + wire _T_873 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[el2_lib.scala 235:36] + wire _T_874 = _T_873 & _T_671; // @[el2_lib.scala 235:41] + wire _T_877 = io_trigger_pkt_any_2_tdata2[29] == dec_i0_match_data_2[29]; // @[el2_lib.scala 235:78] + wire _T_878 = _T_874 | _T_877; // @[el2_lib.scala 235:23] + wire _T_880 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[el2_lib.scala 235:36] + wire _T_881 = _T_880 & _T_671; // @[el2_lib.scala 235:41] + wire _T_884 = io_trigger_pkt_any_2_tdata2[30] == dec_i0_match_data_2[30]; // @[el2_lib.scala 235:78] + wire _T_885 = _T_881 | _T_884; // @[el2_lib.scala 235:23] + wire _T_887 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[el2_lib.scala 235:36] + wire _T_888 = _T_887 & _T_671; // @[el2_lib.scala 235:41] + wire _T_891 = io_trigger_pkt_any_2_tdata2[31] == dec_i0_match_data_2[31]; // @[el2_lib.scala 235:78] + wire _T_892 = _T_888 | _T_891; // @[el2_lib.scala 235:23] + wire [7:0] _T_899 = {_T_724,_T_717,_T_710,_T_703,_T_696,_T_689,_T_682,_T_675}; // @[el2_lib.scala 236:14] + wire [15:0] _T_907 = {_T_780,_T_773,_T_766,_T_759,_T_752,_T_745,_T_738,_T_731,_T_899}; // @[el2_lib.scala 236:14] + wire [7:0] _T_914 = {_T_836,_T_829,_T_822,_T_815,_T_808,_T_801,_T_794,_T_787}; // @[el2_lib.scala 236:14] + wire [31:0] _T_923 = {_T_892,_T_885,_T_878,_T_871,_T_864,_T_857,_T_850,_T_843,_T_914,_T_907}; // @[el2_lib.scala 236:14] + wire [31:0] _GEN_2 = {{31'd0}, _T_666}; // @[el2_dec_trigger.scala 15:109] + wire [31:0] _T_924 = _GEN_2 & _T_923; // @[el2_dec_trigger.scala 15:109] + wire _T_925 = io_trigger_pkt_any_3_execute & io_trigger_pkt_any_3_m; // @[el2_dec_trigger.scala 15:83] + wire _T_928 = &io_trigger_pkt_any_3_tdata2; // @[el2_lib.scala 232:45] + wire _T_929 = ~_T_928; // @[el2_lib.scala 232:39] + wire _T_930 = io_trigger_pkt_any_3_match_ & _T_929; // @[el2_lib.scala 232:37] + wire _T_933 = io_trigger_pkt_any_3_tdata2[0] == dec_i0_match_data_3[0]; // @[el2_lib.scala 233:52] + wire _T_934 = _T_930 | _T_933; // @[el2_lib.scala 233:41] + wire _T_936 = &io_trigger_pkt_any_3_tdata2[0]; // @[el2_lib.scala 235:36] + wire _T_937 = _T_936 & _T_930; // @[el2_lib.scala 235:41] + wire _T_940 = io_trigger_pkt_any_3_tdata2[1] == dec_i0_match_data_3[1]; // @[el2_lib.scala 235:78] + wire _T_941 = _T_937 | _T_940; // @[el2_lib.scala 235:23] + wire _T_943 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[el2_lib.scala 235:36] + wire _T_944 = _T_943 & _T_930; // @[el2_lib.scala 235:41] + wire _T_947 = io_trigger_pkt_any_3_tdata2[2] == dec_i0_match_data_3[2]; // @[el2_lib.scala 235:78] + wire _T_948 = _T_944 | _T_947; // @[el2_lib.scala 235:23] + wire _T_950 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[el2_lib.scala 235:36] + wire _T_951 = _T_950 & _T_930; // @[el2_lib.scala 235:41] + wire _T_954 = io_trigger_pkt_any_3_tdata2[3] == dec_i0_match_data_3[3]; // @[el2_lib.scala 235:78] + wire _T_955 = _T_951 | _T_954; // @[el2_lib.scala 235:23] + wire _T_957 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[el2_lib.scala 235:36] + wire _T_958 = _T_957 & _T_930; // @[el2_lib.scala 235:41] + wire _T_961 = io_trigger_pkt_any_3_tdata2[4] == dec_i0_match_data_3[4]; // @[el2_lib.scala 235:78] + wire _T_962 = _T_958 | _T_961; // @[el2_lib.scala 235:23] + wire _T_964 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[el2_lib.scala 235:36] + wire _T_965 = _T_964 & _T_930; // @[el2_lib.scala 235:41] + wire _T_968 = io_trigger_pkt_any_3_tdata2[5] == dec_i0_match_data_3[5]; // @[el2_lib.scala 235:78] + wire _T_969 = _T_965 | _T_968; // @[el2_lib.scala 235:23] + wire _T_971 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[el2_lib.scala 235:36] + wire _T_972 = _T_971 & _T_930; // @[el2_lib.scala 235:41] + wire _T_975 = io_trigger_pkt_any_3_tdata2[6] == dec_i0_match_data_3[6]; // @[el2_lib.scala 235:78] + wire _T_976 = _T_972 | _T_975; // @[el2_lib.scala 235:23] + wire _T_978 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[el2_lib.scala 235:36] + wire _T_979 = _T_978 & _T_930; // @[el2_lib.scala 235:41] + wire _T_982 = io_trigger_pkt_any_3_tdata2[7] == dec_i0_match_data_3[7]; // @[el2_lib.scala 235:78] + wire _T_983 = _T_979 | _T_982; // @[el2_lib.scala 235:23] + wire _T_985 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[el2_lib.scala 235:36] + wire _T_986 = _T_985 & _T_930; // @[el2_lib.scala 235:41] + wire _T_989 = io_trigger_pkt_any_3_tdata2[8] == dec_i0_match_data_3[8]; // @[el2_lib.scala 235:78] + wire _T_990 = _T_986 | _T_989; // @[el2_lib.scala 235:23] + wire _T_992 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[el2_lib.scala 235:36] + wire _T_993 = _T_992 & _T_930; // @[el2_lib.scala 235:41] + wire _T_996 = io_trigger_pkt_any_3_tdata2[9] == dec_i0_match_data_3[9]; // @[el2_lib.scala 235:78] + wire _T_997 = _T_993 | _T_996; // @[el2_lib.scala 235:23] + wire _T_999 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[el2_lib.scala 235:36] + wire _T_1000 = _T_999 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1003 = io_trigger_pkt_any_3_tdata2[10] == dec_i0_match_data_3[10]; // @[el2_lib.scala 235:78] + wire _T_1004 = _T_1000 | _T_1003; // @[el2_lib.scala 235:23] + wire _T_1006 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[el2_lib.scala 235:36] + wire _T_1007 = _T_1006 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1010 = io_trigger_pkt_any_3_tdata2[11] == dec_i0_match_data_3[11]; // @[el2_lib.scala 235:78] + wire _T_1011 = _T_1007 | _T_1010; // @[el2_lib.scala 235:23] + wire _T_1013 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[el2_lib.scala 235:36] + wire _T_1014 = _T_1013 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1017 = io_trigger_pkt_any_3_tdata2[12] == dec_i0_match_data_3[12]; // @[el2_lib.scala 235:78] + wire _T_1018 = _T_1014 | _T_1017; // @[el2_lib.scala 235:23] + wire _T_1020 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[el2_lib.scala 235:36] + wire _T_1021 = _T_1020 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1024 = io_trigger_pkt_any_3_tdata2[13] == dec_i0_match_data_3[13]; // @[el2_lib.scala 235:78] + wire _T_1025 = _T_1021 | _T_1024; // @[el2_lib.scala 235:23] + wire _T_1027 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[el2_lib.scala 235:36] + wire _T_1028 = _T_1027 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1031 = io_trigger_pkt_any_3_tdata2[14] == dec_i0_match_data_3[14]; // @[el2_lib.scala 235:78] + wire _T_1032 = _T_1028 | _T_1031; // @[el2_lib.scala 235:23] + wire _T_1034 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[el2_lib.scala 235:36] + wire _T_1035 = _T_1034 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1038 = io_trigger_pkt_any_3_tdata2[15] == dec_i0_match_data_3[15]; // @[el2_lib.scala 235:78] + wire _T_1039 = _T_1035 | _T_1038; // @[el2_lib.scala 235:23] + wire _T_1041 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[el2_lib.scala 235:36] + wire _T_1042 = _T_1041 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1045 = io_trigger_pkt_any_3_tdata2[16] == dec_i0_match_data_3[16]; // @[el2_lib.scala 235:78] + wire _T_1046 = _T_1042 | _T_1045; // @[el2_lib.scala 235:23] + wire _T_1048 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[el2_lib.scala 235:36] + wire _T_1049 = _T_1048 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1052 = io_trigger_pkt_any_3_tdata2[17] == dec_i0_match_data_3[17]; // @[el2_lib.scala 235:78] + wire _T_1053 = _T_1049 | _T_1052; // @[el2_lib.scala 235:23] + wire _T_1055 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[el2_lib.scala 235:36] + wire _T_1056 = _T_1055 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1059 = io_trigger_pkt_any_3_tdata2[18] == dec_i0_match_data_3[18]; // @[el2_lib.scala 235:78] + wire _T_1060 = _T_1056 | _T_1059; // @[el2_lib.scala 235:23] + wire _T_1062 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[el2_lib.scala 235:36] + wire _T_1063 = _T_1062 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1066 = io_trigger_pkt_any_3_tdata2[19] == dec_i0_match_data_3[19]; // @[el2_lib.scala 235:78] + wire _T_1067 = _T_1063 | _T_1066; // @[el2_lib.scala 235:23] + wire _T_1069 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[el2_lib.scala 235:36] + wire _T_1070 = _T_1069 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1073 = io_trigger_pkt_any_3_tdata2[20] == dec_i0_match_data_3[20]; // @[el2_lib.scala 235:78] + wire _T_1074 = _T_1070 | _T_1073; // @[el2_lib.scala 235:23] + wire _T_1076 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[el2_lib.scala 235:36] + wire _T_1077 = _T_1076 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1080 = io_trigger_pkt_any_3_tdata2[21] == dec_i0_match_data_3[21]; // @[el2_lib.scala 235:78] + wire _T_1081 = _T_1077 | _T_1080; // @[el2_lib.scala 235:23] + wire _T_1083 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[el2_lib.scala 235:36] + wire _T_1084 = _T_1083 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1087 = io_trigger_pkt_any_3_tdata2[22] == dec_i0_match_data_3[22]; // @[el2_lib.scala 235:78] + wire _T_1088 = _T_1084 | _T_1087; // @[el2_lib.scala 235:23] + wire _T_1090 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[el2_lib.scala 235:36] + wire _T_1091 = _T_1090 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1094 = io_trigger_pkt_any_3_tdata2[23] == dec_i0_match_data_3[23]; // @[el2_lib.scala 235:78] + wire _T_1095 = _T_1091 | _T_1094; // @[el2_lib.scala 235:23] + wire _T_1097 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[el2_lib.scala 235:36] + wire _T_1098 = _T_1097 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1101 = io_trigger_pkt_any_3_tdata2[24] == dec_i0_match_data_3[24]; // @[el2_lib.scala 235:78] + wire _T_1102 = _T_1098 | _T_1101; // @[el2_lib.scala 235:23] + wire _T_1104 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[el2_lib.scala 235:36] + wire _T_1105 = _T_1104 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1108 = io_trigger_pkt_any_3_tdata2[25] == dec_i0_match_data_3[25]; // @[el2_lib.scala 235:78] + wire _T_1109 = _T_1105 | _T_1108; // @[el2_lib.scala 235:23] + wire _T_1111 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[el2_lib.scala 235:36] + wire _T_1112 = _T_1111 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1115 = io_trigger_pkt_any_3_tdata2[26] == dec_i0_match_data_3[26]; // @[el2_lib.scala 235:78] + wire _T_1116 = _T_1112 | _T_1115; // @[el2_lib.scala 235:23] + wire _T_1118 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[el2_lib.scala 235:36] + wire _T_1119 = _T_1118 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1122 = io_trigger_pkt_any_3_tdata2[27] == dec_i0_match_data_3[27]; // @[el2_lib.scala 235:78] + wire _T_1123 = _T_1119 | _T_1122; // @[el2_lib.scala 235:23] + wire _T_1125 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[el2_lib.scala 235:36] + wire _T_1126 = _T_1125 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1129 = io_trigger_pkt_any_3_tdata2[28] == dec_i0_match_data_3[28]; // @[el2_lib.scala 235:78] + wire _T_1130 = _T_1126 | _T_1129; // @[el2_lib.scala 235:23] + wire _T_1132 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[el2_lib.scala 235:36] + wire _T_1133 = _T_1132 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1136 = io_trigger_pkt_any_3_tdata2[29] == dec_i0_match_data_3[29]; // @[el2_lib.scala 235:78] + wire _T_1137 = _T_1133 | _T_1136; // @[el2_lib.scala 235:23] + wire _T_1139 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[el2_lib.scala 235:36] + wire _T_1140 = _T_1139 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1143 = io_trigger_pkt_any_3_tdata2[30] == dec_i0_match_data_3[30]; // @[el2_lib.scala 235:78] + wire _T_1144 = _T_1140 | _T_1143; // @[el2_lib.scala 235:23] + wire _T_1146 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[el2_lib.scala 235:36] + wire _T_1147 = _T_1146 & _T_930; // @[el2_lib.scala 235:41] + wire _T_1150 = io_trigger_pkt_any_3_tdata2[31] == dec_i0_match_data_3[31]; // @[el2_lib.scala 235:78] + wire _T_1151 = _T_1147 | _T_1150; // @[el2_lib.scala 235:23] + wire [7:0] _T_1158 = {_T_983,_T_976,_T_969,_T_962,_T_955,_T_948,_T_941,_T_934}; // @[el2_lib.scala 236:14] + wire [15:0] _T_1166 = {_T_1039,_T_1032,_T_1025,_T_1018,_T_1011,_T_1004,_T_997,_T_990,_T_1158}; // @[el2_lib.scala 236:14] + wire [7:0] _T_1173 = {_T_1095,_T_1088,_T_1081,_T_1074,_T_1067,_T_1060,_T_1053,_T_1046}; // @[el2_lib.scala 236:14] + wire [31:0] _T_1182 = {_T_1151,_T_1144,_T_1137,_T_1130,_T_1123,_T_1116,_T_1109,_T_1102,_T_1173,_T_1166}; // @[el2_lib.scala 236:14] + wire [31:0] _GEN_3 = {{31'd0}, _T_925}; // @[el2_dec_trigger.scala 15:109] + wire [31:0] _T_1183 = _GEN_3 & _T_1182; // @[el2_dec_trigger.scala 15:109] + wire [127:0] _T_1186 = {_T_1183,_T_924,_T_665,_T_406}; // @[Cat.scala 29:58] + assign io_dec_i0_trigger_match_d = _T_1186[3:0]; // @[el2_dec_trigger.scala 15:29] +endmodule diff --git a/src/main/scala/dec/el2_dec.scala b/src/main/scala/dec/el2_dec.scala new file mode 100644 index 00000000..af6d3ac8 --- /dev/null +++ b/src/main/scala/dec/el2_dec.scala @@ -0,0 +1,713 @@ +package dec +import chisel3._ +import include._ +import lib._ + +class el2_dec_IO extends Bundle with el2_lib { + //val clk = Input(Clock()) + val free_clk = Input(Clock()) + val active_clk = Input(Clock()) + + val lsu_fastint_stall_any = Input(Bool()) // needed by lsu for 2nd pass of dma with ecc correction, stall next cycle + + val dec_extint_stall = Output(Bool()) + + val dec_i0_decode_d = Output(Bool()) + val dec_pause_state_cg = Output(Bool()) // to top for active state clock gating + + // val rst_l = Input(Bool()) // reset, active low + val rst_vec = Input(UInt(32.W)) // [31:1] reset vector, from core pins + + val nmi_int = Input(Bool()) // NMI pin + val nmi_vec = Input(UInt(32.W)) // [31:1] NMI vector, from pins + + val i_cpu_halt_req = Input(Bool()) // Asynchronous Halt request to CPU + val i_cpu_run_req = Input(Bool()) // Asynchronous Restart request to CPU + + val o_cpu_halt_status = Output(Bool()) // Halt status of core (pmu/fw) + val o_cpu_halt_ack = Output(Bool()) // Halt request ack + val o_cpu_run_ack = Output(Bool()) // Run request ack + val o_debug_mode_status = Output(Bool()) // Core to the PMU that core is in debug mode. When core is in debug mode, the PMU should refrain from sendng a halt or run request + + val core_id = Input(UInt(32.W)) // [31:4] CORE ID + + // external MPC halt/run interface + val mpc_debug_halt_req = Input(Bool()) // Async halt request + val mpc_debug_run_req = Input(Bool()) // Async run request + val mpc_reset_run_req = Input(Bool()) // Run/halt after reset + val mpc_debug_halt_ack = Output(Bool()) // Halt ack + val mpc_debug_run_ack = Output(Bool()) // Run ack + val debug_brkpt_status = Output(Bool()) // debug breakpoint + + val exu_pmu_i0_br_misp = Input(Bool()) // slot 0 branch misp + val exu_pmu_i0_br_ataken = Input(Bool()) // slot 0 branch actual taken + val exu_pmu_i0_pc4 = Input(Bool()) // slot 0 4 byte branch + + + val lsu_nonblock_load_valid_m = Input(Bool()) // valid nonblock load at m + val lsu_nonblock_load_tag_m = Input(UInt(LSU_NUM_NBLOAD_WIDTH.W)) // -> corresponding tag + val lsu_nonblock_load_inv_r = Input(Bool()) // invalidate request for nonblock load r + val lsu_nonblock_load_inv_tag_r = Input(UInt(LSU_NUM_NBLOAD_WIDTH.W)) // -> corresponding tag + val lsu_nonblock_load_data_valid = Input(Bool()) // valid nonblock load data back + val lsu_nonblock_load_data_error = Input(Bool()) // nonblock load bus error + val lsu_nonblock_load_data_tag = Input(UInt(LSU_NUM_NBLOAD_WIDTH.W)) // -> corresponding tag + val lsu_nonblock_load_data = Input(UInt(32.W)) // nonblock load data + + val lsu_pmu_bus_trxn = Input(Bool()) // D side bus transaction + val lsu_pmu_bus_misaligned = Input(Bool()) // D side bus misaligned + val lsu_pmu_bus_error = Input(Bool()) // D side bus error + val lsu_pmu_bus_busy = Input(Bool()) // D side bus busy + val lsu_pmu_misaligned_m = Input(Bool()) // D side load or store misaligned + val lsu_pmu_load_external_m = Input(Bool()) // D side bus load + val lsu_pmu_store_external_m = Input(Bool()) // D side bus store + val dma_pmu_dccm_read = Input(Bool()) // DMA DCCM read + val dma_pmu_dccm_write = Input(Bool()) // DMA DCCM write + val dma_pmu_any_read = Input(Bool()) // DMA read + val dma_pmu_any_write = Input(Bool()) // DMA write + + val lsu_fir_addr = Input(UInt(32.W)) //[31:1] Fast int address + val lsu_fir_error = Input(UInt(2.W)) //[1:0] Fast int lookup error + + val ifu_pmu_instr_aligned = Input(Bool()) // aligned instructions + val ifu_pmu_fetch_stall = Input(Bool()) // fetch unit stalled + val ifu_pmu_ic_miss = Input(Bool()) // icache miss + val ifu_pmu_ic_hit = Input(Bool()) // icache hit + val ifu_pmu_bus_error = Input(Bool()) // Instruction side bus error + val ifu_pmu_bus_busy = Input(Bool()) // Instruction side bus busy + val ifu_pmu_bus_trxn = Input(Bool()) // Instruction side bus transaction + + val ifu_ic_error_start = Input(Bool()) // IC single bit error + val ifu_iccm_rd_ecc_single_err = Input(Bool()) // ICCM single bit error + + val lsu_trigger_match_m = Input(UInt(4.W)) + val dbg_cmd_valid = Input(Bool()) // debugger abstract command valid + val dbg_cmd_write = Input(Bool()) // command is a write + val dbg_cmd_type = Input(UInt(2.W)) // command type + val dbg_cmd_addr = Input(UInt(32.W)) // command address + val dbg_cmd_wrdata = Input(UInt(2.W)) // command write data, for fence/fence_i + + + val ifu_i0_icaf = Input(Bool()) // icache access fault + val ifu_i0_icaf_type = Input(UInt(2.W)) + + val ifu_i0_icaf_f1 = Input(Bool()) // i0 has access fault on second fetch group + val ifu_i0_dbecc = Input(Bool()) // icache/iccm double-bit error + + val lsu_idle_any = Input(Bool()) // lsu idle for halting + + val i0_brp = Input(new el2_br_pkt_t) // branch packet + val ifu_i0_bp_index = Input(UInt(BTB_ADDR_HI.W)) // BP index + val ifu_i0_bp_fghr = Input(UInt(BHT_GHR_SIZE.W)) // BP FGHR + val ifu_i0_bp_btag = Input(UInt(BTB_BTAG_SIZE.W)) // BP tag + + val lsu_error_pkt_r = Input(new el2_lsu_error_pkt_t) // LSU exception/error packet + val lsu_single_ecc_error_incr = Input(Bool())// LSU inc SB error counter + + val lsu_imprecise_error_load_any = Input(Bool()) // LSU imprecise load bus error + val lsu_imprecise_error_store_any = Input(Bool()) // LSU imprecise store bus error + val lsu_imprecise_error_addr_any = Input(UInt(32.W)) // LSU imprecise bus error address + + val exu_div_result = Input(UInt(32.W)) // final div result + val exu_div_wren = Input(UInt(1.W)) // Divide write enable to GPR + val exu_csr_rs1_x = Input(UInt(32.W)) // rs1 for csr instruction + val lsu_result_m = Input(UInt(32.W)) // load result + val lsu_result_corr_r = Input(UInt(32.W)) // load result - corrected load data + + val lsu_load_stall_any = Input(Bool()) // This is for blocking loads + val lsu_store_stall_any = Input(Bool()) // This is for blocking stores + val dma_dccm_stall_any = Input(Bool()) // stall any load/store at decode, pmu event + val dma_iccm_stall_any = Input(Bool()) // iccm stalled, pmu event + + val iccm_dma_sb_error = Input(Bool()) // ICCM DMA single bit error + + val exu_flush_final = Input(Bool()) // slot0 flush + + val exu_npc_r = Input(UInt(32.W)) // next PC + + val exu_i0_result_x = Input(UInt(32.W)) // alu result x + + + val ifu_i0_valid = Input(Bool()) // fetch valids to instruction buffer + val ifu_i0_instr = Input(UInt(32.W)) // fetch inst's to instruction buffer + val ifu_i0_pc = Input(UInt(32.W)) // pc's for instruction buffer + val ifu_i0_pc4 = Input(Bool()) // indication of 4B or 2B for corresponding inst + val exu_i0_pc_x = Input(UInt(32.W)) // pc's for e1 from the alu's + + val mexintpend = Input(Bool()) // External interrupt pending + val timer_int = Input(Bool()) // Timer interrupt pending (from pin) + val soft_int = Input(Bool()) // Software interrupt pending (from pin) + + val pic_claimid = Input(UInt(8.W)) // PIC claimid + val pic_pl = Input(UInt(4.W)) // PIC priv level + val mhwakeup = Input(Bool()) // High priority wakeup + + val dec_tlu_meicurpl = Output(UInt(4.W)) // to PIC, Current priv level + val dec_tlu_meipt = Output(UInt(4.W)) // to PIC + + val ifu_ic_debug_rd_data = Input(UInt(70.W)) // diagnostic icache read data + val ifu_ic_debug_rd_data_valid = Input(Bool()) // diagnostic icache read data valid + val dec_tlu_ic_diag_pkt = Output(new el2_cache_debug_pkt_t) // packet of DICAWICS, DICAD0/1, DICAGO info for icache diagnostics + + + // Debug start + val dbg_halt_req = Input(Bool()) // DM requests a halt + val dbg_resume_req = Input(Bool()) // DM requests a resume + val ifu_miss_state_idle = Input(Bool()) // I-side miss buffer empty + + val dec_tlu_dbg_halted = Output(Bool()) // Core is halted and ready for debug command + val dec_tlu_debug_mode = Output(Bool()) // Core is in debug mode + val dec_tlu_resume_ack = Output(Bool()) // Resume acknowledge + val dec_tlu_flush_noredir_r = Output(Bool()) // Tell fetch to idle on this flush + val dec_tlu_mpc_halted_only = Output(Bool()) // Core is halted only due to MPC + val dec_tlu_flush_leak_one_r = Output(Bool()) // single step + val dec_tlu_flush_err_r = Output(Bool()) // iside perr/ecc rfpc + val dec_tlu_meihap = Output(UInt(32.W)) // Fast ext int base + + val dec_debug_wdata_rs1_d = Output(Bool()) // insert debug write data into rs1 at decode + + val dec_dbg_rddata = Output(UInt(32.W)) // debug command read data + + val dec_dbg_cmd_done = Output(Bool()) // abstract command is done + val dec_dbg_cmd_fail = Output(Bool()) // abstract command failed (illegal reg address) + + val trigger_pkt_any = Output(Vec(4,new el2_trigger_pkt_t)) // info needed by debug trigger blocks + + val dec_tlu_force_halt = Output(UInt(1.W)) // halt has been forced + // Debug end + // branch info from pipe0 for errors or counter updates + val exu_i0_br_hist_r = Input(UInt(2.W)) // history + val exu_i0_br_error_r = Input(Bool()) // error + val exu_i0_br_start_error_r = Input(Bool()) // start error + val exu_i0_br_valid_r = Input(Bool()) // valid + val exu_i0_br_mp_r = Input(Bool()) // mispredict + val exu_i0_br_middle_r = Input(Bool()) // middle of bank + + val exu_i0_br_way_r = Input(Bool()) // way hit or repl + + val dec_i0_rs1_en_d = Output(Bool()) // Qualify GPR RS1 data + val dec_i0_rs2_en_d = Output(Bool()) // Qualify GPR RS2 data + val gpr_i0_rs1_d = Output(UInt(32.W)) // gpr rs1 data + val gpr_i0_rs2_d = Output(UInt(32.W)) // gpr rs2 data + + val dec_i0_immed_d = Output(UInt(32.W)) // immediate data + val dec_i0_br_immed_d = Output(UInt(13.W)) // br immediate data + + val i0_ap = Output(new el2_alu_pkt_t)// alu packet + + val dec_i0_alu_decode_d = Output(Bool()) // schedule on D-stage alu + + val dec_i0_select_pc_d = Output(Bool()) // select pc onto rs1 for jal's + + val dec_i0_pc_d = Output(UInt(32.W)) // pc's at decode + val dec_i0_rs1_bypass_en_d = Output(UInt(2.W)) // rs1 bypass enable + val dec_i0_rs2_bypass_en_d = Output(UInt(2.W)) // rs2 bypass enable + + val dec_i0_rs1_bypass_data_d = Output(UInt(32.W)) // rs1 bypass data + val dec_i0_rs2_bypass_data_d = Output(UInt(32.W)) // rs2 bypass data + + val lsu_p = Output(new el2_lsu_pkt_t) // lsu packet + val mul_p = Output(new el2_mul_pkt_t) // mul packet + val div_p = Output(new el2_div_pkt_t) // div packet + val dec_div_cancel = Output(Bool()) // cancel divide operation + + val dec_lsu_offset_d = Output(UInt(12.W)) // 12b offset for load/store addresses + + val dec_csr_ren_d = Output(Bool()) // csr read enable + + + val dec_tlu_flush_lower_r = Output(Bool()) // tlu flush due to late mp, exception, rfpc, or int + val dec_tlu_flush_path_r = Output(UInt(32.W)) // tlu flush target + val dec_tlu_i0_kill_writeb_r = Output(Bool()) // I0 is flushed, don't writeback any results to arch state + val dec_tlu_fence_i_r = Output(Bool()) // flush is a fence_i rfnpc, flush icache + + val pred_correct_npc_x = Output(UInt(32.W)) // npc if prediction is correct at e2 stage + + val dec_tlu_br0_r_pkt = Output(new el2_br_tlu_pkt_t) // slot 0 branch predictor update packet + + val dec_tlu_perfcnt0 = Output(Bool()) // toggles when slot0 perf counter 0 has an event inc + val dec_tlu_perfcnt1 = Output(Bool()) // toggles when slot0 perf counter 1 has an event inc + val dec_tlu_perfcnt2 = Output(Bool()) // toggles when slot0 perf counter 2 has an event inc + val dec_tlu_perfcnt3 = Output(Bool()) // toggles when slot0 perf counter 3 has an event inc + + val dec_i0_predict_p_d = Output(new el2_predict_pkt_t) // prediction packet to alus + val i0_predict_fghr_d = Output(UInt(BHT_GHR_SIZE.W)) // DEC predict fghr + val i0_predict_index_d = Output(UInt(BHT_ADDR_HI.W)) // DEC predict index + val i0_predict_btag_d = Output(UInt(BTB_BTAG_SIZE.W)) // DEC predict branch tag + + val dec_lsu_valid_raw_d = Output(Bool()) + + val dec_tlu_mrac_ff = Output(UInt(32.W)) // CSR for memory region control + + val dec_data_en = Output(UInt(2.W)) // clock-gate control logic + val dec_ctl_en = Output(UInt(2.W)) + + val ifu_i0_cinst = Input(UInt(16.W)) // 16b compressed instruction + + // val rv_trace_pkt = Output(new el2_trace_pkt_t) // trace packet + + // feature disable from mfdc + val dec_tlu_external_ldfwd_disable = Output(Bool()) // disable external load forwarding + val dec_tlu_sideeffect_posted_disable = Output(Bool()) // disable posted stores to side-effect address + val dec_tlu_core_ecc_disable = Output(Bool()) // disable core ECC + val dec_tlu_bpred_disable = Output(Bool()) // disable branch prediction + val dec_tlu_wb_coalescing_disable = Output(Bool()) // disable writebuffer coalescing + val dec_tlu_dma_qos_prty = Output(UInt(3.W)) // DMA QoS priority coming from MFDC [18:16] + + // clock gating overrides from mcgc + val dec_tlu_misc_clk_override = Output(Bool()) // override misc clock domain gating + val dec_tlu_ifu_clk_override = Output(Bool()) // override fetch clock domain gating + val dec_tlu_lsu_clk_override = Output(Bool()) // override load/store clock domain gating + val dec_tlu_bus_clk_override = Output(Bool()) // override bus clock domain gating + val dec_tlu_pic_clk_override = Output(Bool()) // override PIC clock domain gating + val dec_tlu_dccm_clk_override = Output(Bool()) // override DCCM clock domain gating + val dec_tlu_icm_clk_override = Output(Bool()) // override ICCM clock domain gating + + val dec_tlu_i0_commit_cmt = Output(Bool()) // committed i0 instruction + val scan_mode = Input(Bool()) + +} + +class el2_dec extends Module with param with RequireAsyncReset{ + val io = IO(new el2_dec_IO) + io.dec_i0_pc_d := 0.U + + + + // val dec_ib0_valid_d = WireInit(Bool(),0.B) + // + // val dec_pmu_instr_decoded = WireInit(Bool(),0.B) + // val dec_pmu_decode_stall = WireInit(Bool(),0.B) + // val dec_pmu_presync_stall = WireInit(Bool(),0.B) + // val dec_pmu_postsync_stall = WireInit(Bool(),0.B) + // + // val dec_tlu_wr_pause_r = WireInit(UInt(1.W),0.U) // CSR write to pause reg is at R. + // + // val dec_i0_rs1_d = WireInit(UInt(5.W),0.U) + // val dec_i0_rs2_d = WireInit(UInt(5.W),0.U) + // + // val dec_i0_instr_d = WireInit(UInt(32.W),0.U) + // + // val dec_tlu_pipelining_disable = WireInit(UInt(1.W),0.U) + // val dec_i0_waddr_r = WireInit(UInt(5.W),0.U) + // val dec_i0_wen_r = WireInit(UInt(5.W),0.U) + // val dec_i0_wdata_r = WireInit(UInt(32.W),0.U) + // val dec_csr_wen_r = WireInit(UInt(1.W),0.U) // csr write enable at wb + // val dec_csr_wraddr_r = WireInit(UInt(12.W),0.U) // write address for csryes + // val dec_csr_wrdata_r = WireInit(UInt(32.W),0.U) // csr write data at wb + // + // val dec_csr_rdaddr_d = WireInit(UInt(12.W),0.U) // read address for csr + // val dec_csr_rddata_d = WireInit(UInt(32.W),0.U) // csr read data at wb + // val dec_csr_legal_d = WireInit(Bool(),0.B) // csr indicates legal operation + // + // val dec_csr_wen_unq_d = WireInit(Bool(),0.B) // valid csr with write - for csr legal + // val dec_csr_any_unq_d = WireInit(Bool(),0.B) // valid csr - for csr legal + // val dec_csr_stall_int_ff = WireInit(Bool(),0.B) // csr is mie/mstatus + // + // val dec_tlu_packet_r = Wire(new el2_trap_pkt_t) + // + // val dec_i0_pc4_d = WireInit(UInt(1.W),0.U) + // val dec_tlu_presync_d = WireInit(UInt(1.W),0.U) + // val dec_tlu_postsync_d = WireInit(UInt(1.W),0.U) + // val dec_tlu_debug_stall = WireInit(UInt(1.W),0.U) + // val dec_illegal_inst = WireInit(UInt(32.W),0.U) + // val dec_i0_icaf_d = WireInit(UInt(1.W),0.U) + // val dec_i0_dbecc_d = WireInit(UInt(1.W),0.U) + // val dec_i0_icaf_f1_d = WireInit(UInt(1.W),0.U) + // val dec_i0_trigger_match_d = WireInit(UInt(4.W),0.U) + // val dec_debug_fence_d = WireInit(UInt(1.W),0.U) + // val dec_nonblock_load_wen = WireInit(UInt(1.W),0.U) + // val dec_nonblock_load_waddr = WireInit(UInt(5.W),0.U) + // val dec_tlu_flush_pause_r = WireInit(UInt(1.W),0.U) + // val dec_i0_brp = Wire(new el2_br_pkt_t) + // val dec_i0_bp_index = WireInit(UInt(BTB_ADDR_HI.W),0.U) + // val dec_i0_bp_fghr = WireInit(UInt(BHT_GHR_SIZE.W),0.U) + // val dec_i0_bp_btag = WireInit(UInt(BTB_BTAG_SIZE.W),0.U) + // + // val dec_tlu_i0_pc_r = WireInit(UInt(32.W),0.U) + // val dec_tlu_i0_kill_writeb_wb = WireInit(Bool(),0.B) + // val dec_tlu_flush_lower_wb = WireInit(Bool(),0.B) + // val dec_tlu_i0_valid_r = WireInit(Bool(),0.B) + // + // val dec_pause_state = WireInit(Bool(),0.B) + // + // val dec_i0_icaf_type_d = WireInit(UInt(2.W),0.U) // i0 instruction access fault type + // + // val dec_tlu_flush_extint = WireInit(Bool(),0.B)// Fast ext int started + // + val dec_i0_inst_wb1 = WireInit(UInt(32.W),0.U) + val dec_i0_pc_wb1 = WireInit(UInt(32.W),0.U) + val dec_tlu_i0_valid_wb1 = WireInit(UInt(1.W),0.U) + val dec_tlu_int_valid_wb1 = WireInit(UInt(1.W),0.U) + + val dec_tlu_exc_cause_wb1 = WireInit(UInt(5.W),0.U) + val dec_tlu_mtval_wb1 = WireInit(UInt(32.W),0.U) + val dec_tlu_i0_exc_valid_wb1 = WireInit(Bool(),0.B) + // + // val div_waddr_wb = WireInit(UInt(5.W),0.U) + // + // val dec_div_active = WireInit(Bool(),0.B) + + + //--------------------------------------------------------------------------// + val instbuff = Module(new el2_dec_ib_ctl) + val decode = Module(new el2_dec_decode_ctl) + val gpr = Module(new el2_dec_gpr_ctl) + val tlu = Module(new el2_dec_tlu_ctl) + val dec_trigger = Module(new el2_dec_trigger) + + //instbuff.io <> io // error "Connection between left (el2_dec_ib_ctl_IO(IO io in el2_dec_ib_ctl)) and source (el2_dec_IO(" + //--------------------------------------------------------------------------// + + //connections for el2_dec_Ib + //inputs + instbuff.io.dbg_cmd_valid := io.dbg_cmd_valid + instbuff.io.dbg_cmd_write := io.dbg_cmd_write + instbuff.io.dbg_cmd_type := io.dbg_cmd_type + instbuff.io.dbg_cmd_addr := io.dbg_cmd_addr + instbuff.io.i0_brp := io.i0_brp + instbuff.io.ifu_i0_bp_index := io.ifu_i0_bp_index + instbuff.io.ifu_i0_bp_fghr := io.ifu_i0_bp_fghr + instbuff.io.ifu_i0_bp_btag := io.ifu_i0_bp_btag + instbuff.io.ifu_i0_pc4 := io.ifu_i0_pc4 + instbuff.io.ifu_i0_valid := io.ifu_i0_valid + instbuff.io.ifu_i0_icaf := io.ifu_i0_icaf + instbuff.io.ifu_i0_icaf_type := io.ifu_i0_icaf_type + instbuff.io.ifu_i0_icaf_f1 := io.ifu_i0_icaf_f1 + instbuff.io.ifu_i0_dbecc := io.ifu_i0_dbecc + instbuff.io.ifu_i0_instr := io.ifu_i0_instr + instbuff.io.ifu_i0_pc := io.ifu_i0_pc + //outputs + decode.io.dec_ib0_valid_d := instbuff.io.dec_ib0_valid_d + decode.io.dec_i0_icaf_type_d :=instbuff.io.dec_i0_icaf_type_d + decode.io.dec_i0_instr_d :=instbuff.io.dec_i0_instr_d + decode.io.dec_i0_pc_d :=instbuff.io.dec_i0_pc_d + decode.io.dec_i0_pc4_d :=instbuff.io.dec_i0_pc4_d + decode.io.dec_i0_brp :=instbuff.io.dec_i0_brp + decode.io.dec_i0_bp_index :=instbuff.io.dec_i0_bp_index + decode.io.dec_i0_bp_fghr :=instbuff.io.dec_i0_bp_fghr + decode.io.dec_i0_bp_btag :=instbuff.io.dec_i0_bp_btag + decode.io.dec_i0_icaf_d :=instbuff.io.dec_i0_icaf_d + decode.io.dec_i0_icaf_f1_d :=instbuff.io.dec_i0_icaf_f1_d + decode.io.dec_i0_dbecc_d :=instbuff.io.dec_i0_dbecc_d + io.dec_debug_wdata_rs1_d := instbuff.io.dec_debug_wdata_rs1_d + decode.io.dec_debug_fence_d :=instbuff.io.dec_debug_fence_d + //--------------------------------------------------------------------------// + + //connections for dec_trigger + //dec_trigger.io <> io + //inputs + dec_trigger.io.dec_i0_pc_d := instbuff.io.dec_i0_pc_d + dec_trigger.io.trigger_pkt_any := tlu.io.trigger_pkt_any + //output + val dec_i0_trigger_match_d = dec_trigger.io.dec_i0_trigger_match_d + dontTouch(dec_i0_trigger_match_d) + //--------------------------------------------------------------------------// + + //connections for el2_dec_decode + // decode.io <> io + //inputs + decode.io.dec_tlu_flush_extint := tlu.io.dec_tlu_flush_extint + decode.io.dec_tlu_force_halt := tlu.io.dec_tlu_force_halt + decode.io.ifu_i0_cinst := io.ifu_i0_cinst + decode.io.lsu_nonblock_load_valid_m := io.lsu_nonblock_load_valid_m + decode.io.lsu_nonblock_load_tag_m := io.lsu_nonblock_load_tag_m + decode.io.lsu_nonblock_load_inv_r := io.lsu_nonblock_load_inv_r + decode.io.lsu_nonblock_load_inv_tag_r := io.lsu_nonblock_load_inv_tag_r + decode.io.lsu_nonblock_load_data_valid := io.lsu_nonblock_load_data_valid + decode.io.lsu_nonblock_load_data_error := io.lsu_nonblock_load_data_error + decode.io.lsu_nonblock_load_data_tag := io.lsu_nonblock_load_data_tag + decode.io.lsu_nonblock_load_data := io.lsu_nonblock_load_data + decode.io.dec_i0_trigger_match_d := dec_i0_trigger_match_d + decode.io.dec_tlu_wr_pause_r := tlu.io.dec_tlu_wr_pause_r + decode.io.dec_tlu_pipelining_disable := tlu.io.dec_tlu_pipelining_disable + decode.io.lsu_trigger_match_m := io.lsu_trigger_match_m + decode.io.lsu_pmu_misaligned_m := io.lsu_pmu_bus_misaligned + decode.io.dec_tlu_debug_stall := tlu.io.dec_tlu_debug_stall + decode.io.dec_tlu_flush_leak_one_r := tlu.io.dec_tlu_flush_leak_one_r + decode.io.dec_debug_fence_d := instbuff.io.dec_debug_fence_d + decode.io.dbg_cmd_wrdata := io.dbg_cmd_wrdata + decode.io.dec_i0_icaf_d := instbuff.io.dec_i0_icaf_d + decode.io.dec_i0_icaf_f1_d := instbuff.io.dec_i0_icaf_f1_d + decode.io.dec_i0_icaf_type_d := instbuff.io.dec_i0_icaf_type_d + decode.io.dec_i0_dbecc_d := instbuff.io.dec_i0_dbecc_d + decode.io.dec_i0_brp := instbuff.io.dec_i0_brp + decode.io.dec_i0_bp_index := instbuff.io.dec_i0_bp_index + decode.io.dec_i0_bp_fghr := instbuff.io.dec_i0_bp_fghr + decode.io.dec_i0_bp_btag := instbuff.io.dec_i0_bp_btag + decode.io.dec_i0_pc_d := instbuff.io.dec_i0_pc_d + decode.io.lsu_idle_any := io.lsu_idle_any + decode.io.lsu_load_stall_any := io.lsu_load_stall_any + decode.io.lsu_store_stall_any := io.lsu_store_stall_any + decode.io.dma_dccm_stall_any := io.dma_dccm_stall_any + decode.io.exu_div_wren := io.exu_div_wren + decode.io.dec_tlu_i0_kill_writeb_wb := tlu.io.dec_tlu_i0_kill_writeb_wb + decode.io.dec_tlu_flush_lower_wb := tlu.io.dec_tlu_flush_lower_wb + decode.io.dec_tlu_i0_kill_writeb_r := tlu.io.dec_tlu_i0_kill_writeb_r + decode.io.dec_tlu_flush_lower_r := tlu.io.dec_tlu_flush_lower_r + decode.io.dec_tlu_flush_pause_r := tlu.io.dec_tlu_flush_pause_r + decode.io.dec_tlu_presync_d := tlu.io.dec_tlu_presync_d + decode.io.dec_tlu_postsync_d := tlu.io.dec_tlu_postsync_d + decode.io.dec_i0_pc4_d := instbuff.io.dec_i0_pc_d + decode.io.dec_csr_rddata_d := tlu.io.dec_csr_rddata_d + decode.io.dec_csr_legal_d := tlu.io.dec_csr_legal_d + decode.io.exu_csr_rs1_x := io.exu_csr_rs1_x + decode.io.lsu_result_m := io.lsu_result_m + decode.io.lsu_result_corr_r := io.lsu_result_corr_r + decode.io.exu_flush_final := io.exu_flush_final + decode.io.exu_i0_pc_x := io.exu_i0_pc_x + decode.io.dec_i0_instr_d := instbuff.io.dec_i0_instr_d + decode.io.dec_ib0_valid_d := instbuff.io.dec_ib0_valid_d + decode.io.exu_i0_result_x := io.exu_i0_result_x + //decode.io.clk := io.clk + decode.io.free_clk := io.free_clk + decode.io.active_clk := io.active_clk + decode.io.clk_override := tlu.io.dec_tlu_dec_clk_override + // decode.io.rst_l := io.rst_l + decode.io.scan_mode := io.scan_mode + //outputs + io.dec_extint_stall := decode.io.dec_extint_stall + dec_i0_inst_wb1 := decode.io.dec_i0_inst_wb1 //for tracer + dec_i0_pc_wb1 := decode.io.dec_i0_pc_wb1 //for tracer + io.dec_i0_rs1_en_d := decode.io.dec_i0_rs1_en_d + io.dec_i0_rs2_en_d := decode.io.dec_i0_rs2_en_d + gpr.io.raddr0 := decode.io.dec_i0_rs1_d + gpr.io.raddr1 := decode.io.dec_i0_rs2_d + io.dec_i0_immed_d := decode.io.dec_i0_immed_d + io.dec_i0_br_immed_d := decode.io.dec_i0_br_immed_d + io.i0_ap := decode.io.i0_ap + io.dec_i0_decode_d := decode.io.dec_i0_decode_d + io.dec_i0_alu_decode_d := decode.io.dec_i0_alu_decode_d + io.dec_i0_rs1_bypass_data_d := decode.io.dec_i0_rs1_bypass_data_d + io.dec_i0_rs2_bypass_data_d := decode.io.dec_i0_rs2_bypass_data_d + gpr.io.waddr0 := decode.io.dec_i0_waddr_r + gpr.io.wen0 := decode.io.dec_i0_wen_r + gpr.io.wd0 := decode.io.dec_i0_wdata_r + io.dec_i0_select_pc_d := decode.io.dec_i0_select_pc_d + io.dec_i0_rs1_bypass_en_d := decode.io.dec_i0_rs1_bypass_en_d + io.dec_i0_rs2_bypass_en_d := decode.io.dec_i0_rs2_bypass_en_d + io.lsu_p := decode.io.lsu_p + io.mul_p := decode.io.mul_p + io.div_p := decode.io.div_p + gpr.io.waddr2 := decode.io.div_waddr_wb + io.dec_div_cancel := decode.io.dec_div_cancel + io.dec_lsu_valid_raw_d := decode.io.dec_lsu_valid_raw_d + io.dec_lsu_offset_d := decode.io.dec_lsu_offset_d + io.dec_csr_ren_d := decode.io.dec_csr_ren_d + tlu.io.dec_csr_wen_unq_d := decode.io.dec_csr_wen_unq_d + tlu.io.dec_csr_any_unq_d := decode.io.dec_csr_any_unq_d + tlu.io.dec_csr_rdaddr_d := decode.io.dec_csr_rdaddr_d + tlu.io.dec_csr_wen_r := decode.io.dec_csr_wen_r + tlu.io.dec_csr_wraddr_r := decode.io.dec_csr_wraddr_r + tlu.io.dec_csr_wrdata_r := decode.io.dec_csr_wrdata_r + tlu.io.dec_csr_stall_int_ff := decode.io.dec_csr_stall_int_ff + tlu.io.dec_tlu_i0_valid_r := decode.io.dec_tlu_i0_valid_r + tlu.io.dec_tlu_packet_r := decode.io.dec_tlu_packet_r + tlu.io.dec_tlu_i0_pc_r := decode.io.dec_tlu_i0_pc_r + tlu.io.dec_illegal_inst := decode.io.dec_illegal_inst + io.pred_correct_npc_x := decode.io.pred_correct_npc_x + io.dec_i0_predict_p_d := decode.io.dec_i0_predict_p_d + io.i0_predict_fghr_d := decode.io.i0_predict_fghr_d + io.i0_predict_index_d := decode.io.i0_predict_index_d + io.i0_predict_btag_d := decode.io.i0_predict_btag_d + io.dec_data_en := decode.io.dec_data_en + io.dec_ctl_en := decode.io.dec_ctl_en + tlu.io.dec_pmu_postsync_stall := decode.io.dec_pmu_instr_decoded + tlu.io.dec_pmu_postsync_stall := decode.io.dec_pmu_decode_stall + tlu.io.dec_pmu_postsync_stall := decode.io.dec_pmu_presync_stall + tlu.io.dec_pmu_postsync_stall := decode.io.dec_pmu_postsync_stall + tlu.io.dec_pmu_postsync_stall := decode.io.dec_nonblock_load_wen + tlu.io.dec_pmu_postsync_stall := decode.io.dec_nonblock_load_waddr + tlu.io.dec_pmu_postsync_stall := decode.io.dec_pause_state + io.dec_pause_state_cg := decode.io.dec_pause_state_cg + tlu.io.dec_div_active := decode.io.dec_div_active + //--------------------------------------------------------------------------// + + + //connections for gprfile + // gpr.io <> io + //inputs + gpr.io.raddr0 := decode.io.dec_i0_rs1_d + gpr.io.raddr1 := decode.io.dec_i0_rs2_d + gpr.io.wen0 := decode.io.dec_i0_wen_r + gpr.io.waddr0 := decode.io.dec_i0_waddr_r + gpr.io.wd0 := decode.io.dec_i0_wdata_r + gpr.io.wen1 := decode.io.dec_nonblock_load_wen + gpr.io.waddr1 := decode.io.dec_nonblock_load_waddr + gpr.io.wd1 := io.lsu_nonblock_load_data + gpr.io.wen2 := io.exu_div_wren + gpr.io.waddr2 := decode.io.div_waddr_wb + gpr.io.wd2 := io.exu_div_result + //gpr.io.clk := io.clk + //gpr.io.rst_l := io.rst_l + gpr.io.scan_mode := io.scan_mode + // outputs + io.gpr_i0_rs1_d := gpr.io.rd0 + io.gpr_i0_rs2_d := gpr.io.rd1 + //--------------------------------------------------------------------------// + + + + //connection for dec_tlu + // tlu.io <> io + //inputs + //tlu.io.clk := io.clk + tlu.io.active_clk := io.active_clk + tlu.io.free_clk := io.free_clk + // tlu.io.rst_l := io.rst_l + tlu.io.scan_mode := io.scan_mode + tlu.io.rst_vec := io.rst_vec + tlu.io.nmi_int := io.nmi_int + tlu.io.nmi_vec := io.nmi_vec + tlu.io.i_cpu_halt_req := io.i_cpu_halt_req + tlu.io.i_cpu_run_req := io.i_cpu_run_req + tlu.io.lsu_fastint_stall_any := io.lsu_fastint_stall_any + tlu.io.ifu_pmu_instr_aligned := io.ifu_pmu_instr_aligned + tlu.io.ifu_pmu_fetch_stall := io.ifu_pmu_fetch_stall + tlu.io.ifu_pmu_ic_miss := io.ifu_pmu_ic_miss + tlu.io.ifu_pmu_ic_hit := io.ifu_pmu_ic_hit + tlu.io.ifu_pmu_bus_error := io.ifu_pmu_bus_error + tlu.io.ifu_pmu_bus_busy := io.ifu_pmu_bus_busy + tlu.io.ifu_pmu_bus_trxn := io.ifu_pmu_bus_trxn + tlu.io.dec_pmu_instr_decoded := decode.io.dec_pmu_instr_decoded + tlu.io.dec_pmu_decode_stall := decode.io.dec_pmu_decode_stall + tlu.io.dec_pmu_presync_stall := decode.io.dec_pmu_presync_stall + tlu.io.dec_pmu_postsync_stall := decode.io.dec_pmu_postsync_stall + tlu.io.lsu_store_stall_any := io.lsu_store_stall_any + tlu.io.dma_dccm_stall_any := io.dma_dccm_stall_any + tlu.io.dma_iccm_stall_any := io.dma_iccm_stall_any + tlu.io.exu_pmu_i0_br_misp := io.exu_pmu_i0_br_misp + tlu.io.exu_pmu_i0_br_ataken := io.exu_pmu_i0_br_ataken + tlu.io.exu_pmu_i0_pc4 := io.exu_pmu_i0_pc4 + tlu.io.lsu_pmu_bus_trxn := io.lsu_pmu_bus_trxn + tlu.io.lsu_pmu_bus_misaligned := io.lsu_pmu_bus_misaligned + tlu.io.lsu_pmu_bus_error := io.lsu_pmu_bus_error + tlu.io.lsu_pmu_bus_busy := io.lsu_pmu_bus_busy + tlu.io.lsu_pmu_load_external_m := io.lsu_pmu_load_external_m + tlu.io.lsu_pmu_store_external_m := io.lsu_pmu_store_external_m + tlu.io.dma_pmu_dccm_read := io.dma_pmu_dccm_read + tlu.io.dma_pmu_dccm_write := io.dma_pmu_dccm_write + tlu.io.dma_pmu_any_read := io.dma_pmu_any_read + tlu.io.dma_pmu_any_write := io.dma_pmu_any_write + tlu.io.lsu_fir_addr := io.lsu_fir_addr + tlu.io.lsu_fir_error := io.lsu_fir_error + tlu.io.iccm_dma_sb_error := io.iccm_dma_sb_error + tlu.io.lsu_error_pkt_r := io.lsu_error_pkt_r + tlu.io.lsu_single_ecc_error_incr := io.lsu_single_ecc_error_incr + tlu.io.dec_pause_state := decode.io.dec_pause_state + tlu.io.lsu_imprecise_error_store_any := io.lsu_imprecise_error_store_any + tlu.io.lsu_imprecise_error_load_any := io.lsu_imprecise_error_load_any + tlu.io.lsu_imprecise_error_addr_any := io.lsu_imprecise_error_addr_any + tlu.io.dec_csr_wen_unq_d := decode.io.dec_csr_wen_unq_d + tlu.io.dec_csr_any_unq_d := decode.io.dec_csr_any_unq_d + tlu.io.dec_csr_rdaddr_d := decode.io.dec_csr_rdaddr_d + tlu.io.dec_csr_wen_r := decode.io.dec_csr_wen_r + tlu.io.dec_csr_wraddr_r := decode.io.dec_csr_wraddr_r + tlu.io.dec_csr_wrdata_r := decode.io.dec_csr_wrdata_r + tlu.io.dec_csr_stall_int_ff := decode.io.dec_csr_stall_int_ff + tlu.io.dec_tlu_i0_valid_r := decode.io.dec_tlu_i0_valid_r + tlu.io.exu_npc_r := io.exu_npc_r + tlu.io.dec_tlu_i0_pc_r := decode.io.dec_tlu_i0_pc_r + tlu.io.dec_tlu_packet_r := decode.io.dec_tlu_packet_r + tlu.io.dec_illegal_inst := decode.io.dec_illegal_inst + tlu.io.dec_i0_decode_d := decode.io.dec_i0_decode_d + tlu.io.exu_i0_br_hist_r := io.exu_i0_br_hist_r + tlu.io.exu_i0_br_error_r := io.exu_i0_br_error_r + tlu.io.exu_i0_br_start_error_r := io.exu_i0_br_start_error_r + tlu.io.exu_i0_br_valid_r := io.exu_i0_br_valid_r + tlu.io.exu_i0_br_mp_r := io.exu_i0_br_mp_r + tlu.io.exu_i0_br_middle_r := io.exu_i0_br_middle_r + tlu.io.exu_i0_br_way_r := io.exu_i0_br_way_r + tlu.io.dbg_halt_req := io.dbg_halt_req + tlu.io.dbg_resume_req := io.dbg_resume_req + tlu.io.ifu_miss_state_idle := io.ifu_miss_state_idle + tlu.io.lsu_idle_any := io.lsu_idle_any + tlu.io.dec_div_active := decode.io.dec_div_active + tlu.io.ifu_ic_error_start := io.ifu_ic_error_start + tlu.io.ifu_iccm_rd_ecc_single_err := io.ifu_iccm_rd_ecc_single_err + tlu.io.ifu_ic_debug_rd_data := io.ifu_ic_debug_rd_data + tlu.io.ifu_ic_debug_rd_data_valid := io.ifu_ic_debug_rd_data_valid + tlu.io.pic_claimid := io.pic_claimid + tlu.io.pic_pl := io.pic_pl + tlu.io.mhwakeup := io.mhwakeup + tlu.io.mexintpend := io.mexintpend + tlu.io.timer_int := io.timer_int + tlu.io.soft_int := io.soft_int + tlu.io.core_id := io.core_id + tlu.io.mpc_debug_halt_req := io.mpc_debug_halt_req + tlu.io.mpc_debug_run_req := io.mpc_debug_run_req + tlu.io.mpc_reset_run_req := io.mpc_reset_run_req + //outputs + io.dec_dbg_cmd_done := tlu.io.dec_dbg_cmd_done + io.dec_dbg_cmd_fail := tlu.io.dec_dbg_cmd_fail + io.dec_tlu_dbg_halted := tlu.io.dec_tlu_dbg_halted + io.dec_tlu_debug_mode := tlu.io.dec_tlu_debug_mode + io.dec_tlu_resume_ack := tlu.io.dec_tlu_resume_ack + decode.io.dec_tlu_debug_stall := tlu.io.dec_tlu_debug_stall + io.dec_tlu_flush_noredir_r := tlu.io.dec_tlu_flush_noredir_r + io.dec_tlu_mpc_halted_only := tlu.io.dec_tlu_mpc_halted_only + io.dec_tlu_flush_leak_one_r := tlu.io.dec_tlu_flush_leak_one_r + io.dec_tlu_flush_err_r := tlu.io.dec_tlu_flush_err_r + decode.io.dec_tlu_flush_extint := tlu.io.dec_tlu_flush_extint + io.dec_tlu_meihap := tlu.io.dec_tlu_meihap + io.trigger_pkt_any := tlu.io.trigger_pkt_any + io.dec_tlu_ic_diag_pkt := tlu.io.dec_tlu_ic_diag_pkt + io.o_cpu_halt_status := tlu.io.o_cpu_halt_status + io.o_cpu_halt_ack := tlu.io.o_cpu_halt_ack + io.o_cpu_run_ack := tlu.io.o_cpu_run_ack + io.o_debug_mode_status := tlu.io.o_debug_mode_status + io.mpc_debug_halt_ack := tlu.io.mpc_debug_halt_ack + io.mpc_debug_run_ack := tlu.io.mpc_debug_run_ack + io.debug_brkpt_status := tlu.io.debug_brkpt_status + io.dec_tlu_meicurpl := tlu.io.dec_tlu_meicurpl + io.dec_tlu_meipt := tlu.io.dec_tlu_meipt + decode.io.dec_csr_rddata_d := tlu.io.dec_csr_rddata_d + decode.io.dec_csr_legal_d := tlu.io.dec_csr_legal_d + io.dec_tlu_br0_r_pkt := tlu.io.dec_tlu_br0_r_pkt + decode.io.dec_tlu_i0_kill_writeb_wb := tlu.io.dec_tlu_i0_kill_writeb_wb + decode.io.dec_tlu_flush_lower_wb := tlu.io.dec_tlu_flush_lower_wb + io.dec_tlu_i0_commit_cmt := tlu.io.dec_tlu_i0_commit_cmt + io.dec_tlu_i0_kill_writeb_r := tlu.io.dec_tlu_i0_kill_writeb_r + io.dec_tlu_flush_lower_r := tlu.io.dec_tlu_flush_lower_r + io.dec_tlu_flush_path_r := tlu.io.dec_tlu_flush_path_r + io.dec_tlu_fence_i_r := tlu.io.dec_tlu_fence_i_r + decode.io.dec_tlu_wr_pause_r := tlu.io.dec_tlu_wr_pause_r + decode.io.dec_tlu_flush_pause_r := tlu.io.dec_tlu_flush_pause_r + decode.io.dec_tlu_presync_d := tlu.io.dec_tlu_presync_d + decode.io.dec_tlu_postsync_d := tlu.io.dec_tlu_postsync_d + io.dec_tlu_mrac_ff := tlu.io.dec_tlu_mrac_ff + io.dec_tlu_force_halt := tlu.io.dec_tlu_force_halt + io.dec_tlu_perfcnt0 := tlu.io.dec_tlu_perfcnt0 + io.dec_tlu_perfcnt1 := tlu.io.dec_tlu_perfcnt1 + io.dec_tlu_perfcnt2 := tlu.io.dec_tlu_perfcnt2 + io.dec_tlu_perfcnt3 := tlu.io.dec_tlu_perfcnt3 + dec_tlu_i0_exc_valid_wb1 := tlu.io.dec_tlu_i0_exc_valid_wb1 + dec_tlu_i0_valid_wb1 := tlu.io.dec_tlu_i0_valid_wb1 + dec_tlu_int_valid_wb1 := tlu.io.dec_tlu_int_valid_wb1 + dec_tlu_exc_cause_wb1 := tlu.io.dec_tlu_exc_cause_wb1 + dec_tlu_mtval_wb1 := tlu.io.dec_tlu_mtval_wb1 + io.dec_tlu_external_ldfwd_disable := tlu.io.dec_tlu_external_ldfwd_disable + io.dec_tlu_sideeffect_posted_disable := tlu.io.dec_tlu_sideeffect_posted_disable + io.dec_tlu_core_ecc_disable := tlu.io.dec_tlu_core_ecc_disable + io.dec_tlu_bpred_disable := tlu.io.dec_tlu_bpred_disable + io.dec_tlu_wb_coalescing_disable := tlu.io.dec_tlu_wb_coalescing_disable + // := tlu.io.dec_tlu_pipelining_disable + io.dec_tlu_dma_qos_prty := tlu.io.dec_tlu_dma_qos_prty + io.dec_tlu_misc_clk_override := tlu.io.dec_tlu_misc_clk_override + //decode.io.clk_override := tlu.io.dec_tlu_dec_clk_override + io.dec_tlu_ifu_clk_override := tlu.io.dec_tlu_ifu_clk_override + io.dec_tlu_lsu_clk_override := tlu.io.dec_tlu_lsu_clk_override + io.dec_tlu_bus_clk_override := tlu.io.dec_tlu_bus_clk_override + io.dec_tlu_pic_clk_override := tlu.io.dec_tlu_pic_clk_override + io.dec_tlu_dccm_clk_override := tlu.io.dec_tlu_dccm_clk_override + io.dec_tlu_icm_clk_override := tlu.io.dec_tlu_icm_clk_override + + //--------------------------------------------------------------------------// + + + + // debug command read data + io.dec_dbg_rddata := decode.io.dec_i0_wdata_r +} +object dec_main extends App { + println((new chisel3.stage.ChiselStage).emitVerilog( new el2_dec())) +} diff --git a/src/main/scala/dec/el2_dec_decode_ctl.scala b/src/main/scala/dec/el2_dec_decode_ctl.scala new file mode 100644 index 00000000..46932215 --- /dev/null +++ b/src/main/scala/dec/el2_dec_decode_ctl.scala @@ -0,0 +1,827 @@ +package dec +import chisel3._ +import scala.collection._ +import chisel3.util._ +import include._ +import lib._ + +class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ + val io = IO(new Bundle{ + + val dec_tlu_flush_extint = Input(Bool()) + val dec_tlu_force_halt = Input(Bool()) // invalidate nonblock load cam on a force halt event + val dec_extint_stall = Output(Bool()) + val ifu_i0_cinst = Input(UInt(16.W)) // 16b compressed instruction + val dec_i0_inst_wb1 = Output(UInt(32.W)) // 32b instruction at wb+1 for trace encoder + val dec_i0_pc_wb1 = Output(UInt(31.W)) // 31b pc at wb+1 for trace encoder + val lsu_nonblock_load_valid_m = Input(Bool()) // valid nonblock load at m + val lsu_nonblock_load_tag_m = Input(UInt(LSU_NUM_NBLOAD_WIDTH.W)) // -> corresponding tag + val lsu_nonblock_load_inv_r = Input(Bool()) // invalidate request for nonblock load r + val lsu_nonblock_load_inv_tag_r = Input(UInt(LSU_NUM_NBLOAD_WIDTH.W)) // -> corresponding tag + val lsu_nonblock_load_data_valid = Input(Bool()) // valid nonblock load data back + val lsu_nonblock_load_data_error = Input(Bool()) // nonblock load bus error + val lsu_nonblock_load_data_tag = Input(UInt(LSU_NUM_NBLOAD_WIDTH.W)) // -> corresponding tag + val lsu_nonblock_load_data = Input(UInt(32.W)) // nonblock load data + val dec_i0_trigger_match_d = Input(UInt(4.W)) // i0 decode trigger matches + val dec_tlu_wr_pause_r = Input(Bool()) // pause instruction at r + val dec_tlu_pipelining_disable = Input(Bool()) // pipeline disable - presync, i0 decode only + val lsu_trigger_match_m = Input(UInt(4.W)) // lsu trigger matches + val lsu_pmu_misaligned_m = Input(Bool()) // perf mon: load/store misalign + val dec_tlu_debug_stall = Input(Bool()) // debug stall decode + val dec_tlu_flush_leak_one_r = Input(Bool()) // leak1 instruction + val dec_debug_fence_d = Input(Bool()) // debug fence instruction + val dbg_cmd_wrdata = Input(UInt(2.W)) // disambiguate fence, fence_i + val dec_i0_icaf_d = Input(Bool()) // icache access fault + val dec_i0_icaf_f1_d = Input(Bool()) // i0 instruction access fault at decode for f1 fetch group + val dec_i0_icaf_type_d = Input(UInt(2.W)) // i0 instruction access fault type + val dec_i0_dbecc_d = Input(Bool()) // icache/iccm double-bit error + val dec_i0_brp = Input(new el2_br_pkt_t) // branch packet + val dec_i0_bp_index = Input(UInt(((BTB_ADDR_HI-BTB_ADDR_LO)+1).W)) // i0 branch index + val dec_i0_bp_fghr = Input(UInt(BHT_GHR_SIZE.W)) // BP FGHR + val dec_i0_bp_btag = Input(UInt(BTB_BTAG_SIZE.W)) // BP tag + val dec_i0_pc_d = Input(UInt(31.W)) // pc + val lsu_idle_any = Input(Bool()) // lsu idle: if fence instr & !!!!!!!!!!!!!!!!!!!!!!!!!lsu_idle then stall decode + val lsu_load_stall_any = Input(Bool()) // stall any load at decode + val lsu_store_stall_any = Input(Bool()) // stall any store at decode6 + val dma_dccm_stall_any = Input(Bool()) // stall any load/store at decode + val exu_div_wren = Input(Bool()) // nonblocking divide write enable to GPR. + val dec_tlu_i0_kill_writeb_wb = Input(Bool()) // I0 is flushed, don't writeback any results to arch state + val dec_tlu_flush_lower_wb = Input(Bool()) // trap lower flush + val dec_tlu_i0_kill_writeb_r = Input(Bool()) // I0 is flushed, don't writeback any results to arch state + val dec_tlu_flush_lower_r = Input(Bool()) // trap lower flush + val dec_tlu_flush_pause_r = Input(Bool()) // don't clear pause state on initial lower flush + val dec_tlu_presync_d = Input(Bool()) // CSR read needs to be presync'd + val dec_tlu_postsync_d = Input(Bool()) // CSR ops that need to be postsync'd + val dec_i0_pc4_d = Input(Bool()) // inst is 4B inst else 2B + val dec_csr_rddata_d = Input(UInt(32.W)) // csr read data at wb + val dec_csr_legal_d = Input(Bool()) // csr indicates legal operation + val exu_csr_rs1_x = Input(UInt(32.W)) // rs1 for csr instr + val lsu_result_m = Input(UInt(32.W)) // load result + val lsu_result_corr_r = Input(UInt(32.W)) // load result - corrected data for writing gpr's, not for bypassing + val exu_flush_final = Input(Bool()) // lower flush or i0 flush at X or D + val exu_i0_pc_x = Input(UInt(31.W)) // pcs at e1 + val dec_i0_instr_d = Input(UInt(32.W)) // inst at decode + val dec_ib0_valid_d = Input(Bool()) // inst valid at decode + val exu_i0_result_x = Input(UInt(32.W)) // from primary alu's + val free_clk = Input(Clock()) + val active_clk = Input(Clock()) // clk except for halt / pause + val clk_override = Input(Bool()) // test stuff + + val dec_i0_rs1_en_d = Output(Bool()) // rs1 enable at decode + val dec_i0_rs2_en_d = Output(Bool()) + val dec_i0_rs1_d = Output(UInt(5.W)) // rs1 logical source + val dec_i0_rs2_d = Output(UInt(5.W)) + val dec_i0_immed_d = Output(UInt(32.W)) // 32b immediate data decode + val dec_i0_br_immed_d = Output(UInt(12.W)) // 12b branch immediate + val i0_ap = Output(new el2_alu_pkt_t) // alu packets + val dec_i0_decode_d = Output(Bool()) // i0 decode + val dec_i0_alu_decode_d = Output(Bool()) // decode to D-stage alu + val dec_i0_rs1_bypass_data_d = Output(UInt(32.W)) // i0 rs1 bypass data + val dec_i0_rs2_bypass_data_d = Output(UInt(32.W)) // i0 rs2 bypass data + val dec_i0_waddr_r = Output(UInt(5.W)) // i0 logical source to write to gpr's + val dec_i0_wen_r = Output(Bool()) // i0 write enable + val dec_i0_wdata_r = Output(UInt(32.W)) // i0 write data + val dec_i0_select_pc_d = Output(Bool()) // i0 select pc for rs1 - branches + val dec_i0_rs1_bypass_en_d = Output(UInt(2.W)) // i0 rs1 bypass enable + val dec_i0_rs2_bypass_en_d = Output(UInt(2.W)) // i0 rs2 bypass enable + val lsu_p = Output(new el2_lsu_pkt_t) // load/store packet + val mul_p = Output(new el2_mul_pkt_t) // multiply packet + val div_p = Output(new el2_div_pkt_t) // divide packet + val div_waddr_wb = Output(UInt(5.W)) // DIV write address to GPR + val dec_div_cancel = Output(Bool()) // cancel the divide operation + val dec_lsu_valid_raw_d = Output(Bool()) + val dec_lsu_offset_d = Output(UInt(12.W)) + val dec_csr_ren_d = Output(Bool()) // valid csr decode + val dec_csr_wen_unq_d = Output(Bool()) // valid csr with write - for csr legal + val dec_csr_any_unq_d = Output(Bool()) // valid csr - for csr legal + val dec_csr_rdaddr_d = Output(UInt(12.W)) // read address for csr + val dec_csr_wen_r = Output(Bool()) // csr write enable at r + val dec_csr_wraddr_r = Output(UInt(12.W)) // write address for csr + val dec_csr_wrdata_r = Output(UInt(32.W)) // csr write data at r + val dec_csr_stall_int_ff = Output(Bool()) // csr is mie/mstatus + val dec_tlu_i0_valid_r = Output(Bool()) // i0 valid inst at c + val dec_tlu_packet_r = Output(new el2_trap_pkt_t) // trap packet + val dec_tlu_i0_pc_r = Output(UInt(31.W)) // i0 trap pc + val dec_illegal_inst = Output(UInt(32.W)) // illegal inst + val pred_correct_npc_x = Output(UInt(31.W)) // npc e2 if the prediction is correct + val dec_i0_predict_p_d = Output(new el2_predict_pkt_t) // i0 predict packet decode + val i0_predict_fghr_d = Output(UInt(BHT_GHR_SIZE.W)) // i0 predict fghr + val i0_predict_index_d = Output(UInt(((BHT_ADDR_HI-BHT_ADDR_LO)+1).W)) // i0 predict index + val i0_predict_btag_d = Output(UInt(BTB_BTAG_SIZE.W)) // i0_predict branch tag + val dec_data_en = Output(UInt(2.W)) // clock-gating logic + val dec_ctl_en = Output(UInt(2.W)) + val dec_pmu_instr_decoded = Output(Bool()) // number of instructions decode this cycle encoded + val dec_pmu_decode_stall = Output(Bool()) // decode is stalled + val dec_pmu_presync_stall = Output(Bool()) // decode has presync stall + val dec_pmu_postsync_stall = Output(Bool()) // decode has postsync stall + val dec_nonblock_load_wen = Output(Bool()) // write enable for nonblock load + val dec_nonblock_load_waddr = Output(UInt(5.W)) // logical write addr for nonblock load + val dec_pause_state = Output(Bool()) // core in pause state + val dec_pause_state_cg = Output(Bool()) // pause state for clock-gating + val dec_div_active = Output(Bool()) // non-block divide is active + val scan_mode = Input(Bool()) + }) + ///////////////////////////////////////////////////////////////////////////////////////// + // //packets zero initialization + io.mul_p := 0.U.asTypeOf(io.mul_p) + // Vals defined + val leak1_i1_stall_in = WireInit(UInt(1.W), 0.U) + val leak1_i0_stall_in = WireInit(UInt(1.W), 0.U) + val i0r = Wire(new el2_reg_pkt_t) + val d_t = Wire(new el2_trap_pkt_t) + val x_t = Wire(new el2_trap_pkt_t) + val x_t_in = Wire(new el2_trap_pkt_t) + val r_t = Wire(new el2_trap_pkt_t) + val r_t_in = Wire(new el2_trap_pkt_t) + val d_d = Wire(new el2_dest_pkt_t) + val x_d = Wire(new el2_dest_pkt_t) + val r_d = Wire(new el2_dest_pkt_t) + val r_d_in = Wire(new el2_dest_pkt_t) + val wbd = Wire(new el2_dest_pkt_t) + val i0_d_c = Wire(new el2_class_pkt_t) + val i0_rs1_class_d = Wire(new el2_class_pkt_t) + val i0_rs2_class_d = Wire(new el2_class_pkt_t) + val i0_rs1_depth_d = WireInit(UInt(2.W),0.U) + val i0_rs2_depth_d = WireInit(UInt(2.W),0.U) + val cam_wen=WireInit(UInt(LSU_NUM_NBLOAD.W), 0.U) + val cam = Wire(Vec(LSU_NUM_NBLOAD,new el2_load_cam_pkt_t)) + val cam_write=WireInit(UInt(1.W), 0.U) + val cam_inv_reset_val=Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) + val cam_data_reset_val=Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) + val nonblock_load_write=Wire(Vec(LSU_NUM_NBLOAD,UInt(1.W))) + val cam_raw =Wire(Vec(LSU_NUM_NBLOAD,new el2_load_cam_pkt_t)) + val cam_in =Wire(Vec(LSU_NUM_NBLOAD,new el2_load_cam_pkt_t)) + //val i0_temp = Wire(new el2_inst_pkt_t) + val i0_dp= Wire(new el2_dec_pkt_t) + val i0_dp_raw= Wire(new el2_dec_pkt_t) + val i0_rs1bypass = WireInit(UInt(3.W), 0.U) + val i0_rs2bypass = WireInit(UInt(3.W), 0.U) + val illegal_lockout = WireInit(UInt(1.W), 0.U) + val postsync_stall = WireInit(UInt(1.W), 0.U) + val ps_stall_in = WireInit(UInt(1.W), 0.U) + val i0_pipe_en = WireInit(UInt(4.W), 0.U) + val i0_load_block_d = WireInit(UInt(1.W), 0.U) + val load_ldst_bypass_d = WireInit(UInt(1.W), 0.U) + val store_data_bypass_d = WireInit(UInt(1.W), 0.U) + val store_data_bypass_m = WireInit(UInt(1.W), 0.U) + val tlu_wr_pause_r1 = WireInit(UInt(1.W), 0.U) + val tlu_wr_pause_r2 = WireInit(UInt(1.W), 0.U) + val leak1_i1_stall = WireInit(UInt(1.W), 0.U) + val leak1_i0_stall = WireInit(UInt(1.W), 0.U) + val pause_state = WireInit(Bool(), 0.B) + val flush_final_r = WireInit(UInt(1.W), 0.U) + val illegal_lockout_in = WireInit(UInt(1.W), 0.U) + val lsu_idle = WireInit(Bool(), 0.B) + val pause_state_in = WireInit(Bool(), 0.B) + val leak1_mode = WireInit(UInt(1.W), 0.U) + val i0_pcall = WireInit(UInt(1.W), 0.U) + val i0_pja = WireInit(UInt(1.W), 0.U) + val i0_pret = WireInit(UInt(1.W), 0.U) + val i0_legal_decode_d = WireInit(UInt(1.W), 0.U) + val i0_pcall_raw = WireInit(UInt(1.W), 0.U) + val i0_pja_raw = WireInit(UInt(1.W), 0.U) + val i0_pret_raw = WireInit(UInt(1.W), 0.U) + val i0_br_offset = WireInit(UInt(12.W), 0.U) + val i0_csr_write_only_d = WireInit(UInt(1.W), 0.U) + val i0_jal = WireInit(UInt(1.W), 0.U) + val i0_wen_r = WireInit(UInt(1.W), 0.U) + val i0_x_ctl_en = WireInit(UInt(1.W), 0.U) + val i0_r_ctl_en = WireInit(UInt(1.W), 0.U) + val i0_wb_ctl_en = WireInit(UInt(1.W), 0.U) + val i0_x_data_en = WireInit(UInt(1.W), 0.U) + val i0_r_data_en = WireInit(UInt(1.W), 0.U) + val i0_wb_data_en = WireInit(UInt(1.W), 0.U) + val i0_wb1_data_en = WireInit(UInt(1.W), 0.U) + val i0_nonblock_load_stall = WireInit(UInt(1.W), 0.U) + val csr_ren_qual_d = WireInit(Bool(), 0.B) + val lsu_decode_d = WireInit(UInt(1.W), 0.U) + val mul_decode_d = WireInit(UInt(1.W), 0.U) + val div_decode_d = WireInit(UInt(1.W), 0.U) + val write_csr_data = WireInit(UInt(32.W),0.U) + val i0_result_corr_r = WireInit(UInt(32.W),0.U) + val presync_stall = WireInit(UInt(1.W), 0.U) + val i0_nonblock_div_stall = WireInit(UInt(1.W), 0.U) + val debug_fence = WireInit(Bool(), 0.B) + val i0_immed_d = WireInit(UInt(32.W), 0.U) + val i0_result_x = WireInit(UInt(32.W), 0.U) + val i0_result_r = WireInit(UInt(32.W), 0.U) + ////////////////////////////////////////////////////////////////////// + // Start - Data gating {{ + + val data_gate_en = (io.dec_tlu_wr_pause_r ^ tlu_wr_pause_r1 ) | // replaces free_clk + (tlu_wr_pause_r1 ^ tlu_wr_pause_r2 ) | // replaces free_clk + (io.dec_tlu_flush_extint ^ io.dec_extint_stall) | + (leak1_i1_stall_in ^ leak1_i1_stall ) | // replaces free_clk + (leak1_i0_stall_in ^ leak1_i0_stall ) | // replaces free_clk + (pause_state_in ^ pause_state ) | // replaces free_clk + (ps_stall_in ^ postsync_stall ) | // replaces free_clk + (io.exu_flush_final ^ flush_final_r ) | // replaces free_clk + (illegal_lockout_in ^ illegal_lockout ) // replaces active_clk + + val data_gated_cgc= Module(new rvclkhdr) + data_gated_cgc.io.en := data_gate_en + data_gated_cgc.io.scan_mode :=io.scan_mode + data_gated_cgc.io.clk :=clock + val data_gate_clk =data_gated_cgc.io.l1clk + + // End - Data gating }} + + val i0_brp_valid = io.dec_i0_brp.valid & !leak1_mode + io.dec_i0_predict_p_d.misp :=0.U + io.dec_i0_predict_p_d.ataken :=0.U + io.dec_i0_predict_p_d.boffset :=0.U + io.dec_i0_predict_p_d.pcall := i0_pcall // don't mark as pcall if branch error + io.dec_i0_predict_p_d.pja := i0_pja + io.dec_i0_predict_p_d.pret := i0_pret + io.dec_i0_predict_p_d.prett := io.dec_i0_brp.prett + io.dec_i0_predict_p_d.pc4 := io.dec_i0_pc4_d + io.dec_i0_predict_p_d.hist := io.dec_i0_brp.hist + io.dec_i0_predict_p_d.valid := i0_brp_valid & i0_legal_decode_d + val i0_notbr_error = i0_brp_valid & !(i0_dp_raw.condbr | i0_pcall_raw | i0_pja_raw | i0_pret_raw) + + // no toffset error for a pret + val i0_br_toffset_error = i0_brp_valid & io.dec_i0_brp.hist(1) & (io.dec_i0_brp.toffset =/= i0_br_offset) & !i0_pret_raw + val i0_ret_error = i0_brp_valid & io.dec_i0_brp.ret & !i0_pret_raw; + val i0_br_error = io.dec_i0_brp.br_error | i0_notbr_error | i0_br_toffset_error | i0_ret_error + io.dec_i0_predict_p_d.br_error := i0_br_error & i0_legal_decode_d & !leak1_mode + io.dec_i0_predict_p_d.br_start_error := io.dec_i0_brp.br_start_error & i0_legal_decode_d & !leak1_mode + io.i0_predict_index_d := io.dec_i0_bp_index + io.i0_predict_btag_d := io.dec_i0_bp_btag + val i0_br_error_all = (i0_br_error | io.dec_i0_brp.br_start_error) & !leak1_mode + io.dec_i0_predict_p_d.toffset := i0_br_offset + io.i0_predict_fghr_d := io.dec_i0_bp_fghr + io.dec_i0_predict_p_d.way := io.dec_i0_brp.way + // end + + // on br error turn anything into a nop + // on i0 instruction fetch access fault turn anything into a nop + // nop => alu rs1 imm12 rd lor + val i0_icaf_d = io.dec_i0_icaf_d | io.dec_i0_dbecc_d + + val i0_instr_error = i0_icaf_d; + i0_dp := i0_dp_raw + when((i0_br_error_all | i0_instr_error).asBool){ + i0_dp := 0.U.asTypeOf(i0_dp) + i0_dp.alu := 1.B + i0_dp.rs1 := 1.B + i0_dp.rs2 := 1.B + i0_dp.lor := 1.B + i0_dp.legal := 1.B + i0_dp.postsync := 1.B + } + + val i0 = io.dec_i0_instr_d + io.dec_i0_select_pc_d := i0_dp.pc; + + // branches that can be predicted + val i0_predict_br = i0_dp.condbr | i0_pcall | i0_pja | i0_pret; + + val i0_predict_nt = !(io.dec_i0_brp.hist(1) & i0_brp_valid) & i0_predict_br + val i0_predict_t = (io.dec_i0_brp.hist(1) & i0_brp_valid) & i0_predict_br + val i0_ap_pc2 = !io.dec_i0_pc4_d + val i0_ap_pc4 = io.dec_i0_pc4_d + io.i0_ap.predict_nt := i0_predict_nt + io.i0_ap.predict_t := i0_predict_t + + io.i0_ap.add := i0_dp.add + io.i0_ap.sub := i0_dp.sub + io.i0_ap.land := i0_dp.land + io.i0_ap.lor := i0_dp.lor + io.i0_ap.lxor := i0_dp.lxor + io.i0_ap.sll := i0_dp.sll + io.i0_ap.srl := i0_dp.srl + io.i0_ap.sra := i0_dp.sra + io.i0_ap.slt := i0_dp.slt + io.i0_ap.unsign := i0_dp.unsign + io.i0_ap.beq := i0_dp.beq + io.i0_ap.bne := i0_dp.bne + io.i0_ap.blt := i0_dp.blt + io.i0_ap.bge := i0_dp.bge + io.i0_ap.csr_write := i0_csr_write_only_d + io.i0_ap.csr_imm := i0_dp.csr_imm + io.i0_ap.jal := i0_jal + + // non block load cam logic + // val found=Wire(UInt(1.W)) + cam_wen := Mux1H((0 until LSU_NUM_NBLOAD).map(i=>(0 to i).map(j=> if(i==j) !cam(j).valid else cam(j).valid).reduce(_.asBool&_.asBool).asBool -> (cam_write << i))) + + cam_write := io.lsu_nonblock_load_valid_m + val cam_write_tag = io.lsu_nonblock_load_tag_m(LSU_NUM_NBLOAD_WIDTH-1,0) + + val cam_inv_reset = io.lsu_nonblock_load_inv_r + val cam_inv_reset_tag = io.lsu_nonblock_load_inv_tag_r(LSU_NUM_NBLOAD_WIDTH-1,0) + + val cam_data_reset = io.lsu_nonblock_load_data_valid | io.lsu_nonblock_load_data_error + val cam_data_reset_tag = io.lsu_nonblock_load_data_tag(LSU_NUM_NBLOAD_WIDTH-1,0) + + val nonblock_load_rd = Mux(x_d.i0load.asBool, x_d.i0rd, 0.U(5.W)) // rd data + val load_data_tag = io.lsu_nonblock_load_data_tag + // case of multiple loads to same dest ie. x1 ... you have to invalidate the older one + // don't writeback a nonblock load + val nonblock_load_valid_m_delay=withClock(io.active_clk){RegEnable(io.lsu_nonblock_load_valid_m,0.U, i0_r_ctl_en.asBool)} + val i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d.i0load + for(i <- 0 until LSU_NUM_NBLOAD){ + cam_inv_reset_val(i) := cam_inv_reset & (cam_inv_reset_tag === cam(i).tag) & cam(i).valid + cam_data_reset_val(i) := cam_data_reset & (cam_data_reset_tag === cam(i).tag) & cam_raw(i).valid + cam_in(i):=0.U.asTypeOf(cam(0)) + cam(i):=cam_raw(i) + + when(cam_data_reset_val(i).asBool){ + cam(i).valid := 0.U(1.W) + } + when(cam_wen(i).asBool){ + cam_in(i).valid := 1.U(1.W) + cam_in(i).wb := 0.U(1.W) + cam_in(i).tag := cam_write_tag + cam_in(i).rd := nonblock_load_rd + }.elsewhen(cam_inv_reset_val(i).asBool || (i0_wen_r.asBool && (r_d_in.i0rd === cam(i).rd) && cam(i).wb.asBool)){ + cam_in(i).valid := 0.U + }.otherwise{ + cam_in(i) := cam(i) + } + when(nonblock_load_valid_m_delay===1.U && (io.lsu_nonblock_load_inv_tag_r === cam(i).tag) && cam(i).valid===1.U){ + cam_in(i).wb := 1.U + } + // force debug halt forces cam valids to 0; highest priority + when(io.dec_tlu_force_halt){ + cam_in(i).valid := 0.U + } + + cam_raw(i):=withClock(io.free_clk){RegNext(cam_in(i),0.U.asTypeOf(cam(0)))} + nonblock_load_write(i) := (load_data_tag === cam_raw(i).tag) & cam_raw(i).valid + } + + io.dec_nonblock_load_waddr:=0.U(5.W) + // cancel if any younger inst (including another nonblock) committing this cycle + val nonblock_load_cancel = ((r_d_in.i0rd === io.dec_nonblock_load_waddr) & i0_wen_r) + io.dec_nonblock_load_wen := (io.lsu_nonblock_load_data_valid && nonblock_load_write.reduce(_|_).asBool && !nonblock_load_cancel) + val i0_nonblock_boundary_stall = ((nonblock_load_rd===i0r.rs1) & io.lsu_nonblock_load_valid_m & io.dec_i0_rs1_en_d)|((nonblock_load_rd===i0r.rs2) & io.lsu_nonblock_load_valid_m & io.dec_i0_rs2_en_d) + + i0_nonblock_load_stall := i0_nonblock_boundary_stall + + val cal_temp= for(i <-0 until LSU_NUM_NBLOAD) yield ((Fill(5,nonblock_load_write(i)) & cam(i).rd), io.dec_i0_rs1_en_d & cam(i).valid & (cam(i).rd === i0r.rs1), io.dec_i0_rs2_en_d & cam(i).valid & (cam(i).rd === i0r.rs2)) + val (waddr, ld_stall_1, ld_stall_2) = (cal_temp.map(_._1).reduce(_|_) , cal_temp.map(_._2).reduce(_|_), cal_temp.map(_._3).reduce(_|_) ) + io.dec_nonblock_load_waddr:=waddr + i0_nonblock_load_stall:=ld_stall_1 | ld_stall_2 | i0_nonblock_boundary_stall + //i0_nonblock_load_stall:=ld_stall_2 + + // end non block load cam logic + + // pmu start + + val csr_read = csr_ren_qual_d + val csr_write = io.dec_csr_wen_unq_d + val i0_br_unpred = i0_dp.jal & !i0_predict_br + + // the classes must be mutually exclusive with one another + import el2_inst_pkt_t._ + d_t.pmu_i0_itype :=Fill(4,i0_legal_decode_d) & MuxCase(NULL ,Array( + i0_dp.jal -> JAL, + i0_dp.condbr -> CONDBR, + i0_dp.mret -> MRET, + i0_dp.fence_i -> FENCEI, + i0_dp.fence -> FENCE, + i0_dp.ecall -> ECALL, + i0_dp.ebreak -> EBREAK, + ( csr_read & csr_write).asBool -> CSRRW, + (!csr_read & csr_write).asBool -> CSRWRITE, + ( csr_read & !csr_write).asBool -> CSRREAD, + i0_dp.pm_alu -> ALU, + i0_dp.store -> STORE, + i0_dp.load -> LOAD, + i0_dp.mul -> MUL)) + // end pmu + + val i0_dec =Module(new el2_dec_dec_ctl) + i0_dec.io.ins:= i0 + i0_dp_raw:=i0_dec.io.out + + lsu_idle:=withClock(io.active_clk){RegNext(io.lsu_idle_any,0.U)} + + // can't make this clock active_clock + leak1_i1_stall_in := (io.dec_tlu_flush_leak_one_r | (leak1_i1_stall & !io.dec_tlu_flush_lower_r)) + leak1_i1_stall := withClock(data_gate_clk){RegNext(leak1_i1_stall_in,0.U)} + leak1_mode := leak1_i1_stall + leak1_i0_stall_in := ((io.dec_i0_decode_d & leak1_i1_stall) | (leak1_i0_stall & !io.dec_tlu_flush_lower_r)) + leak1_i0_stall := withClock(data_gate_clk){RegNext(leak1_i0_stall_in,0.U)} + + // 12b jal's can be predicted - these are calls + + val i0_pcall_imm = Cat(i0(31),i0(19,12),i0(20),i0(30,21),0.U(1.W)) + val i0_pcall_12b_offset = Mux(i0_pcall_imm(12).asBool, i0_pcall_imm(20,13) === 0xff.U , i0_pcall_imm(20,13) === 0.U(8.W)) + val i0_pcall_case = i0_pcall_12b_offset & i0_dp_raw.imm20 & (i0r.rd === 1.U(5.W) | i0r.rd === 5.U(5.W)) + val i0_pja_case = i0_pcall_12b_offset & i0_dp_raw.imm20 & !(i0r.rd === 1.U(5.W) | i0r.rd === 5.U(5.W)) + i0_pcall_raw := i0_dp_raw.jal & i0_pcall_case // this includes ja + i0_pcall := i0_dp.jal & i0_pcall_case + i0_pja_raw := i0_dp_raw.jal & i0_pja_case + i0_pja := i0_dp.jal & i0_pja_case + i0_br_offset := Mux((i0_pcall_raw | i0_pja_raw).asBool, i0_pcall_imm(12,1) , Cat(i0(31),i0(7),i0(30,25),i0(11,8))) + // jalr with rd==0, rs1==1 or rs1==5 is a ret + val i0_pret_case = (i0_dp_raw.jal & i0_dp_raw.imm12 & (i0r.rd === 0.U(5.W)) & (i0r.rs1===1.U(5.W) | i0r.rs1 === 5.U(5.W))) + i0_pret_raw := i0_dp_raw.jal & i0_pret_case + i0_pret := i0_dp.jal & i0_pret_case + i0_jal := i0_dp.jal & !i0_pcall_case & !i0_pja_case & !i0_pret_case + /////////////////////////////////////////////////////////////////////////////////////////////////////////// + + io.div_p.valid := div_decode_d + io.div_p.unsign := i0_dp.unsign + io.div_p.rem := i0_dp.rem + + io.mul_p.valid := mul_decode_d + io.mul_p.rs1_sign := i0_dp.rs1_sign + io.mul_p.rs2_sign := i0_dp.rs2_sign + io.mul_p.low := i0_dp.low + + io.dec_extint_stall := withClock(data_gate_clk){RegNext(io.dec_tlu_flush_extint,0.U)} + + io.lsu_p := 0.U.asTypeOf(io.lsu_p) + when (io.dec_extint_stall){ + io.lsu_p.load := 1.U(1.W) + io.lsu_p.word := 1.U(1.W) + io.lsu_p.fast_int := 1.U(1.W) + io.lsu_p.valid := 1.U(1.W) + }.otherwise { + io.lsu_p.valid := lsu_decode_d + io.lsu_p.load := i0_dp.load + io.lsu_p.store := i0_dp.store + io.lsu_p.by := i0_dp.by + io.lsu_p.half := i0_dp.half + io.lsu_p.word := i0_dp.word + io.lsu_p.load_ldst_bypass_d := load_ldst_bypass_d + io.lsu_p.store_data_bypass_d := store_data_bypass_d + io.lsu_p.store_data_bypass_m := store_data_bypass_m + io.lsu_p.unsign := i0_dp.unsign + } + + ////////////////////////////////////// + io.dec_csr_ren_d := i0_dp.csr_read //H: assigning csr read enable signal decoded from decode_ctl going as input to EXU + csr_ren_qual_d := i0_dp.csr_read & i0_legal_decode_d.asBool //csr_ren_qual_d assigned as csr_read above + + val i0_csr_write = i0_dp.csr_write & !io.dec_debug_fence_d + val csr_clr_d = i0_dp.csr_clr & i0_legal_decode_d.asBool + val csr_set_d = i0_dp.csr_set & i0_legal_decode_d.asBool + val csr_write_d = i0_csr_write & i0_legal_decode_d.asBool + + i0_csr_write_only_d := i0_csr_write & !i0_dp.csr_read + io.dec_csr_wen_unq_d := (i0_dp.csr_clr | i0_dp.csr_set | i0_csr_write) // for csr legal, can't write read-only csr + //dec_csr_wen_unq_d assigned as csr_write above + + io.dec_csr_rdaddr_d := i0(31,20) + io.dec_csr_wraddr_r := r_d.csrwaddr //r_d is a el2_dest_pkt + + // make sure csr doesn't write same cycle as dec_tlu_flush_lower_wb + // also use valid so it's flushable + io.dec_csr_wen_r := r_d.csrwen & r_d.i0valid & !io.dec_tlu_i0_kill_writeb_r; + + // If we are writing MIE or MSTATUS, hold off the external interrupt for a cycle on the write. + io.dec_csr_stall_int_ff := ((r_d.csrwaddr === "h300".U) | (r_d.csrwaddr === "h304".U)) & r_d.csrwen & r_d.i0valid & !io.dec_tlu_i0_kill_writeb_wb; + + val csr_read_x = withClock(io.active_clk){RegNext(csr_ren_qual_d,init=0.B)} + val csr_clr_x = withClock(io.active_clk){RegNext(csr_clr_d, init=0.B)} + val csr_set_x = withClock(io.active_clk){RegNext(csr_set_d, init=0.B)} + val csr_write_x = withClock(io.active_clk){RegNext(csr_write_d, init=0.B)} + val csr_imm_x = withClock(io.active_clk){RegNext(i0_dp.csr_imm, init=0.U)} + + // perform the update operation if any + val csrimm_x = rvdffe(i0(19,15),i0_x_data_en.asBool,clock,io.scan_mode) + val csr_rddata_x = rvdffe(io.dec_csr_rddata_d,i0_x_data_en.asBool,clock,io.scan_mode) + + val csr_mask_x = Mux1H(Seq( + csr_imm_x.asBool -> Cat(repl(27,0.U),csrimm_x(4,0)), + !csr_imm_x.asBool -> io.exu_csr_rs1_x)) + + val write_csr_data_x = Mux1H(Seq( + csr_clr_x -> (csr_rddata_x & (~csr_mask_x).asUInt), + csr_set_x -> (csr_rddata_x | csr_mask_x), + csr_write_x -> ( csr_mask_x))) + // pause instruction + val clear_pause = (io.dec_tlu_flush_lower_r & !io.dec_tlu_flush_pause_r) | (pause_state & (write_csr_data === 0.U(31.W))) // if 0 or 1 then exit pause state - 1 cycle pause + pause_state_in := (io.dec_tlu_wr_pause_r | pause_state) & !clear_pause + pause_state := withClock(data_gate_clk){RegNext(pause_state_in, 0.U)} + io.dec_pause_state := pause_state + tlu_wr_pause_r1 := RegNext(io.dec_tlu_wr_pause_r, 0.U) + tlu_wr_pause_r2 := RegNext(tlu_wr_pause_r1, 0.U) + //pause for clock gating + io.dec_pause_state_cg := (pause_state & (!tlu_wr_pause_r1 && !tlu_wr_pause_r2)) + // end pause + + val write_csr_data_in = Mux(pause_state,(write_csr_data - 1.U(32.W)), + Mux(io.dec_tlu_wr_pause_r,io.dec_csr_wrdata_r,write_csr_data_x)) + val csr_data_wen = ((csr_clr_x | csr_set_x | csr_write_x) & csr_read_x) | io.dec_tlu_wr_pause_r | pause_state + write_csr_data := rvdffe(write_csr_data_in,csr_data_wen,clock,io.scan_mode) + + // will hold until write-back at which time the CSR will be updated while GPR is possibly written with prior CSR + val pause_stall = pause_state + + // for csr write only data is produced by the alu + io.dec_csr_wrdata_r := Mux(r_d.csrwonly.asBool,i0_result_corr_r,write_csr_data) + + val prior_csr_write = x_d.csrwonly | r_d.csrwonly | wbd.csrwonly; + + val debug_fence_i = io.dec_debug_fence_d & io.dbg_cmd_wrdata(0) + val debug_fence_raw = io.dec_debug_fence_d & io.dbg_cmd_wrdata(1) + debug_fence := debug_fence_raw | debug_fence_i + + // some CSR reads need to be presync'd + val i0_presync = i0_dp.presync | io.dec_tlu_presync_d | debug_fence_i | debug_fence_raw | io.dec_tlu_pipelining_disable // both fence's presync + + // some CSR writes need to be postsync'd + val i0_postsync = i0_dp.postsync | io.dec_tlu_postsync_d | debug_fence_i | (i0_csr_write_only_d & (i0(31,20) === "h7c2".U)) + + val any_csr_d = i0_dp.csr_read | i0_csr_write + io.dec_csr_any_unq_d := any_csr_d + val i0_legal = i0_dp.legal & (!any_csr_d | io.dec_csr_legal_d) + val i0_inst_d = Mux(io.dec_i0_pc4_d,i0,Cat(repl(16,0.U), io.ifu_i0_cinst)) + // illegal inst handling + + val shift_illegal = io.dec_i0_decode_d & !i0_legal//lm: valid but not legal + val illegal_inst_en = shift_illegal & !illegal_lockout + io.dec_illegal_inst := rvdffe(i0_inst_d,illegal_inst_en,clock,io.scan_mode) + illegal_lockout_in := (shift_illegal | illegal_lockout) & !flush_final_r + illegal_lockout := withClock(data_gate_clk){RegNext(illegal_lockout_in, 0.U)} + val i0_div_prior_div_stall = i0_dp.div & io.dec_div_active + //stalls signals + val i0_block_raw_d = (i0_dp.csr_read & prior_csr_write) | io.dec_extint_stall | pause_stall | + leak1_i0_stall | io.dec_tlu_debug_stall | postsync_stall | presync_stall | + ((i0_dp.fence | debug_fence) & !lsu_idle) | i0_nonblock_load_stall | + i0_load_block_d | i0_nonblock_div_stall | i0_div_prior_div_stall + + val i0_store_stall_d = i0_dp.store & (io.lsu_store_stall_any | io.dma_dccm_stall_any) + val i0_load_stall_d = i0_dp.load & (io.lsu_load_stall_any | io.dma_dccm_stall_any) + val i0_block_d = i0_block_raw_d | i0_store_stall_d | i0_load_stall_d + val i0_exublock_d = i0_block_raw_d + + //decode valid + io.dec_i0_decode_d := io.dec_ib0_valid_d & !i0_block_d & !io.dec_tlu_flush_lower_r & !flush_final_r + val i0_exudecode_d = io.dec_ib0_valid_d & !i0_exublock_d & !io.dec_tlu_flush_lower_r & !flush_final_r + val i0_exulegal_decode_d = i0_exudecode_d & i0_legal + + // performance monitor signals + io.dec_pmu_instr_decoded := io.dec_i0_decode_d + io.dec_pmu_decode_stall := io.dec_ib0_valid_d & !io.dec_i0_decode_d + io.dec_pmu_postsync_stall := postsync_stall.asBool + io.dec_pmu_presync_stall := presync_stall.asBool + + val prior_inflight_x = x_d.i0valid + val prior_inflight_wb = r_d.i0valid + val prior_inflight = prior_inflight_x | prior_inflight_wb + val prior_inflight_eff = Mux(i0_dp.div,prior_inflight_x,prior_inflight) + + presync_stall := (i0_presync & prior_inflight_eff) + postsync_stall := withClock(data_gate_clk){RegNext(ps_stall_in, 0.U)} + // illegals will postsync + ps_stall_in := (io.dec_i0_decode_d & (i0_postsync | !i0_legal) ) | ( postsync_stall & prior_inflight_x) + + io.dec_i0_alu_decode_d := i0_exulegal_decode_d & i0_dp.alu + + lsu_decode_d := i0_legal_decode_d & i0_dp.lsu + mul_decode_d := i0_exulegal_decode_d & i0_dp.mul + div_decode_d := i0_exulegal_decode_d & i0_dp.div + + io.dec_tlu_i0_valid_r := r_d.i0valid & !io.dec_tlu_flush_lower_wb + + //traps for TLU (tlu stuff) + d_t.legal := i0_legal_decode_d + d_t.icaf := i0_icaf_d & i0_legal_decode_d // dbecc is icaf exception + d_t.icaf_f1 := io.dec_i0_icaf_f1_d & i0_legal_decode_d // this includes icaf and dbecc + d_t.icaf_type := io.dec_i0_icaf_type_d + + d_t.fence_i := (i0_dp.fence_i | debug_fence_i) & i0_legal_decode_d + + // put pmu info into the trap packet + d_t.pmu_i0_br_unpred := i0_br_unpred + d_t.pmu_divide := 0.U(1.W) + d_t.pmu_lsu_misaligned := 0.U(1.W) + + d_t.i0trigger := io.dec_i0_trigger_match_d & repl(4,io.dec_i0_decode_d) + + + x_t := rvdffe(d_t,i0_x_ctl_en.asBool,clock,io.scan_mode) + + x_t_in := x_t + x_t_in.i0trigger := x_t.i0trigger & ~(repl(4,io.dec_tlu_flush_lower_wb)) + + r_t := rvdffe(x_t_in,i0_x_ctl_en.asBool,clock,io.scan_mode) + val lsu_trigger_match_r = RegNext(io.lsu_trigger_match_m, 0.U) + val lsu_pmu_misaligned_r = RegNext(io.lsu_pmu_misaligned_m, 0.U) + + r_t_in := r_t + + r_t_in.i0trigger := (repl(4,(r_d.i0load | r_d.i0store)) & lsu_trigger_match_r) | r_t.i0trigger + r_t_in.pmu_lsu_misaligned := lsu_pmu_misaligned_r // only valid if a load/store is valid in DC3 stage + + when (io.dec_tlu_flush_lower_wb.asBool) {r_t_in := 0.U.asTypeOf(r_t_in) } + + io.dec_tlu_packet_r := r_t_in + io.dec_tlu_packet_r.pmu_divide := r_d.i0div & r_d.i0valid + // end tlu stuff + + flush_final_r := withClock(data_gate_clk){RegNext(io.exu_flush_final, 0.U)} + + io.dec_i0_decode_d := io.dec_ib0_valid_d & !i0_block_d & !io.dec_tlu_flush_lower_r & !flush_final_r + + i0r.rs1 := i0(19,15) //H: assigning reg packets the instructions bits + i0r.rs2 := i0(24,20) + i0r.rd := i0(11,7) + + io.dec_i0_rs1_en_d := i0_dp.rs1 & (i0r.rs1 =/= 0.U(5.W)) // if rs1_en=0 then read will be all 0's + io.dec_i0_rs2_en_d := i0_dp.rs2 & (i0r.rs2 =/= 0.U(5.W)) + val i0_rd_en_d = i0_dp.rd & (i0r.rd =/= 0.U(5.W)) + io.dec_i0_rs1_d := i0r.rs1//H:assiging packets to output signals leading to gprfile + io.dec_i0_rs2_d := i0r.rs2 + + val i0_jalimm20 = i0_dp.jal & i0_dp.imm20 // H:jal (used at line 915) + val i0_uiimm20 = !i0_dp.jal & i0_dp.imm20 + + io.dec_i0_immed_d := Mux1H(Seq( + i0_dp.csr_read -> io.dec_csr_rddata_d, + !i0_dp.csr_read -> i0_immed_d)) + + i0_immed_d := Mux1H(Seq( + i0_dp.imm12 -> Cat(repl(20,i0(31)),i0(31,20)), // jalr + i0_dp.shimm5 -> Cat(repl(27,0.U),i0(24,20)), + i0_jalimm20 -> Cat(repl(12,i0(31)),i0(19,12),i0(20),i0(30,21),0.U), + i0_uiimm20 -> Cat(i0(31,12),repl(12,0.U)), + (i0_csr_write_only_d & i0_dp.csr_imm).asBool -> Cat(repl(27,0.U),i0(19,15)))) // for csr's that only write + + i0_legal_decode_d := io.dec_i0_decode_d & i0_legal + + i0_d_c.mul := i0_dp.mul & i0_legal_decode_d + i0_d_c.load := i0_dp.load & i0_legal_decode_d + i0_d_c.alu := i0_dp.alu & i0_legal_decode_d + + val i0_x_c = withClock(io.active_clk){RegEnable(i0_d_c, i0_x_ctl_en.asBool)} + val i0_r_c = withClock(io.active_clk){RegEnable(i0_x_c, i0_r_ctl_en.asBool)} + i0_pipe_en := Cat(io.dec_i0_decode_d,withClock(io.active_clk){RegNext(i0_pipe_en(3,1), init=0.U)}) + + i0_x_ctl_en := (i0_pipe_en(3,2).orR | io.clk_override) + i0_r_ctl_en := (i0_pipe_en(2,1).orR | io.clk_override) + i0_wb_ctl_en := (i0_pipe_en(1,0).orR | io.clk_override) + i0_x_data_en := ( i0_pipe_en(3) | io.clk_override) + i0_r_data_en := ( i0_pipe_en(2) | io.clk_override) + i0_wb_data_en := ( i0_pipe_en(1) | io.clk_override) + i0_wb1_data_en := ( i0_pipe_en(0) | io.clk_override) + + io.dec_data_en := Cat(i0_x_data_en, i0_r_data_en) + io.dec_ctl_en := Cat(i0_x_ctl_en, i0_r_ctl_en) + + d_d.i0rd := i0r.rd + d_d.i0v := i0_rd_en_d & i0_legal_decode_d + d_d.i0valid := io.dec_i0_decode_d // has flush_final_r + + d_d.i0load := i0_dp.load & i0_legal_decode_d + d_d.i0store := i0_dp.store & i0_legal_decode_d + d_d.i0div := i0_dp.div & i0_legal_decode_d + + d_d.csrwen := io.dec_csr_wen_unq_d & i0_legal_decode_d + d_d.csrwonly := i0_csr_write_only_d & io.dec_i0_decode_d + d_d.csrwaddr := i0(31,20) + + x_d := rvdffe(d_d, i0_x_ctl_en.asBool,clock,io.scan_mode) + val x_d_in = Wire(new el2_dest_pkt_t) + x_d_in := x_d + x_d_in.i0v := x_d.i0v & !io.dec_tlu_flush_lower_wb & !io.dec_tlu_flush_lower_r + x_d_in.i0valid := x_d.i0valid & !io.dec_tlu_flush_lower_wb & !io.dec_tlu_flush_lower_r + + r_d := rvdffe(x_d_in,i0_r_ctl_en.asBool,clock,io.scan_mode) + r_d_in := r_d + r_d_in.i0rd := r_d.i0rd + + r_d_in.i0v := (r_d.i0v & !io.dec_tlu_flush_lower_wb) + r_d_in.i0valid := (r_d.i0valid & !io.dec_tlu_flush_lower_wb) + r_d_in.i0load := r_d.i0load & !io.dec_tlu_flush_lower_wb + r_d_in.i0store := r_d.i0store & !io.dec_tlu_flush_lower_wb + + wbd := rvdffe(r_d_in,i0_wb_ctl_en.asBool,clock,io.scan_mode) + + io.dec_i0_waddr_r := r_d_in.i0rd + i0_wen_r := r_d_in.i0v & !io.dec_tlu_i0_kill_writeb_r + io.dec_i0_wen_r := i0_wen_r & !r_d_in.i0div & !i0_load_kill_wen_r // don't write a nonblock load 1st time down the pipe + io.dec_i0_wdata_r := i0_result_corr_r + + val i0_result_r_raw = rvdffe(i0_result_x,i0_r_data_en.asBool,clock,io.scan_mode) + if ( LOAD_TO_USE_PLUS1 == 1 ) { + i0_result_x := io.exu_i0_result_x + i0_result_r := Mux((r_d.i0v & r_d.i0load).asBool,io.lsu_result_m, i0_result_r_raw) + } + else { + i0_result_x := Mux((x_d.i0v & x_d.i0load).asBool,io.lsu_result_m,io.exu_i0_result_x) + i0_result_r := i0_result_r_raw + } + + // correct lsu load data - don't use for bypass, do pass down the pipe + i0_result_corr_r := Mux((r_d.i0v & r_d.i0load).asBool,io.lsu_result_corr_r,i0_result_r_raw) + io.dec_i0_br_immed_d := Mux((io.i0_ap.predict_nt & !i0_dp.jal).asBool,i0_br_offset,Cat(repl(10,0.U),i0_ap_pc4,i0_ap_pc2)) + val last_br_immed_d = WireInit(UInt(12.W),0.U) + last_br_immed_d := Mux((io.i0_ap.predict_nt).asBool,Cat(repl(10,0.U),i0_ap_pc4,i0_ap_pc2),i0_br_offset) + val last_br_immed_x = WireInit(UInt(12.W),0.U) + last_br_immed_x := rvdffe(last_br_immed_d,i0_x_data_en.asBool,clock,io.scan_mode) + + // divide stuff + + val div_e1_to_r = (x_d.i0div & x_d.i0valid) | (r_d.i0div & r_d.i0valid) + + val div_flush = (x_d.i0div & x_d.i0valid & (x_d.i0rd === 0.U(5.W))) | + (x_d.i0div & x_d.i0valid & io.dec_tlu_flush_lower_r ) | + (r_d.i0div & r_d.i0valid & io.dec_tlu_flush_lower_r & io.dec_tlu_i0_kill_writeb_r) + + // cancel if any younger inst committing this cycle to same dest as nonblock divide + + val nonblock_div_cancel = (io.dec_div_active & div_flush) | + (io.dec_div_active & !div_e1_to_r & (r_d.i0rd === io.div_waddr_wb) & i0_wen_r) + + io.dec_div_cancel := nonblock_div_cancel.asBool + val i0_div_decode_d = i0_legal_decode_d & i0_dp.div + + val div_active_in = i0_div_decode_d | (io.dec_div_active & !io.exu_div_wren & !nonblock_div_cancel) + + io.dec_div_active := withClock(io.free_clk){RegNext(div_active_in, 0.U)} + + // nonblocking div scheme + i0_nonblock_div_stall := (io.dec_i0_rs1_en_d & io.dec_div_active & (io.div_waddr_wb === i0r.rs1)) | + (io.dec_i0_rs2_en_d & io.dec_div_active & (io.div_waddr_wb === i0r.rs2)) + + io.div_waddr_wb := RegEnable(i0r.rd,0.U,i0_div_decode_d.asBool) + ///div end + + //for tracing instruction + val i0_wb_en = i0_wb_data_en + val i0_wb1_en = i0_wb1_data_en + + val div_inst = rvdffe(i0_inst_d(24,7),i0_div_decode_d.asBool,clock,io.scan_mode) + val i0_inst_x = rvdffe(i0_inst_d,i0_x_data_en.asBool,clock,io.scan_mode) + val i0_inst_r = rvdffe(i0_inst_x,i0_r_data_en.asBool,clock,io.scan_mode) + val i0_inst_wb_in = i0_inst_r + val i0_inst_wb = rvdffe(i0_inst_wb_in,i0_wb_en.asBool,clock,io.scan_mode) + io.dec_i0_inst_wb1 := rvdffe(i0_inst_wb,i0_wb1_en.asBool,clock,io.scan_mode) + val i0_pc_wb = rvdffe(io.dec_tlu_i0_pc_r,i0_wb_en.asBool,clock,io.scan_mode) + + io.dec_i0_pc_wb1 := rvdffe(i0_pc_wb,i0_wb1_en.asBool,clock,io.scan_mode) + val dec_i0_pc_r = rvdffe(io.exu_i0_pc_x,i0_r_data_en.asBool,clock,io.scan_mode) + + io.dec_tlu_i0_pc_r := dec_i0_pc_r + + //end tracing + + val temp_pred_correct_npc_x = rvbradder(Cat(io.exu_i0_pc_x,0.U),Cat(last_br_immed_x,0.U)) + io.pred_correct_npc_x := temp_pred_correct_npc_x(31,1) + + // scheduling logic for primary alu's + + val i0_rs1_depend_i0_x = io.dec_i0_rs1_en_d & x_d.i0v & (x_d.i0rd === i0r.rs1) + val i0_rs1_depend_i0_r = io.dec_i0_rs1_en_d & r_d.i0v & (r_d.i0rd === i0r.rs1) + + val i0_rs2_depend_i0_x = io.dec_i0_rs2_en_d & x_d.i0v & (x_d.i0rd === i0r.rs2) + val i0_rs2_depend_i0_r = io.dec_i0_rs2_en_d & r_d.i0v & (r_d.i0rd === i0r.rs2) + // order the producers as follows: , i0_x, i0_r, i0_wb + i0_rs1_class_d := Mux(i0_rs1_depend_i0_x.asBool,i0_x_c,Mux(i0_rs1_depend_i0_r.asBool, i0_r_c, 0.U.asTypeOf(i0_rs1_class_d))) + i0_rs1_depth_d := Mux(i0_rs1_depend_i0_x.asBool,1.U(2.W),Mux(i0_rs1_depend_i0_r.asBool, 2.U(2.W), 0.U)) + i0_rs2_class_d := Mux(i0_rs2_depend_i0_x.asBool,i0_x_c,Mux(i0_rs2_depend_i0_r.asBool, i0_r_c, 0.U.asTypeOf(i0_rs2_class_d))) + i0_rs2_depth_d := Mux(i0_rs2_depend_i0_x.asBool,1.U(2.W),Mux(i0_rs2_depend_i0_r.asBool, 2.U(2.W), 0.U)) + + // stores will bypass load data in the lsu pipe + if (LOAD_TO_USE_PLUS1 == 1) { + i0_load_block_d := (i0_rs1_class_d.load & i0_rs1_depth_d) | (i0_rs2_class_d.load & i0_rs2_depth_d(0) & !i0_dp.store) + load_ldst_bypass_d := (i0_dp.load | i0_dp.store) & i0_rs1_depth_d(1) & i0_rs1_class_d.load + store_data_bypass_d := i0_dp.store & (i0_rs2_depth_d(1) & i0_rs2_class_d.load) + store_data_bypass_m := i0_dp.store & (i0_rs2_depth_d(0) & i0_rs2_class_d.load) + } + else { + i0_load_block_d := 0.B + load_ldst_bypass_d := (i0_dp.load | i0_dp.store) & i0_rs1_depth_d(0) & i0_rs1_class_d.load + store_data_bypass_d := i0_dp.store & i0_rs2_depth_d(0) & i0_rs2_class_d.load + store_data_bypass_m := 0.B + } + // add nonblock load rs1/rs2 bypass cases + + val i0_rs1_nonblock_load_bypass_en_d = io.dec_i0_rs1_en_d & io.dec_nonblock_load_wen & (io.dec_nonblock_load_waddr === i0r.rs1) + + val i0_rs2_nonblock_load_bypass_en_d = io.dec_i0_rs2_en_d & io.dec_nonblock_load_wen & (io.dec_nonblock_load_waddr === i0r.rs2) + + // bit 2 is priority match, bit 0 lowest priority , i0_x, i0_r + i0_rs1bypass := Cat((i0_rs1_depth_d(0) &(i0_rs1_class_d.alu | i0_rs1_class_d.mul)),(i0_rs1_depth_d(0) & (i0_rs1_class_d.load)), (i0_rs1_depth_d(1) & (i0_rs1_class_d.alu | i0_rs1_class_d.mul | i0_rs1_class_d.load))) + + i0_rs2bypass := Cat((i0_rs2_depth_d(0) & (i0_rs2_class_d.alu | i0_rs2_class_d.mul)),(i0_rs2_depth_d(0) & (i0_rs2_class_d.load)),(i0_rs2_depth_d(1) & (i0_rs2_class_d.alu | i0_rs2_class_d.mul | i0_rs2_class_d.load))) + + io.dec_i0_rs1_bypass_en_d := Cat(i0_rs1bypass(2),(i0_rs1bypass(1) | i0_rs1bypass(0) | (!i0_rs1bypass(2) & i0_rs1_nonblock_load_bypass_en_d))) + io.dec_i0_rs2_bypass_en_d := Cat(i0_rs2bypass(2),(i0_rs2bypass(1) | i0_rs2bypass(0) | (!i0_rs2bypass(2) & i0_rs2_nonblock_load_bypass_en_d))) + + io.dec_i0_rs1_bypass_data_d := Mux1H(Seq( + i0_rs1bypass(1).asBool -> io.lsu_result_m, + i0_rs1bypass(0).asBool -> i0_result_r, + (!i0_rs1bypass(1) & !i0_rs1bypass(0) & i0_rs1_nonblock_load_bypass_en_d).asBool -> io.lsu_nonblock_load_data, + )) + io.dec_i0_rs2_bypass_data_d := Mux1H(Seq( + i0_rs2bypass(1).asBool -> io.lsu_result_m, + i0_rs2bypass(0).asBool -> i0_result_r, + (!i0_rs2bypass(1) & !i0_rs2bypass(0) & i0_rs2_nonblock_load_bypass_en_d).asBool -> io.lsu_nonblock_load_data, + )) + io.dec_lsu_valid_raw_d := ((io.dec_ib0_valid_d & (i0_dp_raw.load | i0_dp_raw.store) & !io.dma_dccm_stall_any & !i0_block_raw_d) | io.dec_extint_stall) + io.dec_lsu_offset_d := Mux1H(Seq( + (!io.dec_extint_stall & i0_dp.lsu & i0_dp.load).asBool -> i0(31,20), + (!io.dec_extint_stall & i0_dp.lsu & i0_dp.store).asBool -> Cat(i0(31,25),i0(11,7)))) +} + +object dec_decode extends App{ + println((new chisel3.stage.ChiselStage).emitVerilog((new el2_dec_decode_ctl))) +} diff --git a/src/main/scala/dec/el2_dec_gpr_ctl.scala b/src/main/scala/dec/el2_dec_gpr_ctl.scala new file mode 100644 index 00000000..b37f7f0e --- /dev/null +++ b/src/main/scala/dec/el2_dec_gpr_ctl.scala @@ -0,0 +1,58 @@ +package dec +import chisel3._ +import scala.collection._ +import chisel3.util._ +import include._ +import lib._ + +class el2_dec_gpr_ctl extends Module with RequireAsyncReset with el2_lib { + val io =IO(new el2_dec_gpr_ctl_IO) + val w0v =Wire(Vec(32,UInt(1.W))) + val w1v =Wire(Vec(32,UInt(1.W))) + val w2v =Wire(Vec(32,UInt(1.W))) + val gpr_in =Wire(Vec(32,UInt(32.W))) + val gpr_out =Wire(Vec(32,UInt(32.W))) + val gpr_wr_en =Wire(UInt(32.W)) + w0v(0):=0.U + w1v(0):=0.U + w2v(0):=0.U + gpr_out(0):=0.U + gpr_in(0):=0.U + io.rd0:=0.U + io.rd1:=0.U + gpr_wr_en:= (w0v.reverse).reduceRight(Cat(_,_)) | (w1v.reverse).reduceRight(Cat(_,_)) | (w2v.reverse).reduceRight(Cat(_,_)) + // GPR Write logic + for (j <-1 until 32){ + w0v(j) := io.wen0 & (io.waddr0===j.asUInt) + w1v(j) := io.wen1 & (io.waddr1===j.asUInt) + w2v(j) := io.wen2 & (io.waddr2===j.asUInt) + gpr_in(j) := (Fill(32,w0v(j)) & io.wd0) | (Fill(32,w1v(j)) & io.wd1) | (Fill(32,w2v(j)) & io.wd2) + } + // GPR Write Enables for power savings + for (j <-1 until 32){ + gpr_out(j):=rvdffe(gpr_in(j),gpr_wr_en(j),clock,io.scan_mode) + } + // GPR Read logic + io.rd0:=Mux1H((1 until 32).map(i => (io.raddr0===i.U).asBool -> gpr_out(i))) + io.rd1:=Mux1H((1 until 32).map(i => (io.raddr1===i.U).asBool -> gpr_out(i))) +} + +class el2_dec_gpr_ctl_IO extends Bundle{ + val raddr0=Input(UInt(5.W)) // logical read addresses + val raddr1=Input(UInt(5.W)) + val wen0=Input(UInt(1.W)) // write enable + val waddr0=Input(UInt(5.W)) // write address + val wd0=Input(UInt(32.W)) // write data + val wen1=Input(UInt(1.W)) // write enable + val waddr1=Input(UInt(5.W)) // write address + val wd1=Input(UInt(32.W)) // write data + val wen2=Input(UInt(1.W)) // write enable + val waddr2=Input(UInt(5.W)) // write address + val wd2=Input(UInt(32.W)) // write data + val rd0=Output(UInt(32.W)) // read data + val rd1=Output(UInt(32.W)) + val scan_mode=Input(Bool()) +} +object gpr_gen extends App{ + println((new chisel3.stage.ChiselStage).emitVerilog((new el2_dec_gpr_ctl))) +} diff --git a/src/main/scala/dec/el2_dec_ib_ctl.scala b/src/main/scala/dec/el2_dec_ib_ctl.scala new file mode 100644 index 00000000..9cdd876d --- /dev/null +++ b/src/main/scala/dec/el2_dec_ib_ctl.scala @@ -0,0 +1,99 @@ +package dec +import include._ +import chisel3._ +import chisel3.util._ +import lib._ + +class el2_dec_ib_ctl_IO extends Bundle with param{ + val dbg_cmd_valid =Input(UInt(1.W)) // valid dbg cmd + val dbg_cmd_write =Input(UInt(1.W)) // dbg cmd is write + val dbg_cmd_type =Input(UInt(2.W)) // dbg type + val dbg_cmd_addr =Input(UInt(32.W)) // expand to 31:0 + val i0_brp =Input(new el2_br_pkt_t) // i0 branch packet from aligner + val ifu_i0_bp_index =Input(UInt(((BTB_ADDR_HI-BTB_ADDR_LO)+1).W)) // BP index(Changed size) + val ifu_i0_bp_fghr =Input(UInt((BHT_GHR_SIZE).W)) // BP FGHR + val ifu_i0_bp_btag =Input(UInt((BTB_BTAG_SIZE).W)) // BP tag + val ifu_i0_pc4 =Input(UInt(1.W)) // i0 is 4B inst else 2B + val ifu_i0_valid =Input(UInt(1.W)) // i0 valid from ifu + val ifu_i0_icaf =Input(UInt(1.W)) // i0 instruction access fault + val ifu_i0_icaf_type =Input(UInt(2.W)) // i0 instruction access fault type + val ifu_i0_icaf_f1 =Input(UInt(1.W)) // i0 has access fault on second fetch group + val ifu_i0_dbecc =Input(UInt(1.W)) // i0 double-bit error + val ifu_i0_instr =Input(UInt(32.W)) // i0 instruction from the aligner + val ifu_i0_pc =Input(UInt(31.W)) // i0 pc from the aligner + + val dec_ib0_valid_d =Output(UInt(1.W)) // ib0 valid + val dec_i0_icaf_type_d =Output(UInt(2.W)) // i0 instruction access fault type + val dec_i0_instr_d =Output(UInt(32.W)) // i0 inst at decode + val dec_i0_pc_d =Output(UInt(31.W)) // i0 pc at decode + val dec_i0_pc4_d =Output(UInt(1.W)) // i0 is 4B inst else 2B + val dec_i0_brp =Output(new el2_br_pkt_t) // i0 branch packet at decode + val dec_i0_bp_index =Output(UInt(((BTB_ADDR_HI-BTB_ADDR_LO)+1).W)) // i0 branch index + val dec_i0_bp_fghr =Output(UInt(BHT_GHR_SIZE.W)) // BP FGHR + val dec_i0_bp_btag =Output(UInt(BTB_BTAG_SIZE.W)) // BP tag + val dec_i0_icaf_d =Output(UInt(1.W)) // i0 instruction access fault at decode + val dec_i0_icaf_f1_d =Output(UInt(1.W)) // i0 instruction access fault at decode for f1 fetch group + val dec_i0_dbecc_d =Output(UInt(1.W)) // i0 double-bit error at decode + val dec_debug_wdata_rs1_d =Output(UInt(1.W)) // put debug write data onto rs1 source: machine is halted + val dec_debug_fence_d =Output(UInt(1.W)) // debug fence inst +} + +class el2_dec_ib_ctl extends Module with param{ + val io=IO(new el2_dec_ib_ctl_IO) + io.dec_i0_icaf_f1_d :=io.ifu_i0_icaf_f1 + io.dec_i0_dbecc_d :=io.ifu_i0_dbecc + io.dec_i0_icaf_d :=io.ifu_i0_icaf + io.dec_i0_pc_d :=io.ifu_i0_pc + io.dec_i0_pc4_d :=io.ifu_i0_pc4 + io.dec_i0_icaf_type_d :=io.ifu_i0_icaf_type + io.dec_i0_brp :=io.i0_brp + io.dec_i0_bp_index :=io.ifu_i0_bp_index + io.dec_i0_bp_fghr :=io.ifu_i0_bp_fghr + io.dec_i0_bp_btag :=io.ifu_i0_bp_btag + + // GPR accesses + // put reg to read on rs1 + // read -> or %x0, %reg,%x0 {000000000000,reg[4:0],110000000110011} + // put write date on rs1 + // write -> or %reg, %x0, %x0 {00000000000000000110,reg[4:0],0110011} + // CSR accesses + // csr is of form rd, csr, rs1 + // read -> csrrs %x0, %csr, %x0 {csr[11:0],00000010000001110011} + // put write data on rs1 + // write -> csrrw %x0, %csr, %x0 {csr[11:0],00000001000001110011} + + + val debug_valid =io.dbg_cmd_valid & (io.dbg_cmd_type =/= 2.U) + val debug_read =debug_valid & !io.dbg_cmd_write + val debug_write =debug_valid & io.dbg_cmd_write + + val debug_read_gpr = debug_read & (io.dbg_cmd_type===0.U) + val debug_write_gpr = debug_write & (io.dbg_cmd_type===0.U) + val debug_read_csr = debug_read & (io.dbg_cmd_type===1.U) + val debug_write_csr = debug_write & (io.dbg_cmd_type===1.U) + + val dreg = io.dbg_cmd_addr(4,0) + val dcsr = io.dbg_cmd_addr(11,0) + + val ib0_debug_in =Mux1H(Seq( + debug_read_gpr.asBool -> Cat(Fill(12,0.U(1.W)),dreg,"b110000000110011".U), + debug_write_gpr.asBool -> Cat("b00000000000000000110".U(20.W),dreg,"b0110011".U(7.W)), + debug_read_csr.asBool -> Cat(dcsr,"b00000010000001110011".U(20.W)), + debug_write_csr.asBool -> Cat(dcsr,"b00000001000001110011".U(20.W)) + )) + + // machine is in halted state, pipe empty, write will always happen next cycle + io.dec_debug_wdata_rs1_d := debug_write_gpr | debug_write_csr + + // special fence csr for use only in debug mode + io.dec_debug_fence_d := debug_write_csr & (dcsr === 0x7C4.U) + + io.dec_ib0_valid_d := io.ifu_i0_valid | debug_valid + io.dec_i0_instr_d := Mux(debug_valid.asBool,ib0_debug_in,io.ifu_i0_instr) + + +} + +object ib_gen extends App{ + println((new chisel3.stage.ChiselStage).emitVerilog((new el2_dec_ib_ctl))) +} diff --git a/src/main/scala/dec/el2_dec_tlu_ctl.scala b/src/main/scala/dec/el2_dec_tlu_ctl.scala new file mode 100644 index 00000000..6b64dc16 --- /dev/null +++ b/src/main/scala/dec/el2_dec_tlu_ctl.scala @@ -0,0 +1,2872 @@ +package dec +import chisel3._ +import chisel3.util._ +import lib._ +import include._ +import el2_inst_pkt_t._ +//import lib.beh_ib_func._ +trait CSR_VAL { + + val MSTATUS_MIE =0 + val MIP_MCEIP =5 + val MIP_MITIP0 =4 + val MIP_MITIP1 =3 + val MIP_MEIP =2 + val MIP_MTIP =1 + val MIP_MSIP =0 + + val MIE_MCEIE =5 + val MIE_MITIE0 =4 + val MIE_MITIE1 =3 + val MIE_MEIE =2 + val MIE_MTIE =1 + val MIE_MSIE =0 + + val DCSR_EBREAKM =15 + val DCSR_STEPIE =11 + val DCSR_STOPC =10 + val DCSR_STEP =2 + + val MTDATA1_DMODE =9 + val MTDATA1_SEL =7 + val MTDATA1_ACTION =6 + val MTDATA1_CHAIN =5 + val MTDATA1_MATCH =4 + val MTDATA1_M_ENABLED =3 + val MTDATA1_EXE =2 + val MTDATA1_ST =1 + val MTDATA1_LD =0 + + +} +class el2_dec_tlu_ctl_IO extends Bundle with el2_lib { + + val active_clk = Input(Clock()) + val free_clk = Input(Clock()) + //val rst_l = Input(Bool()) + val scan_mode = Input(Bool()) + + val rst_vec = Input(UInt(31.W)) // reset vector, from core pins + val nmi_int = Input(UInt(1.W)) // nmi pin + val nmi_vec = Input(UInt(31.W)) // nmi vector + val i_cpu_halt_req = Input(UInt(1.W)) // Asynchronous Halt request to CPU + val i_cpu_run_req = Input(UInt(1.W)) // Asynchronous Restart request to CPU + + val lsu_fastint_stall_any = Input(UInt(1.W)) // needed by lsu for 2nd pass of dma with ecc correction, stall next cycle + + + // perf counter inputs + val ifu_pmu_instr_aligned = Input(UInt(1.W))// aligned instructions + val ifu_pmu_fetch_stall = Input(UInt(1.W))// fetch unit stalled + val ifu_pmu_ic_miss = Input(UInt(1.W))// icache miss + val ifu_pmu_ic_hit = Input(UInt(1.W))// icache hit + val ifu_pmu_bus_error = Input(UInt(1.W))// Instruction side bus error + val ifu_pmu_bus_busy = Input(UInt(1.W))// Instruction side bus busy + val ifu_pmu_bus_trxn = Input(UInt(1.W))// Instruction side bus transaction + val dec_pmu_instr_decoded = Input(UInt(1.W))// decoded instructions + val dec_pmu_decode_stall = Input(UInt(1.W))// decode stall + val dec_pmu_presync_stall = Input(UInt(1.W))// decode stall due to presync'd inst + val dec_pmu_postsync_stall = Input(UInt(1.W))// decode stall due to postsync'd inst + val lsu_store_stall_any = Input(UInt(1.W))// SB or WB is full, stall decode + val dma_dccm_stall_any = Input(UInt(1.W))// DMA stall of lsu + val dma_iccm_stall_any = Input(UInt(1.W))// DMA stall of ifu + val exu_pmu_i0_br_misp = Input(UInt(1.W))// pipe 0 branch misp + val exu_pmu_i0_br_ataken = Input(UInt(1.W))// pipe 0 branch actual taken + val exu_pmu_i0_pc4 = Input(UInt(1.W))// pipe 0 4 byte branch + val lsu_pmu_bus_trxn = Input(UInt(1.W))// D side bus transaction + val lsu_pmu_bus_misaligned = Input(UInt(1.W)) // D side bus misaligned + val lsu_pmu_bus_error = Input(UInt(1.W)) // D side bus error + val lsu_pmu_bus_busy = Input(UInt(1.W)) // D side bus busy + val lsu_pmu_load_external_m = Input(UInt(1.W)) // D side bus load + val lsu_pmu_store_external_m= Input(UInt(1.W)) // D side bus store + val dma_pmu_dccm_read = Input(UInt(1.W)) // DMA DCCM read + val dma_pmu_dccm_write = Input(UInt(1.W)) // DMA DCCM write + val dma_pmu_any_read = Input(UInt(1.W)) // DMA read + val dma_pmu_any_write = Input(UInt(1.W)) // DMA write + + val lsu_fir_addr = Input(UInt(31.W)) // Fast int address + val lsu_fir_error = Input(UInt(2.W)) // Fast int lookup error + + val iccm_dma_sb_error = Input(UInt(1.W)) // I side dma single bit error + + val lsu_error_pkt_r = Input(new el2_lsu_error_pkt_t)// lsu precise exception/error packet + val lsu_single_ecc_error_incr = Input(UInt(1.W)) // LSU inc SB error counter + + val dec_pause_state = Input(UInt(1.W)) // Pause counter not zero + val lsu_imprecise_error_store_any = Input(UInt(1.W)) // store bus error + val lsu_imprecise_error_load_any = Input(UInt(1.W)) // store bus error + val lsu_imprecise_error_addr_any = Input(UInt(32.W)) // store bus error address + + val dec_csr_wen_unq_d = Input(UInt(1.W)) // valid csr with write - for csr legal + val dec_csr_any_unq_d = Input(UInt(1.W)) // valid csr - for csr legal + val dec_csr_rdaddr_d = Input(UInt(12.W)) // read address for csr + + val dec_csr_wen_r = Input(UInt(1.W)) // csr write enable at wb + val dec_csr_wraddr_r = Input(UInt(12.W)) // write address for csr + val dec_csr_wrdata_r = Input(UInt(32.W)) // csr write data at wb + + val dec_csr_stall_int_ff = Input(UInt(1.W)) // csr is mie/mstatus + + val dec_tlu_i0_valid_r = Input(UInt(1.W)) // pipe 0 op at e4 is valid + + val exu_npc_r = Input(UInt(31.W)) // for NPC tracking + + val dec_tlu_i0_pc_r = Input(UInt(31.W)) // for PC/NPC tracking + + val dec_tlu_packet_r = Input(new el2_trap_pkt_t) // exceptions known at decode + + val dec_illegal_inst = Input(UInt(32.W)) // For mtval + val dec_i0_decode_d = Input(UInt(1.W)) // decode valid, used for clean icache diagnostics + + // branch info from pipe0 for errors or counter updates + val exu_i0_br_hist_r = Input(UInt(2.W)) // history + val exu_i0_br_error_r = Input(UInt(1.W)) // error + val exu_i0_br_start_error_r = Input(UInt(1.W)) // start error + val exu_i0_br_valid_r = Input(UInt(1.W)) // valid + val exu_i0_br_mp_r = Input(UInt(1.W)) // mispredict + val exu_i0_br_middle_r = Input(UInt(1.W)) // middle of bank + + // branch info from pipe1 for errors or counter updates + + val exu_i0_br_way_r = Input(UInt(1.W))// way hit or repl + + // Debug start + val dec_dbg_cmd_done = Output(UInt(1.W)) // abstract command done + val dec_dbg_cmd_fail = Output(UInt(1.W)) // abstract command failed + val dec_tlu_dbg_halted = Output(UInt(1.W)) // Core is halted and ready for debug command + val dec_tlu_debug_mode = Output(UInt(1.W)) // Core is in debug mode + val dec_tlu_resume_ack = Output(UInt(1.W)) // Resume acknowledge + val dec_tlu_debug_stall = Output(UInt(1.W)) // stall decode while waiting on core to empty + + val dec_tlu_flush_noredir_r = Output(UInt(1.W)) // Tell fetch to idle on this flush + val dec_tlu_mpc_halted_only = Output(UInt(1.W)) // Core is halted only due to MPC + val dec_tlu_flush_leak_one_r = Output(UInt(1.W)) // single step + val dec_tlu_flush_err_r = Output(UInt(1.W)) // iside perr/ecc rfpc. This is the D stage of the error + + val dec_tlu_flush_extint = Output(UInt(1.W)) // fast ext int started + val dec_tlu_meihap = Output(UInt(30.W)) // meihap for fast int + + val dbg_halt_req = Input(UInt(1.W)) // DM requests a halt + val dbg_resume_req = Input(UInt(1.W)) // DM requests a resume + val ifu_miss_state_idle = Input(UInt(1.W)) // I-side miss buffer empty + val lsu_idle_any = Input(UInt(1.W)) // lsu is idle + val dec_div_active = Input(UInt(1.W)) // oop div is active + val trigger_pkt_any = Output(Vec(4,new el2_trigger_pkt_t))// trigger info for trigger blocks + + val ifu_ic_error_start = Input(UInt(1.W)) // IC single bit error + val ifu_iccm_rd_ecc_single_err = Input(UInt(1.W)) // ICCM single bit error + + + val ifu_ic_debug_rd_data = Input(UInt(71.W)) // diagnostic icache read data + val ifu_ic_debug_rd_data_valid = Input(UInt(1.W)) // diagnostic icache read data valid + val dec_tlu_ic_diag_pkt = Output(new el2_cache_debug_pkt_t) // packet of DICAWICS, DICAD0/1, DICAGO info for icache diagnostics + // Debug end + + val pic_claimid = Input(UInt(8.W)) // pic claimid for csr + val pic_pl = Input(UInt(4.W)) // pic priv level for csr + val mhwakeup = Input(UInt(1.W)) // high priority external int, wakeup if halted + + val mexintpend= Input(UInt(1.W)) // external interrupt pending + val timer_int= Input(UInt(1.W)) // timer interrupt pending + val soft_int= Input(UInt(1.W)) // software interrupt pending + + val o_cpu_halt_status = Output(UInt(1.W)) // PMU interface, halted + val o_cpu_halt_ack = Output(UInt(1.W)) // halt req ack + val o_cpu_run_ack = Output(UInt(1.W)) // run req ack + val o_debug_mode_status = Output(UInt(1.W)) // Core to the PMU that core is in debug mode. When core is in debug mode, the PMU should refrain from sendng a halt or run request + + val core_id = Input(UInt(28.W)) // Core ID + + // external MPC halt/run interface + val mpc_debug_halt_req = Input(UInt(1.W)) // Async halt request + val mpc_debug_run_req = Input(UInt(1.W)) // Async run request + val mpc_reset_run_req = Input(UInt(1.W)) // Run/halt after reset + val mpc_debug_halt_ack = Output(UInt(1.W)) // Halt ack + val mpc_debug_run_ack = Output(UInt(1.W)) // Run ack + val debug_brkpt_status = Output(UInt(1.W)) // debug breakpoint + val dec_tlu_meicurpl = Output(UInt(4.W)) // to PIC + val dec_tlu_meipt = Output(UInt(4.W)) // to PIC + val dec_csr_rddata_d = Output(UInt(32.W)) // csr read data at wb + val dec_csr_legal_d = Output(UInt(1.W)) // csr indicates legal operation + val dec_tlu_br0_r_pkt = Output(new el2_br_tlu_pkt_t) // branch pkt to bp + val dec_tlu_i0_kill_writeb_wb = Output(UInt(1.W)) // I0 is flushed, don't writeback any results to arch state + val dec_tlu_flush_lower_wb = Output(UInt(1.W)) // commit has a flush (exception, int, mispredict at e4) + val dec_tlu_i0_commit_cmt = Output(UInt(1.W)) // committed an instruction + val dec_tlu_i0_kill_writeb_r = Output(UInt(1.W)) // I0 is flushed, don't writeback any results to arch state + val dec_tlu_flush_lower_r = Output(UInt(1.W)) // commit has a flush (exception, int) + val dec_tlu_flush_path_r = Output(UInt(31.W)) // flush pc + val dec_tlu_fence_i_r = Output(UInt(1.W)) // flush is a fence_i rfnpc, flush icache + val dec_tlu_wr_pause_r = Output(UInt(1.W)) // CSR write to pause reg is at R. + val dec_tlu_flush_pause_r = Output(UInt(1.W)) // Flush is due to pause + val dec_tlu_presync_d = Output(UInt(1.W)) // CSR read needs to be presync'd + val dec_tlu_postsync_d = Output(UInt(1.W)) // CSR needs to be presync'd + val dec_tlu_mrac_ff = Output(UInt(32.W)) // CSR for memory region control + val dec_tlu_force_halt = Output(UInt(1.W)) // halt has been forced + val dec_tlu_perfcnt0 = Output(UInt(1.W)) // toggles when pipe0 perf counter 0 has an event inc + val dec_tlu_perfcnt1 = Output(UInt(1.W)) // toggles when pipe0 perf counter 1 has an event inc + val dec_tlu_perfcnt2 = Output(UInt(1.W)) // toggles when pipe0 perf counter 2 has an event inc + val dec_tlu_perfcnt3 = Output(UInt(1.W)) // toggles when pipe0 perf counter 3 has an event inc + val dec_tlu_i0_exc_valid_wb1 = Output(UInt(1.W)) // pipe 0 exception valid + val dec_tlu_i0_valid_wb1 = Output(UInt(1.W)) // pipe 0 valid + val dec_tlu_int_valid_wb1 = Output(UInt(1.W)) // pipe 2 int valid + val dec_tlu_exc_cause_wb1 = Output(UInt(5.W)) // exception or int cause + val dec_tlu_mtval_wb1 = Output(UInt(32.W)) // MTVAL value + + // feature disable from mfdc + val dec_tlu_external_ldfwd_disable = Output(UInt(1.W)) // disable external load forwarding + val dec_tlu_sideeffect_posted_disable = Output(UInt(1.W)) // disable posted stores to side-effect address + val dec_tlu_core_ecc_disable = Output(UInt(1.W)) // disable core ECC + val dec_tlu_bpred_disable = Output(UInt(1.W)) // disable branch prediction + val dec_tlu_wb_coalescing_disable = Output(UInt(1.W)) // disable writebuffer coalescing + val dec_tlu_pipelining_disable = Output(UInt(1.W)) // disable pipelining + val dec_tlu_dma_qos_prty = Output(UInt(3.W)) // DMA QoS priority coming from MFDC [18:16] + + // clock gating overrides from mcgc + val dec_tlu_misc_clk_override = Output(UInt(1.W)) // override misc clock domain gating + val dec_tlu_dec_clk_override = Output(UInt(1.W)) // override decode clock domain gating + val dec_tlu_ifu_clk_override = Output(UInt(1.W)) // override fetch clock domain gating + val dec_tlu_lsu_clk_override = Output(UInt(1.W)) // override load/store clock domain gating + val dec_tlu_bus_clk_override = Output(UInt(1.W)) // override bus clock domain gating + val dec_tlu_pic_clk_override = Output(UInt(1.W)) // override PIC clock domain gating + val dec_tlu_dccm_clk_override = Output(UInt(1.W)) // override DCCM clock domain gating + val dec_tlu_icm_clk_override = Output(UInt(1.W)) // override ICCM clock domain gating +} +class el2_dec_tlu_ctl extends Module with el2_lib with RequireAsyncReset with CSR_VAL{ + val io = IO(new el2_dec_tlu_ctl_IO) + val mtdata1_t = Wire(Vec(4,UInt(10.W))) + val pause_expired_wb =Wire(UInt(1.W)) + val take_nmi_r_d1 =Wire(UInt(1.W)) + val exc_or_int_valid_r_d1 =Wire(UInt(1.W)) + val interrupt_valid_r_d1 =Wire(UInt(1.W)) + val tlu_flush_lower_r =Wire(UInt(1.W)) + val synchronous_flush_r =Wire(UInt(1.W)) + val interrupt_valid_r =Wire(UInt(1.W)) + val take_nmi =Wire(UInt(1.W)) + val take_reset =Wire(UInt(1.W)) + val take_int_timer1_int =Wire(UInt(1.W)) + val take_int_timer0_int =Wire(UInt(1.W)) + val take_timer_int =Wire(UInt(1.W)) + val take_soft_int =Wire(UInt(1.W)) + val take_ce_int =Wire(UInt(1.W)) + val take_ext_int_start =Wire(UInt(1.W)) + val ext_int_freeze =Wire(UInt(1.W)) + val ext_int_freeze_d1 =Wire(UInt(1.W)) + val take_ext_int_start_d1 =Wire(UInt(1.W)) + val take_ext_int_start_d2 =Wire(UInt(1.W)) + val take_ext_int_start_d3 =Wire(UInt(1.W)) + val fast_int_meicpct =Wire(UInt(1.W)) + val ignore_ext_int_due_to_lsu_stall =Wire(UInt(1.W)) + val take_ext_int =Wire(UInt(1.W)) + val internal_dbg_halt_timers =Wire(UInt(1.W)) + val int_timer1_int_hold =Wire(UInt(1.W)) + val int_timer0_int_hold =Wire(UInt(1.W)) + val mhwakeup_ready =Wire(UInt(1.W)) + val ext_int_ready =Wire(UInt(1.W)) + val ce_int_ready =Wire(UInt(1.W)) + val soft_int_ready =Wire(UInt(1.W)) + val timer_int_ready =Wire(UInt(1.W)) + val ebreak_to_debug_mode_r_d1 =Wire(UInt(1.W)) + val ebreak_to_debug_mode_r =Wire(UInt(1.W)) + val inst_acc_r =Wire(UInt(1.W)) + val inst_acc_r_raw =Wire(UInt(1.W)) + val iccm_sbecc_r =Wire(UInt(1.W)) + val ic_perr_r =Wire(UInt(1.W)) + val fence_i_r =Wire(UInt(1.W)) + val ebreak_r =Wire(UInt(1.W)) + val ecall_r =Wire(UInt(1.W)) + val illegal_r =Wire(UInt(1.W)) + val mret_r =Wire(UInt(1.W)) + val iccm_repair_state_ns =Wire(UInt(1.W)) + val rfpc_i0_r =Wire(UInt(1.W)) + val tlu_i0_kill_writeb_r =Wire(UInt(1.W)) + val lsu_exc_valid_r_d1 =Wire(UInt(1.W)) + val lsu_i0_exc_r_raw =Wire(UInt(1.W)) + val mdseac_locked_f =Wire(UInt(1.W)) + val i_cpu_run_req_d1 =Wire(UInt(1.W)) + val cpu_run_ack =Wire(UInt(1.W)) + val cpu_halt_status =Wire(UInt(1.W)) + val cpu_halt_ack =Wire(UInt(1.W)) + val pmu_fw_tlu_halted =Wire(UInt(1.W)) + val internal_pmu_fw_halt_mode =Wire(UInt(1.W)) + val pmu_fw_halt_req_ns =Wire(UInt(1.W)) + val pmu_fw_halt_req_f =Wire(UInt(1.W)) + val pmu_fw_tlu_halted_f =Wire(UInt(1.W)) + val int_timer0_int_hold_f =Wire(UInt(1.W)) + val int_timer1_int_hold_f =Wire(UInt(1.W)) + val trigger_hit_dmode_r =Wire(UInt(1.W)) + val i0_trigger_hit_r =Wire(UInt(1.W)) + val pause_expired_r =Wire(UInt(1.W)) + val dec_tlu_pmu_fw_halted =Wire(UInt(1.W)) + val dec_tlu_flush_noredir_r_d1 =Wire(UInt(1.W)) + val halt_taken_f =Wire(UInt(1.W)) + val lsu_idle_any_f =Wire(UInt(1.W)) + val ifu_miss_state_idle_f =Wire(UInt(1.W)) + val dbg_tlu_halted_f =Wire(UInt(1.W)) + val debug_halt_req_f =Wire(UInt(1.W)) + val debug_resume_req_f =Wire(UInt(1.W)) + val trigger_hit_dmode_r_d1 =Wire(UInt(1.W)) + val dcsr_single_step_done_f =Wire(UInt(1.W)) + val debug_halt_req_d1 =Wire(UInt(1.W)) + val request_debug_mode_r_d1 =Wire(UInt(1.W)) + val request_debug_mode_done_f =Wire(UInt(1.W)) + val dcsr_single_step_running_f =Wire(UInt(1.W)) + val dec_tlu_flush_pause_r_d1 =Wire(UInt(1.W)) + val dbg_halt_req_held =Wire(UInt(1.W)) + val debug_halt_req_ns =Wire(UInt(1.W)) + val internal_dbg_halt_mode =Wire(UInt(1.W)) + val core_empty =Wire(UInt(1.W)) + val dbg_halt_req_final =Wire(UInt(1.W)) + val debug_brkpt_status_ns =Wire(UInt(1.W)) + val mpc_debug_halt_ack_ns =Wire(UInt(1.W)) + val mpc_debug_run_ack_ns =Wire(UInt(1.W)) + val mpc_halt_state_ns =Wire(UInt(1.W)) + val mpc_run_state_ns =Wire(UInt(1.W)) + val dbg_halt_state_ns =Wire(UInt(1.W)) + val dbg_run_state_ns =Wire(UInt(1.W)) + val dbg_halt_state_f =Wire(UInt(1.W)) + val mpc_halt_state_f =Wire(UInt(1.W)) + val nmi_int_detected =Wire(UInt(1.W)) + val nmi_lsu_load_type =Wire(UInt(1.W)) + val nmi_lsu_store_type =Wire(UInt(1.W)) + val reset_delayed =Wire(UInt(1.W)) + val internal_dbg_halt_mode_f =Wire(UInt(1.W)) + val e5_valid =Wire(UInt(1.W)) + val ic_perr_r_d1 =Wire(UInt(1.W)) + val iccm_sbecc_r_d1 =Wire(UInt(1.W)) + + val npc_r = Wire(UInt(31.W)) + val npc_r_d1 = Wire(UInt(31.W)) + val mie_ns = Wire(UInt(6.W)) + val mepc = Wire(UInt(31.W)) + val mdseac_locked_ns = Wire(UInt(1.W)) + val force_halt = Wire(UInt(1.W)) + val dpc = Wire(UInt(31.W)) + val mstatus_mie_ns = Wire(UInt(1.W)) + val dec_csr_wen_r_mod = Wire(UInt(1.W)) + val fw_halt_req = Wire(UInt(1.W)) + val mstatus = Wire(UInt(2.W)) + val dcsr = Wire(UInt(16.W)) + val mtvec = Wire(UInt(31.W)) + val mip = Wire(UInt(6.W)) + val csr_pkt = Wire(new el2_dec_tlu_csr_pkt) + val dec_tlu_mpc_halted_only_ns = Wire(UInt(1.W)) + // tell dbg we are only MPC halted + dec_tlu_mpc_halted_only_ns := ~dbg_halt_state_f & mpc_halt_state_f + val int_timers=Module(new el2_dec_timer_ctl) + int_timers.io.free_clk :=io.free_clk + int_timers.io.scan_mode :=io.scan_mode + int_timers.io.dec_csr_wen_r_mod :=dec_csr_wen_r_mod + int_timers.io.dec_csr_rdaddr_d :=io.dec_csr_rdaddr_d + int_timers.io.dec_csr_wraddr_r :=io.dec_csr_wraddr_r + int_timers.io.dec_csr_wrdata_r :=io.dec_csr_wrdata_r + int_timers.io.csr_mitctl0 :=csr_pkt.csr_mitctl0 + int_timers.io.csr_mitctl1 :=csr_pkt.csr_mitctl1 + int_timers.io.csr_mitb0 :=csr_pkt.csr_mitb0 + int_timers.io.csr_mitb1 :=csr_pkt.csr_mitb1 + int_timers.io.csr_mitcnt0 :=csr_pkt.csr_mitcnt0 + int_timers.io.csr_mitcnt1 :=csr_pkt.csr_mitcnt1 + int_timers.io.dec_pause_state :=io.dec_pause_state + int_timers.io.dec_tlu_pmu_fw_halted :=dec_tlu_pmu_fw_halted + int_timers.io.internal_dbg_halt_timers:=internal_dbg_halt_timers + + val dec_timer_rddata_d =int_timers.io.dec_timer_rddata_d + val dec_timer_read_d =int_timers.io.dec_timer_read_d + val dec_timer_t0_pulse =int_timers.io.dec_timer_t0_pulse + val dec_timer_t1_pulse =int_timers.io.dec_timer_t1_pulse + + val clk_override = io.dec_tlu_dec_clk_override + + // Async inputs to the core have to be sync'd to the core clock. + + val syncro_ff=rvsyncss(Cat(io.nmi_int, io.timer_int, io.soft_int, io.i_cpu_halt_req, io.i_cpu_run_req, io.mpc_debug_halt_req, io.mpc_debug_run_req),io.free_clk) + val nmi_int_sync =syncro_ff(6) + val timer_int_sync =syncro_ff(5) + val soft_int_sync =syncro_ff(4) + val i_cpu_halt_req_sync =syncro_ff(3) + val i_cpu_run_req_sync =syncro_ff(2) + val mpc_debug_halt_req_sync_raw =syncro_ff(1) + val mpc_debug_run_req_sync =syncro_ff(0) + + // for CSRs that have inpipe writes only + val csr_wr_clk=rvclkhdr(clock,(dec_csr_wen_r_mod | clk_override).asBool,io.scan_mode) + val lsu_r_wb_clk=rvclkhdr(clock,(io.lsu_error_pkt_r.exc_valid | lsu_exc_valid_r_d1 | clk_override).asBool,io.scan_mode) + + val e4_valid = io.dec_tlu_i0_valid_r + val e4e5_valid = e4_valid | e5_valid + val flush_clkvalid = internal_dbg_halt_mode_f | i_cpu_run_req_d1 | interrupt_valid_r | interrupt_valid_r_d1 | reset_delayed | pause_expired_r | pause_expired_wb | ic_perr_r | ic_perr_r_d1 | iccm_sbecc_r | iccm_sbecc_r_d1 | clk_override + + val e4e5_clk=rvclkhdr(clock,(e4e5_valid | clk_override).asBool,io.scan_mode) + val e4e5_int_clk=rvclkhdr(clock,(e4e5_valid | flush_clkvalid).asBool,io.scan_mode) + + val iccm_repair_state_d1 =withClock(io.free_clk){RegNext(iccm_repair_state_ns,0.U)} + ic_perr_r_d1 :=withClock(io.free_clk){RegNext(ic_perr_r,0.U)} + iccm_sbecc_r_d1 :=withClock(io.free_clk){RegNext(iccm_sbecc_r,0.U)} + e5_valid :=withClock(io.free_clk){RegNext(e4_valid,0.U)} + internal_dbg_halt_mode_f :=withClock(io.free_clk){RegNext(internal_dbg_halt_mode,0.U)} + val lsu_pmu_load_external_r =withClock(io.free_clk){RegNext(io.lsu_pmu_load_external_m,0.U)} + val lsu_pmu_store_external_r =withClock(io.free_clk){RegNext(io.lsu_pmu_store_external_m,0.U)} + val tlu_flush_lower_r_d1 =withClock(io.free_clk){RegNext(tlu_flush_lower_r,0.U)} + io.dec_tlu_i0_kill_writeb_wb :=withClock(io.free_clk){RegNext(tlu_i0_kill_writeb_r,0.U)} + val internal_dbg_halt_mode_f2 =withClock(io.free_clk){RegNext(internal_dbg_halt_mode_f,0.U)} + io.dec_tlu_force_halt :=withClock(io.free_clk){RegNext(force_halt,0.U)} + + + + io.dec_tlu_i0_kill_writeb_r :=tlu_i0_kill_writeb_r + val reset_detect =withClock(io.free_clk){RegNext(1.U(1.W),0.U)} + val reset_detected =withClock(io.free_clk){RegNext(reset_detect,0.U)} + reset_delayed :=reset_detect ^ reset_detected + + val nmi_int_delayed =withClock(io.free_clk){RegNext(nmi_int_sync,0.U)} + val nmi_int_detected_f =withClock(io.free_clk){RegNext(nmi_int_detected,0.U)} + val nmi_lsu_load_type_f =withClock(io.free_clk){RegNext(nmi_lsu_load_type,0.U)} + val nmi_lsu_store_type_f =withClock(io.free_clk){RegNext(nmi_lsu_store_type,0.U)} + + + // Filter subsequent bus errors after the first, until the lock on MDSEAC is cleared + val nmi_lsu_detected = ~mdseac_locked_f & (io.lsu_imprecise_error_load_any | io.lsu_imprecise_error_store_any) + + nmi_int_detected := (nmi_int_sync & ~nmi_int_delayed) | nmi_lsu_detected | (nmi_int_detected_f & ~take_nmi_r_d1) |(take_ext_int_start_d3 & io.lsu_fir_error.orR) + // if the first nmi is a lsu type, note it. If there's already an nmi pending, ignore + nmi_lsu_load_type := (nmi_lsu_detected & io.lsu_imprecise_error_load_any & ~(nmi_int_detected_f & ~take_nmi_r_d1)) | (nmi_lsu_load_type_f & ~take_nmi_r_d1) + nmi_lsu_store_type := (nmi_lsu_detected & io.lsu_imprecise_error_store_any & ~(nmi_int_detected_f & ~take_nmi_r_d1)) | (nmi_lsu_store_type_f & ~take_nmi_r_d1) + + // ---------------------------------------------------------------------- + // MPC halt + // - can interact with debugger halt and v-v + + // fast ints in progress have priority + val mpc_debug_halt_req_sync = mpc_debug_halt_req_sync_raw & ~ext_int_freeze_d1 + val mpc_debug_halt_req_sync_f =withClock(io.free_clk){RegNext(mpc_debug_halt_req_sync,0.U)} + val mpc_debug_run_req_sync_f =withClock(io.free_clk){RegNext(mpc_debug_run_req_sync,0.U)} + mpc_halt_state_f :=withClock(io.free_clk){RegNext(mpc_halt_state_ns,0.U)} + val mpc_run_state_f =withClock(io.free_clk){RegNext(mpc_run_state_ns,0.U)} + val debug_brkpt_status_f =withClock(io.free_clk){RegNext(debug_brkpt_status_ns,0.U)} + val mpc_debug_halt_ack_f =withClock(io.free_clk){RegNext(mpc_debug_halt_ack_ns,0.U)} + val mpc_debug_run_ack_f =withClock(io.free_clk){RegNext(mpc_debug_run_ack_ns,0.U)} + dbg_halt_state_f :=withClock(io.free_clk){RegNext(dbg_halt_state_ns,0.U)} + val dbg_run_state_f =withClock(io.free_clk){RegNext(dbg_run_state_ns,0.U)} + io.dec_tlu_mpc_halted_only :=withClock(io.free_clk){RegNext(dec_tlu_mpc_halted_only_ns,0.U)} + + + // turn level sensitive requests into pulses + val mpc_debug_halt_req_sync_pulse = mpc_debug_halt_req_sync & ~mpc_debug_halt_req_sync_f + val mpc_debug_run_req_sync_pulse = mpc_debug_run_req_sync & ~mpc_debug_run_req_sync_f + // states + mpc_halt_state_ns := (mpc_halt_state_f | mpc_debug_halt_req_sync_pulse | (reset_delayed & ~io.mpc_reset_run_req)) & ~mpc_debug_run_req_sync + mpc_run_state_ns := (mpc_run_state_f | (mpc_debug_run_req_sync_pulse & ~mpc_debug_run_ack_f)) & (internal_dbg_halt_mode_f & ~dcsr_single_step_running_f) + + dbg_halt_state_ns := (dbg_halt_state_f | (dbg_halt_req_final | dcsr_single_step_done_f | trigger_hit_dmode_r_d1 | ebreak_to_debug_mode_r_d1)) & ~io.dbg_resume_req + dbg_run_state_ns := (dbg_run_state_f | io.dbg_resume_req) & (internal_dbg_halt_mode_f & ~dcsr_single_step_running_f) + + // tell dbg we are only MPC halted + dec_tlu_mpc_halted_only_ns := ~dbg_halt_state_f & mpc_halt_state_f + + // this asserts from detection of bkpt until after we leave debug mode + val debug_brkpt_valid = ebreak_to_debug_mode_r_d1 | trigger_hit_dmode_r_d1 + debug_brkpt_status_ns := (debug_brkpt_valid | debug_brkpt_status_f) & (internal_dbg_halt_mode & ~dcsr_single_step_running_f) + + // acks back to interface + mpc_debug_halt_ack_ns := mpc_halt_state_f & internal_dbg_halt_mode_f & mpc_debug_halt_req_sync & core_empty + mpc_debug_run_ack_ns := (mpc_debug_run_req_sync & ~dbg_halt_state_ns & ~mpc_debug_halt_req_sync) | (mpc_debug_run_ack_f & mpc_debug_run_req_sync) + + // Pins + io.mpc_debug_halt_ack := mpc_debug_halt_ack_f + io.mpc_debug_run_ack := mpc_debug_run_ack_f + io.debug_brkpt_status := debug_brkpt_status_f + + // DBG halt req is a pulse, fast ext int in progress has priority + val dbg_halt_req_held_ns = (io.dbg_halt_req | dbg_halt_req_held) & ext_int_freeze_d1 + dbg_halt_req_final := (io.dbg_halt_req | dbg_halt_req_held) & ~ext_int_freeze_d1 + + // combine MPC and DBG halt requests + val debug_halt_req = (dbg_halt_req_final | mpc_debug_halt_req_sync | (reset_delayed & ~io.mpc_reset_run_req)) & ~internal_dbg_halt_mode_f & ~ext_int_freeze_d1 + + val debug_resume_req = ~debug_resume_req_f & ((mpc_run_state_ns & ~dbg_halt_state_ns) | (dbg_run_state_ns & ~mpc_halt_state_ns)) + + + // HALT + // dbg/pmu/fw requests halt, service as soon as lsu is not blocking interrupts + val take_halt = (debug_halt_req_f | pmu_fw_halt_req_f) & ~synchronous_flush_r & ~mret_r & ~halt_taken_f & ~dec_tlu_flush_noredir_r_d1 & ~take_reset + + // hold after we take a halt, so we don't keep taking halts + val halt_taken = (dec_tlu_flush_noredir_r_d1 & ~dec_tlu_flush_pause_r_d1 & ~take_ext_int_start_d1) | (halt_taken_f & ~dbg_tlu_halted_f & ~pmu_fw_tlu_halted_f & ~interrupt_valid_r_d1) + + // After doing halt flush (RFNPC) wait until core is idle before asserting a particular halt mode + // It takes a cycle for mb_empty to assert after a fetch, take_halt covers that cycle + core_empty := force_halt | (io.lsu_idle_any & lsu_idle_any_f & io.ifu_miss_state_idle & ifu_miss_state_idle_f & ~debug_halt_req & ~debug_halt_req_d1 & ~io.dec_div_active) + + //-------------------------------------------------------------------------------- + // Debug start + // + + val enter_debug_halt_req = (~internal_dbg_halt_mode_f & debug_halt_req) | dcsr_single_step_done_f | trigger_hit_dmode_r_d1 | ebreak_to_debug_mode_r_d1 + + // dbg halt state active from request until non-step resume + internal_dbg_halt_mode := debug_halt_req_ns | (internal_dbg_halt_mode_f & ~(debug_resume_req_f & ~dcsr(DCSR_STEP))) + // dbg halt can access csrs as long as we are not stepping + val allow_dbg_halt_csr_write = internal_dbg_halt_mode_f & ~dcsr_single_step_running_f + + + // hold debug_halt_req_ns high until we enter debug halt + + val dbg_tlu_halted = (debug_halt_req_f & core_empty & halt_taken) | (dbg_tlu_halted_f & ~debug_resume_req_f) + debug_halt_req_ns := enter_debug_halt_req | (debug_halt_req_f & ~dbg_tlu_halted) + val resume_ack_ns = (debug_resume_req_f & dbg_tlu_halted_f & dbg_run_state_ns) + + val dcsr_single_step_done = io.dec_tlu_i0_valid_r & ~io.dec_tlu_dbg_halted & dcsr(DCSR_STEP) & ~rfpc_i0_r + + val dcsr_single_step_running = (debug_resume_req_f & dcsr(DCSR_STEP)) | (dcsr_single_step_running_f & ~dcsr_single_step_done_f) + + val dbg_cmd_done_ns = io.dec_tlu_i0_valid_r & io.dec_tlu_dbg_halted + + // used to hold off commits after an in-pipe debug mode request (triggers, DCSR) + val request_debug_mode_r = (trigger_hit_dmode_r | ebreak_to_debug_mode_r) | (request_debug_mode_r_d1 & ~io.dec_tlu_flush_lower_wb) + + val request_debug_mode_done = (request_debug_mode_r_d1 | request_debug_mode_done_f) & ~dbg_tlu_halted_f + + + dec_tlu_flush_noredir_r_d1 :=withClock(io.free_clk){RegNext(io.dec_tlu_flush_noredir_r,0.U)} + halt_taken_f :=withClock(io.free_clk){RegNext(halt_taken,0.U)} + lsu_idle_any_f :=withClock(io.free_clk){RegNext(io.lsu_idle_any,0.U)} + ifu_miss_state_idle_f :=withClock(io.free_clk){RegNext(io.ifu_miss_state_idle,0.U)} + dbg_tlu_halted_f :=withClock(io.free_clk){RegNext(dbg_tlu_halted,0.U)} + io.dec_tlu_resume_ack :=withClock(io.free_clk){RegNext(resume_ack_ns,0.U)} + debug_halt_req_f :=withClock(io.free_clk){RegNext(debug_halt_req_ns,0.U)} + debug_resume_req_f :=withClock(io.free_clk){RegNext(debug_resume_req,0.U)} + trigger_hit_dmode_r_d1 :=withClock(io.free_clk){RegNext(trigger_hit_dmode_r,0.U)} + dcsr_single_step_done_f :=withClock(io.free_clk){RegNext(dcsr_single_step_done,0.U)} + debug_halt_req_d1 :=withClock(io.free_clk){RegNext(debug_halt_req,0.U)} + val dec_tlu_wr_pause_r_d1 =withClock(io.free_clk){RegNext(io.dec_tlu_wr_pause_r,0.U)} + val dec_pause_state_f =withClock(io.free_clk){RegNext(io.dec_pause_state,0.U)} + request_debug_mode_r_d1 :=withClock(io.free_clk){RegNext(request_debug_mode_r,0.U)} + request_debug_mode_done_f :=withClock(io.free_clk){RegNext(request_debug_mode_done,0.U)} + dcsr_single_step_running_f :=withClock(io.free_clk){RegNext(dcsr_single_step_running,0.U)} + dec_tlu_flush_pause_r_d1 :=withClock(io.free_clk){RegNext(io.dec_tlu_flush_pause_r,0.U)} + dbg_halt_req_held :=withClock(io.free_clk){RegNext(dbg_halt_req_held_ns,0.U)} + + + io.dec_tlu_debug_stall := debug_halt_req_f + io.dec_tlu_dbg_halted := dbg_tlu_halted_f + io.dec_tlu_debug_mode := internal_dbg_halt_mode_f + dec_tlu_pmu_fw_halted := pmu_fw_tlu_halted_f + + // kill fetch redirection on flush if going to halt, or if there's a fence during db-halt + io.dec_tlu_flush_noredir_r := take_halt | (fence_i_r & internal_dbg_halt_mode) | io.dec_tlu_flush_pause_r | (i0_trigger_hit_r & trigger_hit_dmode_r) | take_ext_int_start + + io.dec_tlu_flush_extint := take_ext_int_start + + // 1 cycle after writing the PAUSE counter, flush with noredir to idle F1-D. + io.dec_tlu_flush_pause_r := dec_tlu_wr_pause_r_d1 & ~interrupt_valid_r & ~take_ext_int_start + // detect end of pause counter and rfpc + pause_expired_r := ~io.dec_pause_state & dec_pause_state_f & ~(ext_int_ready | ce_int_ready | timer_int_ready | soft_int_ready | int_timer0_int_hold_f | int_timer1_int_hold_f | nmi_int_detected | ext_int_freeze_d1) & ~interrupt_valid_r_d1 & ~debug_halt_req_f & ~pmu_fw_halt_req_f & ~halt_taken_f + + io.dec_tlu_flush_leak_one_r := io.dec_tlu_flush_lower_r & dcsr(DCSR_STEP) & (io.dec_tlu_resume_ack | dcsr_single_step_running) & ~io.dec_tlu_flush_noredir_r + io.dec_tlu_flush_err_r := io.dec_tlu_flush_lower_r & (ic_perr_r_d1 | iccm_sbecc_r_d1) + + // If DM attempts to access an illegal CSR, send cmd_fail back + io.dec_dbg_cmd_done := dbg_cmd_done_ns + io.dec_dbg_cmd_fail := illegal_r & io.dec_dbg_cmd_done + + + //-------------------------------------------------------------------------------- + //-------------------------------------------------------------------------------- + // Triggers + // + + // Prioritize trigger hits with other exceptions. + // + // Trigger should have highest priority except: + // - trigger is an execute-data and there is an inst_access exception (lsu triggers won't fire, inst. is nop'd by decode) + // - trigger is a store-data and there is a lsu_acc_exc or lsu_ma_exc. + val trigger_execute = Cat(mtdata1_t(3)(MTDATA1_EXE), mtdata1_t(2)(MTDATA1_EXE), mtdata1_t(1)(MTDATA1_EXE), mtdata1_t(0)(MTDATA1_EXE)) + val trigger_data = Cat(mtdata1_t(3)(MTDATA1_SEL), mtdata1_t(2)(MTDATA1_SEL), mtdata1_t(1)(MTDATA1_SEL), mtdata1_t(0)(MTDATA1_SEL)) + val trigger_store = Cat(mtdata1_t(3)(MTDATA1_ST), mtdata1_t(2)(MTDATA1_ST), mtdata1_t(1)(MTDATA1_ST), mtdata1_t(0)(MTDATA1_ST)) + + // MSTATUS[MIE] needs to be on to take triggers unless the action is trigger to debug mode. + val trigger_enabled = Cat((mtdata1_t(3)(MTDATA1_ACTION) | mstatus(MSTATUS_MIE)) & mtdata1_t(3)(MTDATA1_M_ENABLED),(mtdata1_t(2)(MTDATA1_ACTION) | mstatus(MSTATUS_MIE)) & mtdata1_t(2)(MTDATA1_M_ENABLED), (mtdata1_t(1)(MTDATA1_ACTION) | mstatus(MSTATUS_MIE)) & mtdata1_t(1)(MTDATA1_M_ENABLED), (mtdata1_t(0)(MTDATA1_ACTION) | mstatus(MSTATUS_MIE)) & mtdata1_t(0)(MTDATA1_M_ENABLED)) + + // iside exceptions are always in i0 + val i0_iside_trigger_has_pri_r = ~((trigger_execute & trigger_data & Fill(4,inst_acc_r_raw)) | (Fill(4,io.exu_i0_br_error_r | io.exu_i0_br_start_error_r))) + + // lsu excs have to line up with their respective triggers since the lsu op can be i0 + val i0_lsu_trigger_has_pri_r = ~(trigger_store & trigger_data & Fill(4,lsu_i0_exc_r_raw)) + + // trigger hits have to be eval'd to cancel side effect lsu ops even though the pipe is already frozen + val i0_trigger_eval_r = io.dec_tlu_i0_valid_r + + val i0trigger_qual_r = Fill(4,i0_trigger_eval_r) & io.dec_tlu_packet_r.i0trigger(3,0) & i0_iside_trigger_has_pri_r & i0_lsu_trigger_has_pri_r & trigger_enabled + // Qual trigger hits + val i0_trigger_r = ~(Fill(4,io.dec_tlu_flush_lower_wb | io.dec_tlu_dbg_halted)) & i0trigger_qual_r + + // chaining can mask raw trigger info + val i0_trigger_chain_masked_r = Cat(i0_trigger_r(3) & (~mtdata1_t(2)(MTDATA1_CHAIN) | i0_trigger_r(2)), i0_trigger_r(2) & (~mtdata1_t(2)(MTDATA1_CHAIN) | i0_trigger_r(3)), i0_trigger_r(1) & (~mtdata1_t(0)(MTDATA1_CHAIN) | i0_trigger_r(0)), i0_trigger_r(0) & (~mtdata1_t(0)(MTDATA1_CHAIN) | i0_trigger_r(1))) + + // This is the highest priority by this point. + val i0_trigger_hit_raw_r = i0_trigger_chain_masked_r.orR + + i0_trigger_hit_r := i0_trigger_hit_raw_r + + // Actions include breakpoint, or dmode. Dmode is only possible if the DMODE bit is set. + // Otherwise, take a breakpoint. + val trigger_action = Cat(mtdata1_t(3)(MTDATA1_ACTION) & mtdata1_t(3)(MTDATA1_DMODE), mtdata1_t(2)(MTDATA1_ACTION) & mtdata1_t(2)(MTDATA1_DMODE), mtdata1_t(1)(MTDATA1_ACTION) & mtdata1_t(1)(MTDATA1_DMODE), mtdata1_t(0)(MTDATA1_ACTION) & mtdata1_t(0)(MTDATA1_DMODE)) + + // this is needed to set the HIT bit in the triggers + val update_hit_bit_r = (Fill(4,i0_trigger_hit_r) & i0_trigger_chain_masked_r) + + // action, 1 means dmode. Simultaneous triggers with at least 1 set for dmode force entire action to dmode. + val i0_trigger_action_r = (i0_trigger_chain_masked_r & trigger_action).orR + + trigger_hit_dmode_r := (i0_trigger_hit_r & i0_trigger_action_r) + + val mepc_trigger_hit_sel_pc_r = i0_trigger_hit_r & ~trigger_hit_dmode_r + + // + // Debug end + + + //---------------------------------------------------------------------- + // + // Commit + // + //---------------------------------------------------------------------- + + + + //-------------------------------------------------------------------------------- + // External halt (not debug halt) + // - Fully interlocked handshake + // i_cpu_halt_req ____|--------------|_______________ + // core_empty ---------------|___________ + // o_cpu_halt_ack _________________|----|__________ + // o_cpu_halt_status _______________|---------------------|_________ + // i_cpu_run_req ______|----------|____ + // o_cpu_run_ack ____________|------|________ + // + + + // debug mode has priority, ignore PMU/FW halt/run while in debug mode + val i_cpu_halt_req_sync_qual = i_cpu_halt_req_sync & ~io.dec_tlu_debug_mode & ~ext_int_freeze_d1 + val i_cpu_run_req_sync_qual = i_cpu_run_req_sync & ~io.dec_tlu_debug_mode & pmu_fw_tlu_halted_f & ~ext_int_freeze_d1 + + val i_cpu_halt_req_d1 =withClock(io.free_clk){RegNext(i_cpu_halt_req_sync_qual,0.U)} + val i_cpu_run_req_d1_raw =withClock(io.free_clk){RegNext(i_cpu_run_req_sync_qual,0.U)} + io.o_cpu_halt_status :=withClock(io.free_clk){RegNext(cpu_halt_status,0.U)} + io.o_cpu_halt_ack :=withClock(io.free_clk){RegNext(cpu_halt_ack,0.U)} + io.o_cpu_run_ack :=withClock(io.free_clk){RegNext(cpu_run_ack,0.U)} + val internal_pmu_fw_halt_mode_f=withClock(io.free_clk){RegNext(internal_pmu_fw_halt_mode,0.U)} + pmu_fw_halt_req_f :=withClock(io.free_clk){RegNext(pmu_fw_halt_req_ns,0.U)} + pmu_fw_tlu_halted_f :=withClock(io.free_clk){RegNext(pmu_fw_tlu_halted,0.U)} + int_timer0_int_hold_f :=withClock(io.free_clk){RegNext(int_timer0_int_hold,0.U)} + int_timer1_int_hold_f :=withClock(io.free_clk){RegNext(int_timer1_int_hold,0.U)} + + + // only happens if we aren't in dgb_halt + val ext_halt_pulse = i_cpu_halt_req_sync_qual & ~i_cpu_halt_req_d1 + val enter_pmu_fw_halt_req = ext_halt_pulse | fw_halt_req + pmu_fw_halt_req_ns := (enter_pmu_fw_halt_req | (pmu_fw_halt_req_f & ~pmu_fw_tlu_halted)) & ~debug_halt_req_f + internal_pmu_fw_halt_mode := pmu_fw_halt_req_ns | (internal_pmu_fw_halt_mode_f & ~i_cpu_run_req_d1 & ~debug_halt_req_f) + + // debug halt has priority + pmu_fw_tlu_halted := ((pmu_fw_halt_req_f & core_empty & halt_taken & ~enter_debug_halt_req) | (pmu_fw_tlu_halted_f & ~i_cpu_run_req_d1)) & ~debug_halt_req_f + + cpu_halt_ack := i_cpu_halt_req_d1 & pmu_fw_tlu_halted_f + cpu_halt_status := (pmu_fw_tlu_halted_f & ~i_cpu_run_req_d1) | (io.o_cpu_halt_status & ~i_cpu_run_req_d1 & ~internal_dbg_halt_mode_f) + cpu_run_ack := (io.o_cpu_halt_status & i_cpu_run_req_sync_qual) | (io.o_cpu_run_ack & i_cpu_run_req_sync_qual) + val debug_mode_status = internal_dbg_halt_mode_f + io.o_debug_mode_status := debug_mode_status + + // high priority interrupts can wakeup from external halt, so can unmasked timer interrupts + i_cpu_run_req_d1 := i_cpu_run_req_d1_raw | ((nmi_int_detected | timer_int_ready | soft_int_ready | int_timer0_int_hold_f | int_timer1_int_hold_f | (io.mhwakeup & mhwakeup_ready)) & io.o_cpu_halt_status & ~i_cpu_halt_req_d1) + + //-------------------------------------------------------------------------------- + //-------------------------------------------------------------------------------- + + val lsu_single_ecc_error_r =io.lsu_single_ecc_error_incr + mdseac_locked_f :=withClock(io.free_clk){RegNext(mdseac_locked_ns,0.U)} + val lsu_single_ecc_error_r_d1 =withClock(io.free_clk){RegNext(lsu_single_ecc_error_r,0.U)} + val lsu_error_pkt_addr_r =io.lsu_error_pkt_r.addr + val lsu_exc_valid_r_raw = io.lsu_error_pkt_r.exc_valid & ~io.dec_tlu_flush_lower_wb + lsu_i0_exc_r_raw := io.lsu_error_pkt_r.exc_valid + val lsu_i0_exc_r = lsu_i0_exc_r_raw & lsu_exc_valid_r_raw & ~i0_trigger_hit_r & ~rfpc_i0_r + val lsu_exc_valid_r = lsu_i0_exc_r + lsu_exc_valid_r_d1 :=withClock(lsu_r_wb_clk){RegNext(lsu_exc_valid_r,0.U)} + val lsu_i0_exc_r_d1 =withClock(lsu_r_wb_clk){RegNext(lsu_i0_exc_r,0.U)} + val lsu_exc_ma_r = lsu_i0_exc_r & ~io.lsu_error_pkt_r.exc_type + val lsu_exc_acc_r = lsu_i0_exc_r & io.lsu_error_pkt_r.exc_type + val lsu_exc_st_r = lsu_i0_exc_r & io.lsu_error_pkt_r.inst_type + + // Single bit ECC errors on loads are RFNPC corrected, with the corrected data written to the GPR. + // LSU turns the load into a store and patches the data in the DCCM + val lsu_i0_rfnpc_r = io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & (~io.lsu_error_pkt_r.inst_type & io.lsu_error_pkt_r.single_ecc_error) + + // Final commit valids + val tlu_i0_commit_cmt = io.dec_tlu_i0_valid_r & ~rfpc_i0_r & ~lsu_i0_exc_r & ~inst_acc_r & ~io.dec_tlu_dbg_halted & ~request_debug_mode_r_d1 & ~i0_trigger_hit_r + + // unified place to manage the killing of arch state writebacks + tlu_i0_kill_writeb_r := rfpc_i0_r | lsu_i0_exc_r | inst_acc_r | (illegal_r & io.dec_tlu_dbg_halted) | i0_trigger_hit_r + io.dec_tlu_i0_commit_cmt := tlu_i0_commit_cmt + + + // refetch PC, microarch flush + // ic errors only in pipe0 + rfpc_i0_r := ((io.dec_tlu_i0_valid_r & ~tlu_flush_lower_r_d1 & (io.exu_i0_br_error_r | io.exu_i0_br_start_error_r)) | ((ic_perr_r_d1 | iccm_sbecc_r_d1) & ~ext_int_freeze_d1)) & ~i0_trigger_hit_r & ~lsu_i0_rfnpc_r + + // From the indication of a iccm single bit error until the first commit or flush, maintain a repair state. In the repair state, rfnpc i0 commits. + iccm_repair_state_ns := iccm_sbecc_r_d1 | (iccm_repair_state_d1 & ~io.dec_tlu_flush_lower_r) + + + val MCPC =0x7c2.U(12.W) + + // this is a flush of last resort, meaning only assert it if there is no other flush happening. + val iccm_repair_state_rfnpc = tlu_i0_commit_cmt & iccm_repair_state_d1 & ~(ebreak_r | ecall_r | mret_r | take_reset | illegal_r | (dec_csr_wen_r_mod & (io.dec_csr_wraddr_r ===MCPC))) + + // go ahead and repair the branch error on other flushes, doesn't have to be the rfpc flush + val dec_tlu_br0_error_r = io.exu_i0_br_error_r & io.dec_tlu_i0_valid_r & ~tlu_flush_lower_r_d1 + val dec_tlu_br0_start_error_r = io.exu_i0_br_start_error_r & io.dec_tlu_i0_valid_r & ~tlu_flush_lower_r_d1 + val dec_tlu_br0_v_r = io.exu_i0_br_valid_r & io.dec_tlu_i0_valid_r & ~tlu_flush_lower_r_d1 & (~io.exu_i0_br_mp_r | ~io.exu_pmu_i0_br_ataken) + + + io.dec_tlu_br0_r_pkt.hist := io.exu_i0_br_hist_r + io.dec_tlu_br0_r_pkt.br_error := dec_tlu_br0_error_r + io.dec_tlu_br0_r_pkt.br_start_error := dec_tlu_br0_start_error_r + io.dec_tlu_br0_r_pkt.valid := dec_tlu_br0_v_r + io.dec_tlu_br0_r_pkt.way := io.exu_i0_br_way_r + io.dec_tlu_br0_r_pkt.middle := io.exu_i0_br_middle_r + + + ebreak_r := (io.dec_tlu_packet_r.pmu_i0_itype === EBREAK) & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & ~dcsr(DCSR_EBREAKM) & ~rfpc_i0_r + ecall_r := (io.dec_tlu_packet_r.pmu_i0_itype === ECALL) & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & ~rfpc_i0_r + illegal_r := ~io.dec_tlu_packet_r.legal & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & ~rfpc_i0_r + mret_r := (io.dec_tlu_packet_r.pmu_i0_itype === MRET) & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & ~rfpc_i0_r + // fence_i includes debug only fence_i's + fence_i_r := (io.dec_tlu_packet_r.fence_i & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r) & ~rfpc_i0_r + ic_perr_r := io.ifu_ic_error_start & ~ext_int_freeze_d1 & (~internal_dbg_halt_mode_f | dcsr_single_step_running) & ~internal_pmu_fw_halt_mode_f + iccm_sbecc_r := io.ifu_iccm_rd_ecc_single_err & ~ext_int_freeze_d1 & (~internal_dbg_halt_mode_f | dcsr_single_step_running) & ~internal_pmu_fw_halt_mode_f + inst_acc_r_raw := io.dec_tlu_packet_r.icaf & io.dec_tlu_i0_valid_r + inst_acc_r := inst_acc_r_raw & ~rfpc_i0_r & ~i0_trigger_hit_r + val inst_acc_second_r = io.dec_tlu_packet_r.icaf_f1 + + ebreak_to_debug_mode_r := (io.dec_tlu_packet_r.pmu_i0_itype === EBREAK) & io.dec_tlu_i0_valid_r & ~i0_trigger_hit_r & dcsr(DCSR_EBREAKM) & ~rfpc_i0_r + + ebreak_to_debug_mode_r_d1:= withClock(e4e5_clk){RegNext(ebreak_to_debug_mode_r,0.U)} + io.dec_tlu_fence_i_r := fence_i_r + + // + // Exceptions + // + // - MEPC <- PC + // - PC <- MTVEC, assert flush_lower + // - MCAUSE <- cause + // - MSCAUSE <- secondary cause + // - MTVAL <- + // - MPIE <- MIE + // - MIE <- 0 + // + val i0_exception_valid_r = (ebreak_r | ecall_r | illegal_r | inst_acc_r) & ~rfpc_i0_r & ~io.dec_tlu_dbg_halted + + // Cause: + // + // 0x2 : illegal + // 0x3 : breakpoint + // 0xb : Environment call M-mode + + val exc_cause_r = Mux1H(Seq( + (take_ext_int & ~take_nmi).asBool -> 0x0b.U(5.W), + (take_timer_int & ~take_nmi).asBool -> 0x07.U(5.W), + (take_soft_int & ~take_nmi).asBool -> 0x03.U(5.W), + (take_int_timer0_int & ~take_nmi).asBool -> 0x1d.U(5.W), + (take_int_timer1_int & ~take_nmi).asBool -> 0x1c.U(5.W), + (take_ce_int & ~take_nmi).asBool -> 0x1e.U(5.W), + (illegal_r & ~take_nmi).asBool -> 0x02.U(5.W), + (ecall_r & ~take_nmi).asBool -> 0x0b.U(5.W), + (inst_acc_r & ~take_nmi).asBool -> 0x01.U(5.W), + ((ebreak_r | i0_trigger_hit_r) & ~take_nmi).asBool -> 0x03.U(5.W), + (lsu_exc_ma_r & ~lsu_exc_st_r & ~take_nmi).asBool -> 0x04.U(5.W), + (lsu_exc_acc_r & ~lsu_exc_st_r & ~take_nmi).asBool -> 0x05.U(5.W), + (lsu_exc_ma_r & lsu_exc_st_r & ~take_nmi).asBool -> 0x06.U(5.W), + (lsu_exc_acc_r & lsu_exc_st_r & ~take_nmi).asBool -> 0x07.U(5.W) + )) + // + // Interrupts + // + // exceptions that are committed have already happened and will cause an int at E4 to wait a cycle + // or more if MSTATUS[MIE] is cleared. + // + // -in priority order, highest to lowest + // -single cycle window where a csr write to MIE/MSTATUS is at E4 when the other conditions for externals are met. + // Hold off externals for a cycle to make sure we are consistent with what was just written + mhwakeup_ready := ~io.dec_csr_stall_int_ff & mstatus_mie_ns & mip(MIP_MEIP) & mie_ns(MIE_MEIE) + ext_int_ready := ~io.dec_csr_stall_int_ff & mstatus_mie_ns & mip(MIP_MEIP) & mie_ns(MIE_MEIE) & ~ignore_ext_int_due_to_lsu_stall + ce_int_ready := ~io.dec_csr_stall_int_ff & mstatus_mie_ns & mip(MIP_MCEIP) & mie_ns(MIE_MCEIE) + soft_int_ready := ~io.dec_csr_stall_int_ff & mstatus_mie_ns & mip(MIP_MSIP) & mie_ns(MIE_MSIE) + timer_int_ready := ~io.dec_csr_stall_int_ff & mstatus_mie_ns & mip(MIP_MTIP) & mie_ns(MIE_MTIE) + + // MIP for internal timers pulses for 1 clock, resets the timer counter. Mip won't hold past the various stall conditions. + val int_timer0_int_possible = mstatus_mie_ns & mie_ns(MIE_MITIE0) + val int_timer0_int_ready = mip(MIP_MITIP0) & int_timer0_int_possible + val int_timer1_int_possible = mstatus_mie_ns & mie_ns(MIE_MITIE1) + val int_timer1_int_ready = mip(MIP_MITIP1) & int_timer1_int_possible + + // Internal timers pulse and reset. If core is PMU/FW halted, the pulse will cause an exit from halt, but won't stick around + // Make it sticky, also for 1 cycle stall conditions. + val int_timer_stalled = io.dec_csr_stall_int_ff | synchronous_flush_r | exc_or_int_valid_r_d1 | mret_r + + int_timer0_int_hold := (int_timer0_int_ready & (pmu_fw_tlu_halted_f | int_timer_stalled)) | (int_timer0_int_possible & int_timer0_int_hold_f & ~interrupt_valid_r & ~take_ext_int_start & ~internal_dbg_halt_mode_f) + int_timer1_int_hold := (int_timer1_int_ready & (pmu_fw_tlu_halted_f | int_timer_stalled)) | (int_timer1_int_possible & int_timer1_int_hold_f & ~interrupt_valid_r & ~take_ext_int_start & ~internal_dbg_halt_mode_f) + + internal_dbg_halt_timers := internal_dbg_halt_mode_f & ~dcsr_single_step_running; + + val block_interrupts = ((internal_dbg_halt_mode & (~dcsr_single_step_running | io.dec_tlu_i0_valid_r)) | internal_pmu_fw_halt_mode | i_cpu_halt_req_d1 | take_nmi | ebreak_to_debug_mode_r | synchronous_flush_r | exc_or_int_valid_r_d1 | mret_r | ext_int_freeze_d1) + + + if(FAST_INTERRUPT_REDIRECT==1) { + take_ext_int_start_d1:=withClock(io.free_clk){RegNext(take_ext_int_start,0.U)} + take_ext_int_start_d2:=withClock(io.free_clk){RegNext(take_ext_int_start_d1,0.U)} + take_ext_int_start_d3:=withClock(io.free_clk){RegNext(take_ext_int_start_d2,0.U)} + ext_int_freeze_d1 :=withClock(io.free_clk){RegNext(ext_int_freeze,0.U)} + take_ext_int_start := ext_int_ready & ~block_interrupts; + + ext_int_freeze := take_ext_int_start | take_ext_int_start_d1 | take_ext_int_start_d2 | take_ext_int_start_d3 + take_ext_int := take_ext_int_start_d3 & ~io.lsu_fir_error.orR + fast_int_meicpct := csr_pkt.csr_meicpct & io.dec_csr_any_unq_d // MEICPCT becomes illegal if fast ints are enabled + ignore_ext_int_due_to_lsu_stall := io.lsu_fastint_stall_any + }else{ + take_ext_int_start := 0.U(1.W) + ext_int_freeze := 0.U(1.W) + ext_int_freeze_d1 := 0.U(1.W) + take_ext_int_start_d1 := 0.U(1.W) + take_ext_int_start_d2 := 0.U(1.W) + take_ext_int_start_d3 := 0.U(1.W) + fast_int_meicpct := 0.U(1.W) + ignore_ext_int_due_to_lsu_stall := 0.U(1.W) + take_ext_int := ext_int_ready & ~block_interrupts + } + + take_ce_int := ce_int_ready & ~ext_int_ready & ~block_interrupts + take_soft_int := soft_int_ready & ~ext_int_ready & ~ce_int_ready & ~block_interrupts + take_timer_int := timer_int_ready & ~soft_int_ready & ~ext_int_ready & ~ce_int_ready & ~block_interrupts + take_int_timer0_int := (int_timer0_int_ready | int_timer0_int_hold_f) & int_timer0_int_possible & ~io.dec_csr_stall_int_ff & ~timer_int_ready & ~soft_int_ready & ~ext_int_ready & ~ce_int_ready & ~block_interrupts + take_int_timer1_int := (int_timer1_int_ready | int_timer1_int_hold_f) & int_timer1_int_possible & ~io.dec_csr_stall_int_ff & ~(int_timer0_int_ready | int_timer0_int_hold_f) & ~timer_int_ready & ~soft_int_ready & ~ext_int_ready & ~ce_int_ready & ~block_interrupts + take_reset := reset_delayed & io.mpc_reset_run_req + take_nmi := nmi_int_detected & ~internal_pmu_fw_halt_mode & (~internal_dbg_halt_mode | (dcsr_single_step_running_f & dcsr(DCSR_STEPIE) & ~io.dec_tlu_i0_valid_r & ~dcsr_single_step_done_f)) & ~synchronous_flush_r & ~mret_r & ~take_reset & ~ebreak_to_debug_mode_r & (~ext_int_freeze_d1 | (take_ext_int_start_d3 & io.lsu_fir_error.orR)) + + + interrupt_valid_r := take_ext_int | take_timer_int | take_soft_int | take_nmi | take_ce_int | take_int_timer0_int | take_int_timer1_int + + + // Compute interrupt path: + // If vectored async is set in mtvec, flush path for interrupts is MTVEC + (4 * CAUSE); + val vectored_path = Cat(mtvec(30,1),0.U(1.W)) + Cat(0.U(25.W),exc_cause_r, 0.U(1.W)) ///After Combining Code revisit this + val interrupt_path = Mux(take_nmi.asBool, io.nmi_vec, Mux(mtvec(0) === 1.U, vectored_path, Cat(mtvec(30,1),0.U(1.W))))///After Combining Code revisit this + val sel_npc_r = lsu_i0_rfnpc_r | fence_i_r | iccm_repair_state_rfnpc | (i_cpu_run_req_d1 & ~interrupt_valid_r) | (rfpc_i0_r & ~io.dec_tlu_i0_valid_r) + val sel_npc_resume = (i_cpu_run_req_d1 & pmu_fw_tlu_halted_f) | pause_expired_r + val sel_fir_addr = take_ext_int_start_d3 & ~io.lsu_fir_error.orR + synchronous_flush_r := i0_exception_valid_r | rfpc_i0_r | lsu_exc_valid_r | fence_i_r | lsu_i0_rfnpc_r | iccm_repair_state_rfnpc | debug_resume_req_f | sel_npc_resume | dec_tlu_wr_pause_r_d1 | i0_trigger_hit_r + tlu_flush_lower_r := interrupt_valid_r | mret_r | synchronous_flush_r | take_halt | take_reset | take_ext_int_start + ///After Combining Code revisit this + val tlu_flush_path_r = Mux(take_reset.asBool, io.rst_vec,Mux1H(Seq( + (sel_fir_addr).asBool -> io.lsu_fir_addr, + (take_nmi===0.U & sel_npc_r===1.U) -> npc_r, + (take_nmi===0.U & rfpc_i0_r===1.U & io.dec_tlu_i0_valid_r===1.U & sel_npc_r===0.U) -> io.dec_tlu_i0_pc_r, + (interrupt_valid_r===1.U & sel_fir_addr===0.U) -> interrupt_path, + ((i0_exception_valid_r | lsu_exc_valid_r | (i0_trigger_hit_r & ~trigger_hit_dmode_r)) & ~interrupt_valid_r & ~sel_fir_addr).asBool -> Cat(mtvec(30,1),0.U(1.W)), + (~take_nmi & mret_r).asBool -> mepc, + (~take_nmi & debug_resume_req_f).asBool -> dpc, + (~take_nmi & sel_npc_resume).asBool -> npc_r_d1 + ))) + + val tlu_flush_path_r_d1=withClock(e4e5_int_clk){RegNext(tlu_flush_path_r,0.U)} ///After Combining Code revisit this + + io.dec_tlu_flush_lower_wb := tlu_flush_lower_r_d1 + io.dec_tlu_flush_lower_r := tlu_flush_lower_r + io.dec_tlu_flush_path_r := tlu_flush_path_r ///After Combining Code revisit this + + // this is used to capture mepc, etc. + val exc_or_int_valid_r = lsu_exc_valid_r | i0_exception_valid_r | interrupt_valid_r | (i0_trigger_hit_r & ~trigger_hit_dmode_r) + + interrupt_valid_r_d1 :=withClock(e4e5_int_clk){RegNext(interrupt_valid_r,0.U)} + val i0_exception_valid_r_d1 =withClock(e4e5_int_clk){RegNext(i0_exception_valid_r,0.U)} + exc_or_int_valid_r_d1 :=withClock(e4e5_int_clk){RegNext(exc_or_int_valid_r,0.U)} + val exc_cause_wb =withClock(e4e5_int_clk){RegNext(exc_cause_r,0.U)} + val i0_valid_wb =withClock(e4e5_int_clk){RegNext((tlu_i0_commit_cmt & ~illegal_r),0.U)} + val trigger_hit_r_d1 =withClock(e4e5_int_clk){RegNext(i0_trigger_hit_r,0.U)} + take_nmi_r_d1 :=withClock(e4e5_int_clk){RegNext(take_nmi,0.U)} + pause_expired_wb :=withClock(e4e5_int_clk){RegNext(pause_expired_r,0.U)} + + val csr=Module(new csr_tlu) + csr.io.free_clk := io.free_clk + csr.io.active_clk := io.active_clk + csr.io.scan_mode := io.scan_mode + csr.io.dec_csr_wrdata_r := io.dec_csr_wrdata_r + csr.io.dec_csr_wraddr_r := io.dec_csr_wraddr_r + csr.io.dec_csr_rdaddr_d := io.dec_csr_rdaddr_d + csr.io.dec_csr_wen_unq_d := io.dec_csr_wen_unq_d + csr.io.dec_i0_decode_d := io.dec_i0_decode_d + csr.io.ifu_ic_debug_rd_data_valid := io.ifu_ic_debug_rd_data_valid + csr.io.ifu_pmu_bus_trxn := io.ifu_pmu_bus_trxn + csr.io.dma_iccm_stall_any :=io.dma_iccm_stall_any + csr.io.dma_dccm_stall_any :=io.dma_dccm_stall_any + csr.io.lsu_store_stall_any :=io.lsu_store_stall_any + csr.io.dec_pmu_presync_stall :=io.dec_pmu_presync_stall + csr.io.dec_pmu_postsync_stall :=io.dec_pmu_postsync_stall + csr.io.dec_pmu_decode_stall :=io.dec_pmu_decode_stall + csr.io.ifu_pmu_fetch_stall :=io.ifu_pmu_fetch_stall + csr.io.dec_tlu_packet_r :=io.dec_tlu_packet_r + csr.io.exu_pmu_i0_br_ataken :=io.exu_pmu_i0_br_ataken + csr.io.exu_pmu_i0_br_misp :=io.exu_pmu_i0_br_misp + csr.io.dec_pmu_instr_decoded :=io.dec_pmu_instr_decoded + csr.io.ifu_pmu_instr_aligned :=io.ifu_pmu_instr_aligned + csr.io.exu_pmu_i0_pc4 :=io.exu_pmu_i0_pc4 + csr.io.ifu_pmu_ic_miss :=io.ifu_pmu_ic_miss + csr.io.ifu_pmu_ic_hit :=io.ifu_pmu_ic_hit + csr.io.dec_csr_wen_r := io.dec_csr_wen_r + csr.io.dec_tlu_dbg_halted := io.dec_tlu_dbg_halted + csr.io.dma_pmu_dccm_write := io.dma_pmu_dccm_write + csr.io.dma_pmu_dccm_read := io.dma_pmu_dccm_read + csr.io.dma_pmu_any_write := io.dma_pmu_any_write + csr.io.dma_pmu_any_read := io.dma_pmu_any_read + csr.io.lsu_pmu_bus_busy := io.lsu_pmu_bus_busy + csr.io.dec_tlu_i0_pc_r := io.dec_tlu_i0_pc_r + csr.io.dec_tlu_i0_valid_r := io.dec_tlu_i0_valid_r + csr.io.dec_csr_stall_int_ff := io.dec_csr_stall_int_ff + csr.io.dec_csr_any_unq_d := io.dec_csr_any_unq_d + csr.io.ifu_pmu_bus_busy := io.ifu_pmu_bus_busy + csr.io.lsu_pmu_bus_error := io.lsu_pmu_bus_error + csr.io.ifu_pmu_bus_error := io.ifu_pmu_bus_error + csr.io.lsu_pmu_bus_misaligned := io.lsu_pmu_bus_misaligned + csr.io.lsu_pmu_bus_trxn := io.lsu_pmu_bus_trxn + csr.io.ifu_ic_debug_rd_data := io.ifu_ic_debug_rd_data + csr.io.pic_pl := io.pic_pl + csr.io.pic_claimid := io.pic_claimid + csr.io.iccm_dma_sb_error := io.iccm_dma_sb_error + csr.io.lsu_imprecise_error_addr_any := io.lsu_imprecise_error_addr_any + csr.io.lsu_imprecise_error_load_any := io.lsu_imprecise_error_load_any + csr.io.lsu_imprecise_error_store_any := io.lsu_imprecise_error_store_any + csr.io.dec_illegal_inst := io.dec_illegal_inst + csr.io.lsu_error_pkt_r := io.lsu_error_pkt_r + csr.io.mexintpend := io.mexintpend + csr.io.exu_npc_r := io.exu_npc_r + csr.io.mpc_reset_run_req := io.mpc_reset_run_req + csr.io.rst_vec := io.rst_vec + csr.io.core_id := io.core_id + csr.io.dec_timer_rddata_d := dec_timer_rddata_d + csr.io.dec_timer_read_d := dec_timer_read_d + io.dec_tlu_meicurpl := csr.io.dec_tlu_meicurpl + io.dec_tlu_meihap := csr.io.dec_tlu_meihap + io.dec_tlu_meipt := csr.io.dec_tlu_meipt + io.dec_tlu_int_valid_wb1 := csr.io.dec_tlu_int_valid_wb1 + io.dec_tlu_i0_exc_valid_wb1 := csr.io.dec_tlu_i0_exc_valid_wb1 + io.dec_tlu_i0_valid_wb1 := csr.io.dec_tlu_i0_valid_wb1 + io.dec_tlu_ic_diag_pkt := csr.io.dec_tlu_ic_diag_pkt + io.trigger_pkt_any := csr.io.trigger_pkt_any + io.dec_tlu_mtval_wb1 := csr.io.dec_tlu_mtval_wb1 + io.dec_tlu_exc_cause_wb1 := csr.io.dec_tlu_exc_cause_wb1 + io.dec_tlu_perfcnt0 := csr.io.dec_tlu_perfcnt0 + io.dec_tlu_perfcnt1 := csr.io.dec_tlu_perfcnt1 + io.dec_tlu_perfcnt2 := csr.io.dec_tlu_perfcnt2 + io.dec_tlu_perfcnt3 := csr.io.dec_tlu_perfcnt3 + io.dec_tlu_misc_clk_override := csr.io.dec_tlu_misc_clk_override + io.dec_tlu_dec_clk_override := csr.io.dec_tlu_dec_clk_override + io.dec_tlu_ifu_clk_override := csr.io.dec_tlu_ifu_clk_override + io.dec_tlu_lsu_clk_override := csr.io.dec_tlu_lsu_clk_override + io.dec_tlu_bus_clk_override := csr.io.dec_tlu_bus_clk_override + io.dec_tlu_pic_clk_override := csr.io.dec_tlu_pic_clk_override + io.dec_tlu_dccm_clk_override := csr.io.dec_tlu_dccm_clk_override + io.dec_tlu_icm_clk_override := csr.io.dec_tlu_icm_clk_override + io.dec_csr_rddata_d := csr.io.dec_csr_rddata_d + io.dec_tlu_pipelining_disable := csr.io.dec_tlu_pipelining_disable + io.dec_tlu_wr_pause_r := csr.io.dec_tlu_wr_pause_r + io.dec_tlu_mrac_ff := csr.io.dec_tlu_mrac_ff + io.dec_tlu_wb_coalescing_disable := csr.io.dec_tlu_wb_coalescing_disable + io.dec_tlu_bpred_disable := csr.io.dec_tlu_bpred_disable + io.dec_tlu_sideeffect_posted_disable := csr.io.dec_tlu_sideeffect_posted_disable + io.dec_tlu_core_ecc_disable := csr.io.dec_tlu_core_ecc_disable + io.dec_tlu_external_ldfwd_disable := csr.io.dec_tlu_external_ldfwd_disable + io.dec_tlu_dma_qos_prty := csr.io.dec_tlu_dma_qos_prty + csr.io.dec_illegal_inst := io.dec_illegal_inst + csr.io.lsu_error_pkt_r := io.lsu_error_pkt_r + csr.io.mexintpend := io.mexintpend + csr.io.exu_npc_r := io.exu_npc_r + csr.io.mpc_reset_run_req := io.mpc_reset_run_req + csr.io.rst_vec := io.rst_vec + csr.io.core_id := io.core_id + csr.io.dec_timer_rddata_d := dec_timer_rddata_d + csr.io.dec_timer_read_d := dec_timer_read_d + + + csr.io.rfpc_i0_r := rfpc_i0_r + csr.io.i0_trigger_hit_r := i0_trigger_hit_r + csr.io.exc_or_int_valid_r := exc_or_int_valid_r + csr.io.mret_r := mret_r + csr.io.dcsr_single_step_running_f := dcsr_single_step_running_f + csr.io.dec_timer_t0_pulse := dec_timer_t0_pulse + csr.io.dec_timer_t1_pulse := dec_timer_t1_pulse + csr.io.timer_int_sync := timer_int_sync + csr.io.soft_int_sync := soft_int_sync + csr.io.csr_wr_clk := csr_wr_clk + csr.io.ebreak_to_debug_mode_r := ebreak_to_debug_mode_r + csr.io.dec_tlu_pmu_fw_halted := dec_tlu_pmu_fw_halted + csr.io.lsu_fir_error := io.lsu_fir_error + csr.io.tlu_flush_lower_r_d1 := tlu_flush_lower_r_d1 + csr.io.dec_tlu_flush_noredir_r_d1 := dec_tlu_flush_noredir_r_d1 + csr.io.tlu_flush_path_r_d1 := tlu_flush_path_r_d1 + csr.io.reset_delayed := reset_delayed + csr.io.interrupt_valid_r := interrupt_valid_r + csr.io.i0_exception_valid_r := i0_exception_valid_r + csr.io.lsu_exc_valid_r := lsu_exc_valid_r + csr.io.mepc_trigger_hit_sel_pc_r := mepc_trigger_hit_sel_pc_r + csr.io.e4e5_int_clk := e4e5_int_clk + csr.io.lsu_i0_exc_r := lsu_i0_exc_r + csr.io.inst_acc_r := inst_acc_r + csr.io.inst_acc_second_r := inst_acc_second_r + csr.io.take_nmi := take_nmi + csr.io.lsu_error_pkt_addr_r := lsu_error_pkt_addr_r + csr.io.exc_cause_r := exc_cause_r + csr.io.i0_valid_wb := i0_valid_wb + csr.io.exc_or_int_valid_r_d1 := exc_or_int_valid_r_d1 + csr.io.interrupt_valid_r_d1 := interrupt_valid_r_d1 + csr.io.clk_override := clk_override + csr.io.i0_exception_valid_r_d1 := i0_exception_valid_r_d1 + csr.io.lsu_i0_exc_r_d1 := lsu_i0_exc_r_d1 + csr.io.exc_cause_wb := exc_cause_wb + csr.io.nmi_lsu_store_type := nmi_lsu_store_type + csr.io.nmi_lsu_load_type := nmi_lsu_load_type + csr.io.tlu_i0_commit_cmt := tlu_i0_commit_cmt + csr.io.ebreak_r := ebreak_r + csr.io.ecall_r := ecall_r + csr.io.illegal_r := illegal_r + csr.io.mdseac_locked_f := mdseac_locked_f + csr.io.nmi_int_detected_f := nmi_int_detected_f + csr.io.internal_dbg_halt_mode_f2 := internal_dbg_halt_mode_f2 + csr.io.ext_int_freeze_d1 := ext_int_freeze_d1 + csr.io.ic_perr_r_d1 := ic_perr_r_d1 + csr.io.iccm_sbecc_r_d1 := iccm_sbecc_r_d1 + csr.io.lsu_single_ecc_error_r_d1 := lsu_single_ecc_error_r_d1 + csr.io.ifu_miss_state_idle_f := ifu_miss_state_idle_f + csr.io.lsu_idle_any_f := lsu_idle_any_f + csr.io.dbg_tlu_halted_f := dbg_tlu_halted_f + csr.io.dbg_tlu_halted := dbg_tlu_halted + csr.io.debug_halt_req_f := debug_halt_req_f + csr.io.take_ext_int_start := take_ext_int_start + csr.io.trigger_hit_dmode_r_d1 := trigger_hit_dmode_r_d1 + csr.io.trigger_hit_r_d1 := trigger_hit_r_d1 + csr.io.dcsr_single_step_done_f := dcsr_single_step_done_f + csr.io.ebreak_to_debug_mode_r_d1 := ebreak_to_debug_mode_r_d1 + csr.io.debug_halt_req := debug_halt_req + csr.io.allow_dbg_halt_csr_write := allow_dbg_halt_csr_write + csr.io.internal_dbg_halt_mode_f := internal_dbg_halt_mode_f + csr.io.enter_debug_halt_req := enter_debug_halt_req + csr.io.internal_dbg_halt_mode := internal_dbg_halt_mode + csr.io.request_debug_mode_done := request_debug_mode_done + csr.io.request_debug_mode_r := request_debug_mode_r + csr.io.update_hit_bit_r := update_hit_bit_r + csr.io.take_timer_int := take_timer_int + csr.io.take_int_timer0_int := take_int_timer0_int + csr.io.take_int_timer1_int := take_int_timer1_int + csr.io.take_ext_int := take_ext_int + csr.io.tlu_flush_lower_r := tlu_flush_lower_r + csr.io.dec_tlu_br0_error_r := dec_tlu_br0_error_r + csr.io.dec_tlu_br0_start_error_r := dec_tlu_br0_start_error_r + csr.io.lsu_pmu_load_external_r := lsu_pmu_load_external_r + csr.io.lsu_pmu_store_external_r := lsu_pmu_store_external_r + csr.io.csr_pkt := csr_pkt + + npc_r := csr.io.npc_r + npc_r_d1 := csr.io.npc_r_d1 + mie_ns := csr.io.mie_ns + mepc := csr.io.mepc + mdseac_locked_ns := csr.io.mdseac_locked_ns + force_halt := csr.io.force_halt + dpc := csr.io.dpc + mstatus_mie_ns := csr.io.mstatus_mie_ns + dec_csr_wen_r_mod := csr.io.dec_csr_wen_r_mod + fw_halt_req := csr.io.fw_halt_req + mstatus := csr.io.mstatus + dcsr := csr.io.dcsr + mtvec := csr.io.mtvec + mip := csr.io.mip + mtdata1_t :=csr.io.mtdata1_t + val csr_read=Module(new el2_dec_decode_csr_read) + csr_read.io.dec_csr_rdaddr_d:=io.dec_csr_rdaddr_d + csr_pkt:=csr_read.io.csr_pkt + + io.dec_tlu_presync_d := csr_pkt.presync & io.dec_csr_any_unq_d & ~io.dec_csr_wen_unq_d + io.dec_tlu_postsync_d := csr_pkt.postsync & io.dec_csr_any_unq_d + + // allow individual configuration of these features + val conditionally_illegal = (csr_pkt.csr_mitcnt0 | csr_pkt.csr_mitcnt1 | csr_pkt.csr_mitb0 | csr_pkt.csr_mitb1 | csr_pkt.csr_mitctl0 | csr_pkt.csr_mitctl1) & ~TIMER_LEGAL_EN.asUInt + val valid_csr = ( csr_pkt.legal & (~(csr_pkt.csr_dcsr | csr_pkt.csr_dpc | csr_pkt.csr_dmst | csr_pkt.csr_dicawics | csr_pkt.csr_dicad0 | csr_pkt.csr_dicad0h | csr_pkt.csr_dicad1 | csr_pkt.csr_dicago) | dbg_tlu_halted_f) & ~fast_int_meicpct & ~conditionally_illegal) + + io.dec_csr_legal_d := ( io.dec_csr_any_unq_d &valid_csr & ~(io.dec_csr_wen_unq_d & (csr_pkt.csr_mvendorid | csr_pkt.csr_marchid | csr_pkt.csr_mimpid | csr_pkt.csr_mhartid | csr_pkt.csr_mdseac | csr_pkt.csr_meihap)) ) +} + +trait CSRs{ + val MISA = "h301".U(12.W) + val MVENDORID = "hf11".U(12.W) + val MARCHID = "hf12".U(12.W) + val MIMPID = "hf13".U(12.W) + val MHARTID = "hf14".U(12.W) + val MSTATUS = "h300".U(12.W) + val MTVEC = "h305".U(12.W) + val MIP = "h344".U(12.W) + val MIE = "h304".U(12.W) + val MCYCLEL = "hb00".U(12.W) + val MCYCLEH = "hb80".U(12.W) + val MINSTRETL = "hb02".U(12.W) + val MINSTRETH = "hb82".U(12.W) + val MSCRATCH = "h340".U(12.W) + val MEPC = "h341".U(12.W) + val MCAUSE = "h342".U(12.W) + val MSCAUSE = "h7ff".U(12.W) + val MTVAL = "h343".U(12.W) + val MCGC = "h7f8".U(12.W) + val MFDC = "h7f9".U(12.W) + val MCPC = "h7c2".U(12.W) + val MRAC = "h7c0".U(12.W) + val MDEAU = "hbc0".U(12.W) + val MDSEAC = "hfc0".U(12.W) + val MPMC = "h7c6".U(12.W) + val MICECT = "h7f0".U(12.W) + val MICCMECT = "h7f1".U(12.W) + val MDCCMECT = "h7f2".U(12.W) + val MFDHT = "h7ce".U(12.W) + val MFDHS = "h7cf".U(12.W) + val MEIVT = "hbc8".U(12.W) + val MEIHAP = "hfc8".U(12.W) + val MEICURPL = "hbcc".U(12.W) + val MEICIDPL = "hbcb".U(12.W) + val MEICPCT = "hbca".U(12.W) + val MEIPT = "hbc9".U(12.W) + val DCSR = "h7b0".U(12.W) + val DPC = "h7b1".U(12.W) + val DICAWICS = "h7c8".U(12.W) + val DICAD0 = "h7c9".U(12.W) + val DICAD0H = "h7cc".U(12.W) + val DICAD1 = "h7ca".U(12.W) + val DICAGO = "h7cb".U(12.W) + val MTSEL = "h7a0".U(12.W) + val MTDATA1 = "h7a1".U(12.W) + val MTDATA2 = "h7a2".U(12.W) + val MHPMC3 = "hB03".U(12.W) + val MHPMC3H = "hB83".U(12.W) + val MHPMC4 = "hB04".U(12.W) + val MHPMC4H = "hB84".U(12.W) + val MHPMC5 = "hB05".U(12.W) + val MHPMC5H = "hB85".U(12.W) + val MHPMC6 = "hB06".U(12.W) + val MHPMC6H = "hB86".U(12.W) + val MHPME3 = "h323".U(12.W) + val MHPME4 = "h324".U(12.W) + val MHPME5 = "h325".U(12.W) + val MHPME6 = "h326".U(12.W) + val MCOUNTINHIBIT = "h320".U(12.W) + val MSTATUS_MIE = 0.U + val MIP_MCEIP = 5.U + val MIP_MITIP0 = 4.U + val MIP_MITIP1 = 3.U + val MIP_MEIP = 2 + val MIP_MTIP = 1 + val MIP_MSIP = 0 + val MIE_MCEIE = 5 + val MIE_MITIE0 = 4 + val MIE_MITIE1 = 3 + val MIE_MEIE = 2 + val MIE_MTIE = 1 + val MIE_MSIE = 0 + val DCSR_EBREAKM = 15 + val DCSR_STEPIE = 11 + val DCSR_STOPC = 10 + val DCSR_STEP = 2 + val MTDATA1_DMODE = 9 + val MTDATA1_SEL = 7 + val MTDATA1_ACTION = 6 + val MTDATA1_CHAIN = 5 + val MTDATA1_MATCH = 4 + val MTDATA1_M_ENABLED = 3 + val MTDATA1_EXE = 2 + val MTDATA1_ST = 1 + val MTDATA1_LD = 0 + val MHPME_NOEVENT = 0.U + val MHPME_CLK_ACTIVE = 1.U // OOP - out of pipe + val MHPME_ICACHE_HIT = 2.U // OOP + val MHPME_ICACHE_MISS = 3.U // OOP + val MHPME_INST_COMMIT = 4.U + val MHPME_INST_COMMIT_16B = 5.U + val MHPME_INST_COMMIT_32B = 6.U + val MHPME_INST_ALIGNED = 7.U // OOP + val MHPME_INST_DECODED = 8.U // OOP + val MHPME_INST_MUL = 9.U + val MHPME_INST_DIV = 10.U + val MHPME_INST_LOAD = 11.U + val MHPME_INST_STORE = 12.U + val MHPME_INST_MALOAD = 13.U + val MHPME_INST_MASTORE = 14.U + val MHPME_INST_ALU = 15.U + val MHPME_INST_CSRREAD = 16.U + val MHPME_INST_CSRRW = 17.U + val MHPME_INST_CSRWRITE = 18.U + val MHPME_INST_EBREAK = 19.U + val MHPME_INST_ECALL = 20.U + val MHPME_INST_FENCE = 21.U + val MHPME_INST_FENCEI = 22.U + val MHPME_INST_MRET = 23.U + val MHPME_INST_BRANCH = 24.U + val MHPME_BRANCH_MP = 25.U + val MHPME_BRANCH_TAKEN = 26.U + val MHPME_BRANCH_NOTP = 27.U + val MHPME_FETCH_STALL = 28.U // OOP + val MHPME_ALGNR_STALL = 29.U // OOP + val MHPME_DECODE_STALL = 30.U // OOP + val MHPME_POSTSYNC_STALL = 31.U // OOP + val MHPME_PRESYNC_STALL = 32.U // OOP + val MHPME_LSU_SB_WB_STALL = 34.U // OOP + val MHPME_DMA_DCCM_STALL = 35.U // OOP + val MHPME_DMA_ICCM_STALL = 36.U // OOP + val MHPME_EXC_TAKEN = 37.U + val MHPME_TIMER_INT_TAKEN = 38.U + val MHPME_EXT_INT_TAKEN = 39.U + val MHPME_FLUSH_LOWER = 40.U + val MHPME_BR_ERROR = 41.U + val MHPME_IBUS_TRANS = 42.U // OOP + val MHPME_DBUS_TRANS = 43.U // OOP + val MHPME_DBUS_MA_TRANS = 44.U // OOP + val MHPME_IBUS_ERROR = 45.U // OOP + val MHPME_DBUS_ERROR = 46.U // OOP + val MHPME_IBUS_STALL = 47.U // OOP + val MHPME_DBUS_STALL = 48.U // OOP + val MHPME_INT_DISABLED = 49.U // OOP + val MHPME_INT_STALLED = 50.U // OOP + val MHPME_INST_BITMANIP = 54.U + val MHPME_DBUS_LOAD = 55.U + val MHPME_DBUS_STORE = 56.U + // Counts even during sleep state + val MHPME_SLEEP_CYC = 512.U // OOP + val MHPME_DMA_READ_ALL = 513.U // OOP + val MHPME_DMA_WRITE_ALL = 514.U // OOP + val MHPME_DMA_READ_DCCM = 515.U // OOP + val MHPME_DMA_WRITE_DCCM = 516.U // OOP + + +} +class el2_CSR_IO extends Bundle with el2_lib { + val free_clk = Input(Clock()) + val active_clk = Input(Clock()) + val scan_mode = Input(Bool()) + val dec_csr_wrdata_r = Input(UInt(32.W)) + val dec_csr_wraddr_r = Input(UInt(12.W)) + val dec_csr_rdaddr_d = Input(UInt(12.W)) + val dec_csr_wen_unq_d = Input(UInt(1.W)) + val dec_i0_decode_d = Input(UInt(1.W)) + val dec_tlu_ic_diag_pkt = Output(new el2_cache_debug_pkt_t) + val ifu_ic_debug_rd_data_valid = Input(UInt(1.W)) + val trigger_pkt_any = Output(Vec(4, new el2_trigger_pkt_t)) + val ifu_pmu_bus_trxn = Input(UInt(1.W)) + val dma_iccm_stall_any = Input(UInt(1.W)) + val dma_dccm_stall_any = Input(UInt(1.W)) + val lsu_store_stall_any = Input(UInt(1.W)) + val dec_pmu_presync_stall = Input(UInt(1.W)) + val dec_pmu_postsync_stall = Input(UInt(1.W)) + val dec_pmu_decode_stall = Input(UInt(1.W)) + val ifu_pmu_fetch_stall = Input(UInt(1.W)) + val dec_tlu_packet_r = Input(new el2_trap_pkt_t) + val exu_pmu_i0_br_ataken = Input(UInt(1.W)) + val exu_pmu_i0_br_misp = Input(UInt(1.W)) + val dec_pmu_instr_decoded = Input(UInt(1.W)) + val ifu_pmu_instr_aligned = Input(UInt(1.W)) + val exu_pmu_i0_pc4 = Input(UInt(1.W)) + val ifu_pmu_ic_miss = Input(UInt(1.W)) + val ifu_pmu_ic_hit = Input(UInt(1.W)) + val dec_tlu_int_valid_wb1 = Output(UInt(1.W)) + val dec_tlu_i0_exc_valid_wb1 = Output(UInt(1.W)) + val dec_tlu_i0_valid_wb1 = Output(UInt(1.W)) + val dec_csr_wen_r = Input(UInt(1.W)) + //val dec_tlu_force_halt = Output(UInt(1.W)) + //val dec_tlu_flush_extint = Output(UInt(1.W)) + val dec_tlu_mtval_wb1 = Output(UInt(32.W)) + val dec_tlu_exc_cause_wb1 = Output(UInt(5.W)) + val dec_tlu_perfcnt0 = Output(UInt(1.W)) + val dec_tlu_perfcnt1 = Output(UInt(1.W)) + val dec_tlu_perfcnt2 = Output(UInt(1.W)) + val dec_tlu_perfcnt3 = Output(UInt(1.W)) + val dec_tlu_dbg_halted = Input(UInt(1.W)) + val dma_pmu_dccm_write = Input(UInt(1.W)) + val dma_pmu_dccm_read = Input(UInt(1.W)) + val dma_pmu_any_write = Input(UInt(1.W)) + val dma_pmu_any_read = Input(UInt(1.W)) + val lsu_pmu_bus_busy = Input(UInt(1.W)) + val dec_tlu_i0_pc_r = Input(UInt(31.W)) + val dec_tlu_i0_valid_r = Input(UInt(1.W)) + val dec_csr_stall_int_ff = Input(UInt(1.W)) + val dec_csr_any_unq_d = Input(UInt(1.W)) + val dec_tlu_misc_clk_override = Output(UInt(1.W)) + val dec_tlu_dec_clk_override = Output(UInt(1.W)) + val dec_tlu_ifu_clk_override = Output(UInt(1.W)) + val dec_tlu_lsu_clk_override = Output(UInt(1.W)) + val dec_tlu_bus_clk_override = Output(UInt(1.W)) + val dec_tlu_pic_clk_override = Output(UInt(1.W)) + val dec_tlu_dccm_clk_override = Output(UInt(1.W)) + val dec_tlu_icm_clk_override = Output(UInt(1.W)) + //val dec_csr_legal_d = Output(UInt(1.W)) + val dec_csr_rddata_d = Output(UInt(32.W)) + //val dec_tlu_postsync_d = Output(UInt(1.W)) + //val dec_tlu_presync_d = Output(UInt(1.W)) + //val dec_tlu_flush_pause_r = Output(UInt(1.W)) + //val dec_tlu_flush_lower_r = Output(UInt(1.W)) + //val dec_tlu_i0_kill_writeb_r = Output(UInt(1.W)) + //val dec_tlu_flush_lower_wb = Output(UInt(1.W)) + //val dec_tlu_i0_kill_writeb_wb = Output(UInt(1.W)) + // val dec_tlu_flush_leak_one_r = Output(UInt(1.W)) + //val dec_tlu_debug_stall = Output(UInt(1.W)) + val dec_tlu_pipelining_disable = Output(UInt(1.W)) + val dec_tlu_wr_pause_r = Output(UInt(1.W)) + val ifu_pmu_bus_busy = Input(UInt(1.W)) + val lsu_pmu_bus_error = Input(UInt(1.W)) + val ifu_pmu_bus_error = Input(UInt(1.W)) + val lsu_pmu_bus_misaligned = Input(UInt(1.W)) + val lsu_pmu_bus_trxn = Input(UInt(1.W)) + val ifu_ic_debug_rd_data = Input(UInt(71.W)) + val dec_tlu_meipt = Output(UInt(4.W)) + val pic_pl = Input(UInt(4.W)) + val dec_tlu_meicurpl = Output(UInt(4.W)) + val dec_tlu_meihap = Output(UInt(30.W)) + val pic_claimid = Input(UInt(8.W)) + val iccm_dma_sb_error = Input(UInt(1.W)) + val lsu_imprecise_error_addr_any = Input(UInt(32.W)) + val lsu_imprecise_error_load_any = Input(UInt(1.W)) + val lsu_imprecise_error_store_any = Input(UInt(1.W)) + val dec_tlu_mrac_ff = Output(UInt(32.W)) + val dec_tlu_wb_coalescing_disable = Output(UInt(1.W)) + val dec_tlu_bpred_disable = Output(UInt(1.W)) + val dec_tlu_sideeffect_posted_disable = Output(UInt(1.W)) + val dec_tlu_core_ecc_disable = Output(UInt(1.W)) + val dec_tlu_external_ldfwd_disable = Output(UInt(1.W)) + val dec_tlu_dma_qos_prty = Output(UInt(3.W)) + val dec_illegal_inst = Input(UInt(32.W)) + val lsu_error_pkt_r = Input(new el2_lsu_error_pkt_t) + val mexintpend = Input(UInt(1.W)) + val exu_npc_r = Input(UInt(31.W)) + val mpc_reset_run_req = Input(UInt(1.W)) + val rst_vec = Input(UInt(31.W)) + val core_id = Input(UInt(28.W)) + val dec_timer_rddata_d = Input(UInt(32.W)) + val dec_timer_read_d = Input(UInt(1.W)) + + + ////////////////////////////////////////////////// + val dec_csr_wen_r_mod = Output(UInt(1.W)) + val rfpc_i0_r = Input(UInt(1.W)) + val i0_trigger_hit_r = Input(UInt(1.W)) + val fw_halt_req = Output(UInt(1.W)) + val mstatus = Output(UInt(2.W)) + val exc_or_int_valid_r = Input(UInt(1.W)) // remove this after + val mret_r = Input(UInt(1.W)) + val mstatus_mie_ns = Output(UInt(1.W)) + val dcsr_single_step_running_f = Input(UInt(1.W)) + val dcsr = Output(UInt(16.W)) + val mtvec = Output(UInt(31.W)) + val mip = Output(UInt(6.W)) + val dec_timer_t0_pulse = Input(UInt(1.W)) + val dec_timer_t1_pulse = Input(UInt(1.W)) + val timer_int_sync = Input(UInt(1.W)) + val soft_int_sync = Input(UInt(1.W)) + val mie_ns = Output(UInt(6.W)) + val csr_wr_clk: Clock = Input(Clock()) // remove after + val ebreak_to_debug_mode_r = Input(UInt(1.W)) + val dec_tlu_pmu_fw_halted = Input(UInt(1.W)) + val lsu_fir_error = Input(UInt(2.W)) + val npc_r = Output(UInt(31.W)) + val tlu_flush_lower_r_d1 = Input(UInt(1.W)) + val dec_tlu_flush_noredir_r_d1 = Input(UInt(1.W)) + val tlu_flush_path_r_d1 = Input(UInt(31.W)) + val npc_r_d1 = Output(UInt(31.W)) + val reset_delayed = Input(UInt(1.W)) + val mepc = Output(UInt(31.W)) + val interrupt_valid_r = Input(UInt(1.W)) + val i0_exception_valid_r = Input(UInt(1.W)) //delete after + val lsu_exc_valid_r = Input(UInt(1.W)) + val mepc_trigger_hit_sel_pc_r = Input(UInt(1.W)) //delete after + val e4e5_int_clk = Input(Clock()) //delete after + val lsu_i0_exc_r = Input(UInt(1.W)) + val inst_acc_r = Input(UInt(1.W)) + val inst_acc_second_r = Input(UInt(1.W)) + val take_nmi = Input(UInt(1.W)) + val lsu_error_pkt_addr_r = Input(UInt(32.W)) + val exc_cause_r = Input(UInt(5.W)) + val i0_valid_wb = Input(UInt(1.W)) + val exc_or_int_valid_r_d1 = Input(UInt(1.W)) + val interrupt_valid_r_d1 = Input(UInt(1.W)) + val clk_override = Input(UInt(1.W)) + val i0_exception_valid_r_d1 = Input(UInt(1.W)) + val lsu_i0_exc_r_d1 = Input(UInt(1.W)) + val exc_cause_wb = Input(UInt(5.W)) + val nmi_lsu_store_type = Input(UInt(1.W)) + val nmi_lsu_load_type = Input(UInt(1.W)) + val tlu_i0_commit_cmt = Input(UInt(1.W)) + val ebreak_r = Input(UInt(1.W)) + val ecall_r = Input(UInt(1.W)) + val illegal_r = Input(UInt(1.W)) + val mdseac_locked_ns = Output(UInt(1.W)) + val mdseac_locked_f = Input(UInt(1.W)) + val nmi_int_detected_f = Input(UInt(1.W)) + val internal_dbg_halt_mode_f2 = Input(UInt(1.W)) + val ext_int_freeze_d1 = Input(UInt(1.W)) + val ic_perr_r_d1 = Input(UInt(1.W)) + val iccm_sbecc_r_d1 = Input(UInt(1.W)) + val lsu_single_ecc_error_r_d1 = Input(UInt(1.W)) + val ifu_miss_state_idle_f = Input(UInt(1.W)) + val lsu_idle_any_f = Input(UInt(1.W)) + val dbg_tlu_halted_f = Input(UInt(1.W)) + val dbg_tlu_halted = Input(UInt(1.W)) + val debug_halt_req_f = Input(UInt(1.W)) + val force_halt = Output(UInt(1.W)) + val take_ext_int_start = Input(UInt(1.W)) + val trigger_hit_dmode_r_d1 = Input(UInt(1.W)) + val trigger_hit_r_d1 = Input(UInt(1.W)) + val dcsr_single_step_done_f = Input(UInt(1.W)) + val ebreak_to_debug_mode_r_d1 = Input(UInt(1.W)) + val debug_halt_req = Input(UInt(1.W)) + val allow_dbg_halt_csr_write = Input(UInt(1.W)) + val internal_dbg_halt_mode_f = Input(UInt(1.W)) + val enter_debug_halt_req = Input(UInt(1.W)) + val internal_dbg_halt_mode = Input(UInt(1.W)) + val request_debug_mode_done = Input(UInt(1.W)) + val request_debug_mode_r = Input(UInt(1.W)) + val dpc = Output(UInt(31.W)) + val update_hit_bit_r = Input(UInt(4.W)) + val take_timer_int = Input(UInt(1.W)) + val take_int_timer0_int = Input(UInt(1.W)) + val take_int_timer1_int = Input(UInt(1.W)) + val take_ext_int = Input(UInt(1.W)) + val tlu_flush_lower_r = Input(UInt(1.W)) + val dec_tlu_br0_error_r = Input(UInt(1.W)) + val dec_tlu_br0_start_error_r = Input(UInt(1.W)) + val lsu_pmu_load_external_r = Input(UInt(1.W)) + val lsu_pmu_store_external_r = Input(UInt(1.W)) + val csr_pkt = Input(new el2_dec_tlu_csr_pkt) + val mtdata1_t = Output(Vec(4,UInt(10.W))) +} + +class csr_tlu extends Module with el2_lib with CSRs { + val io = IO(new el2_CSR_IO) + + ////////////////////////////////wires/////////////////////////////// + val miccme_ce_req = Wire(UInt(1.W)) + val mice_ce_req = Wire(UInt(1.W)) + val mdccme_ce_req = Wire(UInt(1.W)) + val pc_r_d1 = Wire(UInt(31.W)) + val mpmc_b_ns = Wire(UInt(1.W)) + val mpmc_b = Wire(UInt(1.W)) + val wr_mcycleh_r = WireInit(UInt(1.W), 0.U) + val mcycleh = WireInit(UInt(32.W),0.U) + val minstretl_inc = WireInit(UInt(33.W),0.U) + val wr_minstreth_r = WireInit(UInt(1.W),0.U) + val minstretl = WireInit(UInt(32.W),0.U) + val minstreth_inc = WireInit(UInt(32.W),0.U) + val minstreth = WireInit(UInt(32.W),0.U) + val mfdc_ns = WireInit(UInt(15.W),0.U) + val mfdc_int = WireInit(UInt(15.W),0.U) + val mhpmc6_incr = WireInit(UInt(64.W),0.U) + val mhpmc5_incr = WireInit(UInt(64.W),0.U) + val mhpmc4_incr = WireInit(UInt(64.W),0.U) + val perfcnt_halted = WireInit(UInt(1.W),0.U) + val mhpmc3_incr = WireInit(UInt(64.W),0.U) + val mhpme_vec = Wire(Vec(4,UInt(10.W))) + val mtdata2_t = Wire(Vec(4,UInt(32.W))) + val wr_meicpct_r = WireInit(UInt(1.W),0.U) + val force_halt_ctr_f = WireInit(UInt(32.W),0.U) + val mdccmect_inc = WireInit(UInt(27.W),0.U) + val miccmect_inc = WireInit(UInt(27.W),0.U) + val fw_halted = WireInit(UInt(1.W),0.U) + val micect_inc = WireInit(UInt(27.W),0.U) + val mdseac_en = WireInit(UInt(1.W),0.U) + val mie = WireInit(UInt(6.W),0.U) + val mcyclel = WireInit(UInt(32.W),0.U) + val mscratch = WireInit(UInt(32.W),0.U) + val mcause = WireInit(UInt(32.W),0.U) + val mscause = WireInit(UInt(4.W),0.U) + val mtval = WireInit(UInt(32.W),0.U) + val meicurpl = WireInit(UInt(4.W),0.U) + val meicidpl = WireInit(UInt(4.W),0.U) + val meipt = WireInit(UInt(4.W),0.U) + val mfdc = WireInit(UInt(19.W),0.U) + val mtsel = WireInit(UInt(2.W),0.U) + val micect = WireInit(UInt(32.W),0.U) + val miccmect = WireInit(UInt(32.W),0.U) + val mdccmect = WireInit(UInt(32.W),0.U) + val mhpmc3h = WireInit(UInt(32.W),0.U) + val mhpmc3 = WireInit(UInt(32.W),0.U) + val mhpmc4h = WireInit(UInt(32.W),0.U) + val mhpmc4 = WireInit(UInt(32.W),0.U) + val mhpmc5h = WireInit(UInt(32.W),0.U) + val mhpmc5 = WireInit(UInt(32.W),0.U) + val mhpmc6h = WireInit(UInt(32.W),0.U) + val mhpmc6 = WireInit(UInt(32.W),0.U) + val mhpme3 = WireInit(UInt(10.W),0.U) + val mhpme4 = WireInit(UInt(10.W),0.U) + val mhpme5 = WireInit(UInt(10.W),0.U) + val mhpme6 = WireInit(UInt(10.W),0.U) + val mfdht = WireInit(UInt(6.W),0.U) + val mfdhs = WireInit(UInt(2.W),0.U) + val mcountinhibit = WireInit(UInt(7.W),0.U) + val mpmc = WireInit(UInt(1.W),0.U) + val dicad1 = WireInit(UInt(32.W),0.U) + ///////////////////////////////////////////////////////////////////////// + //---------------------------------------------------------------------- + // + // CSRs + // + //---------------------------------------------------------------------- + + // ---------------------------------------------------------------------- + // MSTATUS (RW) + // [12:11] MPP : Prior priv level, always 2'b11, not flopped + // [7] MPIE : Int enable previous [1] + // [3] MIE : Int enable [0] + + //When executing a MRET instruction, supposing MPP holds the value 3, MIE + //is set to MPIE; the privilege mode is changed to 3; MPIE is set to 1; and MPP is set to 3 + + io.dec_csr_wen_r_mod := io.dec_csr_wen_r & !io.i0_trigger_hit_r & !io.rfpc_i0_r + val wr_mstatus_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MSTATUS) + + // set this even if we don't go to fwhalt due to debug halt. We committed the inst, so ... + val set_mie_pmu_fw_halt = !mpmc_b_ns & io.fw_halt_req + + val mstatus_ns = Mux1H(Seq( + (!wr_mstatus_r & io.exc_or_int_valid_r).asBool -> Cat(io.mstatus(MSTATUS_MIE)), + (wr_mstatus_r & io.exc_or_int_valid_r).asBool -> Cat(io.dec_csr_wrdata_r(3)), + (io.mret_r & !io.exc_or_int_valid_r).asBool -> Cat(1.U, io.mstatus(1)), + (set_mie_pmu_fw_halt).asBool -> Cat(io.mstatus(1), 1.U), + (wr_mstatus_r & !io.exc_or_int_valid_r).asBool -> Cat(io.dec_csr_wrdata_r(7), io.dec_csr_wrdata_r(3)), + (!wr_mstatus_r & !io.exc_or_int_valid_r & !io.mret_r & !set_mie_pmu_fw_halt).asBool -> io.mstatus)) + + // gate MIE if we are single stepping and DCSR[STEPIE] is off + io.mstatus_mie_ns := io.mstatus(MSTATUS_MIE) & (~io.dcsr_single_step_running_f | io.dcsr(DCSR_STEPIE)) + io.mstatus := withClock(io.free_clk) { + RegNext(mstatus_ns,0.U) + } + + // ---------------------------------------------------------------------- + // MTVEC (RW) + // [31:2] BASE : Trap vector base address + // [1] - Reserved, not implemented, reads zero + // [0] MODE : 0 = Direct, 1 = Asyncs are vectored to BASE + (4 * CAUSE) + + val wr_mtvec_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MTVEC) + val mtvec_ns = Cat(io.dec_csr_wrdata_r(31, 2), io.dec_csr_wrdata_r(0)) + io.mtvec := rvdffe(mtvec_ns, wr_mtvec_r.asBool, clock, io.scan_mode) + + // ---------------------------------------------------------------------- + // MIP (RW) + // + // [30] MCEIP : (RO) M-Mode Correctable Error interrupt pending + // [29] MITIP0 : (RO) M-Mode Internal Timer0 interrupt pending + // [28] MITIP1 : (RO) M-Mode Internal Timer1 interrupt pending + // [11] MEIP : (RO) M-Mode external interrupt pending + // [7] MTIP : (RO) M-Mode timer interrupt pending + // [3] MSIP : (RO) M-Mode software interrupt pending + + val ce_int = (mdccme_ce_req | miccme_ce_req | mice_ce_req) + + val mip_ns = Cat(ce_int, io.dec_timer_t0_pulse, io.dec_timer_t1_pulse, io.mexintpend, io.timer_int_sync, io.soft_int_sync) + io.mip := withClock(io.free_clk) { + RegNext(mip_ns,0.U) + } + + // ---------------------------------------------------------------------- + // MIE (RW) + // [30] MCEIE : (RO) M-Mode Correctable Error interrupt enable + // [29] MITIE0 : (RO) M-Mode Internal Timer0 interrupt enable + // [28] MITIE1 : (RO) M-Mode Internal Timer1 interrupt enable + // [11] MEIE : (RW) M-Mode external interrupt enable + // [7] MTIE : (RW) M-Mode timer interrupt enable + // [3] MSIE : (RW) M-Mode software interrupt enable + + val wr_mie_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MIE) + io.mie_ns := Mux(wr_mie_r.asBool, Cat(io.dec_csr_wrdata_r(30, 28), io.dec_csr_wrdata_r(11), io.dec_csr_wrdata_r(7), io.dec_csr_wrdata_r(3)), mie) + mie := withClock(io.csr_wr_clk) { + RegNext(io.mie_ns,0.U) + } + + // ---------------------------------------------------------------------- + // MCYCLEL (RW) + // [31:0] : Lower Cycle count + + val kill_ebreak_count_r = io.ebreak_to_debug_mode_r & io.dcsr(DCSR_STOPC) + + val wr_mcyclel_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MCYCLEL) + + val mcyclel_cout_in = ~(kill_ebreak_count_r | (io.dec_tlu_dbg_halted & io.dcsr(DCSR_STOPC)) | io.dec_tlu_pmu_fw_halted | mcountinhibit(0)) + + + val mcyclel_inc = WireInit(UInt(33.W),0.U) + mcyclel_inc := mcyclel + Cat(0.U(31.W), mcyclel_cout_in) + val mcyclel_ns = Mux(wr_mcyclel_r.asBool, io.dec_csr_wrdata_r, mcyclel_inc) + val mcyclel_cout = mcyclel_inc(32).asBool + mcyclel := rvdffe(mcyclel_ns, (wr_mcyclel_r | mcyclel_cout_in.asUInt).asBool, clock, io.scan_mode) + val mcyclel_cout_f = withClock(io.free_clk) {RegNext((mcyclel_cout & !wr_mcycleh_r),0.U)} + // ---------------------------------------------------------------------- + // MCYCLEH (RW) + // [63:32] : Higher Cycle count + // Chained with mcyclel. Note: mcyclel overflow due to a mcycleh write gets ignored. + + wr_mcycleh_r := io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MCYCLEH) + + val mcycleh_inc = mcycleh + Cat(0.U(31.W), mcyclel_cout_f) + val mcycleh_ns = Mux(wr_mcycleh_r.asBool, io.dec_csr_wrdata_r, mcycleh_inc) + + mcycleh := rvdffe(mcycleh_ns, (wr_mcycleh_r | mcyclel_cout_f).asBool, clock, io.scan_mode) + + + // ---------------------------------------------------------------------- + // MINSTRETL (RW) + // [31:0] : Lower Instruction retired count + // From the spec "Some CSRs, such as the instructions retired counter, instret, may be modified as side effects + // of instruction execution. In these cases, if a CSR access instruction reads a CSR, it reads the + // value prior to the execution of the instruction. If a CSR access instruction writes a CSR, the + // update occurs after the execution of the instruction. In particular, a value written to instret by + // one instruction will be the value read by the following instruction (i.e., the increment of instret + // caused by the first instruction retiring happens before the write of the new value)." + + + val i0_valid_no_ebreak_ecall_r = io.tlu_i0_commit_cmt & ~(io.ebreak_r | io.ecall_r | io.ebreak_to_debug_mode_r | io.illegal_r | mcountinhibit(2)).asBool + + val wr_minstretl_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MINSTRETL) + + minstretl_inc := minstretl + Cat(0.U(31.W),i0_valid_no_ebreak_ecall_r) + val minstretl_cout = minstretl_inc(32) + val minstret_enable = (i0_valid_no_ebreak_ecall_r | wr_minstretl_r).asBool + + val minstretl_ns = Mux(wr_minstretl_r.asBool, io.dec_csr_wrdata_r , minstretl_inc) + minstretl := rvdffe(minstretl_ns,minstret_enable.asBool,clock,io.scan_mode) + val minstret_enable_f = withClock(io.free_clk){RegNext(minstret_enable,0.U)} + val minstretl_cout_f = withClock(io.free_clk){RegNext((minstretl_cout & ~wr_minstreth_r),0.U)} + + val minstretl_read = minstretl + // ---------------------------------------------------------------------- + // MINSTRETH (RW) + // [63:32] : Higher Instret count + // Chained with minstretl. Note: minstretl overflow due to a minstreth write gets ignored. + + wr_minstreth_r := (io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MINSTRETH)).asBool + + + minstreth_inc := minstreth + Cat(0.U(31.W), minstretl_cout_f) + val minstreth_ns = Mux(wr_minstreth_r.asBool, io.dec_csr_wrdata_r, minstreth_inc) + + minstreth := rvdffe(minstreth_ns, (minstret_enable_f | wr_minstreth_r).asBool, clock, io.scan_mode) + + val minstreth_read = minstreth_inc + + // ---------------------------------------------------------------------- + // mscratch (RW) + // [31:0] : Scratch register + + val wr_mscratch_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MSCRATCH) + + mscratch := rvdffe(io.dec_csr_wrdata_r,wr_mscratch_r.asBool,clock,io.scan_mode) + + + // ---------------------------------------------------------------------- + // MEPC (RW) + // [31:1] : Exception PC + + // NPC + + val sel_exu_npc_r = !io.dec_tlu_dbg_halted & !io.tlu_flush_lower_r_d1 & io.dec_tlu_i0_valid_r + val sel_flush_npc_r = !io.dec_tlu_dbg_halted & io.tlu_flush_lower_r_d1 & !io.dec_tlu_flush_noredir_r_d1 + val sel_hold_npc_r = !sel_exu_npc_r & !sel_flush_npc_r + + io.npc_r := Mux1H(Seq( + sel_exu_npc_r.asBool -> io.exu_npc_r, + (!io.mpc_reset_run_req & io.reset_delayed).asBool -> io.rst_vec, // init to reset vector for mpc halt on reset case + sel_flush_npc_r.asBool -> io.tlu_flush_path_r_d1, + sel_hold_npc_r.asBool -> io.npc_r_d1 )) + + io.npc_r_d1 := rvdffe(io.npc_r,(sel_exu_npc_r | sel_flush_npc_r | io.reset_delayed).asBool,clock,io.scan_mode) + // PC has to be captured for exceptions and interrupts. For MRET, we could execute it and then take an + // interrupt before the next instruction. + val pc0_valid_r = (!io.dec_tlu_dbg_halted & io.dec_tlu_i0_valid_r).asBool + + val pc_r = Mux1H( Seq( + pc0_valid_r -> io.dec_tlu_i0_pc_r, + ~pc0_valid_r -> pc_r_d1 )) + + pc_r_d1 := rvdffe(pc_r, pc0_valid_r, clock, io.scan_mode) + + val wr_mepc_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MEPC) + + val mepc_ns = Mux1H( Seq( + (io.i0_exception_valid_r | io.lsu_exc_valid_r | io.mepc_trigger_hit_sel_pc_r).asBool -> pc_r, + (io.interrupt_valid_r).asBool -> io.npc_r, + (wr_mepc_r & !io.exc_or_int_valid_r).asBool -> io.dec_csr_wrdata_r(31,1), + (!wr_mepc_r & !io.exc_or_int_valid_r).asBool -> io.mepc) ) + + io.mepc := withClock(io.e4e5_int_clk){RegNext(mepc_ns,0.U)} + + + // ---------------------------------------------------------------------- + // MCAUSE (RW) + // [31:0] : Exception Cause + + val wr_mcause_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MCAUSE) + val mcause_sel_nmi_store = io.exc_or_int_valid_r & io.take_nmi & io.nmi_lsu_store_type + val mcause_sel_nmi_load = io.exc_or_int_valid_r & io.take_nmi & io.nmi_lsu_load_type + val mcause_sel_nmi_ext = io.exc_or_int_valid_r & io.take_nmi & io.lsu_fir_error.orR + // FIR value decoder + // 0 –no error + // 1 –uncorrectable ecc => f000_1000 + // 2 –dccm region access error => f000_1001 + // 3 –non dccm region access error => f000_1002 + val mcause_fir_error_type = Cat(io.lsu_fir_error.andR, (io.lsu_fir_error(1) & ~io.lsu_fir_error(0))) + + val mcause_ns = Mux1H(Seq( + mcause_sel_nmi_store.asBool -> "hf000_0000".U(32.W), + mcause_sel_nmi_load.asBool -> "hf000_0001".U(32.W), + mcause_sel_nmi_ext.asBool -> Cat("hf000_100".U(28.W), 0.U(2.W), mcause_fir_error_type), + (io.exc_or_int_valid_r & ~io.take_nmi).asBool -> Cat(io.interrupt_valid_r, 0.U(26.W), io.exc_cause_r), + (wr_mcause_r & ~io.exc_or_int_valid_r).asBool -> io.dec_csr_wrdata_r, + (~wr_mcause_r & ~io.exc_or_int_valid_r).asBool -> mcause) ) + + mcause := withClock(io.e4e5_int_clk){RegNext(mcause_ns,0.U)} + + + // ---------------------------------------------------------------------- + // MSCAUSE (RW) + // [2:0] : Secondary exception Cause + + val wr_mscause_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MSCAUSE) + + val ifu_mscause = Mux((io.dec_tlu_packet_r.icaf_type === 0.U(2.W)), "b1001".U, Cat(0.U(2.W) , io.dec_tlu_packet_r.icaf_type)) + + val mscause_type = Mux1H( Seq( + io.lsu_i0_exc_r.asBool -> io.lsu_error_pkt_r.mscause, + io.i0_trigger_hit_r.asBool -> "b0001".U, + io.ebreak_r.asBool -> "b0010".U, + io.inst_acc_r.asBool -> ifu_mscause )) + + + val mscause_ns = Mux1H( Seq( + (io.exc_or_int_valid_r).asBool -> mscause_type, + (wr_mscause_r & !io.exc_or_int_valid_r).asBool -> io.dec_csr_wrdata_r(3,0), + (!wr_mscause_r & !io.exc_or_int_valid_r).asBool -> mscause)) + + mscause := withClock(io.e4e5_int_clk){RegNext(mscause_ns,0.U)} + + // ---------------------------------------------------------------------- + // MTVAL (RW) + // [31:0] : Exception address if relevant + + + val wr_mtval_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MTVAL) + val mtval_capture_pc_r = io.exc_or_int_valid_r & (io.ebreak_r | (io.inst_acc_r & ~io.inst_acc_second_r) | io.mepc_trigger_hit_sel_pc_r) & ~io.take_nmi + val mtval_capture_pc_plus2_r = io.exc_or_int_valid_r & (io.inst_acc_r & io.inst_acc_second_r) & ~io.take_nmi + val mtval_capture_inst_r = io.exc_or_int_valid_r & io.illegal_r & ~io.take_nmi + val mtval_capture_lsu_r = io.exc_or_int_valid_r & io.lsu_exc_valid_r & ~io.take_nmi + val mtval_clear_r = io.exc_or_int_valid_r & ~mtval_capture_pc_r & ~mtval_capture_inst_r & ~mtval_capture_lsu_r & ~io.mepc_trigger_hit_sel_pc_r + + + val mtval_ns = Mux1H(Seq( + (mtval_capture_pc_r).asBool -> Cat(pc_r, 0.U(1.W)), + (mtval_capture_pc_plus2_r).asBool -> Cat(pc_r + 1.U(31.W), 0.U(1.W)), + (mtval_capture_inst_r).asBool -> io.dec_illegal_inst, + (mtval_capture_lsu_r).asBool -> io.lsu_error_pkt_addr_r, + (wr_mtval_r & ~io.interrupt_valid_r.asUInt).asBool -> io.dec_csr_wrdata_r, + (~io.take_nmi & ~wr_mtval_r & ~mtval_capture_pc_r & ~mtval_capture_inst_r & ~mtval_clear_r & ~mtval_capture_lsu_r).asBool -> mtval )) + + mtval := withClock(io.e4e5_int_clk){RegNext(mtval_ns,0.U)} + + // ---------------------------------------------------------------------- + // MCGC (RW) Clock gating control + // [31:9] : Reserved, reads 0x0 + // [8] : misc_clk_override + // [7] : dec_clk_override + // [6] : unused + // [5] : ifu_clk_override + // [4] : lsu_clk_override + // [3] : bus_clk_override + // [2] : pic_clk_override + // [1] : dccm_clk_override + // [0] : icm_clk_override + // + val wr_mcgc_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MCGC) + + val mcgc = rvdffe(io.dec_csr_wrdata_r(8,0),wr_mcgc_r.asBool,clock,io.scan_mode) + + io.dec_tlu_misc_clk_override := mcgc(8) + io.dec_tlu_dec_clk_override := mcgc(7) + io.dec_tlu_ifu_clk_override := mcgc(5) + io.dec_tlu_lsu_clk_override := mcgc(4) + io.dec_tlu_bus_clk_override := mcgc(3) + io.dec_tlu_pic_clk_override := mcgc(2) + io.dec_tlu_dccm_clk_override := mcgc(1) + io.dec_tlu_icm_clk_override := mcgc(0) + + // ---------------------------------------------------------------------- + // MFDC (RW) Feature Disable Control + // [31:19] : Reserved, reads 0x0 + // [18:16] : DMA QoS Prty + // [15:12] : Reserved, reads 0x0 + // [11] : Disable external load forwarding + // [10] : Disable dual issue + // [9] : Disable pic multiple ints + // [8] : Disable core ecc + // [7] : Unused, 0x0 + // [6] : Disable Sideeffect lsu posting + // [5:4] : Unused, 0x0 + // [3] : Disable branch prediction and return stack + // [2] : Disable write buffer coalescing + // [1] : Unused, 0x0 + // [0] : Disable pipelining - Enable single instruction execution + // + val wr_mfdc_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MFDC) + + + + mfdc_int := rvdffe(mfdc_ns,wr_mfdc_r.asBool,clock,io.scan_mode) + // rvdffe #(15) mfdc_ff (.*, .en(wr_mfdc_r), .din({mfdc_ns[14:0]}), .dout(mfdc_int[14:0])); + + if(BUILD_AXI4 == true){ + // flip poweron value of bit 6 for AXI build + mfdc_ns := Cat(~io.dec_csr_wrdata_r(18,16),io.dec_csr_wrdata_r(11,7), ~io.dec_csr_wrdata_r(6), io.dec_csr_wrdata_r(5,0)) + mfdc := Cat(~mfdc_int(14,12),0.U(4.W), mfdc_int(11,7), ~mfdc_int(6), mfdc_int(5,0)) + } + else { + mfdc_ns := Cat(~io.dec_csr_wrdata_r(18,16),io.dec_csr_wrdata_r(11,0)) + mfdc := Cat(~mfdc_int(14,12),0.U(4.W), mfdc_int(11,0)) + } + + + io.dec_tlu_dma_qos_prty := mfdc(18,16) + io.dec_tlu_external_ldfwd_disable := mfdc(11) + io.dec_tlu_core_ecc_disable := mfdc(8) + io.dec_tlu_sideeffect_posted_disable := mfdc(6) + io.dec_tlu_bpred_disable := mfdc(3) + io.dec_tlu_wb_coalescing_disable := mfdc(2) + io.dec_tlu_pipelining_disable := mfdc(0) + + + // ---------------------------------------------------------------------- + // MCPC (RW) Pause counter + // [31:0] : Reads 0x0, decs in the wb register in decode_ctl + + + + io.dec_tlu_wr_pause_r := io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MCPC) & ~io.interrupt_valid_r & ~io.take_ext_int_start + + + // ---------------------------------------------------------------------- + // MRAC (RW) + // [31:0] : Region Access Control Register, 16 regions, {side_effect, cachable} pairs + + val wr_mrac_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MRAC) + + // prevent pairs of 0x11, side_effect and cacheable + val mrac_in = Cat(io.dec_csr_wrdata_r(31), io.dec_csr_wrdata_r(30) & ~io.dec_csr_wrdata_r(31), + io.dec_csr_wrdata_r(29), io.dec_csr_wrdata_r(28) & ~io.dec_csr_wrdata_r(29), + io.dec_csr_wrdata_r(27), io.dec_csr_wrdata_r(26) & ~io.dec_csr_wrdata_r(27), + io.dec_csr_wrdata_r(25), io.dec_csr_wrdata_r(24) & ~io.dec_csr_wrdata_r(25), + io.dec_csr_wrdata_r(23), io.dec_csr_wrdata_r(22) & ~io.dec_csr_wrdata_r(23), + io.dec_csr_wrdata_r(21), io.dec_csr_wrdata_r(20) & ~io.dec_csr_wrdata_r(21), + io.dec_csr_wrdata_r(19), io.dec_csr_wrdata_r(18) & ~io.dec_csr_wrdata_r(19), + io.dec_csr_wrdata_r(17), io.dec_csr_wrdata_r(16) & ~io.dec_csr_wrdata_r(17), + io.dec_csr_wrdata_r(15), io.dec_csr_wrdata_r(14) & ~io.dec_csr_wrdata_r(15), + io.dec_csr_wrdata_r(13), io.dec_csr_wrdata_r(12) & ~io.dec_csr_wrdata_r(13), + io.dec_csr_wrdata_r(11), io.dec_csr_wrdata_r(10) & ~io.dec_csr_wrdata_r(11), + io.dec_csr_wrdata_r(9), io.dec_csr_wrdata_r(8) & ~io.dec_csr_wrdata_r(9), + io.dec_csr_wrdata_r(7), io.dec_csr_wrdata_r(6) & ~io.dec_csr_wrdata_r(7), + io.dec_csr_wrdata_r(5), io.dec_csr_wrdata_r(4) & ~io.dec_csr_wrdata_r(5), + io.dec_csr_wrdata_r(3), io.dec_csr_wrdata_r(2) & ~io.dec_csr_wrdata_r(3), + io.dec_csr_wrdata_r(1), io.dec_csr_wrdata_r(0) & ~io.dec_csr_wrdata_r(1)) + + + val mrac = rvdffe(mrac_in,wr_mrac_r.asBool,clock,io.scan_mode) + // drive to LSU/IFU + io.dec_tlu_mrac_ff := mrac + + + // ---------------------------------------------------------------------- + // MDEAU (WAR0) + // [31:0] : Dbus Error Address Unlock register + // + + val wr_mdeau_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MDEAU) + + + // ---------------------------------------------------------------------- + // MDSEAC (R) + // [31:0] : Dbus Store Error Address Capture register + // + + + // only capture error bus if the MDSEAC reg is not locked + io.mdseac_locked_ns := mdseac_en | (io.mdseac_locked_f & ~wr_mdeau_r) + + mdseac_en := (io.lsu_imprecise_error_store_any | io.lsu_imprecise_error_load_any) & ~io.nmi_int_detected_f & ~io.mdseac_locked_f + + val mdseac = rvdffe(io.lsu_imprecise_error_addr_any,mdseac_en.asBool,clock,io.scan_mode) + + // ---------------------------------------------------------------------- + // MPMC (R0W1) + // [0] : FW halt + // [1] : Set MSTATUS[MIE] on halt + + + + val wr_mpmc_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MPMC) + + // allow the cycle of the dbg halt flush that contains the wr_mpmc_r to + // set the io.mstatus bit potentially, use delayed version of internal dbg halt. + io.fw_halt_req := wr_mpmc_r & io.dec_csr_wrdata_r(0) & ~io.internal_dbg_halt_mode_f2 & ~io.ext_int_freeze_d1 + + val fw_halted_ns = (io.fw_halt_req | fw_halted) & ~set_mie_pmu_fw_halt + mpmc_b_ns := Mux(wr_mpmc_r.asBool, ~io.dec_csr_wrdata_r(1), ~mpmc) + + mpmc_b := withClock(io.csr_wr_clk){RegNext(mpmc_b_ns,0.U)} + fw_halted := withClock(io.free_clk){RegNext(fw_halted_ns,0.U)} + + mpmc := ~mpmc_b + + // ---------------------------------------------------------------------- + // MICECT (I-Cache error counter/threshold) + // [31:27] : Icache parity error threshold + // [26:0] : Icache parity error count + + + + val csr_sat = Mux((io.dec_csr_wrdata_r(31,27) > 26.U(5.W)), 26.U(5.W), io.dec_csr_wrdata_r(31,27)) + + val wr_micect_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MICECT) + micect_inc := micect + Cat(0.U(26.W), io.ic_perr_r_d1) + val micect_ns = Mux(wr_micect_r.asBool, Cat(csr_sat, io.dec_csr_wrdata_r(26,0)) , Cat(micect(31,27), micect_inc)) + + micect := rvdffe(micect_ns,(wr_micect_r | io.ic_perr_r_d1).asBool,clock,io.scan_mode) + + mice_ce_req := ("hffffffff".U(32.W) << micect(31,27)).orR & Cat(0.U(5.W), micect(26,0)) + + // ---------------------------------------------------------------------- + // MICCMECT (ICCM error counter/threshold) + // [31:27] : ICCM parity error threshold + // [26:0] : ICCM parity error count + + + + val wr_miccmect_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MICCMECT) + miccmect_inc := miccmect(26,0) + Cat(0.U(26.W), (io.iccm_sbecc_r_d1 | io.iccm_dma_sb_error)) + val miccmect_ns = Mux(wr_miccmect_r.asBool, Cat(csr_sat, io.dec_csr_wrdata_r(26,0)) , Cat(miccmect(31,27), miccmect_inc)) + + miccmect := rvdffe(miccmect_ns,(wr_miccmect_r | io.iccm_sbecc_r_d1 | io.iccm_dma_sb_error).asBool,clock,io.scan_mode) + miccme_ce_req := (("hffffffff".U(32.W) << miccmect(31,27)) & Cat(0.U(5.W), miccmect(26,0))).orR + + // ---------------------------------------------------------------------- + // MDCCMECT (DCCM error counter/threshold) + // [31:27] : DCCM parity error threshold + // [26:0] : DCCM parity error count + + + + val wr_mdccmect_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MDCCMECT) + mdccmect_inc := mdccmect(26,0) + Cat(0.U(26.W), io.lsu_single_ecc_error_r_d1) + val mdccmect_ns = Mux(wr_mdccmect_r.asBool, Cat(csr_sat, io.dec_csr_wrdata_r(26,0)) , Cat(mdccmect(31,27), mdccmect_inc)) + + mdccmect := rvdffe(mdccmect_ns, (wr_mdccmect_r | io.lsu_single_ecc_error_r_d1).asBool, clock, io.scan_mode) + + mdccme_ce_req := (("hffffffff".U(32.W) << mdccmect(31,27)) & Cat(0.U(5.W), mdccmect(26,0))).orR + + + // ---------------------------------------------------------------------- + // MFDHT (Force Debug Halt Threshold) + // [5:1] : Halt timeout threshold (power of 2) + // [0] : Halt timeout enabled + + + + val wr_mfdht_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MFDHT) + + val mfdht_ns = Mux(wr_mfdht_r.asBool, io.dec_csr_wrdata_r(5,0) , mfdht) + + mfdht := withClock(io.active_clk){RegNext(mfdht_ns,0.U)} + + // ---------------------------------------------------------------------- + // MFDHS(RW) + // [1] : LSU operation pending when debug halt threshold reached + // [0] : IFU operation pending when debug halt threshold reached + + + + val wr_mfdhs_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MFDHS) + + val mfdhs_ns = Mux(wr_mfdhs_r.asBool, io.dec_csr_wrdata_r(1,0) , + Mux((io.dbg_tlu_halted & ~io.dbg_tlu_halted_f).asBool, Cat(~io.lsu_idle_any_f, ~io.ifu_miss_state_idle_f) , mfdhs)) + + mfdhs := withClock(io.active_clk){RegEnable(mfdhs_ns,0.U,(wr_mfdhs_r | io.dbg_tlu_halted).asBool)} + + val force_halt_ctr = Mux(io.debug_halt_req_f.asBool, (force_halt_ctr_f + 1.U(32.W)) , + Mux(io.dbg_tlu_halted_f.asBool, 0.U(32.W) , force_halt_ctr_f)) + + force_halt_ctr_f := withClock(io.active_clk){RegEnable(force_halt_ctr,0.U,mfdht(0))} + + io.force_halt := mfdht(0) & (force_halt_ctr_f & ("hffffffff".U(32.W) << mfdht(5,1))).orR + + + // ---------------------------------------------------------------------- + // MEIVT (External Interrupt Vector Table (R/W)) + // [31:10]: Base address (R/W) + // [9:0] : Reserved, reads 0x0 + + val wr_meivt_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MEIVT) + + val meivt = rvdffe(io.dec_csr_wrdata_r(31,10),wr_meivt_r.asBool,clock,io.scan_mode) + + // ---------------------------------------------------------------------- + // MEIHAP (External Interrupt Handler Access Pointer (R)) + // [31:10]: Base address (R/W) + // [9:2] : ClaimID (R) + // [1:0] : Reserved, 0x0 + + + + val wr_meihap_r = wr_meicpct_r + + val meihap = rvdffe(io.pic_claimid,wr_meihap_r.asBool,clock,io.scan_mode) + io.dec_tlu_meihap := Cat(meivt, meihap,0.U(2.W)) + + // ---------------------------------------------------------------------- + // MEICURPL (R/W) + // [31:4] : Reserved (read 0x0) + // [3:0] : CURRPRI - Priority level of current interrupt service routine (R/W) + + + + val wr_meicurpl_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MEICURPL) + val meicurpl_ns = Mux(wr_meicurpl_r.asBool, io.dec_csr_wrdata_r(3,0) , meicurpl) + + meicurpl := withClock(io.csr_wr_clk){RegNext(meicurpl_ns,0.U)} + // PIC needs this reg + io.dec_tlu_meicurpl := meicurpl + + + // ---------------------------------------------------------------------- + // MEICIDPL (R/W) + // [31:4] : Reserved (read 0x0) + // [3:0] : External Interrupt Claim ID's Priority Level Register + + + + val wr_meicidpl_r = (io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MEICIDPL)) | io.take_ext_int_start + + val meicidpl_ns = Mux(wr_meicpct_r.asBool, io.pic_pl, + Mux(wr_meicidpl_r.asBool, io.dec_csr_wrdata_r(3,0) , meicidpl)) + + meicidpl := withClock(io.free_clk){RegNext(meicidpl_ns,0.U)} + + // ---------------------------------------------------------------------- + // MEICPCT (Capture CLAIMID in MEIHAP and PL in MEICIDPL + // [31:1] : Reserved (read 0x0) + // [0] : Capture (W1, Read 0) + + wr_meicpct_r := (io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MEICPCT)) | io.take_ext_int_start + + // ---------------------------------------------------------------------- + // MEIPT (External Interrupt Priority Threshold) + // [31:4] : Reserved (read 0x0) + // [3:0] : PRITHRESH + + + + val wr_meipt_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MEIPT) + val meipt_ns = Mux(wr_meipt_r.asBool, io.dec_csr_wrdata_r(3,0), meipt) + + meipt := withClock(io.active_clk){RegNext(meipt_ns,0.U)} + // to PIC + io.dec_tlu_meipt := meipt + + // ---------------------------------------------------------------------- + // DCSR (R/W) (Only accessible in debug mode) + // [31:28] : xdebugver (hard coded to 0x4) RO + // [27:16] : 0x0, reserved + // [15] : ebreakm + // [14] : 0x0, reserved + // [13] : ebreaks (0x0 for this core) + // [12] : ebreaku (0x0 for this core) + // [11] : stepie + // [10] : stopcount + // [9] : 0x0 //stoptime + // [8:6] : cause (RO) + // [5:4] : 0x0, reserved + // [3] : nmip + // [2] : step + // [1:0] : prv (0x3 for this core) + // + + // RV has clarified that 'priority 4' in the spec means top priority. + // 4. single step. 3. Debugger request. 2. Ebreak. 1. Trigger. + + // RV debug spec indicates a cause priority change for trigger hits during single step. + + + val trigger_hit_for_dscr_cause_r_d1 = io.trigger_hit_dmode_r_d1 | (io.trigger_hit_r_d1 & io.dcsr_single_step_done_f); + + val dcsr_cause = Mux1H(Seq( + (io.dcsr_single_step_done_f & ~io.ebreak_to_debug_mode_r_d1 & ~trigger_hit_for_dscr_cause_r_d1 & ~io.debug_halt_req).asBool -> "b100".U(3.W), + (io.debug_halt_req & ~io.ebreak_to_debug_mode_r_d1 & ~trigger_hit_for_dscr_cause_r_d1).asBool -> "b011".U(3.W), + (io.ebreak_to_debug_mode_r_d1 & ~trigger_hit_for_dscr_cause_r_d1).asBool -> "b001".U(3.W), + (trigger_hit_for_dscr_cause_r_d1).asBool -> "b010".U(3.W) )) + + val wr_dcsr_r = io.allow_dbg_halt_csr_write & io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === DCSR) + + + + // Multiple halt enter requests can happen before we are halted. + // We have to continue to upgrade based on dcsr_cause priority but we can't downgrade. + val dcsr_cause_upgradeable = io.internal_dbg_halt_mode_f & (io.dcsr(8,6) === "b011".U(3.W)) + val enter_debug_halt_req_le = io.enter_debug_halt_req & (~io.dbg_tlu_halted | dcsr_cause_upgradeable) + + val nmi_in_debug_mode = io.nmi_int_detected_f & io.internal_dbg_halt_mode_f + val dcsr_ns = Mux(enter_debug_halt_req_le.asBool, Cat(io.dcsr(15,9), dcsr_cause, io.dcsr(5,2),"b11".U(2.W)) ,//prv 0x3 for this core + Mux(wr_dcsr_r.asBool, Cat(io.dec_csr_wrdata_r(15), 0.U(3.W), io.dec_csr_wrdata_r(11,10), 0.U(1.W), io.dcsr(8,6), 0.U(2.W), nmi_in_debug_mode | io.dcsr(3), io.dec_csr_wrdata_r(2), "b11".U(2.W)) , Cat(io.dcsr(15,4), nmi_in_debug_mode, io.dcsr(2),"b11".U(2.W)))) + + io.dcsr := rvdffe(dcsr_ns, (enter_debug_halt_req_le | wr_dcsr_r | io.internal_dbg_halt_mode | io.take_nmi).asBool, clock, io.scan_mode) + + // ---------------------------------------------------------------------- + // DPC (R/W) (Only accessible in debug mode) + // [31:0] : Debug PC + + + + val wr_dpc_r = io.allow_dbg_halt_csr_write & io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === DPC) + val dpc_capture_npc = io.dbg_tlu_halted & ~io.dbg_tlu_halted_f & ~io.request_debug_mode_done + val dpc_capture_pc = io.request_debug_mode_r + + val dpc_ns = Mux1H(Seq( + (~dpc_capture_pc & ~dpc_capture_npc & wr_dpc_r).asBool -> io.dec_csr_wrdata_r(31,1), + (dpc_capture_pc).asBool -> pc_r, + (~dpc_capture_pc & dpc_capture_npc).asBool -> io.npc_r )) + + io.dpc := rvdffe(dpc_ns,(wr_dpc_r | dpc_capture_pc | dpc_capture_npc).asBool,clock,io.scan_mode) + + // ---------------------------------------------------------------------- + // DICAWICS (R/W) (Only accessible in debug mode) + // [31:25] : Reserved + // [24] : Array select, 0 is data, 1 is tag + // [23:22] : Reserved + // [21:20] : Way select + // [19:17] : Reserved + // [16:3] : Index + // [2:0] : Reserved + + + + val dicawics_ns = Cat(io.dec_csr_wrdata_r(24), io.dec_csr_wrdata_r(21,20), io.dec_csr_wrdata_r(16,3)) + val wr_dicawics_r = io.allow_dbg_halt_csr_write & io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === DICAWICS) + + val dicawics = rvdffe(dicawics_ns,wr_dicawics_r.asBool,clock,io.scan_mode) + + // ---------------------------------------------------------------------- + // DICAD0 (R/W) (Only accessible in debug mode) + // + // If io.dicawics[array] is 0 + // [31:0] : inst data + // + // If io.dicawics[array] is 1 + // [31:16] : Tag + // [15:7] : Reserved + // [6:4] : LRU + // [3:1] : Reserved + // [0] : Valid + + + val wr_dicad0_r = io.allow_dbg_halt_csr_write & io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === DICAD0) + val dicad0_ns = Mux(wr_dicad0_r.asBool, io.dec_csr_wrdata_r, io.ifu_ic_debug_rd_data) + + val dicad0 = rvdffe(dicad0_ns, (wr_dicad0_r | io.ifu_ic_debug_rd_data_valid).asBool, clock, io.scan_mode) + + // ---------------------------------------------------------------------- + // DICAD0H (R/W) (Only accessible in debug mode) + // + // If io.dicawics[array] is 0 + // [63:32] : inst data + // + + + val wr_dicad0h_r = io.allow_dbg_halt_csr_write & io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === DICAD0H) + + val dicad0h_ns = Mux(wr_dicad0h_r.asBool, io.dec_csr_wrdata_r, io.ifu_ic_debug_rd_data(63,32)) + + val dicad0h = rvdffe(dicad0h_ns,(wr_dicad0h_r | io.ifu_ic_debug_rd_data_valid).asBool,clock,io.scan_mode) + + if (ICACHE_ECC == true) { + // ---------------------------------------------------------------------- + // DICAD1 (R/W) (Only accessible in debug mode) + // [6:0] : ECC + + val dicad1_raw = WireInit(UInt(7.W),0.U) + val wr_dicad1_r = io.allow_dbg_halt_csr_write & io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === DICAD1) + + val dicad1_ns = Mux(wr_dicad1_r.asBool, io.dec_csr_wrdata_r, io.ifu_ic_debug_rd_data(70,64)) + + dicad1_raw := withClock(io.active_clk){RegEnable(dicad1_ns,0.U,(wr_dicad1_r | io.ifu_ic_debug_rd_data_valid).asBool)} + dicad1 := Cat(0.U(25.W), dicad1_raw) + + } + else { + // ---------------------------------------------------------------------- + // DICAD1 (R/W) (Only accessible in debug mode) + // [3:0] : Parity + + + val dicad1_raw = WireInit(UInt(4.W),0.U) + val wr_dicad1_r = io.allow_dbg_halt_csr_write & io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === DICAD1) + + val dicad1_ns = Mux(wr_dicad1_r.asBool, io.dec_csr_wrdata_r(3,0), io.ifu_ic_debug_rd_data(67,64)) + + dicad1_raw :=withClock(io.active_clk){RegEnable(dicad1_ns,0.U,(wr_dicad1_r | io.ifu_ic_debug_rd_data_valid).asBool)} + dicad1 := Cat(0.U(28.W), dicad1_raw) + } + + // ---------------------------------------------------------------------- + // DICAGO (R/W) (Only accessible in debug mode) + // [0] : Go + + if (ICACHE_ECC == true) io.dec_tlu_ic_diag_pkt.icache_wrdata := Cat(dicad1(6,0), dicad0h(31,0), dicad0(31,0)) + else io.dec_tlu_ic_diag_pkt.icache_wrdata := Cat(0.U(2.W),dicad1(3,0), dicad0h(31,0), dicad0(31,0)) + + io.dec_tlu_ic_diag_pkt.icache_dicawics := dicawics + + val icache_rd_valid = io.allow_dbg_halt_csr_write & io.dec_csr_any_unq_d & io.dec_i0_decode_d & ~io.dec_csr_wen_unq_d & (io.dec_csr_rdaddr_d(11,0) === DICAGO) + val icache_wr_valid = io.allow_dbg_halt_csr_write & io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === DICAGO) + + val icache_rd_valid_f = withClock(io.active_clk){RegNext(icache_rd_valid,0.U)} + val icache_wr_valid_f = withClock(io.active_clk){RegNext(icache_wr_valid,0.U)} + + io.dec_tlu_ic_diag_pkt.icache_rd_valid := icache_rd_valid_f + io.dec_tlu_ic_diag_pkt.icache_wr_valid := icache_wr_valid_f + + // ---------------------------------------------------------------------- + // MTSEL (R/W) + // [1:0] : Trigger select : 00, 01, 10 are data/address triggers. 11 is inst count + + + + val wr_mtsel_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MTSEL) + val mtsel_ns = Mux(wr_mtsel_r.asBool, io.dec_csr_wrdata_r(1,0), mtsel) + + mtsel := withClock(io.csr_wr_clk){RegNext(mtsel_ns,0.U)} + // ---------------------------------------------------------------------- + // MTDATA1 (R/W) + // [31:0] : Trigger Data 1 + // for triggers 0, 1, 2 and 3 aka Match Control + // [31:28] : type, hard coded to 0x2 + // [27] : dmode + // [26:21] : hard coded to 0x1f + // [20] : hit + // [19] : select (0 - address, 1 - data) + // [18] : timing, always 'before', reads 0x0 + // [17:12] : action, bits [17:13] not implemented and reads 0x0 + // [11] : chain + // [10:7] : match, bits [10:8] not implemented and reads 0x0 + // [6] : M + // [5:3] : not implemented, reads 0x0 + // [2] : execute + // [1] : store + // [0] : load + // + // decoder ring + // [27] : => 9 + // [20] : => 8 + // [19] : => 7 + // [12] : => 6 + // [11] : => 5 + // [7] : => 4 + // [6] : => 3 + // [2] : => 2 + // [1] : => 1 + // [0] : => 0 + + + + // don't allow setting load-data. + val tdata_load = io.dec_csr_wrdata_r(0) & ~io.dec_csr_wrdata_r(19) + // don't allow setting execute-data. + val tdata_opcode = io.dec_csr_wrdata_r(2) & ~io.dec_csr_wrdata_r(19) + // don't allow clearing DMODE and action=1 + val tdata_action = (io.dec_csr_wrdata_r(27) & io.dbg_tlu_halted_f) & io.dec_csr_wrdata_r(12) + + val tdata_wrdata_r = Cat(io.dec_csr_wrdata_r(27) & io.dbg_tlu_halted_f, io.dec_csr_wrdata_r(20,19), tdata_action, io.dec_csr_wrdata_r(11), + io.dec_csr_wrdata_r(7,6), tdata_opcode, io.dec_csr_wrdata_r(1), tdata_load) + + // If the DMODE bit is set, tdata1 can only be updated in debug_mode + val wr_mtdata1_t_r = VecInit.tabulate(4)(i => io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MTDATA1) & (mtsel === 0.U(2.W)) & (~io.mtdata1_t(i)(MTDATA1_DMODE) | io.dbg_tlu_halted_f)) + val mtdata1_t_ns = VecInit.tabulate(4)(i => Mux(wr_mtdata1_t_r(i).asBool, tdata_wrdata_r, Cat(io.mtdata1_t(i)(9), io.update_hit_bit_r(i) | io.mtdata1_t(i)(8), io.mtdata1_t(i)(7,0)))) + + for(i <- 0 until 4) { io.mtdata1_t(i) := withClock(io.active_clk){RegNext(mtdata1_t_ns(i),0.U)}} + + + val mtdata1_tsel_out = Mux1H((0 until 4).map(i => (mtsel === i.U(2.W)) -> Cat(2.U(4.W), io.mtdata1_t(i)(9), "b011111".U(6.W), io.mtdata1_t(i)(8,7), 0.U(6.W), io.mtdata1_t(i)(6,5), 0.U(3.W), io.mtdata1_t(i)(4,3), 0.U(3.W), io.mtdata1_t(i)(2,0)))) + for(i <- 0 until 4 ){ + io.trigger_pkt_any(i).select := io.mtdata1_t(i)(MTDATA1_SEL) + io.trigger_pkt_any(i).match_ := io.mtdata1_t(i)(MTDATA1_MATCH) + io.trigger_pkt_any(i).store := io.mtdata1_t(i)(MTDATA1_ST) + io.trigger_pkt_any(i).load := io.mtdata1_t(i)(MTDATA1_LD) + io.trigger_pkt_any(i).execute := io.mtdata1_t(i)(MTDATA1_EXE) + io.trigger_pkt_any(i).m := io.mtdata1_t(i)(MTDATA1_M_ENABLED) + } + + // ---------------------------------------------------------------------- + // MTDATA2 (R/W) + // [31:0] : Trigger Data 2 + // If the DMODE bit is set, tdata2 can only be updated in debug_mode + val wr_mtdata2_t_r = VecInit.tabulate(4)(i => io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MTDATA2) & (mtsel === i.U(2.W)) & (~io.mtdata1_t(i)(MTDATA1_DMODE) | io.dbg_tlu_halted_f)) + for(i <- 0 until 4) { mtdata2_t(i) := rvdffe(io.dec_csr_wrdata_r,wr_mtdata2_t_r(i).asBool,clock,io.scan_mode)} + + + + val mtdata2_tsel_out = Mux1H((0 until 4).map(i =>(mtsel === i.U(2.W)) -> mtdata2_t(i))) + for(i <- 0 until 4) {io.trigger_pkt_any(i).tdata2 := mtdata2_t(i)} + + + //---------------------------------------------------------------------- + // Performance Monitor Counters section starts + //---------------------------------------------------------------------- + + + + // Pack the event selects into a vector for genvar + mhpme_vec(0) := mhpme3 + mhpme_vec(1) := mhpme4 + mhpme_vec(2) := mhpme5 + mhpme_vec(3) := mhpme6 + + import el2_inst_pkt_t._ + // only consider committed itypes + + + val pmu_i0_itype_qual = io.dec_tlu_packet_r.pmu_i0_itype & Fill(4,io.tlu_i0_commit_cmt) + val mhpmc_inc_r = Wire(Vec(4,UInt(1.W))) + val mhpmc_inc_r_d1 = Wire(Vec(4,UInt(1.W))) + + // Generate the muxed incs for all counters based on event type + for(i <- 0 until 4) { + mhpmc_inc_r(i) := (~mcountinhibit(i+3) & (Mux1H(Seq( + (mhpme_vec(i) === MHPME_CLK_ACTIVE ).asBool -> 1.U, + (mhpme_vec(i) === MHPME_ICACHE_HIT ).asBool -> io.ifu_pmu_ic_hit, + (mhpme_vec(i) === MHPME_ICACHE_MISS ).asBool -> io.ifu_pmu_ic_miss, + (mhpme_vec(i) === MHPME_INST_COMMIT ).asBool -> (io.tlu_i0_commit_cmt & ~io.illegal_r), + (mhpme_vec(i) === MHPME_INST_COMMIT_16B ).asBool -> (io.tlu_i0_commit_cmt & ~io.exu_pmu_i0_pc4 & ~io.illegal_r), + (mhpme_vec(i) === MHPME_INST_COMMIT_32B ).asBool -> (io.tlu_i0_commit_cmt & io.exu_pmu_i0_pc4 & ~io.illegal_r), + (mhpme_vec(i) === MHPME_INST_ALIGNED ).asBool -> io.ifu_pmu_instr_aligned, + (mhpme_vec(i) === MHPME_INST_DECODED ).asBool -> io.dec_pmu_instr_decoded, + (mhpme_vec(i) === MHPME_DECODE_STALL ).asBool -> io.dec_pmu_decode_stall, + (mhpme_vec(i) === MHPME_INST_MUL ).asBool -> (pmu_i0_itype_qual === MUL), + (mhpme_vec(i) === MHPME_INST_DIV ).asBool -> (io.dec_tlu_packet_r.pmu_divide & io.tlu_i0_commit_cmt), + (mhpme_vec(i) === MHPME_INST_LOAD ).asBool -> (pmu_i0_itype_qual === LOAD), + (mhpme_vec(i) === MHPME_INST_STORE ).asBool -> (pmu_i0_itype_qual === STORE), + (mhpme_vec(i) === MHPME_INST_MALOAD ).asBool -> ((pmu_i0_itype_qual === LOAD) & io.dec_tlu_packet_r.pmu_lsu_misaligned + (mhpme_vec(i) === MHPME_INST_MASTORE) & (pmu_i0_itype_qual === STORE) & + io.dec_tlu_packet_r.pmu_lsu_misaligned.asBool), + (mhpme_vec(i) === MHPME_INST_ALU).asBool -> (pmu_i0_itype_qual === ALU), + (mhpme_vec(i) === MHPME_INST_CSRREAD ).asBool -> (pmu_i0_itype_qual === CSRREAD), + (mhpme_vec(i) === MHPME_INST_CSRWRITE).asBool -> (pmu_i0_itype_qual === CSRWRITE), + (mhpme_vec(i) === MHPME_INST_CSRRW ).asBool -> (pmu_i0_itype_qual === CSRRW), + (mhpme_vec(i) === MHPME_INST_EBREAK ).asBool -> (pmu_i0_itype_qual === EBREAK), + (mhpme_vec(i) === MHPME_INST_ECALL ).asBool -> (pmu_i0_itype_qual === ECALL), + (mhpme_vec(i) === MHPME_INST_FENCE ).asBool -> (pmu_i0_itype_qual === FENCE), + (mhpme_vec(i) === MHPME_INST_FENCEI ).asBool -> (pmu_i0_itype_qual === FENCEI), + (mhpme_vec(i) === MHPME_INST_MRET ).asBool -> (pmu_i0_itype_qual === MRET), + (mhpme_vec(i) === MHPME_INST_BRANCH ).asBool -> ((pmu_i0_itype_qual === CONDBR) | (pmu_i0_itype_qual === JAL)), + (mhpme_vec(i) === MHPME_BRANCH_MP ).asBool -> (io.exu_pmu_i0_br_misp & io.tlu_i0_commit_cmt), + (mhpme_vec(i) === MHPME_BRANCH_TAKEN ).asBool -> (io.exu_pmu_i0_br_ataken & io.tlu_i0_commit_cmt), + (mhpme_vec(i) === MHPME_BRANCH_NOTP ).asBool -> (io.dec_tlu_packet_r.pmu_i0_br_unpred & io.tlu_i0_commit_cmt), + (mhpme_vec(i) === MHPME_FETCH_STALL ).asBool -> io.ifu_pmu_fetch_stall, + (mhpme_vec(i) === MHPME_DECODE_STALL ).asBool -> io.dec_pmu_decode_stall, + (mhpme_vec(i) === MHPME_POSTSYNC_STALL ).asBool -> io.dec_pmu_postsync_stall, + (mhpme_vec(i) === MHPME_PRESYNC_STALL ).asBool -> io.dec_pmu_presync_stall, + (mhpme_vec(i) === MHPME_LSU_SB_WB_STALL ).asBool -> io.lsu_store_stall_any, + (mhpme_vec(i) === MHPME_DMA_DCCM_STALL ).asBool -> io.dma_dccm_stall_any, + (mhpme_vec(i) === MHPME_DMA_ICCM_STALL ).asBool -> io.dma_iccm_stall_any, + (mhpme_vec(i) === MHPME_EXC_TAKEN ).asBool -> (io.i0_exception_valid_r | io.i0_trigger_hit_r | io.lsu_exc_valid_r), + (mhpme_vec(i) === MHPME_TIMER_INT_TAKEN ).asBool -> (io.take_timer_int | io.take_int_timer0_int | io.take_int_timer1_int), + (mhpme_vec(i) === MHPME_EXT_INT_TAKEN ).asBool -> io.take_ext_int, + (mhpme_vec(i) === MHPME_FLUSH_LOWER ).asBool -> io.tlu_flush_lower_r, + (mhpme_vec(i) === MHPME_BR_ERROR ).asBool -> ((io.dec_tlu_br0_error_r | io.dec_tlu_br0_start_error_r) & io.rfpc_i0_r), + (mhpme_vec(i) === MHPME_IBUS_TRANS ).asBool -> io.ifu_pmu_bus_trxn, + (mhpme_vec(i) === MHPME_DBUS_TRANS ).asBool -> io.lsu_pmu_bus_trxn, + (mhpme_vec(i) === MHPME_DBUS_MA_TRANS ).asBool -> io.lsu_pmu_bus_misaligned, + (mhpme_vec(i) === MHPME_IBUS_ERROR ).asBool -> io.ifu_pmu_bus_error, + (mhpme_vec(i) === MHPME_DBUS_ERROR ).asBool -> io.lsu_pmu_bus_error, + (mhpme_vec(i) === MHPME_IBUS_STALL ).asBool -> io.ifu_pmu_bus_busy, + (mhpme_vec(i) === MHPME_DBUS_STALL ).asBool -> io.lsu_pmu_bus_busy, + (mhpme_vec(i) === MHPME_INT_DISABLED ).asBool -> (~io.mstatus(MSTATUS_MIE)), + (mhpme_vec(i) === MHPME_INT_STALLED ).asBool -> (~io.mstatus(MSTATUS_MIE) & (io.mip(5,0) & mie(5,0))), + (mhpme_vec(i) === MHPME_INST_BITMANIP ).asBool -> (pmu_i0_itype_qual === BITMANIPU), + (mhpme_vec(i) === MHPME_DBUS_LOAD ).asBool -> (io.tlu_i0_commit_cmt & io.lsu_pmu_load_external_r), + (mhpme_vec(i) === MHPME_DBUS_STORE ).asBool -> (io.tlu_i0_commit_cmt & io.lsu_pmu_store_external_r), + // These count even during sleep + (mhpme_vec(i) === MHPME_SLEEP_CYC ).asBool -> io.dec_tlu_pmu_fw_halted, + (mhpme_vec(i) === MHPME_DMA_READ_ALL ).asBool -> io.dma_pmu_any_read, + (mhpme_vec(i) === MHPME_DMA_WRITE_ALL ).asBool -> io.dma_pmu_any_write, + (mhpme_vec(i) === MHPME_DMA_READ_DCCM ).asBool -> io.dma_pmu_dccm_read, + (mhpme_vec(i) === MHPME_DMA_WRITE_DCCM ).asBool -> io.dma_pmu_dccm_write )))) + } + + mhpmc_inc_r_d1(0) := withClock(io.free_clk){RegNext(mhpmc_inc_r(0),0.U)} + mhpmc_inc_r_d1(1) := withClock(io.free_clk){RegNext(mhpmc_inc_r(1),0.U)} + mhpmc_inc_r_d1(2) := withClock(io.free_clk){RegNext(mhpmc_inc_r(2),0.U)} + mhpmc_inc_r_d1(3) := withClock(io.free_clk){RegNext(mhpmc_inc_r(3),0.U)} + val perfcnt_halted_d1 = withClock(io.free_clk){RegNext(perfcnt_halted,0.U)} + + + perfcnt_halted := ((io.dec_tlu_dbg_halted & io.dcsr(DCSR_STOPC)) | io.dec_tlu_pmu_fw_halted) + val perfcnt_during_sleep = (Fill(4,~(io.dec_tlu_dbg_halted & io.dcsr(DCSR_STOPC)))) & Cat(mhpme_vec(3)(9),mhpme_vec(2)(9),mhpme_vec(1)(9),mhpme_vec(0)(9)) + + io.dec_tlu_perfcnt0 := mhpmc_inc_r_d1(0) & ~(perfcnt_halted_d1 & ~perfcnt_during_sleep(0)) + io.dec_tlu_perfcnt1 := mhpmc_inc_r_d1(1) & ~(perfcnt_halted_d1 & ~perfcnt_during_sleep(1)) + io.dec_tlu_perfcnt2 := mhpmc_inc_r_d1(2) & ~(perfcnt_halted_d1 & ~perfcnt_during_sleep(2)) + io.dec_tlu_perfcnt3 := mhpmc_inc_r_d1(3) & ~(perfcnt_halted_d1 & ~perfcnt_during_sleep(3)) + + // ---------------------------------------------------------------------- + // MHPMC3H(RW), MHPMC3(RW) + // [63:32][31:0] : Hardware Performance Monitor Counter 3 + + val mhpmc3_wr_en0 = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MHPMC3) + val mhpmc3_wr_en1 = (~perfcnt_halted | perfcnt_during_sleep(0)) & ((mhpmc_inc_r(0)).orR) + val mhpmc3_wr_en = mhpmc3_wr_en0 | mhpmc3_wr_en1 + + + mhpmc3_incr := Cat(mhpmc3h(31,0),mhpmc3(31,0)) + Cat(0.U(63.W),mhpmc_inc_r(0)) + val mhpmc3_ns = Mux(mhpmc3_wr_en0.asBool, io.dec_csr_wrdata_r, mhpmc3_incr(31,0)) + + mhpmc3 := rvdffe(mhpmc3_ns,mhpmc3_wr_en.asBool,clock,io.scan_mode) + + val mhpmc3h_wr_en0 = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MHPMC3H) + val mhpmc3h_wr_en = mhpmc3h_wr_en0 | mhpmc3_wr_en1 + val mhpmc3h_ns = Mux(mhpmc3h_wr_en0.asBool, io.dec_csr_wrdata_r, mhpmc3_incr(63,32)) + + mhpmc3h := rvdffe(mhpmc3h_ns, mhpmc3h_wr_en.asBool, clock, io.scan_mode) + // ---------------------------------------------------------------------- + // MHPMC4H(RW), MHPMC4(RW) + // [63:32][31:0] : Hardware Performance Monitor Counter 4 + + val mhpmc4_wr_en0 = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MHPMC4) + val mhpmc4_wr_en1 = (~perfcnt_halted | perfcnt_during_sleep(1)) & ((mhpmc_inc_r(1)).orR) + val mhpmc4_wr_en = mhpmc4_wr_en0 | mhpmc4_wr_en1 + + + + mhpmc4_incr := Cat(mhpmc4h(31,0),mhpmc4(31,0)) + Cat(0.U(63.W),mhpmc_inc_r(1)) + val mhpmc4_ns = Mux(mhpmc4_wr_en0.asBool, io.dec_csr_wrdata_r(31,0), mhpmc4_incr(31,0)) + mhpmc4 := rvdffe(mhpmc4_ns, mhpmc4_wr_en.asBool, clock, io.scan_mode) + + val mhpmc4h_wr_en0 = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MHPMC4H) + val mhpmc4h_wr_en = mhpmc4h_wr_en0 | mhpmc4_wr_en1 + val mhpmc4h_ns = Mux(mhpmc4h_wr_en0.asBool, io.dec_csr_wrdata_r, mhpmc4_incr(63,32)) + mhpmc4h := rvdffe(mhpmc4h_ns, mhpmc4h_wr_en.asBool, clock, io.scan_mode) + + // ---------------------------------------------------------------------- + // MHPMC5H(RW), MHPMC5(RW) + // [63:32][31:0] : Hardware Performance Monitor Counter 5 + + val mhpmc5_wr_en0 = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MHPMC5) + val mhpmc5_wr_en1 = (~perfcnt_halted | perfcnt_during_sleep(2)) & ((mhpmc_inc_r(2)).orR) + val mhpmc5_wr_en = mhpmc5_wr_en0 | mhpmc5_wr_en1 + + mhpmc5_incr := Cat(mhpmc5h(31,0),mhpmc5(31,0)) + Cat(0.U(63.W),mhpmc_inc_r(2)) + val mhpmc5_ns = Mux(mhpmc5_wr_en0.asBool, io.dec_csr_wrdata_r, mhpmc5_incr(31,0)) + + mhpmc5 := rvdffe(mhpmc5_ns, mhpmc5_wr_en.asBool, clock, io.scan_mode) + + val mhpmc5h_wr_en0 = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MHPMC5H) + val mhpmc5h_wr_en = mhpmc5h_wr_en0 | mhpmc5_wr_en1 + val mhpmc5h_ns = Mux(mhpmc5h_wr_en0.asBool, io.dec_csr_wrdata_r, mhpmc5_incr(63,32)) + + mhpmc5h := rvdffe(mhpmc5h_ns, mhpmc5h_wr_en.asBool, clock, io.scan_mode) + // ---------------------------------------------------------------------- + // MHPMC6H(RW), MHPMC6(RW) + // [63:32][31:0] : Hardware Performance Monitor Counter 6 + + val mhpmc6_wr_en0 = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MHPMC6) + val mhpmc6_wr_en1 = (~perfcnt_halted | perfcnt_during_sleep(3)) & ((mhpmc_inc_r(3)).orR) + val mhpmc6_wr_en = mhpmc6_wr_en0 | mhpmc6_wr_en1 + + mhpmc6_incr := Cat(mhpmc6h(31,0),mhpmc6(31,0)) + Cat(0.U(63.W),mhpmc_inc_r(3)) + val mhpmc6_ns = Mux(mhpmc6_wr_en0.asBool, io.dec_csr_wrdata_r, mhpmc6_incr(31,0)) + + mhpmc6 := rvdffe(mhpmc6_ns, mhpmc6_wr_en.asBool, clock, io.scan_mode) + + val mhpmc6h_wr_en0 = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MHPMC6H) + val mhpmc6h_wr_en = mhpmc6h_wr_en0 | mhpmc6_wr_en1 + val mhpmc6h_ns = Mux(mhpmc6h_wr_en0.asBool, io.dec_csr_wrdata_r, mhpmc6_incr(63,32)) + + mhpmc6h := rvdffe(mhpmc6h_ns, mhpmc6h_wr_en.asBool, clock, io.scan_mode) + + // ---------------------------------------------------------------------- + // MHPME3(RW) + // [9:0] : Hardware Performance Monitor Event 3 + + // we only have events 0-56, 512-516, HPME* are WARL so saturate otherwise + val event_saturate_r = Mux(((io.dec_csr_wrdata_r(9,0) > 516.U(10.W)) | (io.dec_csr_wrdata_r(31,10)).orR), 516.U(10.W), io.dec_csr_wrdata_r(9,0)) + + val wr_mhpme3_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MHPME3) + + mhpme3 := withClock(io.active_clk){RegEnable(event_saturate_r,0.U,wr_mhpme3_r.asBool)} + // ---------------------------------------------------------------------- + // MHPME4(RW) + // [9:0] : Hardware Performance Monitor Event 4 + + val wr_mhpme4_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MHPME4) + mhpme4 := withClock(io.active_clk){RegEnable(event_saturate_r,0.U,wr_mhpme4_r.asBool)} + + // ---------------------------------------------------------------------- + // MHPME5(RW) + // [9:0] : Hardware Performance Monitor Event 5 + + val wr_mhpme5_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MHPME5) + mhpme5 := withClock(io.active_clk){RegEnable(event_saturate_r,0.U,wr_mhpme5_r.asBool)} + + // ---------------------------------------------------------------------- + // MHPME6(RW) + // [9:0] : Hardware Performance Monitor Event 6 + + val wr_mhpme6_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MHPME6) + mhpme6 := withClock(io.active_clk){RegEnable(event_saturate_r,0.U,wr_mhpme6_r.asBool)} + //---------------------------------------------------------------------- + // Performance Monitor Counters section ends + //---------------------------------------------------------------------- + // ---------------------------------------------------------------------- + + // MCOUNTINHIBIT(RW) + // [31:7] : Reserved, read 0x0 + // [6] : HPM6 disable + // [5] : HPM5 disable + // [4] : HPM4 disable + // [3] : HPM3 disable + // [2] : MINSTRET disable + // [1] : reserved, read 0x0 + // [0] : MCYCLE disable + + val wr_mcountinhibit_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r(11,0) === MCOUNTINHIBIT) + + val temp_ncount0 = WireInit(UInt(1.W),mcountinhibit(0)) + val temp_ncount1 = WireInit(UInt(1.W),mcountinhibit(1)) + val temp_ncount6_2 = WireInit(UInt(5.W),mcountinhibit(6,2)) + temp_ncount6_2 := withClock(io.active_clk){RegEnable(io.dec_csr_wrdata_r(6,2),0.U,wr_mcountinhibit_r.asBool)} + + temp_ncount0 := withClock(io.active_clk){RegEnable(io.dec_csr_wrdata_r(0),0.U,wr_mcountinhibit_r.asBool)} + mcountinhibit := Cat(temp_ncount6_2, 0.U(1.W),temp_ncount0) + //-------------------------------------------------------------------------------- + // trace + //-------------------------------------------------------------------------------- + + + + val trace_tclk = rvclkhdr(clock, (io.i0_valid_wb | io.exc_or_int_valid_r_d1 | io.interrupt_valid_r_d1 | io.dec_tlu_i0_valid_wb1 | + io.dec_tlu_i0_exc_valid_wb1 | io.dec_tlu_int_valid_wb1 | io.clk_override).asBool, io.scan_mode) + + io.dec_tlu_i0_valid_wb1 := withClock(trace_tclk){RegNext(io.i0_valid_wb,0.U)} + io.dec_tlu_i0_exc_valid_wb1 := withClock(trace_tclk){RegNext((io.i0_exception_valid_r_d1 | io.lsu_i0_exc_r_d1 | (io.trigger_hit_r_d1 & ~io.trigger_hit_dmode_r_d1)),0.U)} + io.dec_tlu_exc_cause_wb1 := withClock(trace_tclk){RegNext(io.exc_cause_wb,0.U)} + io.dec_tlu_int_valid_wb1 := withClock(trace_tclk){RegNext(io.interrupt_valid_r_d1,0.U)} + + io.dec_tlu_mtval_wb1 := mtval + + // end trace + //-------------------------------------------------------------------------------- + // CSR read mux + io.dec_csr_rddata_d:=Mux1H(Seq( + io.csr_pkt.csr_misa.asBool -> 0x40001104.U(32.W), + io.csr_pkt.csr_mvendorid.asBool -> 0x00000045.U(32.W), + io.csr_pkt.csr_marchid.asBool -> 0x00000010.U(32.W), + io.csr_pkt.csr_mimpid.asBool -> 0x2.U(32.W), + io.csr_pkt.csr_mhartid.asBool -> Cat(io.core_id,0.U(4.W)), + io.csr_pkt.csr_mstatus.asBool -> Cat(0.U(19.W), 3.U(2.W), 0.U(3.W), io.mstatus(1), 0.U(3.W), io.mstatus(0), 0.U(3.W)), + io.csr_pkt.csr_mtvec.asBool -> Cat(io.mtvec(30,1), 0.U(1.W), io.mtvec(0)), + io.csr_pkt.csr_mip.asBool -> Cat(0.U(1.W), io.mip(5,3), 0.U(16.W), io.mip(2), 0.U(3.W), io.mip(1), 0.U(3.W), io.mip(0), 0.U(3.W)), + io.csr_pkt.csr_mie.asBool -> Cat(0.U(1.W), mie(5,3), 0.U(16.W), mie(2), 0.U(3.W), mie(1), 0.U(3.W), mie(0), 0.U(3.W)), + io.csr_pkt.csr_mcyclel.asBool -> mcyclel(31,0), + io.csr_pkt.csr_mcycleh.asBool -> mcycleh_inc(31,0), + io.csr_pkt.csr_minstretl.asBool -> minstretl_read(31,0), + io.csr_pkt.csr_minstreth.asBool -> minstreth_read(31,0), + io.csr_pkt.csr_mscratch.asBool -> mscratch(31,0), + io.csr_pkt.csr_mepc.asBool -> Cat(io.mepc,0.U(1.W)), + io.csr_pkt.csr_mcause.asBool -> mcause(31,0), + io.csr_pkt.csr_mscause.asBool -> Cat(0.U(28.W), mscause(3,0)), + io.csr_pkt.csr_mtval.asBool -> mtval(31,0), + io.csr_pkt.csr_mrac.asBool -> mrac(31,0), + io.csr_pkt.csr_mdseac.asBool -> mdseac(31,0), + io.csr_pkt.csr_meivt.asBool -> Cat(meivt, 0.U(10.W)), + io.csr_pkt.csr_meihap.asBool -> Cat(meivt, meihap, 0.U(2.W)), + io.csr_pkt.csr_meicurpl.asBool -> Cat(0.U(28.W), meicurpl(3,0)), + io.csr_pkt.csr_meicidpl.asBool -> Cat(0.U(28.W), meicidpl(3,0)), + io.csr_pkt.csr_meipt.asBool -> Cat(0.U(28.W), meipt(3,0)), + io.csr_pkt.csr_mcgc.asBool -> Cat(0.U(23.W), mcgc(8,0)), + io.csr_pkt.csr_mfdc.asBool -> Cat(0.U(13.W), mfdc(18,0)), + io.csr_pkt.csr_dcsr.asBool -> Cat(0x4000.U(16.W), io.dcsr(15,2), 3.U(2.W)), + io.csr_pkt.csr_dpc.asBool -> Cat(io.dpc, 0.U(1.W)), + io.csr_pkt.csr_dicad0.asBool -> dicad0(31,0), + io.csr_pkt.csr_dicad0h.asBool -> dicad0h(31,0), + io.csr_pkt.csr_dicad1.asBool -> dicad1(31,0), + io.csr_pkt.csr_dicawics.asBool -> Cat(0.U(7.W), dicawics(16), 0.U(2.W), dicawics(15,14), 0.U(3.W), dicawics(13,0), 0.U(3.W)), + io.csr_pkt.csr_mtsel.asBool -> Cat(0.U(30.W), mtsel(1,0)), + io.csr_pkt.csr_mtdata1.asBool -> mtdata1_tsel_out(31,0), + io.csr_pkt.csr_mtdata2.asBool -> mtdata2_tsel_out(31,0), + io.csr_pkt.csr_micect.asBool -> micect(31,0), + io.csr_pkt.csr_miccmect.asBool -> miccmect(31,0), + io.csr_pkt.csr_mdccmect.asBool -> mdccmect(31,0), + io.csr_pkt.csr_mhpmc3.asBool -> mhpmc3(31,0), + io.csr_pkt.csr_mhpmc4.asBool -> mhpmc4(31,0), + io.csr_pkt.csr_mhpmc5.asBool -> mhpmc5(31,0), + io.csr_pkt.csr_mhpmc6.asBool -> mhpmc6(31,0), + io.csr_pkt.csr_mhpmc3h.asBool -> mhpmc3h(31,0), + io.csr_pkt.csr_mhpmc4h.asBool -> mhpmc4h(31,0), + io.csr_pkt.csr_mhpmc5h.asBool -> mhpmc5h(31,0), + io.csr_pkt.csr_mhpmc6h.asBool -> mhpmc6h(31,0), + io.csr_pkt.csr_mfdht.asBool -> Cat(0.U(26.W), mfdht(5,0)), + io.csr_pkt.csr_mfdhs.asBool -> Cat(0.U(30.W), mfdhs(1,0)), + io.csr_pkt.csr_mhpme3.asBool -> Cat(0.U(22.W), mhpme3(9,0)), + io.csr_pkt.csr_mhpme4.asBool -> Cat(0.U(22.W), mhpme4(9,0)), + io.csr_pkt.csr_mhpme5.asBool -> Cat(0.U(22.W),mhpme5(9,0)), + io.csr_pkt.csr_mhpme6.asBool -> Cat(0.U(22.W),mhpme6(9,0)), + io.csr_pkt.csr_mcountinhibit.asBool -> Cat(0.U(25.W), mcountinhibit(6,0)), + io.csr_pkt.csr_mpmc.asBool -> Cat(0.U(30.W), mpmc, 0.U(1.W)), + io.dec_timer_read_d.asBool -> io.dec_timer_rddata_d(31,0) + )) + + + +} + + +class el2_dec_decode_csr_read_IO extends Bundle with el2_lib { + val dec_csr_rdaddr_d=Input(UInt(12.W)) + val csr_pkt=Output(new el2_dec_tlu_csr_pkt) +} + +class el2_dec_decode_csr_read extends Module with el2_lib { + val io=IO(new el2_dec_decode_csr_read_IO) + + def pattern(y : List[Int]) = (0 until y.size).map(i=> if(y(i)>=0 & y(i)!='z') io.dec_csr_rdaddr_d(y(i)) else if(y(i)<0) !io.dec_csr_rdaddr_d(y(i).abs) else !io.dec_csr_rdaddr_d(0)).reduce(_&_) + // 'z' is used for !io.dec_csr_rdaddr_d(0) + io.csr_pkt.csr_misa :=pattern(List(-11,-6,-5,-2,0)) + io.csr_pkt.csr_mvendorid :=pattern(List(10,-7,-1,0)) + io.csr_pkt.csr_marchid :=pattern(List(10,-7,1,'z')) + io.csr_pkt.csr_mimpid :=pattern(List(10,-6,1,0)) + io.csr_pkt.csr_mhartid :=pattern(List(10,-7,2)) + io.csr_pkt.csr_mstatus :=pattern(List(-11,-6,-5,-2,'z')) + io.csr_pkt.csr_mtvec :=pattern(List(-11,-6,-5,2,0)) + io.csr_pkt.csr_mip :=pattern(List(-7,6,2)) + io.csr_pkt.csr_mie :=pattern(List(-11,-6,-5,2,'z')) + io.csr_pkt.csr_mcyclel :=pattern(List(11,-7,-4,-3,-2,-1)) + io.csr_pkt.csr_mcycleh :=pattern(List(7,-6,-5,-4,-3,-2,-1)) + io.csr_pkt.csr_minstretl :=pattern(List(-7,-6,-4,-3,-2,1,'z')) + io.csr_pkt.csr_minstreth :=pattern(List(-10,7,-4,-3,-2,1,'z')) + io.csr_pkt.csr_mscratch :=pattern(List(-7,6,-2,-1,'z')) + io.csr_pkt.csr_mepc :=pattern(List(-7,6,-1,0)) + io.csr_pkt.csr_mcause :=pattern(List(-7,6,1,'z')) + io.csr_pkt.csr_mscause :=pattern(List(6,5,2)) + io.csr_pkt.csr_mtval :=pattern(List(-7,6,1,0)) + io.csr_pkt.csr_mrac :=pattern(List(-11,7,-5,-3,-2,-1)) + io.csr_pkt.csr_dmst :=pattern(List(10,-4,-3,2,-1)) + io.csr_pkt.csr_mdseac :=pattern(List(11,10,-4,-3)) + io.csr_pkt.csr_meihap :=pattern(List(11,10,3)) + io.csr_pkt.csr_meivt :=pattern(List(-10,6,3,-2,-1,'z')) + io.csr_pkt.csr_meipt :=pattern(List(11,6,-1,0)) + io.csr_pkt.csr_meicurpl :=pattern(List(11,6,2)) + io.csr_pkt.csr_meicidpl :=pattern(List(11,6,1,0)) + io.csr_pkt.csr_dcsr :=pattern(List(10,-6,5,4,'z')) + io.csr_pkt.csr_mcgc :=pattern(List(10,4,3,'z')) + io.csr_pkt.csr_mfdc :=pattern(List(10,4,3,-1,0)) + io.csr_pkt.csr_dpc :=pattern(List(10,-6,5,4,0)) + io.csr_pkt.csr_mtsel :=pattern(List(10,5,-4,-1,'z')) + io.csr_pkt.csr_mtdata1 :=pattern(List(10,-4,-3,0)) + io.csr_pkt.csr_mtdata2 :=pattern(List(10,5,-4,1)) + io.csr_pkt.csr_mhpmc3 :=pattern(List(11,-7,-4,-3,-2,0)) + io.csr_pkt.csr_mhpmc4 :=pattern(List(11,-7,-4,-3,2,-1,'z')) + io.csr_pkt.csr_mhpmc5 :=pattern(List(11,-7,-4,-3,-1,0)) + io.csr_pkt.csr_mhpmc6 :=pattern(List(-7,-5,-4,-3,2,1,'z')) + io.csr_pkt.csr_mhpmc3h :=pattern(List(7,-4,-3,-2,1,0)) + io.csr_pkt.csr_mhpmc4h :=pattern(List(7,-6,-4,-3,2,-1,'z')) + io.csr_pkt.csr_mhpmc5h :=pattern(List(7,-4,-3,2,-1,0)) + io.csr_pkt.csr_mhpmc6h :=pattern(List(7,-6,-4,-3,2,1,'z')) + io.csr_pkt.csr_mhpme3 :=pattern(List(-7,5,-4,-3,-2,0)) + io.csr_pkt.csr_mhpme4 :=pattern(List(5,-4,-3,2,-1,'z')) + io.csr_pkt.csr_mhpme5 :=pattern(List(5,-4,-3,2,-1,0)) + io.csr_pkt.csr_mhpme6 :=pattern(List(5,-4,-3,2,1,'z')) + io.csr_pkt.csr_mcountinhibit :=pattern(List(-7,5,-4,-3,-2,'z')) + io.csr_pkt.csr_mitctl0 :=pattern(List(6,-5,4,-1,'z')) + io.csr_pkt.csr_mitctl1 :=pattern(List(6,-3,2,1,0)) + io.csr_pkt.csr_mitb0 :=pattern(List(6,-5,4,-2,0)) + io.csr_pkt.csr_mitb1 :=pattern(List(6,4,2,1,'z')) + io.csr_pkt.csr_mitcnt0 :=pattern(List(6,-5,4,-2,'z')) + io.csr_pkt.csr_mitcnt1 :=pattern(List(6,2,-1,0)) + io.csr_pkt.csr_mpmc :=pattern(List(6,-4,-3,2,1)) + io.csr_pkt.csr_mcpc :=pattern(List(10,6,-4,-3,-2,1)) + io.csr_pkt.csr_meicpct :=pattern(List(11,6,1,'z')) + io.csr_pkt.csr_mdeau :=pattern(List(-10,7,6,-3)) + io.csr_pkt.csr_micect :=pattern(List(6,5,-3,-1,'z')) + io.csr_pkt.csr_miccmect :=pattern(List(6,5,-3,0)) + io.csr_pkt.csr_mdccmect :=pattern(List(6,5,1,'z')) + io.csr_pkt.csr_mfdht :=pattern(List(6,3,2,1,'z')) + io.csr_pkt.csr_mfdhs :=pattern(List(6,-4,2,0)) + io.csr_pkt.csr_dicawics :=pattern(List(-11,-5,3,-2,-1,'z')) + io.csr_pkt.csr_dicad0h :=pattern(List(10,3,2,-1)) + io.csr_pkt.csr_dicad0 :=pattern(List(10,-4,3,-1,0)) + io.csr_pkt.csr_dicad1 :=pattern(List(10,3,-2,1,'z')) + io.csr_pkt.csr_dicago :=pattern(List(10,3,-2,1,0)) + io.csr_pkt.presync := pattern(List(10,4,3,-1,0)) | pattern(List(-7,5,-4,-3,-2,'z')) | pattern(List(-6,-5,-4,-3,-2,1)) | + pattern(List(11,-4,-3,2,-1)) | pattern(List(11,-4,-3,1,'z')) | pattern(List(7,-5,-4,-3,-2,1)) + io.csr_pkt.postsync := pattern(List(10,4,3,-1,0)) | pattern(List(-11,-6,-5,2,0)) | pattern(List(-7,6,-1,0)) | + pattern(List(10,-4,-3,0)) | pattern(List(-11,-7,-6,-4,-3,-2,'z')) | pattern(List(-11,7,6,-4,-3,-1))| + pattern(List(10,-4,-3,-2,1)) + io.csr_pkt.legal := pattern(List(-11,10,9,8,7,6,4,-3,-2,1,'z')) | pattern(List(-11,-10,9,8,-7,-6,-5,-4,-3,-1)) | + pattern(List(-11,-10,9,8,-7,-6,5,-1,'z')) | pattern(List(11,9,8,7,6,-5,-4,-2,-1,'z')) | + pattern(List(11,-10,9,8,-6,-5,'z')) | pattern(List(-11,10,9,8,7,6,5,4,3,2,1,0)) | + pattern(List(-11,10,9,8,7,6,5,4,-2,-1)) | pattern(List(11,9,8,-7,-6,-5,4,-3,-2,0)) | + pattern(List(-11,10,9,8,7,-6,5,-3,-2,-1)) | pattern(List(-11,-10,9,8,-7,-6,5,2)) | + pattern(List(11,9,8,-7,-6,-5,4,-3,2,-1,'z')) | pattern(List(-11,10,9,8,7,6,-5,-4,3,1)) | + pattern(List(-11,10,9,8,7,6,-5,4,-3,2)) | pattern(List(11,9,8,-7,-6,-5,4,-3,-2,1)) | + pattern(List(-11,-10,9,8,-7,-6,5,1,0)) | pattern(List(11,-10,9,8,7,-5,-4,3,-2)) | + pattern(List(11,-10,9,8,7,-5,-4,3,-1,'z')) | pattern(List(11,-10,9,8,-6,-5,2)) | + pattern(List(-11,10,9,8,7,6,-5 ,4,-3,1)) | pattern(List(-11,10,9,8,7,6 ,-5,-4,'z')) | + pattern(List(-11,10,9,8,7,6 ,-5,-4,3,-2)) | pattern(List(-11,10,9,8,7,-6,5,-4,-3,-2,'z')) | + pattern(List(11,-10,9,8,-6,-5,1)) | pattern(List(-11,-10,9,8,-7,6,-5,-4,-3,-2)) | + pattern(List(-11,-10,9,8,-7,-5,-4,-3,-1,'z')) | pattern(List(-11,-10,9,8,-7,-6,5,3)) | + pattern(List(11,-10,9,8,-6,-5,3)) | pattern(List(-11,-10,9,8,-7,-6,5,4)) | + pattern(List(11,-10,9,8,-6,-5,4)) +} + + +class el2_dec_timer_ctl extends Module with el2_lib { + val io=IO(new el2_dec_timer_ctl_IO) + val MITCTL_ENABLE=0 + val MITCTL_ENABLE_HALTED=1 + val MITCTL_ENABLE_PAUSED=2 + + val mitctl1=Wire(UInt(4.W)) + val mitctl0=Wire(UInt(3.W)) + val mitb1 =Wire(UInt(32.W)) + val mitb0 =Wire(UInt(32.W)) + val mitcnt1=Wire(UInt(32.W)) + val mitcnt0=Wire(UInt(32.W)) + + val mit0_match_ns=(mitcnt0 >= mitb0).asUInt + val mit1_match_ns=(mitcnt1 >= mitb1).asUInt + + io.dec_timer_t0_pulse := mit0_match_ns + io.dec_timer_t1_pulse := mit1_match_ns + // ---------------------------------------------------------------------- + // MITCNT0 (RW) + // [31:0] : Internal Timer Counter 0 + + val MITCNT0 =0x7d2.U(12.W) + + val wr_mitcnt0_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r === MITCNT0) + + val mitcnt0_inc_ok = mitctl0(MITCTL_ENABLE) & (~io.dec_pause_state | mitctl0(MITCTL_ENABLE_PAUSED)) & (~io.dec_tlu_pmu_fw_halted | mitctl0(MITCTL_ENABLE_HALTED)) & ~io.internal_dbg_halt_timers + val mitcnt0_inc = mitcnt0 + 1.U(32.W) + val mitcnt0_ns =Mux(mit0_match_ns.asBool, 0.U, Mux(wr_mitcnt0_r.asBool, io.dec_csr_wrdata_r, mitcnt0_inc)) + mitcnt0 := rvdffe(mitcnt0_ns,(wr_mitcnt0_r | mitcnt0_inc_ok | mit0_match_ns).asBool,clock,io.scan_mode) + + // ---------------------------------------------------------------------- + // MITCNT1 (RW) + // [31:0] : Internal Timer Counter 0 + + val MITCNT1=0x7d5.U(12.W) + val wr_mitcnt1_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r === MITCNT1).asUInt + + val mitcnt1_inc_ok = mitctl1(MITCTL_ENABLE) & (~io.dec_pause_state | mitctl1(MITCTL_ENABLE_PAUSED)) & (~io.dec_tlu_pmu_fw_halted | mitctl1(MITCTL_ENABLE_HALTED)) & ~io.internal_dbg_halt_timers + + // only inc MITCNT1 if not cascaded with 0, or if 0 overflows + val mitcnt1_inc = mitcnt1 + Cat(Fill(31,0.U(1.W)),(~mitctl1(3) | mit0_match_ns)) + val mitcnt1_ns = Mux(mit1_match_ns.asBool, 0.U, Mux(wr_mitcnt1_r.asBool, io.dec_csr_wrdata_r,mitcnt1_inc)) + mitcnt1 := rvdffe(mitcnt1_ns,(wr_mitcnt1_r | mitcnt1_inc_ok | mit1_match_ns).asBool,clock,io.scan_mode) + + // ---------------------------------------------------------------------- + // MITB0 (RW) + // [31:0] : Internal Timer Bound 0 + val MITB0 =0x7d3.U(12.W) + + val wr_mitb0_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r === MITB0) + val mitb0_b = rvdffe((~io.dec_csr_wrdata_r),wr_mitb0_r.asBool,clock,io.scan_mode) + mitb0 := ~mitb0_b + + // ---------------------------------------------------------------------- + // MITB1 (RW) + // [31:0] : Internal Timer Bound 1 + + val MITB1 =0x7d6.U(12.W) + val wr_mitb1_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r=== MITB1) + val mitb1_b = rvdffe(~io.dec_csr_wrdata_r,wr_mitb1_r.asBool,clock,io.scan_mode) + mitb1 := ~mitb1_b + + // ---------------------------------------------------------------------- + // MITCTL0 (RW) Internal Timer Ctl 0 + // [31:3] : Reserved, reads 0x0 + // [2] : Enable while PAUSEd + // [1] : Enable while HALTed + // [0] : Enable (resets to 0x1) + + val MITCTL0 =0x7d4.U(12.W) + + val wr_mitctl0_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r === MITCTL0) + val mitctl0_ns = Mux(wr_mitctl0_r.asBool, io.dec_csr_wrdata_r(2,0), mitctl0(2,0)) + + val mitctl0_0_b_ns = ~mitctl0_ns(0) + val mitctl0_0_b = withClock(io.free_clk){RegNext(mitctl0_0_b_ns,0.U)} + mitctl0 :=Cat(withClock(io.free_clk){RegNext(mitctl0_ns(2,1),0.U)},~mitctl0_0_b) + + // ---------------------------------------------------------------------- + // MITCTL1 (RW) Internal Timer Ctl 1 + // [31:4] : Reserved, reads 0x0 + // [3] : Cascade + // [2] : Enable while PAUSEd + // [1] : Enable while HALTed + // [0] : Enable (resets to 0x1) + val MITCTL1 =0x7d7.U(12.W) + val wr_mitctl1_r = io.dec_csr_wen_r_mod & (io.dec_csr_wraddr_r=== MITCTL1) + val mitctl1_ns = Mux(wr_mitctl1_r.asBool,io.dec_csr_wrdata_r(3,0), mitctl1(3,0)) + val mitctl1_0_b_ns= ~mitctl1_ns(0) + val mitctl1_0_b=withClock(io.free_clk){RegNext(mitctl1_0_b_ns,0.U)} + mitctl1:=Cat(withClock(io.free_clk){RegNext(mitctl1_ns(3,1),0.U)},~mitctl1_0_b) + + io.dec_timer_read_d := io.csr_mitcnt1 | io.csr_mitcnt0 | io.csr_mitb1 | io.csr_mitb0 | io.csr_mitctl0 | io.csr_mitctl1 + io.dec_timer_rddata_d :=Mux1H(Seq( + io.csr_mitcnt0.asBool -> mitcnt0(31,0), + io.csr_mitcnt1.asBool -> mitcnt1, + io.csr_mitb0.asBool -> mitb0, + io.csr_mitb1.asBool -> mitb1, + io.csr_mitctl0.asBool -> Cat(Fill(29,0.U(1.W)),mitctl0), + io.csr_mitctl1.asBool -> Cat(Fill(28,0.U(1.W)),mitctl1) + )) +} + + +class el2_dec_timer_ctl_IO extends Bundle{ + val free_clk =Input(Clock()) + val scan_mode =Input(Bool()) + val dec_csr_wen_r_mod =Input(UInt(1.W)) // csr write enable at wb + val dec_csr_rdaddr_d =Input(UInt(12.W)) // read address for csr + val dec_csr_wraddr_r =Input(UInt(12.W)) // write address for csr + val dec_csr_wrdata_r =Input(UInt(32.W)) // csr write data at wb + + val csr_mitctl0 =Input(UInt(1.W)) + val csr_mitctl1 =Input(UInt(1.W)) + val csr_mitb0 =Input(UInt(1.W)) + val csr_mitb1 =Input(UInt(1.W)) + val csr_mitcnt0 =Input(UInt(1.W)) + val csr_mitcnt1 =Input(UInt(1.W)) + + + val dec_pause_state =Input(UInt(1.W)) // Paused + val dec_tlu_pmu_fw_halted =Input(UInt(1.W)) // pmu/fw halted + val internal_dbg_halt_timers=Input(UInt(1.W)) // debug halted + + val dec_timer_rddata_d =Output(UInt(32.W)) // timer CSR read data + val dec_timer_read_d =Output(UInt(1.W)) // timer CSR address match + val dec_timer_t0_pulse =Output(UInt(1.W)) // timer0 int + val dec_timer_t1_pulse =Output(UInt(1.W)) // timer1 int +} + +object tlu_gen extends App{ + println((new chisel3.stage.ChiselStage).emitVerilog((new el2_dec_tlu_ctl()))) +} diff --git a/src/main/scala/dec/el2_dec_trigger.scala b/src/main/scala/dec/el2_dec_trigger.scala new file mode 100644 index 00000000..171579e8 --- /dev/null +++ b/src/main/scala/dec/el2_dec_trigger.scala @@ -0,0 +1,20 @@ +package dec + +import chisel3.util._ +import chisel3._ +import include.el2_trigger_pkt_t +import lib._ + +class el2_dec_trigger extends Module with el2_lib { + val io = IO(new Bundle { + val trigger_pkt_any = Input(Vec(4, new el2_trigger_pkt_t)) + val dec_i0_pc_d = Input(UInt(31.W)) + val dec_i0_trigger_match_d = Output(UInt(4.W)) + }) + val dec_i0_match_data = VecInit.tabulate(4)(i => repl(32, (!io.trigger_pkt_any(i).select & io.trigger_pkt_any(i).execute)) & Cat(io.dec_i0_pc_d, io.trigger_pkt_any(i).tdata2(0))) + io.dec_i0_trigger_match_d := (0 until 4).map(j =>io.trigger_pkt_any(j).execute & io.trigger_pkt_any(j).m & rvmaskandmatch(io.trigger_pkt_any(j).tdata2, dec_i0_match_data(j), io.trigger_pkt_any(j).match_.asBool())).reverse.reduce(Cat(_,_)) + +} +object dec_trig extends App { + println((new chisel3.stage.ChiselStage).emitVerilog((new el2_dec_trigger()))) +} diff --git a/target/scala-2.12/classes/META-INF/chisel-module-template.kotlin_module b/target/scala-2.12/classes/META-INF/chisel-module-template.kotlin_module deleted file mode 100644 index a49347afef10a9b5f95305e1058ba36adec7d6dd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16 RcmZQzU|?ooU|@t|0RRA102TlM diff --git a/target/scala-2.12/classes/dec/CSR_VAL.class b/target/scala-2.12/classes/dec/CSR_VAL.class new file mode 100644 index 0000000000000000000000000000000000000000..a8013ffbce736ba93bc2aa49cac55ab9e662bb77 GIT binary patch literal 4081 zcma)8O;;Pq6@ArB3nVokLP#Er?WBpy?{ClTGF z-!Te4@9Zt+YSmWLEDaN5G;z>=-Cpdrd;5!Zk2?wc+z>%MeJgYyKB~duiZa8={#bj?S9wqoHFI#K9>CSn5~b8lwpl&Idy@B zH0H|<-C_RWV#vJaAI~esw2@=ajEBZB+hqaOQg^f0nKqR08@e`PvCwE}mfa2AOb(gL zrlw@F$L1KzDPe6JJP%x+w#yS5ad?zlF3&eEPut}&CS0CXm*<_!!~70U)^K?=#rZ$e zn)83yq{~xqd8Sn-uVa@-Nw_>=n@77jo!MwCeW9+@LgqRfDn@U7#>{}qG-cK-hR4*= zYKT2yzWp)%@!hAIS{|Kj8=(hp6486tOeK8q)m(gCE3lN|55?wG<@RI0vAywS=1jd~ zWr9tkk*>ULrfRXM`jGQ|641=+v9_5wvV6tuR59e*2(O5R=3--IAL^k|+aC>$tomZ>D$o|fJHaV7y82R~z z-xrG}%S)Bj=xL-FnlJc$Pu+R9C-3ll-F1RZD z&Pgj?uGP(Yqt+@H^R0O2n9X#B zNYFrU=mL2$6Sg=H=LeDoZ$uCnVM4@$1}3!1NIx%0d`Be zXAD&^8goXn_~*h!jn9omDH(;F32w#CQKB$BDR=+R4N7Z_d~vI1_2c+#Stvmb&X>$1 z-bA%{|D|=Rlb-@XQYfdBF0H&yqtbe;)0ni1I*m(fL#GL8m30b9Yg4C5X>IEimR3!t zDQPuyib(4Voublus?#-TJ=5tEX?>;Bw6t0}U6)o{rx|JO>2yO{9i47UYhR~Z(z0~= ziL?%N`l+;D>10UjNT*q89qSa67BY`Z>kNDTf4hV2DtG!XkDhO}Puj0KxQb43_2iD8 zcNmSAtX`*b_G-6tQg82e;h8KQ;j6CMKC$@Nb_QxkXD54|g2f}It7pBw^{UgfPA!C( zz1~s3-M5Zq1ezE>zd+LYciT)Z6Pq;k_;>!0Un(ye??M>w-AEE~v!of?T{V=*H`U zfV?g!$?Jloye??V>w?I#O`+7tfC_-&0j&dk19}3`22=tJ4X6S@c*v zehHWuAJDHk1_$&t$H0Jgfe3!ihyDS*;0UUh9Km$}!~$IaygdM%zX4#*2>=W90a)O* zLVrO53cbOzhXzHc-!RVP7*1pa&GQDV7#d~@t#TQy@d29RD%xQIZ_8+bbu_7Em=J=l#yP z=iPhXeeeD5nG>G<=fjT!zzWBo8F;sj3@=OObNf8N7|aueH#9M}e`t7O?1X*8rLhu&OZDD?= zFX~FV9D^)4D_GAQ-Qjd_Pk<#IAy;s~=khz3JHqqBN#+bBg7u|in2R_1!r^LP$hF@Y zZ;NBx8YjkWHO2LLOmVlG;ttF*#qBr64cD3C9?wm2Pc+3n#~gRBDQ??rQ{0`VxTWJv zaYxK?*O=m#y{7&?dA=!dFlY+waZXM|xZV_aq07|alqv8gpDA#a!!*IwrnnJP+)|?{ zZqXdK&lET9H^p6GiaRjZ6nCvDZa8X+JIihAZ{8GlWxy1-#T2)#))eW8!(*C< z9V%`aAB=RBjO(ky2jesqH&>qy4lIyygLU{|tW|L%UBUYBN)@*QABt7${hDxQ{3nrQ{1ynaf6FZanCZv4S&EC_q@rt&IFr` z8=TK3=hx|;d@jO!*yQ}WLaZ{siIQ`2etjLRGQZA*SH;B_PtfNu#hq)4JK!|MU1o|K z_L$=CGRK{5ihGVZZoMgPo68ip*A%zpH^psqnEG35id%M?`rB%X8}yjsZa2jZSDE6T zZjQUq6n7Ih#a&~HyT@ya>zsU{B}ze4+?vT3urtwMiko(s;`W*14){%R7n$OQYfN!7 z=D0Ca+?A#ehVv9t+%{o~yV?}DG|v>*HTeQ|CfZGLqoxpmc=Ngj9czjVf=sPD;n&bDmT%n-H;fk&5 zJ9!y%W<%LP5$z3VPdAq4R=HfqM-uUPlXKyG&k3il+O{d%6Ay=L9DTmOuph7y6caG|jq{W_u>>NA0M@~c0^(;joR#9i6Ys=DspVx&0VogQcjH5Z~iu~Y!x z{&m^9##k`c6?BIOTB@U6&iUAWAXW&tR}PF8>l3kTtR|djDjx41u3?F$uGv+AczA9@ z%7gx+LG%xn8)F0YjzCAGi0!byk&aj{Ot>pnsHgsSM052;XSiH5I#8btR7LP>(vJRy!a)J6%8O zjt;cs8V928aJ)Rwl6TGuyLJvRpKnQBO?j}ftI6FK$My+d!oP>*8oQj;PTs!ADMFE(|R!+}zGZsR~*Rcvla`WHQU=BcZ94vq#FU|hrh#Gt!vz#occ16jZHuZ^hq zv0@W5;uq6dR#jJZu-H2{*0Zp_w=s(Ib4*8FH{Pegrb9bxT5`-^x5Rm1X`#Lw?|Znu z&^WNRvo{qBMcbUwa*e;(nhoW8U9k+_U&Alb6CMl(+v4A@YD6WjqBwj?Q~zs zFR&y=_opXtXlE*xk2r$3Zp#~+3ZdFS+>yf;hhmNCVkB`)XP`}<<9Mp0JpuQCao^Tv zBM1D&dPgW&hb>zR(OPG1xT~q?b4O~UnbuU@8eCs-cQ#rbNW})`Z*Hm`u8B|D6P{e} z{+8u4wXVK2Tb;XD;5Z3W~@cpnBpV!gq=5C)msQTACGFlVc?5nNY z@6Sb2&XrT*WMl1tQoZV*(Y_kT5%bsAZ*i%k z$#{+;o9TVFb0e;+GeWig!H6R=1&<^fYXcoEY-+#C1K|wrdZx_BtlE9}eqz<;`R>a_ z`f=UYl)B9Q+SwSZj!nJJy!yOz72aPxPwIShW7B{$t>(!es!bQ_(`$3spX%mHdsk0j zWHi{gdEuIfJErGvUPE`ZD!G5GCYG4rKDl0eg@*pdL-hPNWh!y2V;$wWk#2l$YJGE? z98op?@EV+#;_>|+?VnNoNQ4HK43Tkpp5d+!t6C zXjAbAeaFPixPCsi`3kW@@X+x7(ZNW*vQGV>152x0@{4**>jJ-1YjZTeEsn4nzt11* z+&M2s_ocR^)|IHPH{P3Ng|o_fBRtmFTWro()^&BLxU<;W-Pm)m5y_8U*U4D+U}s>^ zynZ5$(ZQC@O}RkIUtpoi`oZTUnr}(C+GF?~ue9&Sb#2_gKy_vOwZ?Pi$M<$2+>h_^ zJ?i=EPSd#B57yv&Nxw%@uEDwkxGvV?y4HT3fkLD^e5kHwY@l-eRGs_a68!$A@cGC4 z;+OrO7s)ygtzHrf#k!Bi@%ar#jQiZe0!RB-<9(jXVDa#d^1hL=<;%`24eu;%A8FXO zclWOJ_(WlMUwL9=Z)wZ}J_fTbULFWwvcu|%84ZiyWV8+CtrI&uP{p9C(#*gZMC=;n zC-#=dx0`wqWDug376XH0F|*P@8JLEleIpat=b?s7Iv*cu7}>)h*xaY17jaapqk{~b zXE(GlSY*>|FqQ4<&!xL$+l4kB@mzA9wlA=;r!(ud*{=Dzc&;#$Jzt0yig|hYI-Br? z!BkRO=i6A*>u0c~q-~*1yX2;1U#jn2?CYf6Zrak>d?A-As0cH7s2IoD^dX($8Zrac)i=4TjS-ek9**0}1t-IbFkt1H_XySrvECUu|fTIS-arCpD@Qt_gk zaJ%7l2-0n#O=DCN+*lC_3B1Wo zbV=!{we2Z7;8kWA3OeMqL;cZHZ@iOIiCik)n~_m$?y}*OFQnG%KsF;*KK=SNW;1P- zF!Dlni%z{Px-$J;YEU-ak*|!lp~`Eg;plN`pLnv6?$5sK1(WOI>38?aOcIc$=`WcX zN@e4TzLX+~GYlZLafTJ7rXsH%KAS1mW9(B`vYSwPMGR&8Q%GD@pd~gvH@uR4y+brz zgQ`>0I^xGBxh^%dPQMrI=E8`m9Fc6RPuKLGNDzmT{TX~_1OP`$8H*aRwu2d4&shWMeMJQ*1dYmaL+A*_RS9(y6sBy+V_VvfrRj0p6 zbk%&JKd0{I%u}C*RUC+RoyolNB7S-ne|b`dG3jQR#n zdw-N)A>Nx(Z^vmbu3WSI1r>B!&+Zg$xTOJH(-1t$ujMGB9R+P<#PSXZTg2Q zfjN%?u59%knbxt(;yCSa3`e{L?xf&t$muuQdGQPD)|1?%M z#>=>f(&!@bKJvhP775H;8E53rGnkE{j!@o-nj0A=!f|_U^q#UtbS0G^B2{IAs2Sya zlr+Xec^qnHWNgS+c+;@d?JIP#c;y7sN%NL2!kqaAQ=c_`=+*v*x|}<&WNkdaQlZBte9w=<}DqK zQ}1i&PE_eLxlJ><8yhmyxv}-aY`tz!*<_hQ;&zG#r7wWXrE=2OMX?Mzt~NvXXv*`+ zZBGT7+~Cj^C5e}N9@@%GVv}1DEa6mslh_b$Pi%4Ah%l%&`Kv9*R8Va$Xp&?P)HY%& zfExz<@x|mpui(jnR5k%qJ1yz{)axl?KQ%m3_^DostWUjyLCf*i7gIMh0iSwZxq7BH zHc0hU$Y8}&TS}rlb*My%r+P^eTJfsT+{zftgtpSuL|rSGiKJFA6CJGtG!st-bL9+7 zrI?k8nL;KjE5kw?D?z3b#3}G36|4-kLi#FkE%dI^iz!5|GBy)stE>P`&L(e8g`>3n95uk5vM#S>KaH& zDoz#pQMsy_XjHB+g;GpGE97Cyt(&9?_%UcGkC!JJ@XgfRhr1P{NZk1S(bX`4zq#TJ z=wBxFPp=-fias>`UB$i>wyUmC3+=F4u=la|``Bu>20xZNmbbQf*#{V`Z0?(^JD0zY zuAby2y0OiVr>g~oSi+C-@Vy?vl%kWIwplj$gRFgn$vzlA*b3IiGJdFLSpjpQCIAkGA3eTf zTN{o&C*T-~;hTc6b}t(gFi&C|CAQqlP7yF)Vy8)Lg_oTn;8=-mCTw|YE0z@ssFT=M ziFJ6{HUSGHw!^?yVB1jv3next{SX@$aGb=>By0=C+bdv^#3l^vB*YE~SS+!#r62bB zTmfN;eNg%#c1S?I#Lg#d`3h|NVF3||eMDk72Nwxwkk};>!?qt6&?vD_5_aOYZC>^% z0ZSzIY3Yaaa)p2CnHjLN!qJS2O-5@dS-?&!*gXce4gKyDuuNhPNDRk&SU{`9 z9+DXL#KM-(|#C{|(oWIuutd!X6gq^qr$M#bJt0eX_ ziQ(9OAz-z{-ZC)i%dZ8zPh!837{>dZfcH!64-&)v{ZYUgiTz1p*q6TuSSzvrkQny) zuL3?GvHvo#687bP1jHrwcZp$N{wW|~U=EO2yVv0mkdzoFEYZ5$>u?L`l9<=PPD0Et zASE#&{Z@D#RRX#tHb?p)RxRLUiOrRM9bQMRfOQg^C;bpRRzO-}3#4DC*KwSH9*HfM zeu&iz=#^LlVawaGFG~dUNvv67c-FP9i0N! zOY9^DjWfP8RRs;cHD@_i;ak&zZ^J&g*$;ll`vnZhC~F1eq!t&Dms(OlL24-hMHs}l zp5tTz8>E&Nuu*Eg0yar4Bj6ON^$R#vY6AjJlUiQD=~62SI74b11Pn=SlYq@qJ5@kQ zYNrd>BDEm_!%`~=*ebPQ0V7fy5wJ~a+XZZwT3NsjsqGX{mf9`>qf*-~V5ijf2pE&2 z+$Ug{)bpnyG6J5Rt~seMSmKB-+GU_xpa3fM2Tj|w;-wTlIu zCAE(UI9qC;5O9vvE){UD)GiZnP->S8_@LB2Bj7x#eOACBsa-ALLsGj|!1+?UPQV3H z`+|TEOYM3A7fS6*0zM+OuL$_4)NT}Tk<@M$aIw^G6>y2vZWr(|sog2y<5Ig@z$c`3 zuYgZV?S285O6_X`J|(pW1zaYzBLY4xwMPV8F15!5Tp_h51bjwnPYJkEYF`)dS*d+f zz*SOvM!?ll`;LHXr1qSEYo+#G0iToF3j(f_+V=%~UTQB3_=41474Su={ZPR5Qv0!h z8>IFV0bi2Z8v?#8wKoNPMQT46@Kve(QoxN;`xWj2C)pLT%8`j3ySJ_{?JeyZK|X>s zizjz)9YNyPR~{e9?%%a#WN)FgWekz(zTNl-cY~$9Wja@&NRN+?>`ji9_U*&JyaRuJ z_x`=ZBi&_cFh93{e4@N-WU#!ij4k5hVug^ISRo`PRtR~C6+&8Kg^-n4AtWVM z2sw!rLP}zVkdas+BqUY{`G^%lI%0*8jaVThBUT8xh!sL&VTF)kSRteuR;X|^gyh5O zg)GDhAsw+o$V;pc5)>=u|mjitPm0%D};>43L*8e zLdb!v5RxG)gsjL4Aw9A}$djxP5+*Bz%*hHNg|b4(rK}K=Dl3HS$_gRPvO>tWtPm0} zD})Tp3QZghAt$qXAvv=`$kMD3(lslDyv+(BfwMx$(nl+VJkkmwp|nECEUgeyOe=(3(+VN! zv_i-}tq{^sD};R13L!DILda09(3M9+$XTskNM5ZFvREsGbk+(XueCx*aIFwBT`Po? z*9sx`wL(aOtq`(dD}=Pz3L!tXLP(UY5He;fgw)vzA&0g?H^I#S$VhKd@>V5pQ}T8t z?@;niCGS%5ZYA$g@?ItHQ}TW#A5ijZN*-47K_wqj@`#cTEBT0$k1F|?l8-C-gpyAx z`IM4REBSRLzoFzemHd{H&nWqACBLKOvr0av;^3O{CMah3x@;{XPPbL4VP93_KFRx24& za;}m!O4cfQjFR(|oUi1uO4cd4K*@zl9;f6YB^N6hR{ITHY&M9$tES6m5eIc zqGU|TrAi*JZQA7*E&6Asb^!8;5O-Bigv# z##q+Ioi@f@+PK@sxJMiJ*%U;W zjqy@#yv)XUxi)^r#`sxnyxPWitu|g~WBh_PUT{et-`e=UHpYKwuih*wQ-S+F|3Ud8)Kt3HrW`X+8DDj9aK%xZK9rp^Ycn7*|T8^RVN6 zhaGE#{IL3v)8pF{F| zPNl*53aGUBumUPgKB54hzUD;=@N3`_1q3wkaRmqsd{P0kH1H_}RB7PT3Ye{dD-ghZPXfz(WdX(7?kAXw<-? z3Rt3n#}&||fhQHvtbwN$5Y@mp6wso9Zz&+Afp05dsRo`^!0{S*UI8a);Cl*Krhyj~ z(5iu#6ws!DR}|2$fgdQKQv*Lzz=;}oO#vrq;B^V$dsrhs)dB*f0`fUZ}JcFzw`54^Z6lHC;yNu&(C+A$uDqS%0KM7jbG?`hJVEM z7XPT5@r&HY@r&K7`6cd+{A2F@{NwH`_$Sf85v3pYSF5lfD9f$~VHF_8s6~_g&1t;k$-^(|0TXmhWNy zjPE)AZQtwsJHEI1v%Y`w=lrwz^Zv#ByZ$!*J%56~;Lr0H{ag9>{rmY#{)_m_{;T;b z{#*E~{v-Sc{%83Q{XgM9^8bPV*#8gyTA+%*9$3uZ2(PejC7WfYcdi` zMs~zVDcwu^(0k}$WQvTml95v~5ho%G156kF2_jZ7+D-6g=6GzjO2}xxuLWTqdh;P!~mmg0Hf3ZGxqD0>|nGbXSB^` zv{z@eA!oGPX0(N8lqX=63}BQAV6^RKwAW^|@n*E!W|SIWln-E(WMGsLV6@$5w8v*g zLV&U_ff6f>vMP*HA&l}MjFKRXGAoSIDQpfMf^?uP2%{7T zqx=e^qzVhrfzlz2axlzC2g)iiN+~cSuR%#3Mi~!A=?+G@1ZE^Oo2Mz%?xMpl>0so%-XiT+bTCq0M*iz2I(>o;kJ8~mIy^v! zJLvEWI=oB=BY9=q1FCQXDoX>Ze*-Ea1gi1_Dv<-Kouele5A>5Mm3RTwY5^5-0aarG zm1zOhTLBef0aaN6l~n=NQ2`ZB0adR6mAU}cwg8p70M(cPmHPnIjsO*b09BR%m5l&Z zf&i7A0M)wy6|(@eq(o9aJ+%0YnYKY+?wfa+L)3TA-nSb$1XfND{IN?3rZiGT`; zfU1OmN_&7RP=HEtfa;2XN{WE0iGa#|fGT}}ihO{oiGa$7fGT}}ihKa*#~dp10jeef zDjx!>90Drx0jfFzDlP)5d;uzY0jkUapkL{zZU?Aj2Y|BpREh&s%Lr8D2vj`^RL%ud zwFOkp1ysoeRImk9zXepp3Q$jnFdY`sAx8)LnU;#jfa=D8%EN%F#lR>wp}I<-!c3qF zP@oc0pgLEe5;TAW9q6Y_s^kJH_5!Nf0xHu2s_6nM=K`wz0xIGHssRKl7zC;w1uBmP PqlCrrCwyx=T>$?F*>fnk literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/csr_tlu.class b/target/scala-2.12/classes/dec/csr_tlu.class new file mode 100644 index 0000000000000000000000000000000000000000..577c847d907d56a29e1162a6d7df228215839023 GIT binary patch literal 214220 zcmcG12YeLCm3Q|@nx61xganHa2zJGapj|+W0z$C6coYx<1&{d7gaTpB z;=In`d_LP}`<%l$oO4*`oO2H6oO3$+Y@gHjfAzYjYl4Kn@BH!G)x3W7D!qF3uUFMo z)gSxY+umguM)RuInno~@oM@PspNlO_FIt9a8XG6hr{O%18cLUJw>pKj<)PQ@p# z_01#}r<0aZf;iKu@rLAdV+_BRQDz!GPNZXKaNaU3)3}9$z~Llg6ARP3<|pFQansn; ztB8iVoJ2B?d=obr)>T;=ARON3jEQq$SeL?mw!#?kGuTp za!r2H<+thl3od_D=Vx60HJv}_@~c;A>0fsFZ94y6mp`iWZ@Bzx8o$hADm|dSwbiDZ zewo+hx9R+V%OBPGVV8eR=U2M?YLAxwT9@CZ^VhrlQJufZRDt9@GfmtB6F&cD~?kLvszF8`X&_hjw7w%V_y?{)cYIzQm@M|FPKim9}e@*8Py8LPlhs#d5{5GBM;(4u( z=VdOQ*Xnp)HtwdQ<9V5j=e0VXm$`UetK)f@i|4gEo|n0JUaRAInTzMOI-ZxgcwVdH zd6|pnwK|@|%pE+hxADBb-YhBe3O;v~R&1%)@!9L*bG?qwUKgM1b$s@^_*}2!v)9Gv zdL5s=EU2eUu>3oIf?d=%9>TNt%xb0PVE_@x& zy)K^D>v-;U@w{HgbFYi%^*Ww=T|BSX@!ad;dA*M3UKh{nZ9JFy3ZAR_+ITK}!E@1% zhRvcT$x_Dl%v-;U@w{HgbFYi%^*Ww=T|BSX@!ad; zdA*M3UKh{nbv*aFcwVpLxp%W$P94v^TV1}6=Ux}j>vcT$x_Dl%v-;U@w{HgbFYi%^*Ww=T|BSX@!We*E5C-%UKh{nbv*aFcwVpLx!1+>dL7TbE}qxx zcA5gq?67ylzV{#!2o zM|AwRT>Ovd_;0!RAJOsOa`8W6Ovd_;0!RAJOsOa`8W+G%t$A8Pk|A>zNmW%%p9seyC|06p7TQ2@bbo{qm{Ez7PZ@Ks%(edAM@js&D zzvbe8M8|*2#s7$o|CWpY5gq?6Z?^wNbo{qm{Ez7PZ@Ks%vGHGxvzFjLkFyaQ|AjC3 zulPFtTQ2@bbo{qm{Eyi9ujZAO!hbd2jM(@u{H{)@bh|CWpY z5gq?67ylzR{!2Ov|0Nw8|Anvc-%eli=PeiiBRc+D2Q~d`_;0!RAJOsOa`8W+ri3i~kWF|1B5)BRc;3T>Nj-@!#j-f18f~J{SMnbo}?Z_}`}Ezt6@0HXZ+c zF8;Ua`0sP^zfH$~pNs!(I{y1y{BP6o-{<0gn~wiJ7ysLI{P(%|-=^cg&&B^X9shkU z{l((eJCwShuyKG~1>%iFV#nBVPwei}`9;;$UYuYr|l$+I~srVhG z&F!_3V}tF-Hda($OU1X9`$JtVmxinoYuivXUc0r_=Z!=UjqROH-Fq1Ew|UA#omH8! zru5Vn#2aouTC&kxmmb|SKCqV4-xlzPLVJ$g;;}Z|Q#Vq6rYYzTEw)@*<1e#@He9>2 z)Kj|Sj*7^!6K3h?)-_e0_V!V0Wc8)m^{q_@8hf{e%1TQ2gvU;_N88(1S5)^*$7@Uc zp$%Ivj+xfAEeloQO}E`r7P`+n5p6$hmQ_?_&IC)WU}<~2c30`4t+kPgQ|;|#_4VET zeY-oi9e(iI3&UpV&^@KAYdc#nbcd%$(kB}y4;CvWh$+hI$67^<=!rA9_Ca1Ud`ktMqcF!Li;>U8i;@j@(#%a_7bhPkFTFO7Gb2cC+-mit_~ zI^N!zm=7mLLZ?l?BNr`89ow~G??USEj_&d^yDyA|RsCkB2G^VnZE8I?w6Dh6V*0Ko zZ(OYEKeKN!xwg)iS+~z~>)4vBJI=J&axLeQo7dZ($YJX*|>lH$Y8?S616f& z=g`1d)8erkN2W5PX8Gx@3$5jw_D!ZXUtimQrm?nUODdcGE))86JG)rj)dt*h;5o$3iAotpi%B|B3V>nVL7@~PhEscz|H{j?rH zetpnel|1iJ&tqoj^k&xM2CnC}@*b1abG1A-m{#Uk`*drlpY^iF2R&Rma=5v*uV(+b zW8Oq}!}=!At$j&;_7)sg6lrbJ1-s>kGkQ#)EiJ!ata zU|m({Y^3SHAk}WJ*`Mqfq-|5{P~S+~_L-BdlSARsy`i(a=Sy00pQBg|PS@;%ovHGj z>mFQlwfB@+*>}&Hy|B+zM`PX9>FWLS2iBLahTV#tR_|whRH0w!&#(iuqq5t^Mb_U= zZddJ@%h`AKx_4J~>*A40s%<}Ym!qdz)Tb&l+LTC1`_t*IH6v-s2li2x>FM85l^)$a zImUK=YTsl>`1*;ynhKBA(mS%#EXfS5)$I37)_&8`vgonQSmS)hV2!m4=}d*!tP7oO zOhwBg$1@r(UCkWVSXX=G-s$y3o%vv=p{{)Ho+z_Hqz{Z~2~DK5Xz zLkH_~$M*7#t)A5_J5cWZ^YKQ9-`gF^@>`P3uWg5Xd)>OI{K8I3*iNg!hh3`h#i_N4 zDunYd^jOMx(Hc5y`fNS0JtLpS%#pCNXThUtv~O*yJMHqF_Ne84&vrglOQvmarQsga z5_?|nDHl6$j+V8F{SCHPp9u@k8~C7AKvQ$^DG&c1P(h^fR{mo#B?+l4{B4 zqLa=E590`@Gi5Q3aQ~^UQTkSPe#%Lwxs>aPbVkyBs3+uhom%gtH<_~2J2L254ZR?} z9V6S&J}kd8?3Aym)h^#;+T?a>P96jP%CFMvRL|xb);s?KhpkNaJv+{a&$i4Q!}t+C z3!DjG9~r3Ge_?EM@3x&Apw9}0m!2TwXEpMv=xyCu-PBmJu@?G|BqvN8NBiqwNBbAD zIGTNi9d-e?fQP{EOQ%mM;moWZjQt0Pt;A$1)D(vw{`1)4hk^l5yGjM|OAGopUu1Us0@GXoljCUAscU%F^ zEV8}t+R(=7!`@pi9oPqbm}LsLQO^02EeaPdV7!5z0@Fi{i7PwSH_jjNp20Y__wv}_ z^{owNuqYq-g=P+4Kam-|J2AR8fpN+V=R5;fZ*8fmnyqe~9KEsO%C1d}A7tKsrt#kH z#vR?6lZ~?%2f4hAzmA+52SWBZlx92uegw16`)bz3JM8i54C;^ZZF5d~J2uyjdn){) zzLARdva(=R^|ixhNk{CS1ml*Bb7yuZVkhbtw=><7HQl?`x1NK29GY3zTu|OV#%)R8 z%A9PO=>~2~eLGpd3A9u88RJj39>=6THVn1jJK0d(dZFjW{FThfrV023t@urJK+n)u z&9dRp-^QHrwDnxldrjGeGY*b&yP+QLv(hu_ksW7MJEkyRX2;>PjHhe5cbzgTvg7TI zJEwM4H>QDm&}VkMX1h6-`;7k8)p}v9zixZO`u*pQ?4xb)E6(+KD+V2ZVdiAhOh+Iw zl3v$bTN2HV--qn>p4=Jr=a=^!^vwQ~<0r8H)V(vR*9-nobrZ(5Yn8oD825(I9#_k1i6wn)ejcB4^#I{wenkzjl*9( zu(tCg`s1bU8>`nvJNLnk@3eNG-8~bRez*#_eWiDO>*cA<*C)ecjc6aUu>tnf*SKSE z`RS}&Im^-My=mBi#eQ7L-PI>UXLhGzjdfRgYuXYA4g)_*+7j{mn%0HRv?PHqQO{Uo zDMbi5dMO)gCp(iADTh#ce~cx>0rD;`6e@Cz=^e`d(#R}MwNWG zcgNla?)Ty!BsxyioCLnqmK;m9s&Vhe1}@jk>8;oIl%L%T{nZc3czJyf*K2G~@0IC| z`)89p?sm0G{FAJg`H@|xcc){}w|THAALCmfW9Pqcr1ARXt}`v?j*Qo>E0WISr9s%4 zlg_&43&|UIZW|ceJAYuX*Vk~$tU4{@^|`*Sz{U0Z=3|GiA8fd_ZIb;cyS!X~;D#F4 zVaM#p+%2`v$JLD;*E=~Gs<63e$awl{>AzB9gHXX zGwKIC&*rD_+}5v-=hV%9vV-RxjSA0K1JBX!yAvJlbtmBupJV%Khz5ZFkU!Zt9v|GX z9qq<;i2fcb!Y?_r>+HTJ*iA*9@>?*^sBT-lczDMZg5AVnj{|+X;b+zkV!Rj!9#@4n z?!TPm@dD!xk7u{%#RI-Aq-0#k&o4c7LX8VW`4|_%PJKq#(zY4YcVkQ4dEnqV;C@x# z7?&f;;}*&R{~i9Bs;9D3vFq+lu3cwFn^pfXF;5u?(=qsM=eselQ1h7eb!vRPMU9U< zAK-CJjc?l;M!7%AIGXe#J>Y41%Noo(;kSFE$NI-235+jjw~`FP*)N;#fL|8vU^}TB zL4QDe={G%VVMp9gs^NEyHH~+B;Xf&V6n3iQ)x2xIq+a0&{3X?{A=mF!{V|;L%;gEy zz)#;dp7KT`>5co&O?fdcMZIc#7Qc8k=8aq)_#>y_UjrvFufaUBrkni)*MC;yzZ!pc zoo-B=R^iaQj2}ENg#)s(U znkFZG9*=A7cCo@d@7SsI0Y9wnD(kdC({v#X zzXo>dJ-xPfs{AbcWB%O)KSb%P>B2E@=~dvW@^5yXYDsmpD|wc)c2&2*j&@XqPe(3c zo-8hnVmf&5UudUGrJMF$7}&G}{j=pl>PFL*eylTKo^*9u;obGEGwb00nwz&boNhjUbPs_@)WQN!y+3h#DCy~*x=Cmp+gRH|_4AM2VDQ-_s4 zt6*2Ke}%VKc5G@pkMVe9C;K7Zw)XVszWD<-o?dLfYgl-f**z;TX6IbaB{D-bfJWgF0yK(zS-{}7H z2lia=-g(Mg&Ep#I?p)8>H6t^pc4uOhYF?=7!FE?6^<%x6+`c_B?glXK9t`og41Icd z{0$TIi}ejP&YKl12Yp^jomhi$7JdZ$oLsq*z3_9Az?jDD-?QD<53kEXn%c6#lyeq+zK;+Vgx`c;A5?i= z{AsUQiE%!0Y%S__YB%QTn15?>s87D!W!R@9S6PtWji#z-7xWqKgx|U^>G)mnODk@N zUb;799S`lE_9m*rr*==3^z=Zljj1Cy_HUnAhjDBx{5bfPf@4@e;C?JP){S)q;DW+2 zp67Etfn#-hl|RKeR-)EzuF#pi<2}$v`Kica$Bl_AyOh6MduV6%{_z9%z>lkGnk;F_ zeU6sJZTTSZy=8XHo63D=d)w56@#XsEfsN`HT>t4a`!O$- zaV1rW`ET|5a|*ZAI4ALw_ua0>3nx817o^vRa=PjHJq>3tzihvEZTRfnmyX;Bo`k>Q z*%F-|nK{`KIk2^E-75H16DOz}_9W|h><6%)Wv}O@d46Zlr+A&ieg@uEV?L$UMR@&7 z)?>{;Mafvxd|!A0<1pjTj_x$$WsiBXZ)0-;^JaU!OYpHiyB>J^$iP_3%)pHehjyK6 zx>RyJD}NB<#f7m=@Vn16O~$eQm4m&~69TE?5@(S!$hf{WQdFcU~uIRsBC(&$@-YdUhAo6YH;e z^=v7q=it4$^>m)I^{iXa>e(XeAyUs!xS*abh4rk;%kvsi?Xh!{8?U?ot(PQ@#ndpHZ`kxzw+1Aa|>`+ z-q-ND==u%pS40#Jt94R5d*jOARO_Mr%Ae0Z_u<)|*YmpR)D+`-kMh5<-nG!(uwid% zD9{VM+UMD({9LTR;XO%zP0NL@t>T|oAKNh!hCiX!OE*<}Hsn5I{iXKg&Kj&I%KB*| z#-p5P;Ci&-R4cDDV!dzgV*0+-YvQH*Cr`Iyyin_jXfO5`kMTUL>0BzDXx-)Y_|8T7 zy;^5vbwykCRD63$Ff?%`GrGr9rOAc;rqSpe4(Yl&JDr+HEp*JJXH(P3f!T%BOlH0= z_pxI-K93_IAsqc!KAvTio5t!Qk#U&Bi8&jexEP;G)*bK3EYw*>rD>Gs1zE-#oFP%+ zrm-e3uO_oXazf+l%Ekv@n;-OlcY31}$*Rya3bKav^>>-Y^}{OyOA0<(Z4ghQ8#&`I*F_ zcqTEOoUiMhnYp+)YZOKPSddFE~|%vl;*ExCg$fMv`eLAKbpomIRbQaE}2M9)T7jWHBR z=^>e#n4MT)J*=9XnS)X}Pn`0ai%mj86pL`B50Yka9{rP7vLy^~gJc8JiTPxFB9_dU zMoBuA%<33w^QGq}=Hd$z(2_Sj5nr5Fp|BH0m8BOh$CVVywKzBHkf{WdW$9#U76mV5 zrSjtf4z_VRDsN6(nau=5d``(ZZ`OO0%1}`bt2xVRc33T0R*S>hlV$DUtXTE1V<8Yv z2uKS7nKG2_Jc^)xVRxbQ#LQx5A(c6w8c%^=%616(L~0_=HmaIoegTK(=D8VG&nFjP zb;;OldNF2e*fauZTY@b)z;?NS_GfvYP|Cv5e9F|Iky$Z6Wx?o0NcUoDdODUIpG(Fs z##D)z>-L3dek_;1FwFwo=xlnbr`SCr;5>15J#t3JhM=}g`fX-~F8qB~@q0U2AqYN$_#3KtRov-ji@#hskoQvpo zjgqO$Dgj2*%T8I7sq^t!PSy)9NV}jm3QJL(hipN?U|`OMs)uZW7^|hAiemn)aFC{^ zl5?^1sf8E{7fYb)pplhrsR28XU>(}l#jT^BQLeRaKx}b#YA%k3Q60T1$>*AJK6!j` zN(L{u3^9g)3horCj2)DoNq}dmWVkFoPF(D;oPQnDM6U!6=p1HvnZeP54BJ;y6QW^m z%`Af(#d#1DP!PYHmEgBYPC}4>H_XS5o!G6si-5=o(pdHbAOsmsoJZ$N2tv9XL|2%J zs^-Zsyg58aih0r}88Eo8YWx%nQeHt!pn~LKW_CiU%zlhd@RR_mk_>1i3Y`@* z5>pLM;$!d=S9ewRk@J*$6=Y2U$4_0z%frG0}@{FL=|c14eK^vgyOd-ap*af2gOu2NetU zNn6MIdeA6nyQ8tb4%8V&rRbjFo};Lq`+(9z*N{>|W{>j-Ifoo#5=nKbq>wCPgGN$a zl9c@*N#UW3^G2#5A>)ukOcE^wy~hIW{YfcA?*7lk7UlC2=H%pwsL_ zFXTc>^RfPcF7!SGu9D}D-Xk&2{sbc1ELc*vRUZAur-H=o)+sE=z~7t zCfjl@FBaL;UXWKEDQhJFGcJ+7U#Z)YqxL(yhz+7&<+6SZk3~{!UJjVLa3G6!9oZ;w^E?AeX5}VmS zFbqMPcd!ds3Dv2x*}Q0PcmJTnvlEn7a+qsG14jpjhKEM`I~=yHn4^PTI@h+C-l5~M zq4wBFyCZEU(%Bb{F?<$bGr&4*yQ*C$J7RXN>~x2F`ns@G)<5j4&|C?YokO7iXuF&2Se^ z<}mK+bys-@#xGzxxc~o+!)tuL;rLW+WM(b_t;}7vmufNn!F5ljQPrEf_GImb_HeA~NKH?T3bA(az360IvSjivhb_g$)iyM`N8`M~Bh1!_4VE z1mP$Q76X@g3S*PQ9O^j*Mf&(r$YA?$yPml7B#HBro_L6*+lQmwv4aC@$jClRYHRqJ zgBsC=I=fD)LZGJ1R*Z}f`)%s#~WqxO)-{qE@CFe~t2^ms2Lrn0+eM@QGtkkSo&0rPMXKD1jP3s0$l z2S=xXhtd@A;Qkcw;0YD*TG4^^GRjboQWoK&j77L8Wf3mQS%iy{7U80-MYt$!5iZJ` zQwI#CM^M@VUcNqAE?=L_%hxCK^7YBQe0?%6U!TlF`NC=_X^-|Fi2)CR-*D0}hzMOS z^yqL`Y^0}?dzo-l8`vC4psS<95rG4U1f*$TdFtt)9z)WQej@ou8vVzSFA9P}NE(A( z-OBlsA`L}5G2+;?>dumoDu-R*5JPGE@q-R11mt-r#*-#;9@y$R4<(C%E7}ccI|w(2 z$3Kia$BzyJ&^vnu)np}PyLgRu*;d)ln$E&Hi@_>~!9BS`m#{UbCo~iriK0xa9kH&c z27OsU=8DFg^psw01YBcBRyg z1gLcisag>QMJtxu63jyNxLs||Kz}c4>qHsq=BWJRe6L- zJlo2Zv}Wa!l;}btXDwcd+7>UgviLyH!Q-%R+n${V+n$|AWzQ8n-N*bz$MOOm<4(RD z<4!)WsR)m8Ctr?nC!fc-lh0!u*Lg0E7jhZL3%QKrgKAD%VPv+(8lX?02WL~~LnaAa0zcn^I5W~_<>?oXel*Pgnq7f(u zXMiUYS-Wu_Y`bwDmEDB-iEXsMT|D76xr{8zGaJ(rd!SHH!y58d^bf?u-uaiO%_zmu zo{m_fTAKpu@I!kPrDYcnzL|Pf-AV}Vm3*&t5mKg@<)f2l*mFE+cs(bO;{vWWg7^ z3K>`U36 ztFr`z{?AD53ODZyh55z;MGum9*SQ_o|HtrpcNyY zNZ{?0sz9X^Ez3icv_#2hRh~NU#DEdx>_f?YDo}uz^Wpc2B}hUmgOeas(YBl_mQ9{K z3!X(*%w-I6bC-FkJT@Dj!`l+PE1JLxwWZ$AaQ1%Tt5=(=3q?`u2TM}dQR|%WDc-?R zkP@yM(uGKU~m{ z@cwu@-k@g5jpzyY+(g9P;iC2&WHqLq)LfWHcNv6zid188DK|MfrCuJi#?IWdfgv;ZC4g3u~IaYJB&u4jS*cjp#3 zo2>4Nsyq`2%-v#QCNr6u!fO}2VvS8*F^!9EedL8lHuWg4APgqa z?)$U6B8{DcVdv@&}% zg3FX`3_f8@qp8;vsTCA>bqNLjf3G8XUg&udYy8D1TUIxkE>&+yPVgowc@0~V;Jyr# zb(!c~FPDz^imW*H(y6z$huj8R&Kin@@|G%I>vBb&q4$nKelJ7#5;DY3gb!2WZ+Wdyc^IkG* zgnB=j8-@BHnVW?AFqyXs^-(f!6YAq+)(Z7W+@c}Wr*UtAP@lyKGoe0D<`$v8Naj|d zzD(vep}tDycA@^0%zB}|LFOGoeT&RHh58PeJB0cknLCC00hzmm`VpB8Lj8oy-9r70 z%!p9GAhS`ZUy<1))Njaa7V5vqY!T}BWbP5_4`j9q^(Qj-3iW3)+l2ZnnfrwLJDK|x zRbrBPmrx~S-YrxanfC}~k$FHUKba2_DoAEjs1TX$LY0%*AyfsKokFc9vrDL3$UG=i z6`9>aRg-y0s10QH2(^*S!$RFk<`JQAQmj{~+sW(`Y73eDLTw{+K&W~$j|z1sna70M zN#>wX4P*`p6(Mt2s3tOx3)MpA387la91*IG%#%XxCv#M&yD=1OukRtO1D}TNl{|>d zGeWhKIVMymnP-JMNM=l^Lu8&4>M+5JS8;p!{yatXlQ|*OQ8E)k4U(A@YM9JPp-zxF zCDchW&kJ>m%#=`P$h;ubSu!sQb&kwwp~lHf3zZ-*p{|p8uTVG0e6UatCG)ya4=3|J zp&m)*4WaHQ^C3b#hRlZw^*AygCe#zie7I0gBJ&YKJ%!9i3iVVnA0^b&$h=>ur<3_; zp`JAU>cwO}NvM~S`DCH~oy?~Q^$Ifo zNvKzm`Bb4^P3Auf^;$BYCe-W6{1>6#NaoXpdNY~N5b6OkpDEPa$oyBK-a+QGgnAd5 z&lc)EWIjiz_mTN;LVbYD=L+>9GM^{ZN637>P#+`n1wws-%ohsvDKcLq)Mv#(|TYmsQq_7#b{v516hYLW1oBH?PQ%hJ(h1I*Il_DZ2D`i3IO z^JW=|U0oy;n}1BTCBQi zi_+35W53VRan*Jv2`<(Syy%7Z21^U@3z4iqv7+;aD2cvA=+M%kym?AOi&a}~sam>Z z_C~8^1$g_F2y853C$cF^!iyE2w`xgf5j#=4gO=)l*w!Txi|E|h>?Hz4DlR*}BzR+C zvF(jv%SVqa8{9o0!Nn>)j4e$Py0I{CXIGM{_mbg_62C~*cn3j8)6&VymbK-hH!mN( z*+!kEa&K8addp3s?^!!=*>zu{a9wuYmI}7ZzErSXw%d!eo4!d;YEWB5${vq+ zYqUdjL=o5+CiloAu`P4SQn~T_HTBMgaTLLAG((OGwlrJTRy@DdQlmK=Ria^mC zVVfYfDB5){S|fXd;qGN>WQ#0UBZy?PDOyqpV87xrRe=C@F^a(ELe(owviCNMP?1*7 zZfkTBDpF%x2Kyi-nIdY-CL0`6*IciRf?r&#dGGA%F3XAfkSekyd zTFfrR+ghKq;oLN;@d~NEW4@N7Ud5&kCqmuyz1Jpi`^@4(vJUUmuazRr9-Cyb2=NZ4lU2~+9ACCNwNZK7;q$HviulFI&dGT&gn zk&}BgUW?m1-g6UstR(h0)7Xlt*6~Yb{>?7JstudYDF9J1R%5kI4$b>{3U@k}_Yd6f z!>QL6Et+#kK-%(6(t=pff3r|n(0_|iPg9L?ip&Shx3V&xLFU^eUm_oOQ;u+`EH5(A~N42yqA#qUg5or%=ZcJ~NIZWm!%};S{u%!QKp|GU? z8KJPG|5>51r2jdgu%!Qap|GU?1);E{|3#s&r2i$!2}}B4779!HUl9sR`d<|aOZs0E z3QPL`DHN9Uzb+J(^uHn0H_7~_r2K6%za`Xn$^5oZ-zW1sLj91;?+W!}GQTI(Ps#kg zP(LU02SWXl%pXeqe@*6(g!(O+KNjkDWd1~`|0eUNLj94V~?=NKjLa4uy z`AbEW8D#!SC=Z#x7OIrY-w5R;^S46z$owy%0%ZP9rCdg2{$6-tGXGnsRb>7_s7f;b zDAXD<|0L8}GXF=Yb!7fosP$z2MW`Aw|0>ibGXEyjZDjsksLkXtgu?rIQ>d-v@d&k@ zJS9@9JIGTi)DH5LN$4)}c!kmCXZjJJ%kHQxtEmfB~MUz`v{uh7msCk zktZb7J>&@s^&s+;3)N1ZRYG-=r$SOWNS;dJ9U{+ap$?O0jZnShxkadc@~o8@N6Awq z)F64*N$42J(E29g?biw?h@)bfhBHFboRttkuT z*R-x!p&*z&lO~xiE9O?<&LvXIKf$(qqUvk|A8cEZm{aAYil|cKV{j`-jZep|K#UK~ ztw_vCt!UFj%dX~%T3pV_JIPSFP5CA)ayXDDGTVx^f+G`?b9&23<{sY@$?ZkbRDPs> z5=2Bxw;$$Cv62|}68uU;?Kf2`5w+jktVGn-*h)n0H##d3wcqZnM6^|OcE?TB+og_B z*)KI#CT#0=Wx}?0S0-%hcV)u1hF2zR>v(0tww6~WZ0q@EO~Qv>Y%Q-ubcOcIsjkp| zS#*W=%c3i^Ulv`V{j%r^?UzMuy%vKnwaS(~1SfWWTQNK5E%1EYM?{JZ(vnp6xads; z-2;gtxMbn7hf77S*zl`zmfj~q#lTewX{Q=*Qa<Ts=y7IPTw5-3sWDl1SzexEO9aCXAn>y@IGX>`5E*B3D+ zJE;}*d0SSh;x#yeeTwwzrhZf0V9o9~dCHa)J2o~!J^o%!s1Pg5y_5nML{Ba5Pq2!v}Kkbm9%5?l2lP#pZTK8IG_2V%Q&C;qRUL4bkUnmo(hGRnLHH=FEe>66t?wS6udNBkTNeb zc`8hKnaNY3@G_I9Lg8g5Pr5MA2bP&U<%_P+emT_@+AoW)(0*BTh4#y$E3{u0U7`K5 z=rVdOxOG7wWK$7e1p6QOG6oSS25G!OLhdD48qyq@zNQkl6f*ixl-Z?#bESqzqnTz(-XE7thAcVdWKu|Yq3RfdQZYjN!c zjioi=UaYZnnR4&j5V=acUB^x({R@iyLM$CJT3^_FE9VbJMN_rDy$Wck}BpGs4 z(tDl^IV$PBK!zNZ^iGo@Me58()(~Sq+$Wck}V{pz_J25NY z;8U3t3upGa+K2h zOfuvsrT1B6$Wcn~bI6dRl-}o(Ax9~_&nM%QIxgmYAsMHIdNCPignB6%V?zBq8E1uh z1sO4+UPZ<^pEoU8Qyi3~Yc>HRYqa<0<*S2E;WrT6b-$hk_(B;)Zau2n+D6ND-w zL(WxN78!D`((;ob=PIor8FH@D3Xvh_Dy?!dLKGbLLDLFwLd`N>NFV-2sK8=TZM{|@iw92WV~Id2{PUxRFaH$ z3N=N>yM#)S@ou3mlJOp)(qz0>s2MWeC)6b}-Y?WV86Oa8k&F)tb%l%%33ZK(4-55R zGCm^IePn!8sE3g8F`*tt#>a(v1R0+Y>QQ8TQm99h@hPDmOU9>#dOR7Q5$cI#d{(F@ zlkqvB{)vpw3-!-rd_kyxA>)feJ%fxd3H7gJd|9YxlkpXy{*8>U3iUiPz9!TQ$oNm8 zUPQ*%g?b4Y-w^6$WPDSomy_`=ppNyYN=m*L8h44O1#xI5U zQ8Iod)W^yAwS<0>jNb_LX)=B*p`Rt=zl8cc8NUs4tW8-$H$rj6VqVpJe<| zsBe(*C!xMY#{UTQ9Wwqb)c45vi%>rxX7p^%FAwE);HBFoeQQ3#L%GX~84Z zZ^$eW3O6m33Wb{%%7pp@nO>p(M5ZOwpULzIg_{=qLgA)`fTDc3X(1>SZdxFraMMCa zD2vRnP`GKKTqxYMuu3T0v``@wZd#}ms)EebLgA)`HA3O0g76`DIB_sfu3ZLSBl`|zDO_L7_nHwJNs%UohVyd-Z7+aG}sZ46&9v&d{ zO_kU^NWLw;tpTIKw+)*V-CKl*&*M{Ue0`7Qs}C6UzHI?x2aA-~#WT3vXE9TkNnWXI z#!gGz0>3Ju~B|e^L`EUVcZMM)$NU2&L@%s+@a2cGJv*PcU z?a|C(iHIFJpK4U!W<*;3zJUPJI*N9S&(2O?GmUBY_4 z{cfYEWH=xm7m{`=ai8%~EU@`7ZjV1Bjnqk>Rm|E#C}ovU4<+t7OZETO7iP znb=|`K6fovH;zlxOyj8AuK(+{6IatW?^_7?MtqA}+i{5H!wp~-@~?}hXHDa*n{n<% zU;n6LT=U%<@Llyi*feU~w$1&(TWpe>_X*OxH%wzgfuQqIHLIk1cz0^o>vftVXDgM z8;fYJhQZ`im-^BlMxhr11G4JO3sqhD#eUz5eDLhvBgwHpe}RO!g~4&OVuuJ0-+&K|h^Qi%5!gu%u> zDq$Ze2!oA%T*5wF5Y`4KFxUOaHe_xuQBSVw)FZB%Rv$@=U_jv8Y@Cm=B_gpoeqPU? zDopWRY5Zw8d_FC~Uo2B!Oe5bXQ6x0;r}B%m2R@CKY-3)scy=+V;G=7dHQ$!HexZmU zK0>8(7x4Oy2!6Ss1W4ou681HHbmLE0xu03%3gQY@-E-3%BwIhYtIDW=NQ|AkE4U#P zNz5e3_bsXXx8aLrL-`Ub^EWz>0{6@g{cRwaw!ti-Fl+;rFldS7O48 z%Af>mxCF1qOjxytzY0}x3aenfBaTUjzZ&zLY*JaiN^gVTzusSif-laZuj5)td3tZjk~rN5p=GJoS2!O z#z$N5fy9RN;sQ^*8b%O%HknYd_mh9Ce;XV^|8~=8b}fLLV888qYDo+wFKK?Y-ERi{ zcLY$oJ5A$WEdl=0ZdMt&qfPEp*4PTBJ^y#^{X6_S18B5e1*nA!(MwVcfIpMQ1XAWY zB74BW;kR7G!la-V?%F>(a>la!b78Sv?gj6c_vR6t7!06^aP4~*1=tuZ|8Zbg9SnUYqh5g>a}D_)W2`60KjJ?b z@SpIH=1d73Y_dNtT72x3s%5X9UY|QB)V^Dx&r@I6UL7XKeN-G1mAe14boCd8 zy!tRJ8q3YaHv2-3gIIPw$)ECH2%svsZ#u8L!sjk`zSlc@aUmAZTqA!*4LklBI41ZC z>-1tGsov}9atoFp(^W5Y4MZgWJb>3f=U>3ZnKI5?#;D8fgL|#<8Tc6ad1VL^_u}$Q zk+_b<3-vK;^52lKhv4c=340js#h%6&t;zog33?>%(v+b4q4wm}c@vH72=Wpv0{UNC*frem?sC`2vjae}S9PF9^1ni~_sRkx zOaOi@mBTB-1<~1SF}OMt8h0=wotUe`+?#-V^H56o?zdB z{2!F2`w;G76`_xy=9*ACH9t@OkBQXBaYw62eG(%b&nfZwVjO8t!vmQ}BehS9+-K0( zDfkOh{AqOZe@?ic$Gxr+`->c!J46_Io0Wv&ByqS@=e499faV7v?dpkpIUb z`V-tRE22Nc8wjC(f!5K1L4CNL(StoVIM~%#WOZRf3sr6Z8^8b8ez?^oxJ!uqzeAFE z#w&maOX7d9yBDjLQ8TGNH@zO?y`CsO(>oheSz`42lmCCXC;b^~YsF`-0p#!|AqteL5(V%QLDnq;7J9O}EQkVr3B&1T#w^1F!l>kQ z`6KnP6Q@xEVHW&b0PhtZB7zk>DdGu90PhtZD!f~u1Y9mefhv~%bpXemA0{E|fpYeJ zLKLWxP~79pXoyU2#rlAAGY|!8C3rKg?v-G;wPostAqs4jux+@)SHkMi&*h>Z3fw7y zJ8-M71j64dQ+ESVV7G(;8}FAecz$K-mLCeVNZ20S{3~Ht*!QZi9t!M}!2P%kSOV{c zV)@b^3LKE22jO;L32H~Yj)DHp_CX4CN?;dMayZ&c0iHKPC5Lc__hKCj)~|XE+7_BtY5FPJ0Q|q%*@WAlLSs65N$Vt z+lfUC?314BY)178#<`?)w29EGK5su?BV-beaknN|) zS(i?E!`7TNPC0^67xF(pMcRtGMKOY5uCFH zQ+a|6f>7upE>jl4D^MsvE_rn#Im@#jdwpY$0t`41y%%bPfa4$Vgbab)u@HD4z?4gl z=x#*r6bO4Lu4$G$9u7F=n4qTEwv0xmFj`@#@tg{2JVN zEyAyZBJ`|P1u5_bk$WTV!xp(WLrzcBmU}?ta5)E83YhiwysDs_*C_B#5yNo(d=Yz3 zUR6ZweIoXL+_NoW9|S(8q0@!Mc?x`3LUDNq_gv)lG2Fr})F%KZ=@d}nQzC=+NM zv$&sIsL!Jju=8GB4g@UzBDeq^T;jocMrvQdXy??Bol;n`yj-2aK2y+!&P zaNiwi>8!f=w?zEgxc*zjziVTF8tu@0lUJNI>cIC!_6N8iTx5R)-Ehz5W`>`RFQvdk z4)8h(O7K(M9xh@(M~moUSMa@{Bn5sca=*ge;v)AOO-_M@r1xJUhwDOKA#(q1;{<1` zlf zbwOBb0SAf+0)}Mm`pP&3HzKZYEV!va5(=v-HXc9|6l8A%u{I+XcLR~bk~D|aN!S+H zan4ww0=G%vc7T)~nBd8h9sdpy!RlCU6YfQW5sxnu@x}RMj0?X@L>mf3(UNP_GTGeX z?1D2OsRA@g6gWB?-1%7UJ6hR^5o`$-BN ztga3Ypd<0Z^y=WToCSN8aW=A2XVJ|T|97j7gTbKy3I?al$7St-G6AOA!aH+2laukq zX)a{&L~sPFCc%?vQKufdgcUp$2%hFW3Tv}Pb?S*#bg3p*c-95swVl;ovi*Z=$NPjC zkj@2=6;_Qx>=$I;)lhIk>@I;%>3~8*PNFB;;{bmRi-PAxB89!HB7t=r_`(x0xExTv zW5pCqiy*$8-esUT4xE~K-*2uC1trb_)xW68+;h~cKUX4 z%^p$i4L;H|Zp&StbcP9c!I9NE(vDwAx`6LC|??Teg&JB(@ z!R#9$__>%&rju8p`q^YAK|%Iiz)j4jOAuSEqzDfoco39oEY+UxE3E|X-1y@~9UBhz;~m4|D~?}lWUqu_fbGInmu zJTr)$+oKW%JGUPu)JI?d{GM+X{xbzXre3KBv19R}5{w;-j}Yq9fKTF-d|-&Y!CD5>wE!ulgvf2st|qwqYgA?9CjEJ3Kh;aGyA$iSX#p*+}$EmSFXYzyVZ zx3GlrVOzgY0qo8eihz3TH0DfHcpRfJ1bkyut~FzJT%RLi7X-nT@;waeEM4=gR zhepcq5JD1?NKVJEVd72V9}xTl3tt6{ac3uS3kYt(YPY(x@rCntImIWSJFzic?f;>j zXnj=AA;FCp5}k<|)FRbr7AdUu-UZ6=5LP;$DO4N2LnhRIxTxtQBIxc3 zzif~+?v^w#vR9}9QTH#1*lN6Nj_TWMHqR$Ols6#juvO=&af6wxtBY7kA2XSFCLa}fSiBGIq};{{EH6RajQ z5;`KG7*0d%x#8uu%vXun_)x;r7*^0_XXXZp#?-bL!pO;6#_&l@@XiS@j(z@!fWl#c zBXE9l_wL;>{J+~rN$mTVkSRSR;v)=gGM^+2ZGMRp;V5Yw3y>%?7!_R~54LwnN;QWA z1|qS5GcQ72Cf}1qWmloHYnAY;pfXJII=`x9>%X> zqG%4?fhBq*1fc~iN631Hn(^}z3}YMgHOf3eiyn{T zI>?BgKv=CP8;9Odq9+R(fkOTXno}#PaeN>UT3|Rpx)|hS%1apJc+f#bpNZoWLOqKg zpGdx^icbA%#eaLH5#ZwQlt3IYdX-REK*%noe& z=imYV=8At<#4)O6g?LdUSLkCR^l?py>zOO`NfE+Uq-;vORFf<884>!dCNzt^O}Rp! z7ojg`6;;#nT&XXK)R(oSQdkho75b_O;WY!#mtZ3pPP>J|aC%TE9E#!=L?|vN{aESK znfgAChzZYmfxzI*s}7fD=3}#S3)hH#3<0S6C-U(UHPB?2LZ%awS1@zNf;mh52b<%QG4&BlN9dO#^eepIb{cFRZJL~%#4AXAAr{`MmgH|l`nTHp$~Z6Yx|#k? zBz}+0@lJYI#_=jAKAoJOV2?Ljyg!KKAGINKHZ_}^#&k~?`yUbevo`SJRpYFhlcF#E zRf2J=Di2DACuBfSUykI}+PMV^d6ZxXn|5}KU>Qyv31#6Fl2Cqa981r|Ct{P66bedA z!nq`o!j-ELp|Gs5DxH{5LXuxfLJ`od$R=J zzC=0-LR30iL~tv<=?Mzz-H!8DLfwI`qQ+!QQnN0tdJ7wyY@`rFAd~>dp~7Amj`1Ak z-XwbvA@LwW@F0#$YK=HnC{#0!sS34+e9u(i6xv(v4YgGoL4cF**}}RDth*}>V%I## z&awSopF$5(Z-hcoe0@~X>A*oxp}KJ3QYg&p2_S$~C)+m*^&kKRK8zz|BGQXvWkU7i zFqu$C)j&Ea|9m0%1R>5F#yzk8P-s|r=b_{H;;BR#!O>HpMsdhfC=B}L@`hlXd!up= zLk!6%07m}`6~-NZe%y8!LgyqDe!yELjR~A)6Do;sstPrQ1Fu41>`w}XvA>#)#h!ku z5Ae#mx@w6uq>yU{C*nkY7AN9_n!^`bg@P0CPNCogJXab3PCy7wK!^tnnG}ZL1ca4c zCNR(C#Z;IYdjd!wo`8DQiqT`9yCk-lrC16Q4-<)pRa8t0{j(0)uPyl4o@7!gmUQwa*O?}b|6EZhe~;(4g51913O_WAfMh1f5E&~VTAvXP#EFQ3iT@Ty+?}uY83l5mBuQ&!tyEv=}#=q%}!H@kpelq0UyGZ zm~Vpj$OYvCuFQ9p*LAFnhjxom2=g-0ipZ5HVIDF`F)PhmT!1B>kuJy4Q3=5jzo<|c_r5F?=DA-H3P=3fg{sCOQlU1WDD3y;?=z?HMhKv+ zn{dihL~p}4n}ynpQ>H@I;gqRRTX6xo@}R=o@kM9h-9f&O`aZ^m-%;)jV-&h8cX=$k zc&T>yc8mq$?ur5mmjpX}`WYCNZS}Kh-VJw(uUte;iQt>I?O(FZ7_4&y} zeBwODL1onTR3^MP+{Sg@2Pa@zQ7e2Gx}Uv&{>oeeui+`o{WpAf_yCUEO6f2q{<=_@ z5@V>54DovlSfn@qmb+{zPb$xZG^*tpI0CgJ_%)xRvNePNdF~a zodIjC(pVdOH0tR40uBvJq&Po*87GJ35lg+|TZbochFEy#$@f)Bd!VU#y4~!PuW9TfpE4!rdyVM-(09DtHKy+ zZ?YB~;idEN!zzt+;fIU&gpJpW(-@RZBaStDc_rwq97o)3(hd|Ao&a6pO9?1%KZPF| zepCQ@yB|~htkSX@^wp6RM`aX#j40r-P{89VjcP9NS}7mazIb7{VK6muz6WnutS~0` z>+Hhk2ddV3vOoN!@Ke-We?c~xqrLfCkUbQBYWSbA%RKxv7yzd`?d}gnx892591bdI z+v-wjXUqB^&K2UtYxwEmX9SSPGgp;vCV#UZ@3cJOXQSxP;my*(-jF(eRF|GO(Ni}+ zYZ|S&sO03~l%98`?rk`nZ3!nLa(Z6)`IW}{FqRl@D|myOS1v33B8;JMf-p}xUza{H zp|W1%y!y?i&Tq`ZFA2Xi5Pos^WjN7M*f!Z+z)t(D$fTuw&bHa`%fqi=wY(B)!O})? zlg^2NlSyC;YXT<#U~)`L=+H#bAa4%8C4fX8;LQ-tR`BG)@Z@UP1d3pfy$#oCC1`sVVDOl|KGyc^N~H@pmw?*!~z(a_FHfpvg5TIpqlYJ{_wZM-vzjNjs-w{ zpZxzJ#rh$N^`lComW%ZpwVO5kQwo;I*zj`%{-V;@jIkkDDy(0F^_xoLc4n0c3vVRC zcq38AEU&Qs2-cq}jV;Wwg!LD&{#t2l&2ixEy$!pm+(6xYW924pmQd9%FTu?cLY3J= zC41F&gb0;e62Vs(*hu982@DnnHc@#<0g-|uP;zFoRWU^O)^lrly7ec`)<7FD~;FSGIC@dKq5eiENe-`QvTy`PU z4%`VP)Gpj;BoutBlu%d<_?uA8xD-Pu?7Of_x)+yX2yY**!Vu~%IHp3~LneDBD9eLz zJ%&*2xE@2OPTWc*6nvixLLI`bNkSdQl^H_y;u;O1`pN7U>i@8I9dJ$*T|Ae&Y<82} z-E#CIy&kT&73tXdNe}cV^y8Q{dFr}z_NP&B-G=>X z3|zrse;OM}vIni{<0EjkjI0Q!PfXX+@5=C%1HVkNN5<)QN5bj$k1%dehjDv(@+0Yp z!SnY9Zo?y!MZC;Kv6te3|6O9yW3wT+?BHdMFYuU_bUS zJoZS2uOfLYpZ(+Gk#J%A$3^ht;tXFU_%Y>wDfbcWPr%eb{JJ|C45mK?aHuX%LzRyX zww+bCDTCmF<@N(Bm^n^(fUrfk+`vQ6*bl*w-P##SDj0NR*eC3fd-@Bc0bpmg(_gZo ztE}-uv}=YP8E_(!aDc#m79vvmYPd@zeGOb(Vx3z^e>D;=VrR)~kR|Ife3c zrl$KJ)5+Kpo`u%Nu&AwF66s&r-+oQ=gzSP$Cu0qG@*C&7p^@|p_IDSftb?Zw9y4@I zB>g-4`|r8$M?}(pw7pF-ZV~FGEpgYmPi(k|+mXr^0n!@X6;l)1zEN zN8UXtV&<}c&xpe8i8V8i{WVOZ+o_7qhne~99||x(j2r@UVP+xw2bf~8O|@pJOfs(k z>WfBA9XuRNEpC5VBKqa9A@F4>`^(bwOKW3}S=Rot94%-v4`NoZzk|te%LA=b_0=L~ zCHrfbcd)$5{(3~jtZIJ`LyFkr)gxvN`|FxC9prIqpl;T-e<0i9Y%hc!c*MNf{`Qt= z0ojN2B4&O2`v!356?}s1ZNxoMBVsnOpMdFsOZF2mJwU6@U~=ZnBm;8DBC>97*C^P> zZv8--FZiKt^arv!X8k}~dHA72lxW2GI|tW{n55B!uRF6}*NT{=t%9$+v0vAYn53$3%4VPtM+ z@30{wAP-1C2#gude0x{K9BF?$iZO90q;rh@H4GN)d;}ARLORFUU&A;c_BEt)qW$$< z?AMUa$@bTx0LUG3eM4BjE^k#GGpXIxXs+IoNqo9GUu5KBKl|6X<`$G>lyJ=AQ2UG&)TQ2TH(R9F~N#}0GSQ^3)~$wPA8`1_gZ@{8Z=?>$@ zjh;N-%SmPK9J5W!SxAD%KlW| zM&<2P4xsW5DhE0x&Y<#tRNhDB{Z!7Rau$`dsf1f)Ex&q@{ymq< zc~s7)@*yhWCRyuwxJTBKkI?TQrSdT`Y}BD!WqIjmqv+ z-b!T;Dtl7di^|?q_Mx(GQa`Kw`%`%vmA6wlfXX|l97yFLDhE?Jgvy~*4x@57l_RJe zN#!UiM^ib5$~&nXOXWB!$5T0h+C7oVyQrK*mOr<#a0Vq4HiTXHfY+ zD(|E6ekx~DIg85KRL-ID0V*G)axRtgsGLvbLsTxH@?k0;q4H5GAEWYdDi>0@h|0xO zE}?QMl}}LlB$ZE5`81Wws9a9v3MyAp`3#lMQu!Q}&r|sVl`m5H5|yi{e3{DCRIZ`& z6)In)a&6LU(Kw#84&v$SRyj9ITA#E5{IXfn#-vS_+?@1=<)>NH53;G;Lgm(^ZPxGG z>EAo3e3QzZRK7*!F8cg#`u86C_g?zc@+6g~s60*Or&NAM<>yp>L3z$l`6ZQKQTa8M zXQ@0#zfB#11 z?^OOl<)2jkMddXruT%LqmH$wA!hm;xduKPet$Qg3166?p|8Im)ld~4B##C% zxBBnE`6KoNHorX~;qMP51O0<2Nj;dN@B@jKS#hg>IL?yKe!{U*^A85wk}TT-N$>}; zYXnX?+5_bnoN}B8%JKdQG~u!GsR-pW1?P+}AJRp!%ZGGQobs6n<#U&n4^v$<%uv-p zIy1Hg(w%WMOal$mEe-#}vt=f(AinS{1<}F-S}43(E=E6ybH-AAIEiM!pt9W{bxLjNLK(j6m;bwBBl zk=mmBm%`9EIjV3mC|d&mmRbsz`Il3LlYBw=&*w`c3Jazb3>4J1`d8s<+t^P!YSa8H zU=&BxrukR;U$)ey`JW+bt?!DR3^=1KvG2@jj_mK`=mLq1L zR0Eeo!Kc;0vkJwre0}Ur__sM8Q9+foq}Qt7_odDEK!ua2*uQLv^4 zZiIq$HE?4T98v=}LBSCG8W{GuA!e6U19w2drPaV4QE*u`Fzmxa%r376 z?u>#n)xfZQ9noA#4GjAP5pWeXa5ofOO$`it;t|a?)WEQh5dqgy1NT6|b=1H;QSi-b zVAyAgm|af|+#3ZqPy_ct!Hv|weUlp_W;cCJsfq26f}5*>Z$rT?)xfu-;MQv30Vuew z8u$(r++Gbl5CwNs0}n#Moz=jDQE*o^@DLQ-T@4I3Fd`n-Lk$eq0V3dDYT)50xQ`kb z-b;mO?xzNZmyICc+tk3LQ1AdX@Msh~Pz?-kKtaqNtOkZRnjqkzYG8Ox3IZOk28Nfj zAmEW|V0e8C0v@dfo`8nlJJmujY-C3?yDYkhL!-M;@B}r@lTh$oYT(Hzc(NM!ZWQdY zC?uwN3JRX4rWsBEAh~^y8h9ECc3Ei>&7O{e?^DwZXA}^#U6!Ck&G(|<*=m|+px_78 zz;Jp3F?+5W_&yXoUk!Xe3SOWFhEpAg*^j7!XQAN7)WEY*@Ip2492C4*4g3HKUaAIu z5CuP}2A+$8T~-T4-=2qpm#b->kAhdKfgeJ_&#HkJpy21#zz?I~7uCRzpx{+%;73vL zYBlg7RL?^`JwzVXGP4?DUyG;T~ z@CWRaNj{5HzU_hX98UR;2g+}7%6C0bp2sQQ^FVn4r#$3=@*+-o*aPLaIOP!!l;7c$ z$2?Gek5hi+f$|5O@?#H_KjM@pJy2f4DNlQ#yo^(R=7I7GPWgog%Aat`FFjEHj8lH? zf$|re@|*|Ct2pI(50t;+lovfv{)SV2=YjHfobm?`lz-rqmpoAZiBn$jK=~I=`LhSg zYdGaq50uw&%HKRt{*6=q;eqlWoboRZls9n7>;IlI#fMY=rA+pDp!DOEu&El` z)1=bBOi9KmQ#??n;FOvN$^cHOd!W>C%8&=jAWj+aK&j)DX&xvIoYM3_8Nw+uJWz&l z$~+z@BRFL~50t4mWdRSAX*gve50vRRWf2dQCQez@17$9pvbYDz44ks02g=+yWoZwT zd2q_I9w_tTl;u58=EEs7Jy7PyDJyxPEPzv1@jzJ+r>y3IvJg&L!vkeuoU)b&$|5*r z9S@W@;gmOfpe%}0*7HDF45w`1fwDMG*~kNBiIkFYlZ}P5lA9`1k~7TQWMfJxoU*wG z%F;MxOAnM~aLU#mD9hrMZ9Pzy!ztT)pe&D5cJx450jKQjfie@P?COECB2L-e17#(g zvWExC$~a{&50q7K%03<_tKyXXJWy7{DR1*YSskYw;DNFRPC3v6WlfxNum{RoIOR|e zl(lin;T|aK;FKdhP}apMM|+^W8EjvK~%3!2@M|oboOYlnrpo z$sQ;h;*?W7P&UFTr+J`kj8op@fwBosIl}{GQ=IZX50uSt%9$Q0o8y$TJy5p5DIf4a z*%GIm>w&TrPC4HLWow*rfd|SqIOQWADBI$ck9nYMhf^-}K-nIrT+7l<#|>9D!4Q;DK@^PWhn+%27DwQ4f@(amwQ!D97NGCp=KziBo>!fpRQPdCCLj zIGplR50v9^%FjJePQWS8{CmozwaAsSZ=|T4yER`T`-8}pvPrLF2bNPND;-!)xjSVF zJx$dduDm3dUYeP2q)a;ix94t2nL$31ZSu+HE9C#aq;T9ty7u`OlintoL|#CN5YDs- z=K!H~R5b^L52QSZ5YDp+7XabB99sBr$|Ll~Om;_qiuz1i?2h%6NBPCJ$IuDS6!jUk zxb?fFEVOhNDwS?hHVR&>2Ht{#m#TrcraVDQjk!rPMX)xi5v z@CG&TJ1BUQ8u$PTenSoXE(*?810O`od8-=uJrulM4g5X|ep3y62u<@_YTyr2b~!N& z-f#ddU;vt%J?r2@{Dt!3InWPL@IE#05fr>%4SW;@A5a4yL%|2tz{gSW`)c5iQ1Az8 z;1ekLLpAWnsD~X@bCOR`@NqTpNfdlS4SWg(f1(CHje<|9fj>pTpQ?dBL&2Y`fj>vV zXVk!7pic6Ynv0=Fp*jr=#Eng^(9E{cK&s)384V704+{l!tR+Ev2- z5@?1ESIe-HD0rkAxD*N=tp+ZQg6~uVmqEef)WBs?@B}q*ITU=C8n`?Po~#D0fI7(( zHTTR!!PC^h6;bd#YT!yJc!nCdG77#=4O|5U&r}0fMZvSxz|~Oj18U&vsFTc9bCMb; zc)l9ACJJ7l2CjvIA5jC>M!}D%f$N~)g=*lsD0s0N_+}KmR1JI!>LgF9IY~Vf{InXl zJ_=s025x|YSE_*QGw^J?J6DELJ+a1#`~N)6l;1+P{EH$(ejuc(2Wqng*M zfm@)yy-v-yTcVoRt7&e9f;XyxTchC3YTz~~I7W63IDbL`P>W63IDZj)i)eq0aQ+|b0svn+- zr~DeHR6jfuPk9!nR6jfuPk9cfR6jfuPx%dAd(;ol#P^BL0UH z8A$R#`43K+?1AzIP8s<3l$sBx40@nU!YK_8lzyBt?13^Fb@f21I;H69S_*Dux;mxk z>RJG&%;kYn!zpunpbX-ac|B0-IAwkhlm<>&&;w-%r!4G&GK^E+g)7fie%C@T%&Raq%)Q zPFdXpWj>s;rU%OWIAv`Qlm&3gx*jMC;w65II%S*_6~e8ouTB|P;)QX_h8`%3;FOI$ zP~L=7HuXSR6sK(NfwCA*+0p}LaomYot5e1~5q=STpshM(oD-GA6W-nfC4RSjprZ#$ z{BHR`XAhKR@Z#yJP8nA`WpT>x9w_m<p&lr!;l(pt zoieU?s^gR+Jy6!bDMx#ttcg?J>4CBqPC3p4Wo?{tf(ObvIOSa)DC^>slRZ%0jJJ?e z)G6cY_$@f)G!K;ZaLRi;P}avOXLz7&fK%S*fwCb^Inx7WBb;)!2g=4c%Tj7+8Jy5pBDVKVnY=gV{ zlj@Xl@v<#W`LqYhb~xp750ve3%9S1{JK&VhdZ6rxQ$Fv3vJ+1Eq6f;(IOQr2lwEMj z)gCCj;^UrI)G6b}J>76C*Q!&-)t>Hn9bczT8P`zUid(r}oifhK9ysMj50pJ|%FP}q zd*PH>9w>X`lv_Md_Q5H)d7$izQ||CU*$=1O>4CC8PPxkiR2M8OSF@Msad2?aMo!FP(_%_z7r3LYnd-$21lQ1Ap1oP~m$qTslDrL$3R zGZZ{o)Vu`+H%Gx!MDSJ=+yVt#H&C;_y$uDoM8Wrnnzy6iRw#Ie2;PB$TchCnMDUv^ zxD5)nF3D!oyb}etMZvR0&2ORLb}0A(5xffpw@1NqMeuGE+yMp87r}c_a7XPSx=o(B zSz3EU1n-Rp+jpjG3*ai(E-1-kBFR1}Nmn!(3q_K*<4M4RZYX%M2;Pr^yQAQxBKRE? zd@BlmQUo7B!97s$(<1m?6xf;Achf`zW{%3VvP$A40)> zQSgf*_yZK&4+XCh!G}?Be-yl01b>KvZ$rVah~OhA_;wV$Rsb>! z*NfoeD0mdq2L`N z_!J5rj)HfJ;L|901Pb0If>yK*2{v@HrGb5d|L?!QY_Z zyHM~65qus6PeQ?;h~Nt-crpq;C4w)a;JZ=qry}@U6g&k5e=dTrq2Q?~_>2ht2L(?< z!Cwhr&4+@gqu{e5I1dWG2L*p4g7c!_dr|NO5nK`l&p^T7ir~^H_c8) ze-yzDQ1JaI__7FYgo01y>Wn_&muAD7c0Q#-}=7M8UO0Fg{!H5(=&(g7NY5 zDinOP2*w8}FQedkA{ZZ_tVY2NL@+);S%ZQbiC}zy@(K!WB7*S&%Bv{2nFz)QC~Hx0 z3laPs>YlHm;8p@Sn1q7Yq2M+mI2i@Mj)L2X;9@9vJqqq1f?K2D4Jf#i2yTahH=^J! zBDg;a-h_g?iD0}dwHXE9DuO4Wn%_XdJw@;g6r6>EdyC-NC^#Dh_Z7j5Q1BKM++PGQ zLBU&5@a-b_2^72y1>YfpUqr#%QScxUyb1;HK*2*qFy21DiGqiTV7!UliGoLnV0;zn zEfhRT1n)!BybA@75yAMh{B9IHRs`cS>w8e}coB@xGVevf6GbrI(CtIPlSDAyR=tga z?-s$A(A?gSf~Sh$D=7FK6g*u7|Am4Npx}E&Fg~UAE(-pi0M-pu^Fb7RzX%Sa;P+7Q zED>B31;3Ai=ZIjup*w_v9~8k2QOzHq;CUjrF$zA6f*%sW_zca5DEMI!+#c0@1O-1T zf;*t#qbT@s5!?v{A49>5L~v&md>jQY5y5>?@JA^42@%{61)o5{Pl;f>Px3JeUM7NX zM>T(ff>(&(2`Km^3VucePej3|Q1Eji81D_8M!_$L;5n$~Pf_qoBKQFm{22;uNP ze~W^5i{L*{@ORkequ^cQ1D+U_-hgTFbckgg3pOyyg|HHrX#x5xX1!suh6KI-qqu@Lu_%jro2L*K9WR)zM4FfwT3}0yyw$JlL0! zGCk!U3Lff9@%f>jUOcl;hrO9^q)a>DOV8ZltJ)`Xi(Wn}Wm?63t9@F(Teffhve~X}=$)5gTRTlU6_Vd^%*A&sTYqUOO{eue(WakesbI&eogl&|CD$ zt+&jjh0Vwoz0D@QW47KkTko-3?S6Q!0veH}wq=6*8s%e70-fsH(BKpcE z)K@lZ?hoZ=CHXj#_Zsp8}s-l+qplv07=J~gy|T=qyx>jhn;i?`SvKH z?^Ux}f_!766Pj<2x#?So=zH6&nn2&%O!`oLi`?`rLG*o^Fn#PP0#x5pH+@ec`hHHB zK6bJT)%TQ}zGdC%8Z9k{)|LAwE4vo%9?N({mKkbIeN5aW{WB z5i6pozw5ir`e0qO_k?sy;fVzP_pzJ4lZd`O3H7m~d$fL?a?|%IqVL0m{?6{(MD=~9 z)3=``{pkyl@l(=%+rhuG#W^#~1~L7*DG8?+0P`(dF|M0K+}-0x{>j$vPhJAvD>VHe z0@?a6+4}F<`d>W5baw_pm&9p_E@*B3E}RXMR7Of>wxMxaa`~3KsxgAXqYK<0H7q?w zL_n-{N2Cgm&U1ZK7pGgH*odF!vkN#HLz4FEUpVh#HT+iO_=40jBhB%OcYGNZXwfDk zH{?ZLnk}p;f152`Eiv)|sK6%UCO%h9gC`j8PC&9Wuv72VC)v)h;)OYAE}tYDb_rT_ z0lHGI)`=s3=kh)5{%FbWeZWmvr!j+EodNhpcX+ApyNxp0Mulvn5@()S!yQvKzSE-H zO}Aadi<}}}u@20(d$4J7guh6xY1$OG%N4P1*#|1sMb1paS> z2}h8PUZxRqZ71e%wFB5kxw0EU@IBznZ4AjahQkL_qwL0r?tKDGc0wpKb(4)xhCi3@ zad+lY-TOe`vu1i&Uj47`eS!k7#?~uByFp3|Pe*B^))H1z<7tCz+$F3>q^u-uD6P)Z z2H2`eXs^gqE4#&|oXPRuqY z^QJ=RgaMH=D+DE2$KBRov}V9#rQxw?&6p}GxhGD^3|2`%O-VqmhrBo^{%KA(}|8)^i6 z?-^QBRU??R#L$!eH0*7#whs?*e0YGH4=;)~8fv{o!!-N5daMq;MFVDrV#zek!R}A9 zJviW%%z8$9i_(~Fn$whZjdy=i-di-xT)t(lV6L}F9(~CDQKYv>5U;u;*xn*}bgt{8 zalJ*z-W>H7mqsI-??rnI;Ts1<`y>f#AfL8;+gJe~pNa218oA7#u9jK7$LDSQOWDS1 zC&cA4%K|7G;>5-uI34?ahMZK}G21_Tg3SHGK=UTS3u>+nrZ{BI_?2{ZV z9b=c}PAwEX5k8_%N%urn2IiYKo8A3IB*!(%rS7^m+V~UEQC& zWTh#HrRigqq?U4;YULTOP3qiX(cgP ziyB+o4O}pr*(V#B9o_A?*RJ(xSjp#OS(+&4Y7=WB6SvIjfjZe$kXInt>OzB4I%Xz2 ze{M8YHnXXjtah9c-vYE}4%`C+$NSvFwFf+@rEX|e8Y_4o( zd0s(cGo6WRw#H|&EG?AHY@uvsWnMvIGjn6PS(RmJscdFTWixB=3KE-{2Q#x4%hF2O z%vQ=~!XC?5-@27T70Qd5c?-+ZTG`Cj%4Rm;6(r8he3+SySe7=*X0}l_vnj72v6=ZX zGn=z4ZI#Vzt88W~UV+R^r%7nbWA1csxwsazt=Zf4Nu#9Q#=)v>Tn9YVp4Hb*ITP9? z?%hD69qNR1Yum|oP0?;`LCn-Htf}plO>M7cYInrc_U5gwxvEoB3t^`AU`_3yY-$H3 zQ^|OtH)3rEb6`Si3uD&8hU{2y>ZojOMn!74%?y9DFjIFsirgC+7_3?()J?D(nmRMebmzSvYo5tG0kgO&3%CI!pZtYvv$@<%{Z=ncTwfU}ZB0CpMEzciSuGq0d-T2TPYr?HsLv znfe9GGDO+bAvrSjD_%*$C3~|bX6jj%Wtg(5!<0-7owqZo7N+?kt9iJx=HY6Zzqd8l z#x!#?IU|%ck5JQm#nxO0)BH1==8?*pN2+Q5)z(}W)BHQDd6cr|QEHn1BAV$|-_YN? zLpQSFnh?DgtFx+X&1r!%HBi;e1CcR)V_K5tYYMxqs`~CWs`-9~9r2@$8cFAjn*Oau zt>gmZ0CL7u;af*LxWa^nZ+o#&Gzz9R95`8ijPDVWx<}nnivznx`%?TBOxCTBdz%v`U|Ev^J|5ZOl)Mwz)nt+GWf& z+UKrebjbas(J@b7qf?%bjLvyG8C~+eYjn-m%;=WyO{07MI>xO9GK?MtE*U)wjxc%^ z{MzVU=q;m9;hT-VFd@{hNF}3xk(Z6zZYplve$z5zK+!zL9YxO@1B;I{29?NX3@)+9 z7*cYKF|6cyV|b}Mj1i?y8zW2KYK$s<+Nc`aZC2){=x#Ikgm26XCp(9s`q4A`^o+v# zWb}-Jai_IWmS#V84*@(8JMcx%C~)@>gi~B~rr1sp@3qds!CeUuw|RVz#_z#8zU*%w{j;o`jiQ#4$VW-j#5% zm@Uy3HKdu1V=XEc(&33%7{Kmb2^Wv5Oe#oo>T>j|mvAX-Kol;^6jvxHJGEd>HiawL zxJn#OwuPVDqZ$fI&n>b8Bd}(Id+BXJax9oI$AV0bUB(J2cKJ_yAyq?E>Iqfqj7qeS zsz(dS7q1f5+oL|}_=S;BC40qtyh>k^UM700(%++f1z<wnDFmkwC)U?3s?9s$9Id-0< z4VEI-BZ#3=#0CU0Jcclf#hbMefsBknkc4bP5Tm7t%?RR7$kYbe;XY!1#TRPe4feu_ zaDOZ3#&K}7xRWphpM~Hj@c0rkd^Uo=i^Ioq2~ocVflub(a`U$$_$fKSZ$t3Ya)95C z;P2t_>9XwGf#7H40RJX}zmLZ^k?G%w;AiFl{}zIuodf(X1pfesm%7hx1U{F8o6_X( zLGbhK>N+53*FH1kZF$5?ceq@#^)Jl<{s4l1lEX`r z|1JW5nuD8C^A95U@qvrN3Rh<>;E@M_&LturQzc|qW%O2H>I)n0)qd9!%G|9iwOJ_ z2bV{$ZxQ^b*4%||-|!V4YW>A+4Ga7w*|f~vKMPM*a-W^B52l9LjX3~tzb#6nCOtm< z#EE{%>2LmJ7Kn8Wjj5Sz;&kEqvcNT~6Y9bVE8XGY%!Cd+-NxMlb{P(ytmyvar7Z1p zVisHz>TDGY{A1qaj=0-OM0gYrDDMtfkfoi04K~qZ@)~zcK0Jou(UMHforfwO#{R&t&5(qWjGj&Y=zg%g@XorKe! zV%BuWk?gk3j-^B}$2mlex=TQ|rp#r0K-sYZR_s-%4zSP=WV0wB+hjtMIyHJB9`BR^ z*+F)(gq+jee1y<)8X+_@pL@}8nWtIn<1SfZAKeU3WV1rEuB>$d2-K3`1Jt}1YLZ=2 zTr;F;W`@WMo6V+Fge2T=Dmla0n%+BbG#KLY2*;5w!-TsIbGFBsGE$+|C_0fk(` z1fYnJ^Wnunyp+wtl4ddYjDe-J@RR)0yp+mSNCel`k9*s zX-k~X)Uqy0hy^m+54YQbL|k?YxYodI;_e2dwRbFOV7?$<#O3yz>?IOfE3zT1POZ$W5A8kvIz~{s8?;vYoYe`KD zALpNxHF^A#l3hG*ZFFlhEEIu#tFqOZ`os=gWP8G2kk$4?kXzH%$!z4Fd!%V&H@It^ zWL*=kY3pQ4rZt>d9v~#c-Iy$HKgzJL{pxlZ&z*;Uqj-G)bJx;ru#is8|v?vJvU27$fg zO%pB=19rEvd3THJllrBspikb054M)UaYf`t-SD@pvcYCMcV%2P94wow0JGpG*D&-l z!#}E2y32T_8)_DHwT5XnhMKwHF|MkA&qwlMvWmDXE0{u}|7H016Pp>st(#C?vW_eG z;gZ8v`sS>lW=98}exz(5$24W%vo?*CwDxvW2CpQ9T&L0D7pRQ)vG`GDE!QkH_PW&f zQ9_5->CKW6_U}%k5DY;wndahJ?3W11;2*PsX<*MBD?qq48a`gWYZ zPLlRHc~1AcPV~)AOq*oQyvDpPJA5NEJCdZ}?vZ5N-KVIz`xL?5?S^L#n}n&-8C)y> ztzOa%9^~FhqpoF=6xyy8fzeeYNQ#r?m~P(e>R$X5&vaR&acg*{3yuixa3d?2A&-Ew zWcS2@M@6`BJ4@D7aUL~GwhRCf5N6mSVLP*EuQZa%=G7coUb*GKoVYm@;12I&c^{E< zAar}ZCSvk{YVL)`O_@F-TjsN8VK585q77)_L!^@;4FDeHl=fKR_wBJQrnEe$S~m1)dE zcnc2Ps_HD0@tM0swnh`<5LTZeRoEmhl`KHS=IA5UkvV#&saPmfS~5zFRChu{1ZH|8 z1w_wyQgRfLc7gf4eNxub98?NVHLSSIU4a_+E9 zHnk2ttRm3$pnf0V{l2E-&2@Nhj?`q!`5E0cOUSW)s1T8xkuoMb&VxnCkF;5Y{!gSP ze8wigpTovYZXKZ)5{#cC4OsJEP#!|#e&`ehKDoM8`>ZmYf z6dTd3k}WfFj=IW}+;?tQ;7C2bR7uq$Ytl~Tds!B8VnN_0izXIGTG;;0Z4p?lyx6{4 zd9i)9w5t~NU9t+qEpD$iN4xr~e!)tMvz#7j!RE)B#4$U}rFxC5t>BudHCE5mrRn2V zKG#Tk<4ja-?N;MT+QFoCbi5I1m$^OCDQ;f<)tpqgjk0NV(ogKdX5&WLkdNypZj_ZU zbRkO9J`lVEg*b0_R?8;Ia80*&OGSF}0Li7upscJ!*=AY2q{{lR$~Mc!YM`vVMA;jX z*VRQ+)}K}Oh9nk52JlZx)Wk(+6 z!ytUi?V8q(Dn-J_?L4r!obU%+;d882$7FpkD?E_=T*PoW{X=3cw+ZLC%r62?_%`w)$bZ#snxdrP=R z{iN(dXS-MKlwf2zTYRTvQ4HH;eM&mk=BXvyvAJIPDarI6?UhHYSR8-#QC6@Hl-3F^ ziai$>#XikRfBfqNd+#DIu&Ml7HbKDk$G?_Mxj_`Wnd`OE^~}gpyKP;?>O3neZmuOc zE8F_WIo?@W?6y}vBiv~EtZXF6ji%2kbh)|F$Jt!IN8CH9d;)X%7PvnOxmu6QRWv)# z$)?`9OgblUg3Eq zTU-TR*=Zr*UCZ;{=I-7CuVgbI* zkO6l8D%O*Wo;`zMgv;TY$T~LPelUx>n{0JUqN=R(B%p}jS>{aR{UC|8;X^sGG}Z!h zp7Wz@B<8gE5&Lptr|-mj$)!X`RqRFt*kI8jHr&dxzb4~0C%u;?trWi_?6Ry=!@1^V zSs#%Lu$N_v4^C!?12fW*p( z?(XAYvJ6WGMV%j< z66k^~ou)>oVRVNG9cNrnjV*|=eMs0i+jKQH9b-F2*w{mwK|_trz}QX@Hg=z3Fr>y7 z!q`p{Hg=Xd7*=BoV{EWrH1ZBRSPe$h*dhX(v;r1OQ~}v-s=;E)6|k7B5<$z=lrB4H;dmi%FBTnFg=(qM)xzk0BXk^F zZ8f&q7~7wOjce8GsIk?-*sc>c&SUDTvDL-cV9PPMi(7ZNiENJobUjm(Sbu0DyF`HN z4mXjF4q@0qJeVEK2Ai0D-CG9I+BweKMDn6ZI)#?X^@*Cu4t@yKWUVMx zeWW?uIzfLYmGi>Z%Ke?zR$;qMdSwMWz)X+5g@No0Vl%Lv3`v?Evsi2w1AvS2U~=W6 zi&}ddL%cr8TjaT>uAQV&g_cj``(1x1oq zt#V0jFB#48Np5dlmhY1DkYxL4I%GckHtt}D1W68B&I>4Z&oQ8?E0nUJNHxhFl#|>c zL6YGd3j`7{z93u59TOzkbaIN_l^vA5Of*)^f+p28cT`Su#{_9Mof2a&vJZAjlxQcf z^09d(dyi=>(Sjz`M0Zk7bf-j#Hlih+m$klgqC|(HF!t>OLD?%*V~G|tsV2I!a-usY zN;Dh|vE4g2o9Hfy5*>-cGI*Ful@>IqCc2AqqPrwYbZXRk_RST+u89(z7KL%6ZP|-s zVWO0lvCU*QHl%G6m!MZJ5h>@P!u<5AbXjylVU-SYKnU+r?_{b6h}vu+#tJ8 zq7=gkD9f+8i4EB+j-3<>dQ?-~M>)lP!Z69KFuAlLmFv_DlMR=-iJM`PO$B5FZ#Ua=Xpd6u@SF-& zK9w5@j+b5YHIHq-#>?(OWOo|`$D5_y2c#REEF5ngY>s82W2EhUsU=wL6J?v-?0s~p zrFnqlP$ZYIiLwcJd-^Mt8%a(yB{NR~^;q|uh|N(=6y}Vb)#uc5r0CdWN$*pLbKP5^ z3P446n^2sQXu7Kct9pj=bk_{oq!svOPs^(CC`epI>mz@$VQ{8w;~m%UnJK$pkd|E) zHW4#rUd)xnL$uxFWdLLjvwYtJ0nX&G=)t7YNZi8-=D;mUiCB&`O_JEYd;Eg|oYbD4$X z(mF@71Iap3;1tRnp{{19!V7EZEh%stU}}AF%S-S<;jBk?D!j^=BH+fA)W&g$xp6vb zL(=N9Nt?5QW9@vCoP&>1Z~I$b$OZW=3M8BXt0&PQqn3vx9-i0vW_(A zcK2q>{YBYz{#@;TQC0}hy}1LZ`@+BeLcY8t+fGdOK7b=c@8gV}?_@k5TF%q~w#yD; zO@B!im^R?GjvYf-?W-imjC94=vC5QO5Xia=Stf;y6&kNq<{0;e zo{JrQ@!VJ?=||Go0cSo_A#Rg>p{c{*-w?YX2C!MNTG9&AyE9U`l3OivQ7+i5Hg9)N zqFb<8E$(_Fw{yD%o48zEBkL-1!Dfx5y@O!GdNv+H#HzAJ)gPD;vPKq;xdG}L$8EGaUSr z-EtlZvf@I)V)sxGmq}ZcL%|lWp+I!xEvm)Kgn})~p?cq_`e4F!*h*Y=%A~yIwDm&Or?1&BzNT+o+ zkCbe9o)?R;;K>j0ILV!EXtf zkfieR$#j2n7tPc0mI5|U7sci2No(3B)~zD0p1PET2(FHtmffZSJNc|k#mCM14xO7{ zJZ*My4N*GRkv%Qh)X0yTPs_UcTvqqhhwMS6B*vlESf|EdT>hi4M-7y1F;eVNDdkuJuI2yrmk_nrGQ;h*(17!4hPV{!dT^l5Fsu4yoq|4``8raRqbKw($~FV zMW0u4QG)I)rUS3ZrB9l;qKOi>pG{m*<-`@0g>@L9%%X03fTb^{(tYNFi(X9DAP{#u z$SX)VK$&A<*lx=QaUN7c=n7ihmLmih4K8{qp=VMaU-6IlU)%ch>>c_sI-u;UZpm7GqYRpC>fdYF5Z z5vYf_Kgs256LY%zljOn!XxwwBer_+$MBg(mUWiHZ7!G6;!R2vN*~KiJ!A&Jmj%+sI z^SG&Oy^N@;{VUdyTgr|I+MX|V2=$h- z@*y$rBClbvyIZpzLf~!X{v^cghiE$BAFNFoF|IBym9Z*~QV zj)aU6v5}D7*ZhM`P8Z3(8@hG~UI$wZ*b@+X7g=|67x0_*+hJn^vuNawU6%`-VQx?g ztde;tnm#(C0&_XPWb40Y6FSYMVstUbxmPTp3&yOAW)`6%n^j?&#;R-V_F=tCF5hx@ zLXv8y9|Dgqa({FK?02_~Hdb&246x1aFgS8aE>eK{EG=St!!dC{c$hQkBX@4j0qt9w ztqc&&`^}w#oCr;59cxfd1|gjj?=)IO%Z#q_T!&)(8UAtJ-c5Fy0NuoTgUz*W(q5mX zk-MQw?SDYw43;=3qdAo7~dEtQ!uH^-eiU2gt6mg-l3G<#{W(S7@%kH$b-jNcwwLU4WR*y;egX zAiJm4zQEUc8xo&EcgPZAE2OU~aXP;|!N45l@IaY5E++=c#@J3yM6CCpVExi%R!*gv zcAQFs1A1w2vVpgKu&l=pafr4}5m(P>t zF*;{!WAmEqF=Ks#EJi?Uof360zV|ypcD*dKZz(Idg7yTl@xVmsPHRhZ+<0K3bh{*! z5tqD)=5Y6##s$lXvRO|UoG3}-3Sg2f6+-D|1)r1T#~kU}3Z5TxWc#B? zIPT5OJ$8; zT=BjJ`YOPccyQ9GL3X&$rf?VdU`DUU3U`CQ$lxbiZ{{QiZkqvbrE}`x{xI#)!7>=_ z5U!L(2NxGvnJC6pWOH|=a*SIkjd2}eoLY$`el{o0(aLPXV10{X(Wzo| z`3tttRIao=t$N%X_4C3iKz3S9Zu6yC&>6Ubk@gOaim?H@Q?f7ct0k-bZCS67I>p*J zr>JMQov2s5_Ak8RwH$fHYsy~nn$#=mK|e2dL2f|$x{6=$D;KXPIB)_DLs~JjIqyTS zOPe;<+g?xPL-n+R(BZ9TRa5Yyf?C1A_O!ZjezYNxA4T7{WNl)x{it9H;z%2LN3vs# z?;}fpTK(*_CRT4Qt)|Im(^lEtWu(?b`*LZ`%wp`W5q)cdNh4u4h~_x5-uo$y^nZ%x$s( zGt*aflDW;=*5oA9Nn>juZ|gj@!`ko}d&+u@R`#?Gtat8|4dUnsnH(~5sCUZdhA99V zCKe!>_T~nvJ7pbrO5KCM;Zon3%lDYXQ6N{?EokKNC)^+B`Yb!mY3@%#pXGH)-|MZ! zxf(ZkcuVREVnW_BN4i_brQ~eS+UbpBfBL4RxM+TX@PMb2%`iA4;$;o;I9ywnMUd%Vo*8B2Omt@jY`RIiGp?=pP*@kYa+p8Rt^X`rTXSyZ z%gtK%vuweFo9+HtGDXMT$_Hjtba!NU=k|8HnhDe5S7oJ#8-GZ$V9nURlDY z;}6*$TUrWSI{uKw#RcGMrhRpEG(~w#&j0?94o~e?d7RbIT7!A+E?)hQT)z44nFvc2 z(e)QI$j>MJDe1sLSENr6g4+aZk;u}@%_sjU>syl58&I0Yyd*L!xI1%G+SDM_>e)UY zTlcO@>Pgz%tl-`#?qMGHx1^>J+}oSd7J{DS?6jr9?6jvr@e2@6(#VKBJMO0qz@3(r zowhAIZ6~v?{!ZM3WV09Hu#@r*XOdwiNXol$bnm&F^dWB2dvP4cZH{bXS8}%DhipF) zZIF!OT-UlUOs4Hhv7h$YZuZk!%xPoyN#ZX;dgQy zbfIX{z9&V)p?--&jg3cqe$^_sB3Yk@dAo_{D%EF=}jGjTR-euIK%s_ zVh+!MZ{B3fd6I0_o{ibYBw52_ca=ludFmGK!Oz*U2)r5aSZv_n_@t}NlV!VpIGZP{ z9sn~Y%XZ1mBb9?RNX9NwI=hV&ZdV0>-FwDH5b^}ZeAX+bja|ei5WIW8-w0Zbj2#~0 zk+K$sKw$)EM;{FOv}^eQ)VV^7Ez`-S^1)MHFn&V=d8nJ`^B6Q(O?!gRGvm@Z_3)%LiIwvGE`cEV^ioxK$g zo^I=2A=%M3JSnTXS;3ECpcH0zLl`q8eYD8#Utvc`prVKZeHp}|7Bv7U5GAWX19 zYNjOAa_7asZpmJ22+AiRrRllhAA3EvF-taW4O_`i10cWE>ZKRtXG)C+WCzs9ylnK) zXL{i{WTt!ZF_Vv=H#U80!g3ScXUA|mnTEGi4@lM`V;7r1Quv!>tj&q(>=4j+P?k~A z85ojTLRue`UG6|~$?0)EDB1Qw7X&{81#oRCS#nQ@j!1ej7C%oix*m-a+jI=ggiJS+oo z#mF!00SJJn{#n1$49Zvcy7UVaiU;b zfwgC`aRA z$)&Ow0v>x209EZ^TU`hvPb&x8nsLbgFxb*e!-MV9YQgqtG}yAM_r@|=Mn!9>kj`bY z87~r?s>T7jE7s^`i6irOpr9tL(QrRwdR?~Mm&@vfTa8|xlgPYM=8q)tx3Km|w>)r> zd1Zpg`~&gx`tXnQ^Jip17X17Yjm-5~qn?$4qGb-6stQ|fjAxZ2^RtN~^A*rgot9%m z_}rK^@wtB!nV-u^WPUkOWNymZ^RjYeep%*$5U+lYi_EJNMrLjRw^}(euTB`5=|GW9 z>1yT3yjoThAf;D{$2Nz5Em{6GvVa2~`x^jS+mX4g5Jp~Aj?C@jkpE$1rkRFE=2z7s z^Q&lNZq8=ZT3JRhwY0U6*0svr;I)Z^?H^DY?P(>2TRYR)eFDZhnX9`6+jTh!w(Dgs zMuKfuHpkX0cZ1g_2)2I_*X<7fIM>}Ei<{uO*J-fr&Kk8*2I7iQ=mu|84z?Q;2it!j zEp2Hz_JGga$anL<3AUSa5^T373buXNEZeFaY`4lh@KToUiwm~f5(ZmtqG+3Pu-%q0 z*wP6pHl^E?gY7n1O@Ng8iO2SXf48yx+hs9iLzYe^ipf>8AO;FyUHk0o4Hk**M$_Y7}AR*+)*{57W=Ef!DTuu^lRc0D#Y38#DxhmV0&ef5t zvO_vhM+#&@+;C3W#P-lYtlr3l(cfez#+{eC8^2js5Jxw|kdruBdLfApZie-DH66br zI*M>QqE~x|yODI58aG?;hwODa&fD&dKe+8ac1qxVQ0WV}Vt*{I*#8tZlVqnai8CV4>~*%Ti$kaarhC`Y)$C?LCC$<^9V}W9g(3jiL%!kL8q<)Lq#VyL$U6G&~D}xROVyTSvFBRV=AMU0w`R{ zZCytJ-wX#mMI}$U=uDoq%+wohC=243-fmJ=9SNpc?AUX zim|y@LBUfJ#fj8|ym=Mmolzn83JT`IRDG;)?Xzh3hE1!L;0rgu9d z6v~txS!VV)+s;==u&)ejU&TZrg|{yiweNzN!S$#r=E%Onf_>##`zoo~m#c}7j-+FQ z1E7gkR5Buhi$b{urjl&U9ZtYPZxb1?3T0nJu$|p$7^N$&svDp?Np%WpH7i~>a;?BHVby>dz#xlee>7bCEJAtt;Fr}MZb6q{b zy)^D)U*JmRs&RF5iu=F_YKYPtNeLl0>#+&1k+a}k6pKFk74B||P>mb~?~;Of4O#PQ zCU$GsFv{Hv6sjrPKSMjdr39tTSf#ZTl!_I&mV(mKf>JU-a6GVf;<`lM5zVL46 z7GP>gf}7oQf_v~drTy8IHb`7g#ba%u1`2InB|#~%z^SJV6_g6cJ31$r%=H5-TxlDb4Z!VkW$h_);d1Kk!YnG@Q@?(j7SZkhk!sNCxg_=qC=!x@K zH3a+Etw*8e|Al>@2=+D4k$rGWCg**V*!*kpU)Xm_u&+gq%D0waAG_lz)bhWu?^D6P zmN~MowqW0MHvd{l-WHCGwUH}I?d>F7+uusI>oabwT}QBQ25VnywXrPi>GRb$I+9JT z%?$_K2Z?nVOU(OOGuk9F!y1#CvxQDj8|hvw(qPiDarEs(PNQX78-bnsSKxJuzGU+i z_T@Vc*W zV7>3*zy{x2fsMYO0-KUb1vV%32)vQBB#@Q#Q6Sr&C$PoeJ+RfkIIzusJg_}EcVI_y z_rROUivv58j|bjL$sO30(mk*{Wl>;H%CW%SK#9Puv2tXB?vsE-L8(O(N3)xQrMGcp6mjgf(mj8_6D zjEjMfL*)aXghm8ThSmg5g)Rn8hsy^(4UY(X7G4whJbW?mMWlS-Ok_mh%gCC*SCNZ> zuT#qh&ZdqCoJ(C3_$KvY;Cx!Sz=gEofs1J`2fj@^ANVVMZ{V8QC-9H?rsgw`Xi2#? zX#R}BT5|3#T1xISS|HEQnwIY#&6n?gnvwruEtG$y7S8_)$URz~0=>1o1x{-D3f9+3 z7JODKUGN93Ou@gkvV{z-Ora85jY3lL%X-a1KO<2 zBHEnH`?N|iVLx5ljArJ@_g1bwx;w?qO zi@U$LI}{BRDDGCApn(>5cPY+0UwVGO(?hp^lymko&ph+o*_)la_s(BSh-J+SDPb)L zIc+Tpxos^jFxvX5z-enq!MWDbLO)uI3iY&>7mBymgqF3|hOV*J6;5ycQh2?!rN}00 zTalyI_M*Yoj-uVHokibTyNaE#b{Fq#?FoyrwuMDo`@(uyN5b9KvGBuILii=?M2YOy z$r3+Ur%LR!PDgmGgotETVnjCUT*PWnVN1m~6l+I<{EdAWN z6*a=TT_)JNQ+BL%zw83*L3DuiFnXi)DEhtixLl<5q`b18Rt&f9S1f5guh>O8DoN>6 zsh{+%bX;07>7{FSeYGs+T+6I}Y zdT*JxMs-=B#sXQe#xq%{W+@q3bDS((^O7u5E4M6KtCuWQ`Cq`x4Vp~*G^Wh z-$=$bs4lBCEGMfr3YXOyhsf$pvdS7w)5x050%fh{E?K+zTUn>YV_CQ5Rax)bQ?h=m z!?IGVW3o}}@M4Q_5!p+sk)@obvskneyYHWAgK$ zr%Dgrr2GayQ~pDSD!(BclzYfsm3-(ym3~-Lm0{Q$m2r43m3erqiWt5{MGilvN{uX_ zN{dQ37EGiJN0Jhr;3GIorrIWHOggKYPJXSLO)09HPr0g^Ou3<2PF52*nXym} zn;E3W&%CK7&KjsD&w8(>&h}BWW|va4=lH6*b7rggbB?P8b5pAobL*&;^Mcf>dDqp? z^HZob^P8$o3yP`D3xm|wg;8qzqG@W!;$~{s;yAT?@g%i(@fNjj@l|#7rylCq5|2t) z5~ZFm`K(?p-KJhI8=&4SZ>Qcae`wk#>cfic>f?&0>hp^3+PPw(_F1t-dsaNxNmgo| zd}R@xVr8rjUfES=Tsc{1TDe(gUU^C9jL)od#dp=Y<9F(O@rkY(nny0`vuO_=VnHd6OoS627>rJ{~oUq$y{e@hS8ke~-{^wmQ)zSToFP1M6T zz0e~!kJO_!@6w~UG}L3aY}FID*3gr-mC%#7eXpl)Z>?wS$g5}W7^7$J%%bP)Y@p}v ze5L2@s;z(8^-wR_ov4@Y@z=}u3cX_Q3?0AsonF0fy#9IL0lj8_3%zdt9=++;`g-$$ zGJ4B_UV7WXj(YncsdpY~qjw+rr1u;S)q4*o=>13X>!U~G_3>k6^@(HM_1WVAI`Md< zzIZ%QUrJE=M#5ZuGvTCud7`a;ed4J8<79|_b8?D)d-9-ufAXFFa4N?3IaSNN9^0-{ zuWX;w!M5x42Gee~tK%vZbKUH0=e`wa=ec#n&U?F*o$vN~ zJO7AYFN7zxJI>u(I6aHatPy65VKx~;=gPgwGkTL}tohYPGh{Xy z!V(T4)Q2x`p5o6Um7fXBKdml%#tDaKDX~-K7anz4c+AYh|8eH=U(fvU-_5+tz5E}} zdE!?yYv;e4dCxzd^W?8*_WZk!ooBhRf$$S<;SouMHf7003e%=CZEDk|HEob-)0;MfX)~HOlWDVvY$Cg<$Z6I; zmzg+^Y4e&kziC5ETTq0G!ou9+%=}K%t~9Nm$=l}T_k-~F#0%ldAqwg$Lg`2yrOW8D zI$D=EuQGq;{DyeRt?-iD;3b#nCHLA(?%m&W{;^(iab9wBz2vt2Jr@w>C0Ei*&dYlQ z4EItu-b?OhFS%V_a!3E3bLaDtE8->Bz)P-)mt2RhbM9X5zV812x4tGaYi@F*zRFqd z8SdHUHRsE9@MlfDd-eYxx7r*>&91L%_IsJ<&{s9bz07m!FEz}2_b+{OO}Za{{dbt$ zb1ykB*OmL7m%2}X&joh#lJjyM1orn*H`GgRte4y*FS%7-a%;WhHhal!|7*@OPZZEm zenb3L_-*h@^n301&Og>a&VR1|wt%pJk^yl6!vn?#{2Z_=;HW#FyNJ7iyNSDlxf({9 zm5w(nx!--recXM@eD;ss&)u)x@7$jPy9LGt_75ByI5u!n;Htp2ftv%jdsYdNWQ0Tb z3{a<4K8H$#=FkWF8?;+pa`0Sn=!UwH!)=}e4!xAf++7_iFLm{xA&iD`FaajRG?)o< zU_NYwU9cB^g+uDFL!E%%Ojn2M!VVo`-duMinheVz-r(nBO8i{l3l`WA2uUG1q=K{% z1i`>L`xO9bP#5_F%_G^NClHy(kway5^%joxK9Rz)0XD)W*A(H{LbMgO!FJdII~mb+`dH;TEiLHWZHAoWUKq3-{nYJYcGaM33MxJb|b144y-zbC+;@OVkEh zL2HO&pbV6SXebRY7=6j~uZUhlDF*%^dIKNfExd#G@Bu!-XMJDhY}D0B_R?@L1}{{ z9iM$J$P2Y0KV*e0Py`A|mf%&iiCO}vCFN}wuUaeHVB zouCVJfR4}(Izt7HCd}S7-!HpcXWRZ=p3bhcF0-NGK2AK{Y4=5l|8;Kt-qor63Ao zpfXf}SSSP0P!6g>X($VAp&itRVo(?AK}Tq8@c97m;Xb*nEPM{g2H7DO!YgEMdz5)IA{&KAPi#o1Ljn-jH#8P1tPoG_yf4|ThN z+pwq&>w$ZnxC+_Z$2U7sy@oy(D}u!xgv+*WfzbfSYiOBW}YT zxC{5-K0JVj@QC8a@C2U1Gk6X!;3d4`&0oVG@CM$(J7#%L^Z`D?C-`iz1USG6KBm}m z5&41z68u4d1{?e!0NfA=9!LU7nJO84LpM33fRvC5QZt%{C@lm*I!F&0Aea)ab}JKb zjayvpR#pbG0pA&muaK3KF5ez2xA{mcZm$-1R*QSA#f5H#Fi-#rLLmr+!cYW?LNSVq zLl}fZ35bA_5DBFyE)7vo2FgM-l!Nk6f#Ql#31Xl!#6lIQ3e})Ge@6|XnjBk;s5aDr zx=;`5Lj!0Cji50!F^GnIHP}apdB9#pu(uGiARgFOh*H3YK(GT4Jb%St>$MQ<6vO}+ z2<#|CIJ5%xNMakT1D@idDI9_(Z~*p0GdKc!fsKu54m`UBPh!D7N9+Q&R$>RV0rqR+ z4|okP;T5!ockmY8Ks#WsCqBVPcn=?-t-;{{LH9GXhl=EU!39q6F^KPo6iBehb%ZZl z2!C>ZpuuLK15qHjA%NUdYorj*pcCEB&;{64iC6}T5q%4_U<-snOQ-{zfIX5J&GN7{ z712-@*p>;lYN8B`qJ$l&C=Fxazrf~B{0Jo>0@lFK&X+$O91;oH}cw%r?b5g(#~a1C_zcDRPP zhB{m$OypeYj2F%=&aJ|^gy+Ww=*cssFVT4C1mPU!94=hlT|FGGICF%U#LSan3UA(% z=q}u*dk5~Bt|JAcgjA4PWf0=1iNtaE9WKFnI1MvlrNNP$C=&X^R#*!Mp*s8u``|F_ zf$6XccEWapBMBshWblnaY=(`{7ouP!`~s_CK1_rjaG!;G1UKm}hB$-A{Ah#l@fG5K Ds4C80 literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/dec_decode$.class b/target/scala-2.12/classes/dec/dec_decode$.class new file mode 100644 index 0000000000000000000000000000000000000000..782e888cd6133a8443a6488cff8e663ff4772cf6 GIT binary patch literal 3900 zcmbtX30D(W7`=}z5ThUnic&?5HN+(+GQQGq5;ULZ1da^y_rXk1`rW>MT} zffaIA=E{z4nOR4(9RYEIskkA<8FV~8soB#dfsS*TiqouP>*h4IJ3@lGsXN0&gPCN! zq;r;G5C_^$&6FK&%8;pyUUG)Yg@UxHovlS~&=)#ZHeFM@f(5&5I{J)E4Oz1?e}>M} zhxid_9?TgkmO}#diM|OUG3Y+Vum-E6s6)3vgO^liqOWRNjp{W4>rMF1iA1`ZXbg{G z{W3IR18q9zbpo|4FAZ%@=0_QVz^cH)LilMFQfkTaqq0&O4O3QrP9PSt&Hy(Ac2t0i zfug4v9>-P{UqbD^w=0!MXmv)@O@XdN6&RG)M)jV4HirFFm5pHsb}COP7xoh|w4$wr zXzz((CEC@my@VrFFK%H?#^og{%*J;MY)$m}A^un4oT*JRz;q$wV4-^H#L$6ND$oIz za3#>ml5`wtGt!4T#f!WlaYEsgtRh}niU+OX=_CR$ADyPMJ5iHpkXDU(D#NXD&9uxy z*^Ha=O1yucz*=Ie=wT^Av8TGKzlmTtiV@XNy?$LDHSsv~|tUuPR!K;CX>f6-z$;>W(CM z=kQV#FXCk;{R(?mD;5n>M#n<4EYt^OUxn6AJf;LX<&5r35Dmkc=9U$-vf;$pwR!X? zn91i=CHgtcXt|)!m9kDg44D@g4+^>xOE}E{ma106$@_va zT!f(<&2Y{YIg}iObk-T(kN`Pyp@m|U#YMttx>VK-#X0LT|5|1&d-BpA_s(Rys;3%7 z1G-h+u29TDxSQN=Cugn*Qgw$1-M@y{6+ym1+E(op>W(m}E1r+wO?PxG5_1WC4c?04 zI^K?96E>?Ff0tX#eJlC(EWN5_0QBvRXe@>pB8wiLA*oJL(wnohY#^l6d&MY_QNNfe}>!6p)_Ln3^&^$ z@Hw-|>eHs?lx@~~gLjN+&FH2aFV9R#`?O*SQb)$(X>LLzbMV<;jE=~nEpwV9^E^3u zS)bBuZANlx@IVk7HBD&`8(OI(`54VwWjiO2>B?Z+P=KMQ$M>ySbzmGdd4`drX2D`3 zyDQkuy>4KzO}w|Lf@Ax(t0~LxIyGb6XO1w+eCe)rQlAc$D=4O4ljrs*tq#L`0~ zMblowx6%I#-7XDzal$LBpmiJjYD4RZYRTNezFL#nA5Lb!n@k4|`1n+I?>5r4#N(lU zkO&Oo$pw(>p$27Ba>E_;*B+PJDu3TpTq|)1Ptnk#eY=Bn?ItUQd^lvfty>LufB$+J zwfbv*fRVFzaa3h3LNzFEh4IXNmc%8gjIf|44K!Vl1o@bkzY$3bK$ zz_aVGo;^WfYx}s41vF}yM^mu!ubQXvJMAA)Zv~5j;?Y6xP_WkjPZAj|;ZhYBvCL$1 z8cYP6c~$u#Qf2v3z!s0K%zSp&(z|Tw6>*iI&-e~h+YcgrFdex%IaF@Q$YMX{GaRcV zuznVt9~$Mo8K`mQHwW6B#{Nr{sFCK(Nv}4Hr-`Tc0}Ij^+NbPAA<=u$tC;goBiQ4R zi~JSrV`t8jgBC8J%E)zk4`_vUZs!x`zM%CHEAP{!b?#+*Rd|REp6lmnSj8GWp|UH4 zvJeAWhqk%<6D9evv-_E7UvcdNV+CxcyOfa!Ts|+#09l^$6Wm}yiIZiZfSYt2l+dPK P;Foo5U$OiJw;0186;Y2NN5Ixtf>o`r9wCNJk6x;?WL8vAT5=22%p$lqBX+xBvfKYW~-vn2V4bBZ} zUibq(g$H=(0}p%vAB7mF3u3V}I(KHy?Edld+jju>ahqYv5w=BgLJ~Ma2Vgm&_Aedh30gdz@jVHhNg0=y*i88j-o-c6}}fp{Ouu?V%KX zhELYXYW4fEtfj;S|Q0s{*=s!%&z`4~e9K5`#bJH5s!yn8qt4%Kv|HmflkMp0q}E?NYL>dD!Ob;QNo9<5 z(#V#T$@lx+^-N{6pp|LTu^xAQhK2m=+V7bgGIafJrEpAs9(Jki=|7j0(~)4%4-U7R zwVfP8vi6t1lE4(E4NPJt0R!Fz*Q3Dni;Gr{-M-uQMAi2L z$)kFdA$E0q6ro^@{xd+OCD0m3Um-0;$OvO!k-T$`xi1j~H%TNNdd#)nS2eCAC<{@yDMvLD~@JjjaJ&H`))b!+;i7|{r%t%0Q>Qi!1A2TCi$M2 z)^sx#h7f4Gpk3CIhGtGBPfuQuStpD-f#zaXGqmKfLLrO>?vuve3GUnFE(>g{Y|_ok z)be>CBLS`IWH>bF}GhnEjx-($qB$#h-qE-%<6)6u78vfsKYb7KsiI>MJg zQ(x9lxf~Iwi}#EZhd%cHK?Bn*QU51oJgdqnMSc58 zq|Hp{b&8jHef*TdDN)6|vJ@+~hPNkP26O2$mEZB2Ov`1}Sf_H_64Ok}%$LlVDX%E- zTM4Ps249lcMBMNI-k$c+(Q>!$1n(SPh~Rm=$f93j zi)w{}L1pNi>xnrU(Dr3$9mHc&pi55c&N$I9tSKH?UMm?+jLn(DIt4RjyQ;-Lfz|Y! z*KOM|lE+Nbax`~Z$V+rTh4X5&PPPQx3yhR`TgfF@W*L^MUW3K^hEZIEp#n{Fm=!pC z9D}OW6+DnKa+Ev^g$T(-U1_{j(hSA!wdsGQ8JSM`+3Q|e?8@q;Mo^D##oHDo5QMwY zZE8wzOSx2cMlk#kjk zc)UEbqR(aNF_ys(1|jg7Qf9o&d1k2~pgv5T9A0~rmC!RCtb_>TJwC5YT9#9EY^@-0 zyGp2Pk0<|!{_~o{d+M2&{o-Eu03Sy1K0abEe9RGNxUC#0BZ^OPs{;a`v6_rNWok~z zCfDn|CzaN;Zpx9;^rW;$l|t}9(iWf5#x<%2@BPKdpe)!jt2r{qha<1*Gn%bUOAZFk z{OFKrN_)W2ibcuWNX9DJS$RTN4%_<67<&8oduv8L1`e5gOp!xo-eMEGE7-%cu4l51 zyf!Pcv2{DtAmwLBjZycWQ;IfUxNBXsX~s&d;>vBVbn;XEg=Z1Ehc&;tH{?Io`36aT zDwBr9eQf%LUqbkj*G9D%`V(LAd(<<)W;Z?+6I+>&@_v}sA!VKDy^kK{eDUs;o`t_# zdESNuJ$m`Z@HKY<-|*eQG0V~fda;9>76sT{Yk;)`MwDHybzQa+OAnDGU3&%J!M>jv zc5%uJ3vRE1)*T$E4XsD36>}E{YAxnqu$Y5xF`YQ%<5M}kJ4n@%kB9nWM4%6k&w*SA zH6$Ao8}DLY?RlxA^3P4>)s7>0f{qrP+g+q;cUd9w(SYl=ZZ+8b^V`I%)nC&r2G8EZ zaaFYlaP}b+IWfoo*U`Wi5dRHN&0?4vM$!+E1%`WPkRRdY!r}Vf9Xq@0XJF32uB57T z7NLjO?svg{`iWo-if|aOjXigeJPx6Y{aE4|)$z9oF5?P&gYkU6htxkAe&z#iF9Y3) zd$^kT9oN}%uP0`4<1826p2547;EUU@+jH0!!A;!q9jfM5R1PN|;B!DB!7jqLyhiv# zGnTP;yYU_GLkQ!0ey-!U2{hphG8n}e#_=5AqxgjO8T>$tqk{US@aCY$Dpx9m0@Uq$ dY85y>*`O3xtP>!+Ig4<}<&#hh5crW^@DJpQmazZ; literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/dec_main$delayedInit$body.class b/target/scala-2.12/classes/dec/dec_main$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..f488bd031fe4e2ca5c602d595754ca376ba5a198 GIT binary patch literal 734 zcmZ`%T~8B16g_wQWlKv-MGzJ6qnZMV6{AlzCTb*U(jrNLS2EolU~xO!Y^TQlD>Z&3 zKIkKfKgxKf?Mq2~n7MP$-h1wuGyCW7&tCwZ;gMiH(0+sKq_09#3v{H$I%t{D)_QR; z&Orp5LtjOzk&KKD`?~R}H?)cJ?cT`vHjK@a98!Xkj-H;7=kcUikgdfds}n)FJvX5# z$f&^}8Vi=|TkQ+=K{X;}&KmD}7uvVYBC^Qk;h{jzxy~Glf{mHNwjL4TKhjmRI`j5d0fW|i|u(hq#N`1 zx#}42mwgd(z)L~3K405((r!6vY1|>f(UpwQ_3QNO2?L)D9jRNFBfKUPWT@)=m_ zTIIj*sKG3+bhJH>g9DYQzNXWZR_Ml~#MgVFBPn;?tF=r-_Lez~>H7r1F3nBz`8mgV z&69^ETtlA72D9gk!nm;g6${_7^aZP*CPkavv+k33iMu=(r)9W_HD z@q>QggCG0>{wU+=5Y%XsljojupY!(Ie*f|H8-Nwe6Kr344%^X*2qF_i7&{j4g%gUX z>uhz7rKe2jgb^7oA2DyS=ZR1dW}1V8lgKwAbDVY>ZU@~+sD2`A1fz4NWRLJMOZ$&o zf^#MDT+n{VWZ$Dq$H}vvqL6i%RKFm+7m}_Jfi&kl;?rL>Ww*_sl#_b)| zm~%6POu8dsqI%f;y<=M?LDa1+?D4%wx;*j7pOREe*aUNPtFhZ$uMkF?f8Ew>lKT^Sobn5Y`^mn;N z?dipUo!CFKF^=nLpBsd{Je6KQE!kEg;Z}Ne;iZk6xRny7c%`-2m;9!iQoW7+ZIOr* zsbs=iT33%n7;4COiS&e$K0EEWpLlX32syE|b1}+Z&&4_kG{}9)JD)=uZIq@Uy_Oyv(Keopfxy z7>_^*v|rFJYbirBi>cF77i7+fpi!W;oYM>~b*xm1pjn_x8ha)Ky`r>tx~~PcR+V-0 zvUO3WMx=Az%AeHCydlf+jAdP{(8Lmf^^1!}5EW?gb+1(wUJ zGFNeQ%gj2O?Ffid%)$*Q&UoYTNzE>n1v<}VsxGrk*ep`JGbE^+x-)3yCG*I5`Q|Lc zAOy6Xnyxt7lp#|Yz3dEB3I%CXJ6n(3VPEJ(#dJ;W3Kr~&>FCokHDFzp`Efc=AK^z} z$>E%#VmTtvnCP1z4u{>x7*?StiU#xwGOzLP{-IUMwr6(KKb{uL;CL)*0Z2z>W%VK2Y=& z!(-T{;!6muSn!@!r#0Oa=uXstL3wRf@9Ae#*iTK_7i&>EgjA^>yoG?m?nxdaFaULMy>%PdsPxGAs1 z_X_k7QpFAPs7dvBjpYaiqZm@1wB9es<7U2O>82B>wEB9S$X#9L;*dIH%e*X*B%f9+ zG-oXx!!iuF;v`5ty*YM~H1?*-j#iYZLHCK&RFn~9q8L#=S2ETr8F@ULZpY~uj$uG` z__J*-c&;4-jb!SGx{#SWJfB%<>zbioS8No)^8%ZzmVDC9Xwy@9jnu0Zw47tv*CIGe z#5BVRtqI&pgo0RvuhSthpEyG+a}>v}m1H{HUVd)jbbW+_CDK&(qb!UQT7*>%RR?sSj6KAvLu~xxM8m}qAQdvdI z1>LqCBX!I)Ek|>whrC4dMVwd4I%zOuUSKq+<7zD7Gy_;_S`8=f3&wB}hH^B`;a1`- zatyLqS9n7LLUUd8Xx3MKcuDtjYXqnX%$YM|<2WlkKjZY7|ZARdu^u5eDII zahse}xjabKof&lh8eUht_=dnb)k(9x5oU5l?h(AnDoxCr(2B!X;jJic;_VnVV~eWq zce%aXv$9{y(yLfHKM;n%uauzTW!@7@hXM6r;w16fBVa~Pbg1egg7(Qr~KzMhj$8^6aB(o_z)jO@c}+&FMPt0XSl5#N+X8PaJvHnpEH}RUNkkQ zVzbtpypu~?MmObXWqL~5V~QcTAsLHjw+W4`!DoLlIwVWB%xR9y^RVP)eMYmjX~{vs zGeB(EG^IUgXyvlxV>D}3?3_HID}(I=0fwF)-?wGe@o?DWu|*D>1&dAWu3$I!x{1NI z@Y<@1jjh|E1}pCkYOK1?oLZFm(p~GKOe?z4!<9Q+>EvDgMP|_O0IPpkFiK zS0c^HhuHWle>C7LUR&J74t&kuF;4)ST>oTDY+*dg`XNd;DCuPXL-Z-*3$?pF313@T z-ijnGdhy2b4Yh!8`EBOdWoiQb*iNMd4tCY+U=4v0fyp(l$u?r?A(EnLFX6k``wQJJ z40(RSD{7#17yIi&>+xF2+{6BQlQ|Gh=75__Cl31fRCn(#()GmSq5cFBIE*J}L2iT^ zk}b&%_prDAxYSnp`=;Vrfg^Z|hUV?tJ*4Y5SuNzFA=B->YOwqJw~W*#92jP&0}VU(8(hno7g@91s15A#0kYN(25 zRsU=w{;CW1(M}YrQHDc*?d-V&tm6iBu^%gxY2>>oF5?P&gZ}(_Z&3eq_>m8~y$tjw zAK+^84_s%<-AK;h=2jFhm2xEc2wwmS33d^_<2A|` ztys$5?Zx+eZa@S-@V=J6Cb0x(ki{6rF@YEO9mA)T&*Dc)92Mj*g*OL1dR(dy3Q)K2 e$yMO^WP_4iu~vZ9%~^zlZaoQA0RlhK3jP5tAD7Mm literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/dec_trig$delayedInit$body.class b/target/scala-2.12/classes/dec/dec_trig$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..537eeca88dd2d08e34dc035aa0f20fcb39ba3c08 GIT binary patch literal 742 zcmZ`%O>fgc5Pjn$Hc68v4gH`%TRu{hwi2cYPC-b3s1zwFmD2WFt?g~P#jzu2jmWTUBe>_8(Jj|L1b}LS`ObGQ} z?xqf*B*x=#N?301^e)9a;f5j_x^KKo>FZ7vHk>jnR0y?Pr-LeCbFTDt6pUjPX;$u= zH5+4}P-yR*R-DARf?>|MXW*IAGT*oRG>kBnu zSMu{*b<=pDDiJIicCk*LIAwn?_m@x0&!&97to3isWHB@?>%>ypWM*FJ|XYMWReT z2WtZ@{I`8Ep689e)E9AZBoZ-_>@-WO4B|BL<$*FJ^?~_&-6&-CZgd{A?-_!9Hn+&n zfbTJ0^U1;zuA$7xCTCAM632zz&sg}1rB7J&KdD!x0uRM z^f_7_8+*S{qMy5aUzqJ1Ha;>|z};*o8&?TeXH9cLZqM`)?r}qjePy74`ixcbmCh5hZQEt_2r6y{opo5L5 z-Ad~7c7~AY3O^)FEWK>}-nGpFgkE*|i0?)0@x&v4O0%HDB?Oar0P&p}Kqlr2V);?)uHK z3I!SdvjCMvU>Vq-Wv|3YjJYo;+`mNeb4tNoHjOpH7*lx{PW=zLd_}&;WCatbFy5q2 r4pMnbuAZhAnUa1w_qoK(r-7qlj!}VzdB$O;X4&)}a#-MissTR%K0%~P literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/el2_CSR_IO.class b/target/scala-2.12/classes/dec/el2_CSR_IO.class new file mode 100644 index 0000000000000000000000000000000000000000..a49cf713f6b0f8623d2d4dfeeb167d366af6bb31 GIT binary patch literal 82414 zcmcG12YejG_5bYc&8c`)Evs3!Ia@BWWvkg%b3x6rtY%BLRV?|`PO@w@ODD?)V*&&S z5CVi6N(iB)00{&GfmBE!q>`SH-g~bkf&AZ_*}GlsJ?ZxMyWjt#kLJC5`@V1bo3cB* zpMB%mk1@u!@^5G?amYVd;2$gY)wH+y8d_tR2C~6pqci@ol7i~liJ>un4AV8XWNdUm z>H&>mTw}`+1YloaY}Pjz7~3*4*gw{cRk z&IJ53TG2l}TGl1~{jTa0yuj@}ki%p7q`N9btG*;Q-GoW*{kl4HG`vC30T zc~-ndKi!mXmUTn&XmK5fdg41Xg1z$sH+Zk1nT%Db%c zbEZ7#m(UJ(h1$6{%kV!^?lI-%R=MHFpq+bzeoX2cehlS7KPKg=rkyN{ALHq!yxb}` z{GPOLm9I4Q&sgQFO?j5Zzj4z~daZtvn|{)3^^@H6lU}Qz za>Jj?`nAeUf9|#Vb8h-`uhpM()1P~-{+yfs+-vpc-1O&Ot3T(aKlfVwIXC^e*Xqx? z>Ce4}Klh?P=hC0MYQUd+t^S;w{@iQz=iKz?UaLRnra$*u{W&-Nx!3B?x#`cnR)5Y{ zhx)14>d(39&%IWE&P{*rwfb{z`g5<v`y;gtDO@HpS`g3mjbFbB(bJL%Dt^S;w{@iQz=iKz?UaLRnra$*u{W&-N zx!3B?x#`cnR)5Y-f9?(XbDZyS!=J-^&ujJP-1O&Ot3T(aKlfVwIXC^e*Xqx?>Ce4Z zf9^5;d7jmudrW_xXZ7bE)1T*A{kg~V=Xq9t?lJv&p4FdwOn;td_2(YbpXYU1{IbqQIp{du0%pLd!rzhL~zE4Rv}|5tLW|M!^wKhNs_ zJ*NN9v-*FJ>HqVr{@-Kz|2(Vz_n7`a&+7j@rvJ~g`hU+lOZqMIbC2o&^Q`{gWBUI* ztN-_y{y)#^|2?Mv&$Ie}kLmyOtp49)`u{wu|M!^wKQGJT|2=yw>9@*F|DR{|{~pu- z=UM%~$MpYsR{!rY{ePa-|9edTpJ(;|9@GElS^dAq^#6HQ|L+;Lq~9_>_n7`aFX;be zJ2d=1wl}N)_n7`a&+7j@rvJ~g`hSn<|MRT=-(&j!JgfhYG5vp$)&Iws{=dlT|6@%5 zUu5|IqEYaxG18yAYGYH@78(A$r~&#{jP%>C+C-k0SKrcHSi64T#p%b>JUTBCMOt^s zP>hxZE~%+vA(6s=T}Osrd2(2|3w)UF*^^s$8p%_pZx57VB~8XREWk z2QJVOd0cOXrf;2TOh3Clp=WzKPe{;oSM|x5B@I=uF{2N~=M}~Elnr%w8v2`iONMtR z4wWW0XiI7mb2D3xmh{yZ_hj_f6b+58+uAwaSv=n1iccBnEgtAScVaHFy9DetD|?&J zo(JrCy`w#4<9c3J+2*XWz9YMGI}#eSl!o-2xv{Q_ncYXz_HNFq9N4`te|3Lx@Z6e=DieRYvEuCE)}Hdd23Pz^7u4VGb?$%w>PyX^6WuCb`OCBVFda}H zs8^(;UfWQ|WaqY_-Kk9(6j##PKxslxrN42V zx9C7a^#%NpY&SzSiM1W$nI*$@hj+}0-tDuy+e8&@*S)l=e%r{2bB(1z{iYR=4o`08 zc;=3Qu64N?{fl3ZSz8r5>tDBHZgX};U;mce)A?Ct7j25mit}){K9Ja4amoWP0b}#ZfI~bYIilcsJBXbx-|dXVK)0D_&c(eCw&* z)ngr#nZ>6@&aK&yw5rUtX6;t^T|RN*+|rK8-ojb`KpE@ooz^G@aenO?A zNbjjQ1$Ixj^p;rcK>3n#_foG1+oRsOl{+?n3r)6Nhi{4VmS6b(I@6JhR zw|fh+O2?~sk!-L1+w)J0-m<`GOVhE5E|rcgRl?txc-B+UQ`y(QD`)TIJe=3W8SQLr zMdd(Ou{a>>UzQ)F2l~}`XT@wy@etHs{PE_)%3gDNZdt++BOYxDDTn?o`=eNi=@zBq zM@nW@fAq@sdEfxlchd4RwOZ_O*S?GPwDuOAl=YZd=~|PL5ACdS{KPpubG&Q&@aVav zv1_#@!zYdS+p8~H2mNhe)YH?^+@qy84q*Nv9_TksSrvUXZK8Bbc9~ai$crh2@;q|* zYaj2GKUPvlsjc^oJcITe3@Bn?vo+u;&@dn8+?0hW^{X zI(w&Tr=8o1c30=rudj-==Fc;kwcM-kY#7;Wq#x>aqP-<2Q>(*%DBH>LddyF6g;y`1 zr#IT(CQhyn_5<_}tDEYR8nkrTj<7z~1?neNznvRfSzN4lNxwDQ1?AL|Q`t8T=}hb{ zJYBcPwN#72cDZIRw9DiDmu!le>MES8DQ>DC@7yss$Qxuk8ZFL0jsB@|AZM=WXwi6k z>e*ARU0GiJfN2-X--64VhqK1#b(?+}{NlO-Ef(AH_VE=JeUs^dxhAM5vwfVXZ-w~4 z-)F3c{tSA1lAygGY1^8a(4*3?`jt%o@JX<88=4PW`VR@w2mch6)aH1t& zmPc?Lzhl2%hp%Lh4R;*o_1_Jt88{ei}#xN zlEKzXZJWyLjjbltaPt%3;0P+p(of z_LDv1=ns#kWrDsJ^D$4pFFzxpTU*+&T_}H~{N7BX2m3)B`cvs|z>nhid3-coK>ENx zqCY{uXv8PW*GLDB1K=0XZfeM`Cy+6fSutFbisNi)z-aG-CtA*~+kCX*BE7D^v_SO> z{M}b~I43i?K}$BD{@aaWp9<`X3PW9n|pTlov09}VH}t7N`1_q zng>9+C4}nr$hsVChvOaRE*^n)Gt-`$pHXG@KkWZ=V_BtRyLWBvY+g}uydfRR$Gk4n z3G=T$UpKU`-fjLykJ&$QK7sSDiO#aQ#(hw~-B7>%p>~$u(p`!3u(`3E9mD>8P4%0* zwQRGTVEmk)E*L+}ba%DnpPrvCk>U={PcpIome)@lDV!QlPlNVWGF-*0LhZ8&`lBsf zu9A@dm*e$N*Os%VV4gVRUnl2zS)p`QHF|D z`Eb{|Kt@Z?*1m>yIhoB_rNjF8;@4$Ahj}cvd+3LK{hs`?g6_f@?B~!f(J!5Xaq48v z;hfI#Oc+0^LgPoMKNVKRg~oO0U#GF2V1AV%=P#l8)h1|XWixui{Qd~->vRkH>CpHm z>k-=3+4TjQIgdcQ`OwaNFrTjS%e^;?c?ooo#E4|`fy z?3}dwhtYkt$6!9PtUVjXsUyWOk522Dgz{*Bd3eInBG)ENr<_OUuit!hYo9+A#&=jh zRPoU&Tn|Y9(Nl7~zfJVZ`MI2TmkzgA=fb?ijqS{n)T71ruLHlbqSWfY4{zz-Hgsa2 zd+!#D|2~r5RJwU(#mO$12h6j#TErAo$?;B&W9dyXTe8Z%`erQ?#{Z!%PgBR1nQI9E0@(_}`YaxnMbKu~^QH9f>O{hU?cs{dYpY z+LZ?L@e;rP+>((kK5ZrT=lmn3I1h#SMMdI74NT}=&kH)-|pT}&|M7c z$+L&SA5Hns4bE-JDv|SO?*j3Np0a@kjAvDfs}k$AbK6A!zWmb7M=FMQgMXOJsepMe zw3BX}cZ+^E&X?DX#kBU847J1ftnzVod(zRenMT9Ts*t}pvA&?IxUV@|XrXbjvvj5= z5&SijQ)Ni6as&8{shZ;a(#fuEldaGWCVGnoyV4=Ppx=V|&F;jr83{clf%1tiMVNvWmwWjd>!rm!_=pnMQ0!(2nbh^TE%jg#7#n__58| zTSpobbJe^(Js0X}Tc9R>sAIF1>PQdPm8Ks|l;t&C5Az=*z6^^W9P;ghasz)BN++~C zGoK}AMqxg&C9AZrD|PE!Qg@*r`qz5Mw`+H(yf(!oga7UI49$t0l95SkzO*Hw^y2uP z59<(Er|d28S>~ImV;SR}R~CE`#||!+``}WnXVK%wv1CCAh9=$|)bh^}e^R z^kn_HrLg{z>zHE`Fh3deq_idCJR?1)GvR3A%n6*Yc0+$%ky4g)v~aF5QE1h@6|=j$ z^Gl(=V(WLo`mJ-DU-xFs;kvLL{FmAXSd+P>2lo|1<3f>YztG=dz3flTML&h>exqF* z@^n!T?J_tYK7J$}_bW=Ef31=8v3c|6-ogoAD$d7n9suJ_=Z@jtb4yR>_sD#ETeBgb zxPF3s`?K9;kj^5x&rt`oEiH4Rd+V?t=9f^eGkRSEteYxl+E?!AfOQzGH{`rZG#@?d zfp&!Jyo>@ZW@J*$N1=Q~?Aj{#1kRrdj#ju*wn2SoRh}Ag#e3Ie?;P5V{%3g^loR;% zi+R|M( ziSf9*iv#|1%QImd9dE?-b9Q;3|8QVr%kr{gyR${n;@4rG{EfmOIWvw->A`8`5`rRr|qpU1!Bb zy@}9XYb&Oj+f@0D3m}km5=yhyJC~ zl~OJJ3+RJS6^~1W z^*Z>s{8;oia$TNT;aa;kP><_}6V>1^jr9$zdzQhv<}mcD;r_O!xt1PNf74obARqc+ zV|8xkL{3?7{SW=^OcjpvmUTU>$K#}*#r|om+d;3P4bx-u6M@F!vmRMLqoW-O;3q@- zb+8`+?R2>7oQ~z-)r<1#*LN?6aUfTp!@^Ic=V5%+gt-MGX)?x=lZh&N-Ixvv0zH37?UtgGl0 z%$IN-Dj+|`IurIIv>4c5DS>^9ZGKpPga3y8u0&Y(sD2(?kHR`K3;H42Et|3K<21qk z^Pm=AwFde(%-1@TApgPofp(te-Lq{H#`z5eE6Ytk3+)@)Z-Q;zmpJb?_@{ye+6UUz z>S26<{gchv<+JU(V1LGFZrpjN9UXR~KTXAciu+gfyT)LC4eQ|TxtUcQ{T1vF!aVWFc5JW36QeNxt~UG} z)MtESd|Kz`uI+s=9-Q=c7sLK3wktkdkNd#buGcoe{&C5%8Y%aL<~yLTt;s0~GA1 z!+d)J_D37emEe9hv{U8pVPCRyJPY=L&Xr{J^RkI{*w2J<+uzs*^AOkv+MNyKL%nPd za^BYvzhh4NU3I^uuX$g*H&?c!0L*_2{dFsiaeez)*cT`q@oVuZFmBJP_J{X<4tByk zq!{;GlVP7w?vKEIo>{05^lMpbA)m01=7;$Pw%_IRf8#!<+EXMt??9$=ga$jnzYn58J_`A~2 z%Ke>YoR{G~8?Fm8w+(c)<-@#eE6mH%VBLW2$k>-t_OLwV`tAUNFJK*0QylpFUGaX-{m!l7UH?C3N5i*f&OT`u~OEEvCF zU#@JR%Yw)GHKadd(f$VG`kHL?+t5z4i%%K*xHxal^zJD^zYXOe=Ubun0OLo-g7JCE z)x4lWfFBC&3kUC$W`f<$f^Jxs%qyposor#<)j~O(NQe7H#(hDR{xvXPfPJY0DNt^e z!>~R#(kbuH!g!pw8rD6h8eu%0KQDxNv4-;%ZxxLHuKY;VCqy*g0g!T5}l>U>hI39CxQQT@>k4a0oWOaXC$+-WLHNkR__jO=h zpQ+X0`V7|XD^pxcx8=(F_k)+L>8#Oe6TMj}ag+Va+!ycz2NGA7zRoeGRjPR!)a|5-EEKQj}vc{5Zmtt5d98!_j3EZKEi2&f1fr?}`mS)4}9`+A{MyAHx$XQYy zjC*)`avaPYoa`7K3LJ}J%i+k)d>KS+Id79YeQI#*#Id1iFuPJ?aiO1(+xcok!^3`1 z@@gz*`jq?;W@+Zk#Nf;fC_&1=lJQexvBT4TzYpSp5@`x%sb*|)@C2OMiSHi_jGi(s z$H4)g3E%kSkRQ?=QpExT&15_hG&nQuo0}f$5A^${tvXm@^L6@%hNe*`1OpU`hBTHE zvgDue%}$&|SrW=d3-R0$M30iCD8VC0ql3Po(f$$N)QNz`RyB=I435nX`Q?$N!T!Nx zpzI%*m6v^(hV0Q{g^|+fA)id`ss6E1j3zKWIx^y)Mq|GIi8C5Y2;~#%6yO`Jzjw@w z&58|F?!x?HTvOw-zJb{pUts$5gvL^b#`_`3gX6xLK>yg7ibM*BY{I2uGqa#HIqkQq zF2ii2$*E~SR-wA4vGkC9awcHCkQTfE6pKzq3&j^q+OR(`cq}NDwSk3-E!H2v3d*$Q zv42Vy4i;sa|Fp^;RLsD%4{H5{AG0`LJU%)z1&uM7jM0gi093O~43zk?U^s$pcp z5AsBdk*UG0P&FaNK_8f$0Tqkn*eF!Kj6*hwiGWYmsBdnd2(o~2OL?Kse|pe*NtTXr z$%05gglx>v1fdXQlSH-g0B9+zq1e#mVE^olU&+lf^iK~DP6P^#Mvm|)C4w~BwTi<< zCD>Ywln)Jz_>T3D1+ZvjYr!TUTg=?_XaM?S3bw0|aN6GwiXj=aWaySsFFB}(GNqP` z4K3J8p`}8rm)*@MmZ?E3flx}Iu2n&SP?jDRlWNPOQ0&9QmJW<@7#%n(m=mZwD6X*+ zzR6Sm>FH7QC87F}rA}YMx?TW<$zR|S_PYfxO@ZH-e<_qiS+4W1g_1Z5J$L>kiwBdg z1PVSonAxe(DgW5$#OTBb_^6rwficK!s3hmW&B$g0B{|Pis5(foRtpr>B&ekXn>=RB zkfmGopmalBXkNW4?~{gjbeLA>2Tm@S-f{ov6m%pH7S7a|rAfkt!P#jP;ki-SnLu=` zAA0r@Rg(Rq41NF0{q0-(D0nae#qHi@tmBT0UsWK&L$hs=-8Nl1R&@J zq5ol?W&KKL3pKxDo*SNj8GUj5xc@ZtzbXF&R6-m&&k5CWQ^t|{XV9C^f;01<#5uwY zI7rxjVlgrsSaGUZj*i3NH$4<=$jVD8A-I&V(RmtY12~-+hDg9O$d+6PL!BB$!7HO^ z$?%+XUPk2O;4K2P;J{&^81zj}L!N`~8k9Zb(`w*JH1xpR`+XCjo;@U84OHbA4rBf) z%#iF`;B(w~5$0y#pfO+i_$V|@OP&ISzNy)Zsfd@u!ZbPo70^uNv7$-<^OpH#|BW>>Avtr8IQ5 z!(+2E$9!Xxb65wyAs8h>jznFWfHpS-V0Ce+iJ9sT95bY`(xOBssA?bbkM*Cy$lc@q zDacw1R%O zlq&zEuE}W}PRnpA5b*m(;q?KSh(y^2@CVKXK#+`y!Gch;8L2a0Bpo8o*ii#r2I|BQ zPfic|r3kVs8%i(>5aBeGWV+Sq45@x7^ObD9pi-L==1GPoCuDlF=ngFn!N_M#7R+0q zz9#3);#HnOPO~x>Dtw$ZWA`)sMKF($ej1^%3@CzGKlBzAz04UV7c3E1pvmY%*vpe= zr@)ItkwH@%P<}X3mRrcv!6HBku;EByAvTFHX_1l&YoS96GM$g%uq;cmfobUVIAg)q z9GX_4R92RGEd!eex=7hgLgOZ&GJ{uCud-f>!E%9^T$OpMv zF@NC}T3jP*~9 z6toT;hhA6tzms6xu?J!O+A%o|K3Z#l4jx*aLuJq6oH3(m{&FA3Bv{J>6m-Fp;TFL{ zy2fS9qOmm))Ldq>D)$XQZ%OsugTAWT+BV-_NR9ccsTJar*V@{ux_q^D`w#Agfq{ zeN}s_q2U~ayv7@X&W5ef3qwDAEma2_4#Ra2wfpwB9mE3MQ+256Aao|#_Nr=X>e}07 z*}$4n+egTubi;Jfo!jxSbPSV0YQkjO2-$W*RtlZl8b@7ARdrJxq>_+A8VM<+l8{0= z2`QwMkV0AsDWsN=LVD-rwYjRj5mFl_vzI68*~=4U_VPrTy*yE7FHe-&%M)dgzQo#^ znr2^hRZF9rfRle#&dxB0OUj1(O zH9)5o!5`S_F%GHQ?t^X(u5C|M`$6cu2kY9}4(&haYpbhmXsfG%UXjpHQ&qFK&R2|u z1Zhh)ep|{c(JDe`HBW#&ImN1Bl$_O2yRV}P(zGhvQ*>Z{7N%~$}Z+n7?P$IUpu(kU?OELLPDXWk_-(LLH4j- z4M}TD6XZ4sX|HLi^)*5Hn+nRQ1yj;~u<9UqE0y&i3cazB^I)-|$jo@K;!uhO5v&wQ z%KoaFMk$!z_906_0p<{*5B@Z*#wnrfACMhT2$q}rrE(}U57!jVXysDd(#n-7RTc^y zYVlIlXz_}dEk3?s&mm~vMtctaFxqqQr)cZk3|%L_cnq*~=64 z?B$6vdwHVFUY;nkmnX{X<%u#(UsG#Ut?yu~?@)W4Z-3LFc1TN1Q~RM}@NzI20M2YT z!5>Du3I3GrCJ}#O8*QmZi!i&NF9>;g6t}~qNDUP7*FlTtO=)TMsrHT(9Vnz?e?yJ0 zxTdS734SEtkLoH&El%-ZZYFlM0LSkDTO!^K&k2j`DahPnQ4=pixRTS~YFI5r;8=C9dd`)%rV80HAA@{T&cOF-5 zXb8>W<+MF-{!f@Q!E#)KSJ80<!!UI3q1ZxV* zOY&fD9D^%_nyMzaxFK)eiU2Nl+eH7jiv%NP$k&Sb`Ld-$Xm@Duz>M4piNu%n4Fm>! z0a#%i>z_FWcO^H>FSf8!bjeL3I}oDXG(Xx!%?RyY?Om9N`*3TB5# zp*^6W4?^z>s>m%Mm4VbS89?5nAn&zgdF0r%k!5HH^VWOwe}xr*2jdxqJ6SrIu%sbq z5Q?IFv7%ViywEOPx(*59j2*%xH)qM?o|%Lo??vLe8uSFlpNOI(8a=4 zA=ghSQ%^&#=UpGc`*10C5dfZ5#q=B)pFTA(4W;Ub9C{{)hhc*lI($e7x*u1@KLIn2 z=~L6N(DfTBykNt=u&xBRhR6B~oeA+EnJJGpymb(H8DS z!=?l7WWz742w0=v+>Iy^cuJB_!R zd?RxjJ7MNW-O~?Qufk1)HoP%V8Ilo|vDh_RYM{f&jb9yZFF@mlJsa3hnwiGi4=0Un z^;EcPG%`0Bn1(&$$uZgNp%P4?+9{1yf-$J-G$L0}fTtHI!2j=g6x#Q+?_-Jo07vMc zSFx0(>@9M8bOP_U<8~bOUud$X5IWaSRY&|;NF7ERslL~&u*F&fam_XiXzcR;BU5H) zC1MSg0dWmGvI~2F2lI}_5Ho`})8w5HRUj(cP@{(ys8Pb~Vo}-(L1@3zevj4l2iXnH zD)4QGc^^7W+{l(S1rn&2KdXBAOGsTMP^vrh4Kl@HUBiegWbS|I1t{Y}`-k>V%;al= z{fzxQj=8jdL%Z9M*C5z0*{|^T8!*oc?kd8TEX-5r4Mo9z&3*#_mkWmNARu?_qBC&Q zVrn+v&n=OL4-1#u#p6NGBMqxPM#^w-_ffFlvfrWMcxl)WTMY}BP$uD7E|1!&gx!I# zl&Vci!G6#FfaX%<&?trTjV)Ei(loXXvYLx-1gQ`F9d5tp%2j!AyVsYCw?$Jn%%9NZ z9oE>9`Lh4R868^JPv;hC%u6OPxF@XA=HAedcUZ7L%Ib9G2=*t%trYCfin~D8Ot)Zv zVSmMvStHor)Rnb@{atbE1^b8M@&x;*;x-BPn&LJK_AiAk5bWPdRwUSel&nOs*OhFW zU~ed{RIvXl4&Hyo6jv!UO>sMg=2F~lINzzbDxtZRy&9o$#nlPTqqusZ#V9@41%L*; zPiV1P9OkA;Xz_|`5!w>P?H8I*T$|7m6n9W)iHbWcv?RrK3N2Z2-9k%IG4%*7RdGj! zwp4L_LQ7NJfY6pHZb)e9iW?SMhT@J1EmLvFg_fl(j|nYXaT7vYuDB_o~xN|~VqqsK-Emv`u3vI39-Ym3rDlJzDZM~Ac zMQ9rocdgL!6!%u4ZB*Rbgtkd>HwrCZac>veX2sniv@MFeO=tzm@*P4eRI)pTR;0MQ zg;uP%cM7dUaqkk^R>i$rXxkL`fY7!p?jfO-D(*c(D^uM2gjTM&M}$_PxW|N6skjdc zZHMBX5ZX?~Jt?$ZDpgMjZMWi{5!!|7>a#+tQrt)2B&Xs&CbSyGeFC1AR^0PKt5eud z32l#(y(qML#eGI-dlmOtp*1M(^FrIFxGxH=QE{&btw~w=iqM*s>}x`6QQS9#)~dK~ z32ndPUKQE_h4`+}+7$PFp|z{4KNQ+Q#r;@lht$=d3hl6x{ak1rs+IgwXq`&-YoT>1 z?zckgR^0D}c0_T16k3l${8?zdiu4kL1?EH zw^3+k6qhfwixjs-XcsH4P-tfrS1hzkWPRvcg?3KKwhQf26>pi)-lSv|Lc2_HJA`(* z;&ut`3dLP0v^OiRT4+})u2yJQDQ=I@u2$S$p}j?M`-FCl;+lkZt>RiVwi*WvSRD3` zo8y_hFQ@L=sS#sQrKVP;B*#X|l6ezODXv{0O7q5FaGdJKfo;tq>bQrblvgdFWonR_ zz?*~-a~f1yG^eO!&uK1|C{PUDg;Z%@RL#u5rUk6o?^!NxRPJWutxQL1!7MM7L}?9g zd^)g(_d^}1;cZe!YC&sc)k7(pB}cZndG}gXgmU&|Z^B9sZow*@^;9hcb&NZ+i)qfk zVXHJZ5H{tc(z^GrRNWi)VW)6{C~|JR*tu$nb5*0noTPP|bF15(tClL&jS-61xOMSA zQ8vofnPsDNohcjT>rB}wVQ0!l89P%pO4*sRQO=Pn8gFwHI;%ZYb=ZDU)nWTZRfp{t zRUNipRCU;XQPpAlMOC9*DfgxB>IZN6t3thiYUjA)i8pwZ3N=XE9uSD2Xx@TWiVG$# z^f-aiqlRD0QwB1DjUW_1nPkd zrAAf0p%!{hLupcN&Z-%lKt$3D&LAkqWwod3MQ-dwNThrQL?x1Pok63PM?)g#J@~|k z(p)61vTMr6DU>QTVKh=8RaIAUPzd%uPc=BhF!p*`P>WQ$8gG?a9?_T#QAeAj}bzu3|7}ZPg1Z8I3{q5V|>oC6y*K$_2~AHiRvhdb8~Gjr}c>;+&oxh@@ZFHWb$cOU1aiU zS6yWCX;)oj@@ZFHWbtHGjktIUS6*cC6t29;;wfC&C|}ZfS=xe1^CF9Qsyb}HsOqr&qN>C8i>eOWFRCt5u3^vFDZj9i zn2W$earpS1QlMNKJ~gMFL{mDHOAG2O?$UxVfTOuBJR4Jn>fBsXDN{M#&5DQ>A?yA`(# z4)WTv6qpXZM?S4E?2Oz1#47XzFguT!momirXRB zLB;J7?2zIv6zs6#ss-y%T&-Z8irXVtm*Vyc)~&dGf*ny@lVClHYZ0thar*^3s<<}6 ze2P0LSfAqHp@@FPbqY41ICuzRP;oti4Jq!ZV1C8*2{x>_0l`KTHze3G#SIHKs<>l< z9ar3O!A>Y{Ot3MdP~17e zW)=4)!A>dea>3>l_h!LPEAA@6&M59Jf?cGzYX!Slac>putm57#*d>a)QLuB0dpkZv zJ-=_^F-vt+6JL1~s1)o{_9kqNw+eQdLftOd<%)ZUU{@&aF2UZcxO)V_)|% z7wjg*JuTSV758DmZdTlLg59FHj|z6H;yy0eZHoJ(V7Dvo1;Or6+@}S5hxBpomjt_0 z$zB%hF2#LLu)7ua1;Or7+?ND&8PlA0waeoo)gNpl`V2>;AAA&uhICy^SLyG&iU{5OUb-~Un4t(uXlH;0SPb*Fr z>>0&z!9J`waGK954xHw5iUX(l5ygSi{HWr42!Jb!K zmS8U^Znw4fv2>xdMH}N33 z63ZqOK`lJAZaxWa&*pqJFu|{mhg7@;o@@@LP(~QTuf^l)L0Rz4cQ{eZm1%iv982NX zV;cS#58Ms#0CZ3pm16i!6dvc23V(APOXs&hMuVte6fyiZc<4MVW*O-nar}1v4tOjD zo}vuXnEwVoXz45XUGboQHykGFP_xlsT<|=*e6vphyuxKVFjgSn;|DRkliwT9a`?M6 zR$vw=t!&1x=EqQy?~aEo-ES!fyb{A7gc)rx;?~)KY7-B`W2&JX7*d(V_r~$}@b|&l zphaiSG5iteqwr`ff0REK4+yBh^pL$^QGx?dh5dLO%i>SScZry9)eF8)LrxfC_>=Mc zJbw%xvkj&-l<%3zS$Jv3=)~}3LAzvORu3-V=~xznPxe~gmw;V$Vx+PGpK%2j@@zbR zhCipVqm~n2^PA_waWp`54w^Bp53m(~G#+yJF*yGkOg&tP;c(^!-p66ha&WpH!=I1m zFJOL`TNP~6s2Kj~c>ZFTmdydj@Xy5amr*OO9bS6}FGZRLf9I}|=Kzwhuv%uv2mI3q zjTeVCO~N6Y!*FN;%61X7pn3#n3x8S=tq4BljnVyD;F-QoODm>{eC6HF; zWiIAs;NjpY##CG;G6obDiIT#4P||$1S<)>AEih=IL5mCui#e&c)%XqTFsAX(fy~89 z!ETu$FE?m~K`RZq!=O72y33%u4GIe^X}{X|U1QK%gVq^zk3s7V3QH=9YcS|OgEktp z$)L>!Z82!8LH8Tv z!vaat0fP=2bjYB7gAN;X#GtSwlDJWW9yjO-gN_+=+@KQ%oiyl_K~EZV+MqKA4H$IR zpr;HvXVB9IJ!8;|40^Fa&l>a+gPt=eEMa82VF4rQ$ll?J`apjR6dmMju? zjX|$9=ye8tt3j_f=-Ui>gF$aJ=uHNFyFqU@=q(1l)u6W-^mc>ZVNh6*$aLIk{JzVe zcN_E`gTB+C_Zk$IAQA@)5J}%{(EAPgfI%NL=tBm5*r2fFkhu36zu#xj_Z##PgFb4| z#|-)bgMQGUj~ny}gMP@MPa5>RL7y_{(*}LUpdU8qvj%<6pdT^lM-BQhgMQqgu!NB5 zhXsVB&l~gwgMP}OpEl@=27Sq(uw;<9mks(^gMQASpEu|i4EjZbe#xM(81%~q{fa@q zYS6D4^y>!whC#n+&~F*^+Xj8rpx-g*cMbYIgMQzjKQJgP0c84NdM_zV-9!HLr{GWF zkWlFNFW~nt*{?va8qfYn3P*J$g)=r152sEfg)=9T!if_};iQSAaLzzDM5-SN+gBzB$C2u5=r4KiKK9nL{d0M zA}O3Aku=7*4rfQ?Z#X$3DV!UT6i$su3TH+ng%cx^!g&!%;k1aPa8^W8I4L42oD-20 zPKihgXGA206C#qr`4CCrbcm#IHbhc586qj13y~B~g-8l#LL`L~A(Fy*5J}-Qh@@~9 zL{c~jA}O2$krYmWND5~_B!v?olCC#?Z!jpF{g84v`5`Hs`;Zh)eMkytJ|u+`ACkg( z4@u#)hoo@ULsB^DAt{{mkQ7dNND5~>B!v?mlEV28N#S&dq;R%FQaITmDV*z&6i#(W z3THYbg%cf;!g&r!;WUS&aF#<-ILRR?oa2xbPH{*IXE-E<6C9G(8+5Nh;p~Q#!^sUv z;oOF#aB4$RII|%soY;^Q&TB{tr!^#nvl^1ZNexNioQ9-uN<&gOqai7r(2x|)XGjXC zGbDwx8Ir=u3`yZ!hNN&RLsB@CAt{{5kQB~iND8MhB!#mWlEO(0N#PuZq;LvDQaFPl zDV)HNbi|;?3<_s2q#RCONDAjJB!yEKlERq_N#VqWq;TFsQaEiPDV(*C6i!-53g;{& zg;N%i!Wj!m;e>^xaK1uPI9(wroUM=)PF6??=PD$HQx%fJnF>kaM1`bqon7sJpUQ(?H>fz#lHZd2d1^m{Zsx$;4e@r+RfT6@C%f+>%kOU2Mz~)7ylCQaV`)i zgCH4VxK+E&h@k)!QI&6eM!So)FO-6^uO__O?O^0??HDTd|wnM->*GlWZbNuO;A5i&^E*C2d5;eesEF} zs-H)pejbzM@Sz1_c*bcAIC)Vq;PfRF!;=uhc^Sip>0A~bYu_*;46qpZV=NIP z%yMV(EYCCA59w(4D$P)|iP|?|mW0imnQ``Va2IXW>O9lmO z$$z5r_E$O)j`H?5?eBC0`WJ0fwOnfh3g#>}l(SfsvtR?V4qjcpu1OJVTd7U%x-%0QWXW)O3;Em3}|0Kcr&cLsc;4RL; z{~}Af&>8sOWX#3R!2cm*-s%keIvMkJXW%zTaG5jke@SqKBQUs0!r{7hI0I`Wc$YJ< ziv(Zj46KvjYG+_KSkM2%g70$%-b#Y+cLv@@ zf**7S-cEuab_Omb!S8hjE+fJ3cLpve!H+rvSCHTjI0ILb;K!YTcaY!@IRoz`!RMWU zcadH4X=mWwB>2P5z!#Fqe$E-ViUfbu8MvARf7}_kh6I1o8Mu}Nzu*j9N0#=doq_j| zF~8&tTu*{ub_U){fZ~f880lg#>@o z8Mu`Mf7=;&KMDShGw=bjz`o}U+(v?b;0)YOCi_Ruzz0e2Pn>}dk;(pm|W|a|S+2g8$(R z>?6UiIRp2R;D0*<_mkk)oq-2P@PD0w2Pv?wIRg(-jYQX-H4;Aw=FY&wBsj(yc!UJU zIRhUf!AqQhM@evkGw^W|oa79Af&`~H1CNo7WT~@8GERb*IRj6S;0$NrNfMmp3_L}G zmpcQWB*813fu~9EDrevs66|#b4v>vxjk88FOM=%r1D_(n>z#q;NN}Dr@M#jf$r<}f;M>|3X@~B$@VBrj?*R&MPYJ+HrWw{$w}H|R}?0vXp={xFnN;hJ-v=i z!r44qyPT#?`l2v7L!0c6!eoFpIT(e>S=yvO3X`X3lOs`>oTE*SMq%d`@ z_9#r=KsWVwI5!#A)NiCyd6#pOVNLxe+T=Y^n0z~J^4=&+-b|akFA9^l&?fJX!sM;A z$p@n_c^hr=;V4YrPMdsh6ejPWO};-0lkcESJ{pC|J86?2h{EJuw8_V#FnKp^@6=7Xp^6d!sL5tlV6C!6<4bR+tzbCY3>=utYAUw3XYtPwp%oBU=JCO<%%{B{&3KS-PWP822| zr>p0C&P|3@&l9xCA4FmDLv+S}X^ZSoIMn0$^l`KKsMeuS=`zc@A-UOgYB zP5vzklOLl^{v!&LAE!;e7KO=A&?f&Kg~?CSCSQ-jT~GA;^}pP@}IiNfT|w8?}hOn#O&nG}V|&(S7RqA>Y+ zx~VU9Y%<(met|Z*EDDofq)lc-Ve(6~$*d?$zCxQ^9)-y-(nI z8->ZQ(M^4gW0T?j^6Rw8wNaS-25oYE6ehn(o6L*C*FE|+=O)9}J>REOS?b(m*t+KjbRREwY%+YH_#vIjO2;O{ z`}mJ&lRKj@`D5DT?kG(Dgf>|fg~^}NCTpTF`7_#NT@)sNPMfTc!sIV#lMPXr{3UI& zF$$BvqD?kOVe;3s$=3h9Nw!}94Llw4d3Xn*<;AM{@9-VC*0=rsOulvA_EJKYM}q%g z2mhP|Z`A)t#QbMF_!lHNU;hgM{+k{AOA@?=1pmVh{uK!>Ai=NM!M`TKg(UdjcJOaV za1jZ9-46aO2`<*(2rn=3^(yX@fKli+P`-FOb!(!$&xJNOUbVD)-- zH-65mj7&kS-N+xqjR3fu1jpOKeE6Y%zr1ryGd}m9sCaxe4#soXr@_q@IOg#H5v1AJNPvcTtk9a*unoI z!L=lKl^y(V5?n`uy>{?_NbnvKyv7cGodnmD;I($}8zguy30`jp|Ca!EGeC(hgoig4;>(PCHnT;DaQ1w;h~7f)A14Dmyrl1Ro~B zHFj_k3GN`lb#`zv3GO7p^>%Oy3GO1n4R&xU3GODrjdt)-5`2UNH`~E!B)EqJx7xwW zNN_I+K41r@li;HyxZMuUAi+Koe8>*YB*A?oxWf+4BEkJ6xXTXCCcy(F_=p|6oCFV& z;9fg8hXfCiV4oelf&}|XaK9bAiUbdn;6Xb$j|7j9V80!_kpv$j!6SC?CK5bKf=BJ( zd=h+|1fQ^jH-MNbsZ`TtI@yN$^QKxR3--kl-0RxQGN#lHgf8xR?Y_ zk>EKyxP%0sB*ACw;H@Nhngm~L2X7<6GbH#DJ9s+@4v^qW?ch=pJWGNvvxCb>@F@~} zg&kZ@g6Bx^m3D9i2|i7NueO6LN$?pGe2pEvg9Kkhg0HiKcaq?XN$~Y{@GcU3mIU8m z2k$1qmyqC_?BEMY@HrBEvmIPTf-iO7LabtMvxBQi@MUDoci6!-B=~X?e5V~;OM0_n@E#I;B?*3)9b8X>uOh+rPg3%|B=~9){D3{?1`_-h5^Vo+C2uCd z*O1`%*kf)X!Pk;t`v)$0D+#`i1V3Vrc|Qq$D+zwg4n9DFuP4DDw1eA7@Y_hR{R5o5 zodn-Nf}ga?6TJnU!F?q7ZW8=OJGh?&-$R05v4aOl@HGFGWA>Ba`$+J&?BHP%{B9EbsvSH+g6}86-?f8}k>CeN@b~TDQ4;(h3ATTbmLDg< z50T&>+haaKf*&TqKedC$Nbq~eF8Omic$@^kmyG$BcJKrVejf?`wH-W3g5OVqe`^O% zk>E#2@bB&5lO*_25^VnvFP|pCkC9;e4P8D%f zcJL_@`~(TMf8UqSk>C%JVEgBK`DqgTBnf`Qp6oLu_&f#X_PfFSA`<)*3AW!2<`mIT{xA@fT~@N*>CehZnui3ERy1lw;R z^UFx^M@g{#7Bat_1b>VK+ixNBD@gFiNwEDEGJi7({sak5w>Oe2N$@90aHbu66$yTx z1ZUg9SCiluNN|oF{1y`YDH6QW4!(v2f0_heUj zNpP_pd@~9D5((aF2j4=1Um?NU?ciHU@Rvz&nH_u^3H}NRuCRk|C&6DO!8`2WJ4o=? zNboK@_#Gtp>m>L>JNQl#{0$OZZ3o{)g1N$|Hw@E$w(9uoX*61>+AekTcj zl?3mzgYPB5-yy+GcJRAM@OMdYiyeF)3H}}l-fsuLn*@KK1h?72_mkiskl=%M@B<|H zha~v09sD2({t@p8-yG**w~!wq!9SrMCgeT#m>&)YtA`1BH%oQ7UED~4pShU}o-n## zV^`Dj@G)-vC6=`DIkvWI4lF!4o?xfSq94iU7f!IVFJ_t!!lx+ zt2TxYSf8l-sU_+Qw41eCKsnVV7L0Ol*2&D3!( zVCLVERbB^`_dmxU?RuJjAehb%eu6)G-pwL{-7W+_!9Nu996t{Ro_dZy)3ua;IK};k zUf=Yzedmyq(dt{YEkySb(t8_(HITBf= zH?oS)R9Ubm^_x23m85#oU}P0Ps6=SsBau}`BdeT&4jC{20k0v%ABc^ymEn<&X_SJhdVqK)y1YxcugvmOH37_K`5O|O`V0zTozg7 z3Q#dhkBCVX-<76LcuY#=>c}eBL{_;jvdZ<5Rc2Q7 zO3nkI62X5z6xqsqBCEVFazu}qDm*OLs!=``Iie3nR(ZmVD7;)$L{CPx@|0;MIeCHf zK4Z}dAFEYV&suaAYTX}+oSctER{2C^mFFX?d5WDhrMre>8O#ngdw&L>8*PznWGSQu%vi zm48N7`B!9>|3p@K!&F%y(>(I#3CGQo#pkEo9a$yvW(tqInZhG)rf}R$S$sg`kvCI# zp%}HhmK-0N0|)oV z`NPTe3+|8ep3uuvT(7dH7<2!WrMiE{Ho1S!YTdtJz3yMK%iO>Sn z49}xH)AI_?^8AHo$E5S+G37ibriZVHxsL9~|31Du{tNuV_&@ThC272R$rfI--o}TMp5P-%U+2e? zUgM+5IsAC?Zhj(pfR80#$;Xr5$tRLO$tRP4%BNCd_{o%wd^)9t&!n8>fs|YLY|7L8 zRLZM-F6DK8I`sm6CbfoNlse2WPQ8YoO?`x4lKLfnF7?m+(xuDzo0gXG%a$JDmoGiX zuUL8?fAi8$@hg}9f?t&u&#z9~%-@o>pI?(U!>>)djbE4cVgA;%@AB)@{>$ICY&E}O zSslM|*)e|8vg`QUmp#UBUiM{v%d)@nThlZ6ZRr*K_ViwUNBW!iJJRpxcc#C{?@IqQ zzdIv=-;+_u-f5kY<0v;LjNR1-`Y;{)XJ& zG57}t|HR-m4E}{z|Bc*#kb51uH!%1w1RBFY!@z}sj)7Z#yBz}$1~Dj$#jmQzW3U7R zfk6TWi5Mhdkc>eJB2qC}ia{C%%P>gCAOnL;46;x;8-wK-VqwUfqLSJqCL*Xux0}28|dr zp-MAyEf}<7upfg1c%co0cKmq|gG2c9FmfGOIGxCKVbG1i5e$0pLN5kKG4Nr~he1CE z0~icqFoeo}42Cfn!QdDMqZk~=-~mgJ}$AFbJUXEC#1An8V;S z24^t12!o3;IE%rpSfQ6-X3pWyOVPlakh=_n%Q28&vzK3`mtU8cUx~jOFUYU9%dfBF zSJc@rF~C=6F?=qH;UmNh55O^eGJ@eT5{6IJF?=qM;priUk9{#bgv9VMEQXKGu^tQx zFz{n=1cN3F@K72n#9#{s`4|*ofJYkHE(~fh*onao4DbLQ!-H@P5B)GayuiN zWydf$jzJj)yD`{{K|Ka_7(9o;`!K*`0}P*8V_(ML;}|@E!Q&9P(p?$Ml?^YD)AwU= z00aEuy^i0gmtU2a-+|Zh%k4UTYhA~$qwDxRa~;1xuH!eub^OY=j^E|h@k`oz9|rif zY8}5Xt>YJ=b^M02j$d8Y@jJ=-2+HtV#PaLGI)3k0$1n8i_)T3Mzk;jdcWZV0(yWf( zhSl+Ft~!2yRmU%;>iCUQ9luJd<99@L{PL%c-|E!y>zX=#4^zi4SnBxANgcluspEGY zb^H>ej^9qy@oR@VexFdsFAD1T4L}{g+NWQQ9DW&3$8Xu``1Ls*zZa+D7v6OICYz35 zQPc6eXF7hVOvi7F>G(A;9lzhD<5!||{Op#FAIj44(^fiuj7rCEJ?Z#$CLO=0q~jNm zbo^$Kj$awl@w-6!yYMG|dq>Bw<>>f*8y&w$qvJPZbo}az{xAmkWfdL2g`(rvOLY9+ zh>l+f(eaxeI(~&i$M0t7_@xUSzfGaz*CcfO{)3KRY|!x=3p#!kLC5bH==kLU9lsTz z_A#Z_wBAo%cGvrC!JP%Io+hcpcx}uH)O*b$ma%j&C&A@g3wkzBOEb2?KmH zw~p`9*75DvI=-)3$2Uyt_)cgY-{P#}dzW>5Q?iclHrDZN#5%q|SjRX1>iCXc9p9>} z<9l#*d~>ai@0!)|?XWt&&sE1au=1Z9n>~cw-5B6WANC{$pT;17!7K)+FzCc!6oV5O zjA8Ht4Dcy9hR=MnN(?^w|0=k59fG1D3d6IuoP>%um3RZ85ho-hXoyRp(Mf~^1sz96 zTq_N&g4+Dem)XrOCsV8$Si%U#P=pRNp#(LEp$;V4tU=Y>xS2vW1H38(%}|Vi4LZXwm!oJiFBNd7W2LXQo literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/el2_dec.class b/target/scala-2.12/classes/dec/el2_dec.class new file mode 100644 index 0000000000000000000000000000000000000000..d8e722ad576b5c324470c9b3cae4223c283f6dc2 GIT binary patch literal 211279 zcmcG%2YeK_^FF+Vs}^7z0uh8HCXi4Ark5ndm}W2#uz>&}=4_wA!3`Hm3hBM~-g~c@ zB&3kud+&wx-pe=bKcm^*+uf5F{qElP!v|}mn|buCG%IPfyR%RKd+!69rj24-buFhl zSv4$KH=+X4h^FgWpQ@F$Ey=o(!)hB^lg$l@x?!cs6^W|#vm2}1>XH%7)U{Y$ZRN10 zM027(qJ?yg+ZOYatJ`XulSM7-8>;3fTav92Euw3kE%e=6suFbxUE8r#2*a9_HFe3V z)?sB>TvofHA<^2_oSdj@q005G$rioS!(qLCR8i54TzzW6z{03rtgkN^zdEW{>Ty#K zO*VGXi_HFcvEokC^jM@MPwyA&JkZp)D==r|^;sM$Dl7^ayf~qE*9(kp<3p8`chf_i z`p1g$LyVoa`VxwCq5i>iL>3X;%kspfg zX5@9w>#I-C;{{=TU{R=R-|_Qu1dqb-WMg;au{x)?(|*KbSgf<&33=?F*C!ScJYrK4 zMZJ&*k5o<`C3xt)Ly^UT$LLts(VYa3Wqk_^x+0G~r>su&5j={znw{1okAfXT(H4it zyr|$2A3v}24!xF_^w?pyzIvV!$s01ZUe~+Fblz=6mzY^HzPX@aW{<8RKC`TEQTLos zLA+a$u~SRMUj0@MDAos?eRyQ|r4wov&zV`Em-aNe7O}DQOAA-d8Wbzo)ij1DVukY# z*kRiTX@j`vS(N*1Ig`=hynX8LuvPE4&#`u=ev3X!OacL~p zckq(&YszL0=~=sM&&KI92ag@rYogJ4^~@E!_ntUuV5qiJvA#1j!TS87qR~x*L$MI# zi@U{m+Y~)qvv}r#(}pe?vAo+}IfVsF#}$}+7A&e?Jp6#fA+uIA^qIK4G0w_&De9LO zN=)8va#8=?LwN&x%-mt3QGmz4@94rwyS9$)y8rNXQ>Pi-3ObFfnZ99GWX1O5t0(Q> zz2|OvG&!rw!9BXn9=>||hKU1)cFr>fqTTir>sJZAJt`OP+HY;$jw42x3q-wbix&$0 zwZ$V!r`PYhM^%*`pJy%^Sv_ff^`gdoM>p1P7`c7t{YEDVpCSIVuKP_~UN)iMy3+j% z>&x;FUNd(wFL2>4>|8No`SkFRal@7%&v+t*H*)>-4ZV8~-G4$2;zdgIeCXe`Y{#){ zCXMO0au^?r$KSmugvYhZjNSJi-cY-QO>ZDxdZ!ex!bv@*jH};1bv%PZM;7HTr;qK$q`}Q8!S{om-a`uuOW8&nz#EgAI=A;dIiN3wY zj+~S)+Pi8}mwq#LFA5#7Y)-!cLw6jtq9{~Y1pU`k(ql+|g2&85@=6N|mgJiKx2xW5 z#rC2;=+AMscBy7j4K}ovLTl>Llrh=1%S& z?O$X-zX1LYfL{do%W}<~Qux>|)wLs7kq+%v6k3dYme!uV%9e=ZbHw&rXle_M*c4)5&1?FPg|8^NLZ0V?#vt}N=aCbhjdftXzdM3iQe@$#D z+i{OYvzHBTD4xGVq+$D!1u&eBum{Jf>ze9nt7=<|8|#~D>ymSuT5B5{TKajj#dV36 zmWb9FM#*5ih}Kotx=D<6Z9ChtDN(g5u_D=Ta${p%zlfHvYh8UmMYQfP+=|~Nb_+@x zTEVU-s0dNlcJ|r#t7&em2d(nP(ps1-5_J)+m#*ddOI=4}b8=J`)mFEzjA(syt&6uz z*ZLojSd$o5muOf4bJ3c{RmowqldUTot7jw{s_T+1{Yo1fSG6@ow4DJzST&;c)wM9` z>zYy92qa5g6JJHiT$p#dLPDykA~ElJYPv-Rs+0fox8x61$;)m`u@TG(|!D~gmW+iGfb zt-I&AYAY+MT2UhmXpPlLUEALCJC#~G=8C3fa08D56xqMRVfuf?BPV=ZMpU#m*REKR zOvA8>fkhA0g5$ADD(VxhRVyp1VHGlYMtMcilqvHoW|Zif4*ydnrE{Tc#P9Rx7cH)s zGIgKw8PGLYGJOUZ6qU`WfWFd~=o+&N%Sx6^g=WDli8+(YC#Nb%

;qbAxwA?aAdu z(<`RUEu8}0?&JxrJLd7H5fvqKrcB*ekg(K5L7_MfVP@sAqEvo_@AnZE-rq<1ejh1* zk7BjNpv&Y1C8bj;ie^l%C@m?6V~tw{`<9FX=XNp`bBfAK7Q*jPR93Oi{Bmr-X+;Z4 z%XKYpN>O=HMNx6_)Uq=B@Pb~AdCzb)fE>nt&XID%vnlr0na!Gj^tmUad$X!WZTt2m8QOOiM%cw;3fmK2P zQ;Umjl^kJ2T?1|=a?{)vghA&C)kzq077!62K^XI=PA{1|$EH+PG-bXci_@8a1P_aR z=P9#`Dkd+OW)BK+%9mA?z)8#O40=7*A#}?s;I!7Yp3{oT%HiZKpE`g3f_=&>=1-kc zGJk3@oE4o*ii?V8OsyD!jRf3st-Pzvy10vQ!15H}nVjd=uv*RyR93O52sm}K)utA? zhCfFUOR2?dJkhS!2-w{+7G>1D?yB8g5VusJ+foN;cUivmqqoznLc+ykWL8P(oQg6S zYHgCD7lA0WR98y_i{S9EU#*h4b4uZ8ZIiO%Ia4Z1q5V?|G^p83%F2t%VYH${x22I?)b}a9Cw$c#_{x09LLj3aU4%C1)h>pU@9raaXcLX z$MN)19LL?IICkPV?l1GVC+hjz6U+SViDmxw#4>+-Vwt}^u?+c@&Mlf!Q9id~LD|%b zeM%RU0hdT=*@6);%E4j)q?F&-8Ov|%tniyIm_r|(GZ_(a{pcxhMtLkQgGCWd6e3sd z8hP{P%&j1Q#}o%pV6jh0am9$@#l@wN>5Q4lMZgx9d9XGUd4VQ=f}yx#(cJk{VAK$H z_NgKCXm}8M<#Wr6N^#(ZoqwN#2BZR;G$v1DqBxRs-pC@+~k zb$&(Z)aigf6{ewl+@PW>j((It8xI{&n!3~wS8Edox=QRlEz*Y-ueq)tSal!ZR+?LR z0PK5B%+=n8D=KR*tgWH7wmvzmthKqV3bw%#b;XIgx-z(^ifHe`ELhpt*xJ(CoM_Uu z)7%UKu8StRXaQ_(!wPqa$FO{TQ!@BRH+{?0CODC4?`t2#wfD3SyN0!o;9@b+)Ks@# z*ZRRhZ|ms5c&qkFK5RyQs%xEUY8$GV_PO>ZqJ5!jy?y4mQ8>S?p&VKYu1miHkDonv z%7W6V{b1M9UzJ-IYWYU{Hl}^8eFp=zxCW`Tuh*)h+7B=_h~3O2T*G2pw1^&C>S{ph zX{P<8{fyjx0T`bfM6}M% zLcO?BFHTggOoIRS_4iJio5QIRAsbNd)p7ke^7&dGOt()JdHF{4nCwJ`K|0^M6s@gF z)Ks)Wr&m-*b>?LbY8ELpKxFD%YdC;my^DxyrCY-+ zWbc?%Ho>Mzb#h%)@9%{G9SZ`abck`O76gcz6)T&g`XDa?s8|Rfr6Y{@AXK&{Rz&q- zUIb9F5J2jd){BKOv)kJ`!Wp0$p|v}^Js4D?mUn_E!2qYtwOs)ns-_To1M2g@fR+sd zq67xq%=W12%4C&riM@Ovpksr8C;@^>E-sM$CmN^ty* z0D0R0M2P|TSXX;zr)gDdMWSJSREKr9r&>_5Q9zWSjEQO!d^X^Iwhf398*naXC$6Th ztz{+bn6<+FtEgV?g91$(3PcGMdKva4Zf(^xHbdL3Ow<9;VjmFb*+3vlfS~hPZH?F& ztitWNsJ_1s0yJz05Yr(>fUohombQw9#)ite#;R2nb&ZK?Ya_5es#kgOP~E~qQGy3f z*E1at&Y228Tj@hfIqL|ivyK4olc2%spaRa~dLLTKYtc@^4ScY7IyXc^et_8QLrnSa z2&w;$04Loc9kDvmnxH0(>T7+FDGx_u2}$tSjv$lG&5gkFU>{`4-A72>eFQjkuMSv> z!R&A!VCq~zQwc|K>mG8zsD6wOEOj=Fke&@Az_s0HFXn9*lY0FKaAfE7S=(IEB=)$W zT~6@s7H-VZy#Io)&Q#x{vVI0K9I<4oYh0Ub7GU4{z)~JLTI!Lb!R?&E$43qZpPzhiDSt&n2{&*` z=MJhhmI-cv^}7!%<;tU_t~?t2)raNGNq_sWQeKW$5?ReLyK!M-vGl@L*4`r#yNzo&{+hJsR9oT&GmEG*>iNi?t9O zKo=iO%Ae679cB!;sJQe)m^yemtPY!@n->L@ESE-6f&z}&8P5tqrlqiAUDVj#hmmsQ zF;X`k1O6xm9_Wp>x>lHj4S15k-6p7E(~vsv81TV794{=0s>Wt$o2UU#40v!-UW*oz z!vX*6iiblU3wv3Kx~Q?64<+TVW2F8%20SkZQD{p5nq-I%A?2m0E8zh?=OLbQ$1zfO z90R`PF-W-`{v@dZd`lcDaI_i?c=}ONzBoqei(}BsYMPVDimJL*QDYAuiIfkbVmgVj z;8XELRcq}U3!umgfWKS)j-mtr9Ew5J6^(0P?Ot1*j2hE?5Gn5)EA_sy;7~Exqim?C zhi5CIhVNPzf3_(|U2iNnk~kgdYL%v#sIiZaM#}ThKyoCbqMFao#-{uXMTyPms5a17 zPs+2#NUYyP>|MW^j}g$_NXk(ub1r!Ld>w91A{W?|mT` zAhN;-k#ay(mJk8INa4@PwfCzl7Wg;NQpob!DUDGwee z_26;flnxKkHUM&o4Je$0$mGz$@(syj*=o zqr`PSu#_{8mpb!!aMKi+(_;j7vkxrg-DoCZ2HqONDIU&@JAAe&pB^vu>G9y7q58Hu zwB6*hO?feDN$Le>4B?s|Z13~grd)Qs)Mdwm=P_};ARG+V_{Kv%h?JY6vZQ+3O%VoW zsj4I_NFMirq}+78)J?~u=ixbx?MKfbHYe8N=e@ zAk2$Cn3TVwLH9J6!r|b3V#B@)7qR3Kufd7n-rPo=n7v~UvJ3|NP7J#UgC}5H!)oLM zH)Xw0pyxn=EQ12CbD&_?+lKnU4+VM-6v#3ta6;D#UGzPW`oxa}Y7P>}G9++GrZyq}G9>U+vEPqf zM;G|8*^bO$6&s(oIGm$IFqS;}`KwPScn-M15>hw@T zH3v!V=7r$0`EcD^U4hp~I8ihJt94N`&yVHub2O5%0;jcS9fYjKX$MX}vxgtc<>`fT zPcH<|&BG?LaPU4NLcz^Y?;*Op9c^T^z;*LoP~xs8V!^FZFP6*S3+4V^2+r%Kg*PV= z3T}~lpKokFO)ku-lq~LGQwG_MR7hyO)&7Fp{nhl!Yutn z#`{zbIeUM`f`hxLUO1P#qn+ef@kW(cf*?6(o5sR}yQyA0m&>D}3=h0l(DUBTgP}QU z&hW#zydH0F$>5;9d*a0GgToOzYR>ipx_lpRZpi?_jm3yV8)R^xt;wi4-w)(+e7vP4 z0|F-&=e7%|qH0CdT;#`cc|P9Fl3{@_izP0uVd!!{YVPO9aXCNU$dciJKYKgOR3`$f+2$Q=pq5P9oy`3(Ljs|UK@Yvb|_yjvu*LEmt%`tT-^!%gl7cw5M8 zshy!E*J z0DqL#l;>IVAnXw7Dex!?b+}??0x^6H+IgY4b@knN}wBI)%j1%w$@* zwg8T%QczAQ zl6GL)a*}prT7smVnN~?sU#3-&)Q@S^B<;$yBq0xAS`A5qn6`qXAxv9I((X*FC22U* z4j^d+(^io*ifMHujbU0n9sf9{HIOucX^kZ9!L%ll_F~#**fb}*GKWZEGl?aQ!?cr0TF10gNIH;dr;>Cq(@rC41Jh0?+ryZ429+Jbv@=OM zifLz&bPUtZCh0h)okP+IOgopPlbCiMp`60B^Qr7Krd>eN8BDv7q_dcI5lQDT?P9Vx zk7<{XbOF;YrC%>%+GQkN!nDij*UOl81(jXFv@6L=u439%RCW#1t|sX^rd>nQ4NSY1 zq??#_9a-GMwChQ_jcGTKbO+OJBKr(!ET(m8AQab{io-z_i;* z+QPIuNP3uQcarod)9xbaai(n~=}D%wlk_yxHj(r!)9xnZ=b3g7NiQ<(UXosB+Gdho zW!iltz0S1zNqUoM50LaW(;g)0U8Zdzlm(=Slh>(_SFy zZ>GIS(!Wf5Nk}1$X)lvxFzpqRIx+24lEO@Tjie~kUMDFIZy-R(nttLXkA4*}E5VB% z75&6J<@C;ZMZe@~m`4Vb3|zPk-O{ZwfkUD@j@oPjjyC; zA96<&1scA)uYiWH^ed?0oBm2_Hk!2St+)ULB=tB?xB${CkhL)BK@5Vx+ngb-5;%#O3>#L;NX~0Z8DO4;9)xk%otBzDxwOUMt>u7b! z_Wz=6wXGVm)w*iRR{N?cTP>`nY_+kPven9J%2qpXtE2Hv-QjAzhpLMHi>iwLi>iwL zi>iwLi>iwLi>iwLi>g+;%7!lrOkqc@phn$U=I7!Ket4^xRAiI1FUG|-6jMwl1{G2!h+u%pnX(y$kgpkti5;yB0cnBHD4#SFrF+#)? zAvIa&TWU_I5z>_ToLkdgKx|9TUO~tPE;k0!~LOBn`2Y>)DZLFyIF1$-CLsvz{GtiA+c@Ea!x!RYWfbVO8KR9 zkZ_{+1oWV#6Fe)qV*L=5bX@d@08&>CSbVyJ-r(jzz~a-dI#QiLz~a-78nF2Es|GAS z{i*>MPj1z1UOc5M2V6X*D+gRWr7K(QD;>OCzCfG;uG(v<@)p4`fK zJrHp5!2sK|DK(J_ZwJ zT7PX`OsNTat2UI(PMYRRV#us}dM`ygbni z(aNT-t4fMUg2zC{ zxH_)`LrFOEi7fO(=*Ku<{R9DGQcsC^RVPvp{u0+Zg?@!P!2xPp;jxk~14?0%qfb=` zo!>)$LCP zBC;&3hYfJhFg$VJBXlEJhT%btT)Uy|c<7NZJldb{w5(l>O&N~G!qG6?!|V^YC(|^X zpy^fwcCgqX%;I3t8RD@mVBxM%sn$E3t82U3mBPys^kt8h#x{s>RNGL~IIK*FrL_&o zNH{;L#qi8^MOw20*peQc&*Bn;zoo>RM3D!})Sg(roJSm@=@W<0x!yN1J4azmR<2qhW8 zKM<55pTb9$C7Wv#b+rd#+@MIf1n%#rhD9ruWoe?mvN|z4*_x<%B>U2#%IPD&S^#c6d%aTpFGW z2fYtWHQ<1BsMFdS;EnjkhT+geTf_77H9cGg&&AP?`@ril$r@rE##|PidGzZ;OQYhl$PZaPOHO2``89ySfqH zWfq}CTL+{apyL!-`*d<$6L?5{vH>E+44a&2Ns^nv*ijj-ii4L`v(Q_i2hhuEputz< zh91ZVxr~M03q6FTtDv+lH}p`xW@70_p+~W_2})Pzh91q=I$`Ojp(n7k4NBMKhMvgR zLQtv=C+|HFg1bN`y5|{MsaR{t@WHS)2_Hi5k2tFeMjZy>SHiEx!!L(lW7-=S-q#4j@+mi^M#D%X{1*C<_|}WAjh75P+ZZXG(deD1b4cVK!B z(f@+!jDGlv>A6()4b$_e>^r7!M`b^tuZNi4i?Tm6_~w;3FMnnFPE__g(|4wG;!mdc zqq4u4-k%o7phsLn{cd1ca)HKq(?lwC$__60^v+ArXPC46`dI4 zB7NZUBm4r>r<2OgOrJ?oUpQ6l_FV{{n~U^g+88lfMqn-Fzc96~f~+AhG61fHA_IMw zVkwt@vB;RnIJgLgX4H%btcxJBvkl^1zIi9fv?~p5 zYh;f&fbGfjGU79d>E!?m4N%1NeW|RN>5HjsD$|!y*>tAw4`reMA|*_(q_SB|ucFSM z&GZ#iHkauuNpBw0>!_@Z>Gh6er82Bu#@pocO28Y(-2={RdZ z9>${9Mf;5Do?)?eNoChC{VgiHj_L1F*$qs8 zpUQ4x`o~mu3)8m}``eiQIhEbP^e^am?_&BlRMyV)Z>j8VrvFG~_cHw_D!Y&Azfsu( zO#hwAwlMuKDtnmee^c3`%+RRpac1aL_9QbxRQ5D8!c_JwgX?LWLn6;Jqcce_GNTLq z`Z6=}sq9q-pK%mD^ExwnQrVl#*dEHjk=|y;4pjCoGx|{3`^+eyvJaWjm&!h7#;#QM z2{U%17W#}CgQ@HbW(*;{ub45M%D!QSH4=Zvj4@R912e{w-cQV!NM*mkddxo0i!r=! zB=Vbg2TYVsWX2wmKaldD%-EYu|6)cFmHoqvsZ{nKth&VR5Cz`Bs9H{FD;A~pj2g_K zu{hd^8MDOEM8nM3homSoXdsKmVUH5{YDSbXqg+%F?E<@%RF=yO8cd@3%veUY-I=i; z>GfbnC6#T@j0BbSf^9>wSdPM)&OgvwyJOZsAKel5$f7&>2KtmvBw7HA1QCd0IE(HA zjG}#`{g_chHNiweKNhm+0QzwtGgi@$gPE}!%0mA|hccsy%7!sx4V4u#qm9a79LM#z zdufXCjI1TBaZq$LVvJ$Nfus-biESrUjzlNKqZ47iG!COC*^?QElFcM$98G0K%s7h5 zikWc&ahb}D&00 z@Eqkxv@IT8Bf}Fxc`Us9qU#WEJu@~FKM0=X=}kvD5vCMde%8qBo)1-GIGhU>IJee6UP}!->c#ZTo`uh}DtS3J?DnMq6ZC|vPyHzAKfB>H?j`T}yobvxL-#7tVtM_*y)&Q$gqGifa! zeS?{}ZU?=$m`Q8-=sV2Bbvu;3$4pwwM?YXDuG^vPBWBWCKDw2exRi!t{FIqAgG4`P z<~TaqFPTZ}_UPBloJ?ikGLzQW(eIgAN@YJXa~?@QGjkDn)33~2NM*k>b3dx%Pi8Kq zvcH(QoTPu4Sw+%+%%t%&rZclvl*LSD){z7o*f_!zvKV$$v~MiR%trbNwyEjdfFH5P z;K$C)Y^EQ(is1)-!G42Z@(E`Ii*=`h?U+d;aIB|LgoE9r0{#Gt{Vuf?RZVT;BLfi3YBh`PNx$!flCa(z)(NE4+y-IdP-imf z6r&_`roxbg!)Q&Sr4=}&f)%sabkd!HlF*%r!y9aRq(ZpB?kGkuXR%V!osE*voeS6V zwr))lKh`PYpRm|G(wvWy&@8w1Y6NN(uA^I6Y$0haLP=;Xwl+E}t(CRVTuVu5Ka_+L z>}qCO-POX(gQ%>HnRwX@UAUH+8>nnOGY==}AY59DUk||rwUA(Q zPK1%J^@NdTF}%zHm?KaU6kvxgADvvZjtB+`&Dg|Zc6)bi+slh&+_>=^atix|yKtNP+hpM8U#jYXkYf%zx zuZNYcT{#8$cB|h=>Nm02jii1HaK$Lz2reRdHzyO|>$j2K?I;OYn8`#pIeN578rw*U z?F=NL2s2o&4T#a+2oLw`pyfBS812`^V870(7u75{+_VF|Ei6Wxb}`(v6X$MibA{Md zjp3#psNkku3^wgL+rL_^{3QL1(^%{&JXg@KkWfpdeXcx9D$lXlv&0$p?Ybh2fDn^& zGu-a=O_g2+*sP7c6nhz&yn%!dqXU?@Rt_&S_8y_$B)=honYF` zV%Ev=HcFx~-(@B)Q=yXgnMupw*oQESBL>`vfG}gV@RekOpw5Q2WU-IQW-Cep=Tqy` z9DW<&PQv7KGWmkVJ|~l}IxrD2?u5xVWb!Q@K1{a9(mDPEGieVZ_7gK{42GFUMAE;o8;j>K#!zc!aZOYOo0g&f;xOl4DwN`#m`RKA zc$k@2QyE;P9Y)G=W?n~S5X~(Y!NmT11w=!DuyZUO z^+ic2!PG2P!gC4 zxX)6bTn8(YrX+mgFJ46oLJ|sa2^qr=Ai@F;R#5Sk^m{Ez;&-@YjJ7n^w9?P@^m7A? z)6QcY?l?u@aUkp%7H_6sTaZ@^w0=E)T1^~!$`kO49~iD>@ikNd>;vYd6zzppoTj_@ z!3-opWP_y#9)qDBtp!=!Iw22dAPK!AagKmzb?lpIu<4s*ak}7-AA^!0aGX!ACQ%D5 zdjesch>}n{8K)+E@(1^Jz+dq|V7(SUl@w1yNhqG-RuspKk;z&7EK)ohC82n(OVRTF zMAa%5Kc5sYKuIWG#LQdBlP`gh!6rd5?i--Vt6|mx@4SrcE=Nh&UFp)sh9%1IJ({}p zEPgd7Q#k%2N}|e_(@zTlNjt^y*I4{jQhX!rv=DkUcgNpm zahlKL?+SOq;ia;9Rg<{>5C=7FgOQmCbMkNFBR_Z+QbZp|M)KPrr}W{FbboZ%SH4^_N9{&}$0Pdyg;3E2dlKzBCDZ65rwW=EH>uX!#6G*`MFY2AYQ4($b zFK&qA*pQ>)Hn@-s7{YCPa`vV~>q_wUoKE79b3#Ba2QIgr!=umn)uKw2Fk&cS4LLc? zq)n(Cxa59BFwE)7%*RQ}W9CyNb%W{CuC=~7Q3Zi>SYRoWXRQE8uawL+G&6RGWtl2C(Ne}1$PETnO7*&jGWz35@o}ghTAMqHUf`f1UyGe+0mGV$B>3lb{uBme%nyWPQ)w(i`vm{ z5$9T>uDWI|%&7FiF_yCzk(h*%s1RoM0^6tsz8{gSsS&}`;e#&tApqNsruUpFEQhB2 z9GK{xje0R@i4Q&6>NL6Ml&~C{@N;0AcYLf8_oh*s1b4H9I70aQE{G581IxTJ7+#9JynpjRFk%22C=UBxBqZhuF^xIfYE9tLw!Pmm# z&8xni)DL7i>q-4!Yqk;#Pw@>Nma_qVf~G!{na|QWdN@2R2b)SmUC)hSKu>V6VI>_s z!V6v;THThY1G`-34zl$C2f&T6euh+sg|ASB6hC$__mZo zE*PPfa>xba%u)`yU<6spAs37dOF86%QC~$41q0)`QVzLbq*lry7mUG5Ipl(oRw;*E zFm5X4kPAjcr5tj>xTlmuE*Qm>a>xZ^l~NA5VB}GeL&3n9qLf1}7&(-3$OU79QVzLb zgip#L7mVXcIpl&-IVp!+F!m;MbNYhg|SeN|Zw`_(>$nAs76@5#^8zey&L5P%!Yz zLzF`<_(>tkAs74{5ao~ye&~mC$OS*)LpkJvpW>k$a=}mPP!74^*KsI^T<}9TltV7~ z#Tt=A!NBj%P!74^mt-i1T=0u9ltV7~RTs)37yKv-<&X=0Q-yNK1wW2LIpl)hIiVbK z!4H;D4!Pi0M??+<13w!=Ipl)h{h%Cj!7q7G4!PjBIVgu*@M{~CLoWE?49X!F`~n8$ zkPCjrf^x_OKSn`05KQqAiUFFB*+6JD-ROnfs>U73G%?o$_sut7*if4vk-@4D=+xrfNbRjKOB;+yx@m}vXvM7 za9FnTf*%gdR$lPKq1nm{emFQ=dBG2dXDcuG;kZnBl*~dLqOH8(hl8}07yNLTw(^1> z4%Aj&@WY|n$_sutSX+6)4~J_jFZkhrZRG_&9I~yv;D=*1{{l^6VQShw|0l^6VQ*thb6p9pa)&VQ7;^{a;cAbQOpNiP$?X69J;1FY6V{PxQT z$!b!Ahxk&Dq*{-!!p1y3u@9H}xG6S_RHvk>h%ngCKT`t#|MlAl7Hc=Ghq3j0>eU48 zefCnEy~tkf8fLG+b!(k?v%*E4vDeu1`C6F04mW>`VV43f_sd#gS7COdsT?22g^N>s z3u#VUePy!QD#Nlf6oL|q6hab<6ao^96v7dU6oL_p6haY;6ao>86v7aT z6oL?o6haV-6ao;76v7XS6oL2o@=X2Nvm> zRtlkkMIHhJixk2Fixh$aixffvixdI^ixk2Eixh$ZixffuixdI@ixk2Dixh$Yixfft zixdI?ixk5DiWGwXiWEZsiWCC>iWI{BiWGwWiWEZriWCC=iWI{AiWGwViWEZqiWCC< ziWI{9iWGwUiWEZpiWCC;iWI{8iu6t^h0wkt4}pC}3SoUk3PF8E3L$+(3ITmZ3gLW3 z3c-9u3ZZ;O3W0n@3SoRj3PF5D3L$(&3ITjY3gLT23c-6t3ZZ*N3W0k?3SoOi3PF2C z3L$$%3ITgX3gLQ13c-3s3ZZ&M3W0h>3SoLh3PE~B3L$z$3ITdW3gLN0`ihl8XkL+r zz`P=bu)Oes-gjCIUk1?(_9m1b1S2ZGw>RWo?K4d)9XzOA`*QY>$FI;3_9A_dPUs*>6@6H-TbNbTm38W2PZ z9*|TeH8>MeM|wyNaYzjfA_Y%qs*)O>38|wzqzWBUBZ5f5BciILMrT6mXb-6|4ymz0 zq~K{)RZP$#o>qQs)GbI#-?4`I(Tq)oOsAgNM}h4yhZ0NWs(Y zs@<2-)y$0^Qa3rIZVn;^4=$^cx-FBIy2(T8c8Am*L8R_fCza7<`^_FwcR8ds29bg{ zsa0Dlqs#VNJft=`r0xzP1+g(yNoBMVd#i`ky$-3(L8R_eCza7&!fhT>_dBE>2qN{M zI;o7d7jO5F+TxIUD2UX<>ZCH-UcAFY>Jf+3qd}zLjeJ#~%4mD>P7kTa9a2vOk%Eve zs-!a7UcAdg>M4iR(?O)3Q74trR?tQdsb?Kh&jpcsUY*p7nT+V|9#Stkq+Sjp^@=*F z*D@is$wTUOhtwNEq~25~^>!wt?)H#+$07A@5UKanNqvwBse3%6K6FTZ6h!J{byA;X zLh4=*sZSkJp9PWnT%FXHnULD-A@!9*>gym<->8%NE)!Dsc}RWlkoqBr)Q{?>kb2NV>R*S{e*vW6F1+g8XBe4~ z+Ttcb;htzfssUAV3da9G+nUH$SL#mfUs&^2n9n?wfmX@H*8eXLnmyyJW$2 zh9FXhs*^fA6H@PcNFCvjIx>h9M2}N#sbexB^?`@fu@0%@f=C^&PU^%=NPXxbb&^Bs zsX1ft|vmL|VtCD&m6H?!JNImJa z)Kft%^|U&vXEP!7t%uZe4yosZNWljHR9ot$Oh|p_A@#CD>Xjf;@C5=@QmU$5V zHyl!L29bhKAgGdhClgXXcu2kLka{nO6nuk0mDGoskowU>>LZ8L$3djvBM_>jKFx&G zPaaaAIix-hA_ZTeP$l(MCZvA$kowvo^-U0|Z`DbCp9!g7JfwbbNc|W@>L+zlzhpw{ zR}ZOQ9a6sqk@{Vo)SsD<`prY?e-5d?f=KLGRn+_hb~uDKn#+ zSbup)Iq%z>LGRn+2WwPGW%Nki-yTxV`}Stg`}X)X992>oJ(lo~hm`Zay&3erJ${Zy zl~hI##Qy6c<-Bii2EA{O-vd%5mC*yS|9MC`@7tR}@7v=CiBw5t@<6Pic}O|$+nYh} z+v69JR7qv>K&+vANIAEV&7fPz_(>*JQkgusZx|j@W1PWrY|!92PMuUH5AGYLhm`Za zy&3erJ$|T4wWTt7M&9V;A+@*DQbj>6HCdfhCU2-1ArC3%eS0(LeS1^=eS5Pcg9+IP zdq~Z6T548MOO>j()SOI6MLeYDI;8dqA~jE)R9PmZq8?J^4ygq}q!y}^+BXwYF%PN5 z4yh$Uq?W3aT9yf^xQEpK4ylSDQp=S|8JXM}HS#>95)P@#AX4zLJH>Iz$mGtbk?$ep z+(R~l?jhrM_f$z`a>v2w<{`DhX{nV#Emf;dDwBINMt2V>CkTKU6a)ajMyT3SncN03 zw)2pxcUr0;sHNaLh>D~#8_|1sNHsa6RtJ%4RwtFwh~Cpf%DLNa2HkDPFFh)@l#$7n zwb9E%YK_xUYlB*9oiZsiqeb3C52^JIsRM&Z9i&bwqeb2x9#RK8qz(xp1)n}t>^?K2 zMc$qsQinRE4htf6xH_qf7I}MlNIB0Xm_g4a;8*QbTPmZaOSy;C(N0Sp6Vy`2Dw8rY z*+)0_^^kG`OPE1{CGfL)iY;YivX5>o_Ks-!a6M>m#uNS)$z->E^} zcbYn>O!m=@r5;kw6C7sH6CC*6L)DhbWFOtw&qL}gr=`vgYN>P7NoBH+ZY=YVI@cj} zUJxnx8l-AVWwMWM?C&9UfkW!TAW|2plgeZt-Kg-8y4WFgNf4<^l}VYIY*`x#4=Lwa z7c=Nt7yKrtV)tdVxo=c@NL}f))Kx((b+tOFO!m=@Di5h^98%W?k%I4&Dz=o7$%BGM zwTIO84yhZ0NZqJR%FO5rxyeJy307hT1uMa?EGo8?o=L13<7f{l=cynw=&2z5z@jRt z1)1z6oZumKhtqv`26Z2N|4)%rM(-RN=XywObV#)ak=mqADwB5(jq^OD?siDs6GRF= zSg6=idM0m?8y9#;ZFWfA7ewlQbyAtUMQ&W^A>}+jWd=Pzg&$5-ZK+J6hZ&c9NIm4V z)Wbn71>bN~CG}4xXWtbbQja>M9t$G%xH74XUf4FS^pJYOA@yVssi)LQW%9zdag~SE z(+;U;f=E59PAa4QDbE|frt_qk8Stc;ZoXi?sOci&{xEpy9D?L)p+R?>uVN^D!xcHd zi#T9L3g&C(>+rj-O*G#~Grr4w>n0`RJ*CF)s2T4iHGWUcc#`?Pg!YGO#zoSaKUOoI zEH(Z_&A3=<{F$2Z6shqSYQ|Hg#$Tx!Pm{LxH)_Vyr8R%2W;{b$^ABpqCDNLIQZt?@ zHU34-c$U=oH#Or@sqr6b# zq{iLUjF(D{w^K9TPiov#&3KvA!?>E0>@PL$t!7*yHSVKkyj*I$lbUftYFwaZTq!l) zMa{TMYTRGVxLRtwo0@S_>LdfzoTNr-JXp!pMKcr_7s~Mjs?W$ANjL(Ec8lSCZe38`n zTs7m1r44qzn(-x4;|tY{FO|~1Sk3q{sqv+1#+OTJU#@0+g|y}?)r_x{UK(Akc4>5# zwB~EoYQ9=(e7&0SHB#dn)r_x|8sDsDe4VtxZdGfr>!rrGs~O)QHNI2L_(rMmMm6J` zq{f@njBl12-=k)Hi`00tn(?ht7R)c9*P<0quX->MluDK-9H&G;$lI_yU^TbjajtdZ>#W@|`RoyUHQo%K|btlqWmz57i;}_8)k@oaM*rkZEn%O%C}<7LeWL zke_7%xt$#Hi!2~}$RWSV0@A1&24fZSOQ`EM4G1yaB4q^U!u`DI@@ zq>%;WE^^3DSwQxawq>WVI%L|}(?7JUGxwO9DJI>WHg<}sLoV>oJ-f*vbFzROAcyRn z1>`_EWY;Vp2gxDxvVa^chwPRGa>(9UKn|Bf z_Q?XWP!73M7LX(4kOf&lj+8_0k_F@_Ib{DVAV|@+|1xrNMZ0$h4)wBst{7 zEFkxmL++UcWRV`h2WJwl~ z)8&w}vVfc+hn$@SWQiPdZWfR;<&g8TfSe_VEXxA2RPO2v)FIPceYTwCB6Y|#SDzz? zT$}~uTsh>@EFkxhLoUk#a-JNrA`8g*a>zs$kY#ensw^PO<&eoNAQ#9XS7ZUXP!3s} z1>_<*MS6a$_KX=b;z{AZ9h3=TNaSZx_2fLtkuJUI)+#ic$V;<;Y?dGR`z8$KYKprB8+>{06207$CSwJ2thuoY6^iX8H-EFe#nL%x#*jQn9RF1vGHs%`SkCfyb;vZ9 zm&hUi%mVUKIpkkiKwc(?{3i>@%jJ;&WdV7G6f&e|0ePhy(#!(#Dmi2*3&^YGkdZ7P zuaQH>vVgo+4w;iVq}D%lofDMM4kmk7=mvi<*{;%Hvb%+Dlo;RaH=ZXo9w;@w)o(ms zYCK44e7oPcOlmw>YJ8{Pm_Ewl51>0lYP`{Jyii*6P^s}Izwsie@$R9!C9Qq0-A-h%Plfr2tAutb9?A{zp*4lb8Zowk}pV%Us5sV zp_iS0-dVgxrD@U4p;s4g3cZQ;x+^~P@?0Kz%jsB`_B){cu3tL=+V43%>C*lHv_JG~ zSAzCOPS?4#w}SR3e(fsI{?zF!m-gqN{e@q<8s7eTE%dr*BK@_CwU}O_X|oyz-4Xh} zJ@oUY&~Jn84*d`Q|1)UN=FoqOH-)wKaHlPy|2Bqh7}OqmsXZLNBb;Nlhr6_g^EQXO zE$$ZXo~P&L=5Gr3B#8EKpFyC~9xk{e+z*7@o!i5^wTB0{hj*7)&dVLk-zA$%mTJ0M>=E04(D7P@pev@NA zrae5)Y4M3pU+tSa&TF*i#?ZZBH2L0exu)F_UhHTt&)pt0+r!JYge#!j?q0|y+QZ2$ zp;tGC?kB?oyoQO~9)X7MYz%E7!$z-RWp1}X!w)uw9wEb4uVK|T47Y9!Jx+$}y@u7f zy#s6fd}HXTLG9s#+QS>#!$;B?aJ17xt32M<$5A|v6i;vz>pD<8nG{cP6ze-sJe?HJ za1iNmmoNZgI|wS#ns6lp?;hXmHQ zJmdlk^RNW$dk1WT94x{k0_;Z~ae+lS45?|%esaJLm4n52Oo08uV=k~bk4qZtHwWx6 zIam&d=?$9x51!)!W1JD#`R+FRp96Nd9IP|%EWrNeon2sEI83l z;ttr+aV(QxjTA?0|M*A`v|aIcpn$oj(kS}7U{nw(jV&Gtvv#NhdInn%k7&2 zi;Ng#Q`?E}B+y3jom^-;b66Ex?hPxxXYPoM2ZM>$EEU;nk=;xMyg-=m%?n)SeR*GZ zGg)LNJ7mt!9iAeC%_Ii83A^xJ1lSb5iwmqD?R! zFa)Dh%yf`0lq2oNVSNk^Sju;EAr0UI+_VsBj)QcO9BCjQD3JEy16@diI5a?NEJ39C z4${SPq`_RwE|GGcnqG1y4B?&$29XvzNSDZwhH^2#ME2$G31)Y`yPwk%2kBBd(l9+TTICOpa8@3kA}0Ug$y^!AJO!DjlTDNP$$%N4k(k@lpPx zt8tL7kRy%eqXp7RKH7yehQl)6+jj>zNLR{{#`3WOsg93zA&ukX+(?ns6~R?1sLHL_Se~HS>usus!%560lYW>>4@Po_tRMwubNN0^5u4 zqI{ zKHUX2gU=u^nrX1XPIQj(COJ|GFA+#5^AZ=*Og>XWE43iFSq?Uf&k|s#@>wphQeG+n zODza)k%P_Vvjy1ce6|a04xi&bM(dI=wIse(4mOw16<}xbxh}AM_&yS5snx}8aM`;o48kT%JYDtLuJx|UbCke2i1 zex&Oiq`T!v2@V@Oun@VCCtOICywZ<3_T^)zszxW;xgjzCwU);wxNWEBQ(ZSZa&lJ~>z|uN7dMd94fV0Dgc3 z?0)Ak@0WwE;;RJMgM5_>td7@7z#ekI9*~38^LhdH2(Nd6HSh)r*kcaZgL1G&-YCGH z;EgV@Cf+0gd&&XZA_rT|R|~Lb_-YqeGjEoFJ?DTuBnNBZEduNX-r@pl<*jZoYn)D< z*$>OX+IX7)dx^KXz}E0J1V$5^tS4*lT>P3v3-<=VoSsz2Sg8DhFH7 z*9)+>_<9%Ef&4%>GaPf?agZL9BOSzH9}~{+_xM3Bq=Wgvexwf^q{rn*hwwuL(ntId z7t#g}o21^w>Q)Eo2|3cC{7`}PDL>SObQnL(-BfsVpF2oT%8?G|hYO@H`Qa|4Blr=1 zPN_NjDLK-S{78ZHEkDwQbQC|zjbu4iY8&fmIoQ$sXaV*;KiUO$3_r$wfEL(i&M`hC z2RoJ@E5Lr_$GX6dKq$z-YuldfAVJKgZzW`8n7oop3roT>$3r(_MgP@H0|?4$`Z+15z6hxakw^ zY{Q(%&lE6S`I#=5v-nvAg9D8N^O_q5?yI#&^K6*2`Pl-d8$a6xa}Ga80`s~b29FE( zs>E@f%g+@^J^8sVr1SWBlH+>AjfBUANVvTqkk04l3#8usd>7IM`~nHmn|`EdpZ4g^ z?NQiUBCrejg#v6hexVEOB7TwN0N-+hiFP6|*o7jni}}R@YzV*D1$GI)L<07<9}JIi zcN^(aeyKnj&M$Q#UB)kyAiX0)8et<{&My~8qxj`6q$~Ip5~O!!NMmiJEBTcIX*|Eu zg>)6aN+3lix?So$H&S#D>cPEiq^tSW0%>o4wF~JQehqQL^{wNa@4Jy~UzltoUCXZ( zNK^Q=E~M-DbrPfxWJuF&r0e;k)m-y#9~xC5|q8|+qos{mWbZ*_s)#&46f*47Td z7TaLA^V<)g1gxM#4Fl?}8HqxE^PJvXx?{p#E#qW~P`qYhNyFHw zq$|eN%qK)KO%{J0zzF8o(^35)!`}lo?w8o9}r3Yy(AsuKV-OukANC)%#T}Th` z2MB3{8|f<#(xEUr(5QEW4fY^^P=Fo9A9R6j;aen4^|c$!ZuDbpq=)!J0_iyZkPGQy z{xBhV#)WU(NVX%KU?V-k9}!3=@kd-pkMc()NZ-nkPO*_5g!e0?!H}O|o zV6XC5C1AgH00s{pPzSumUlU-r@z-2nuk+U>hxuCvV0YMHZ}2w+*j@Y$7ucKpO$pfV z9e{1J!QSF;39x(kTQ0D-`P%|4y4gKa{NV{0%n3+#RVz69)lelVPW;7JK;{SWvD0_jQqfeYzF{-FfvFB#G^ zHquA@BZ2fB|Hy^(G5?ra>jigf{q07IzDOhV%Qn(hzEvQ-%D1|ZKH;B8IQ`>BvWK15 zZKO~6rvm9s{;3P;Gya(b>0cSr+cwhY{BwcyF8|zx^acMyg7lvZ>3tjNOa7%m`jCI= zLi&n-m5yX+GNg}fq_6qc0_hX}wF~JR{*44l_aj;U`I!y&E&o=4eZjwVfqlonBQW>; zV;I{4`<{O@KIFTAD?@}Y_cW2ZhG$l5+Dr% z3E6}uT@gX0N|7R6K$>)hg6~TTt|85I*(fqqA*dOLUTm&i*G8|38wJeY8zFUqZ>a!}IBI)tM4?0fetDro?jj@(?GFfV4 zWxvtq*o^u*$~o3l8!Mks>2vMIe8pJHjj_Jn*g!GXc4KU0HIY&S-W-KcyBis!g7 zHnSUBC=u(pF}AWB+bG7mZj9~i##|-R;Jn ziZS1fvA5mWS1}g2G4{6`2P(!wH^xDgJ;N8}=4&g(A++UGiqu8?T(qM4Zo&?$4C{|w z{cT}K(3Vr!0FVF|VH>y!JF+rtAa)J3g&j>>PGN&Uf?R|xavj#I;^`jxQ80E5wuK!- zTTWp^Ktf!EZRk3zew^(hcq(sy^G0rr6YNIi-ECg%#%TW{fL{6N4KHzHw0{vm|A2D+ z8@n;uzX+h8s~Ah&81bS7CG#T1XmMj)VmCgd7|Yxkm)mEpe8P#ByD{R01UmF8C1Mjd z#>ebN<&z7%sT<=4yK$ouv6&m=7Q68Y#n{}95%=13j@uPu3pYmGN0U)`m!IF{#%TW{ zfPSwMv85ZM{fhv4<%43 zZ*gP%)_$VOd%C=X8{-dlqw;<&zty$T>%qzynQ_);+l>B8TTU|y2MKpEqmFLER=lQ% z<`#inBWz)RrY)ziksy&S!rta4EQ-?hS_#5NVb>^I*k5SNDQq-Iv^}iTy;>(XVOLj% zjlr%lwy?j^mQ&bRkXRRI+u2Rnb(LY`uxp$x>^a(U3L6g+@8WE4cN4bawLdIE0(MQX zg}p#qPGJ*45?zG7!%f(&m1moTU6X8Kf2S>{u*o3FE*9ZVH(}AEDlSyOhtdhUxd~hG z$|NpA26oM`g{9lI45zS}AepwXPOnk&?&`3r*C_W_o^2L(&9a51+qEpGur)zyx;Wb& z>adkht_{Y@u(hyjEn8T+3##Q5wl+v@7Z;(Yo3MWNi=ccpmfz*Z7-TnwD9hT*jS=sz zh1L#y@>A(qSyNMXdZ_Ccnq^WV|X~4?-Si zHs#R6-577TANmf(IKqvwtKF!4kAmOp#)$WKX)?W)h$Gz?@3tHJ zE5=c7jP^Ha4TBZqXg5aOB+;RVE5`fW7;)P}#?gv#j2q)vyK%f?9P7q7(Qcfq7{_Ui zbiip9Psg za2Ov}jFYv-iif>AsGT~tx>`wFPIXlmq;6$hRfP3azBIw7_$5|Ooxa^gYlJ5(j^nRU zjz87uJ85Bd<9fwdc|$nOm2p#r(X;YCZ@McZo+daBy-hjv46U(Z>h&wO~t5u9GA~`Wqe05 zo>U?(aAm|jk7F{Gw>=A889z~spD7U+xiWsK7%OjN7ORc)goLsecQKAbTa}0pYK;{s zl!r9Qv!&2?wB?jS`5^iB6mtEMWxm8OPL&y`o{F#q*tNhG_6OQ>3R?(L=pr+f4=?kj z+ORaO)5^4z&!h5({L(9rZ}_$H`1Ns&`nKbrr7fr9Hvnni;`q-ftF=rWR{6>&9>a^U zYmqJNdD?Oc+YqFoi?Efi3M|)#rD^@HOzV9zhwPHR*QQtV=l1}R}5 zb^7xJs*EbZt|hjx^txqYCxd>s(wAO0Nnv8go??{x&m)zI6GDcPy zjg@b&tXCTy4c8c_7!#C3Z*XNyR*b2N@o`ti48@qG7&mH-M!XGTpNTPBac%O8tt@#u zL1P`oRe3A0S?!`UHs&g>JSEnaKe-ANSE1tC`X?9e6CCGNq`02Yy695iKEYwEeAwOQ zm*G4CGU5rn!&v#8{z+FxJfU|OE1%Op<;sXB^bTWN z<=Z4XoHEt^0A##FF;>1!@~qlekyqUnW98c;y&+|js>J{vj?3ISGyVp>!5g4EFdco@e#*2Dyy`OB=57AH3PsO-T z|Azi8*=jHuq71PZI~n>J24Z~3u+gvu<5z~?4CiI5v5wJVY=UvDagK35#v{g)#t&qx z$=?)jO2XLL)ZcUu#*;XS{VC;j0zcp@tKgrAur3;P#zi> z8iKKPXxGpl7*~XD3EhVAtI*#_E>167;~K@aiEEE>M%=QvhcUhr_jR0Aw#LWC zXUEsYcz67L@#8RVk3SUu62?Cgd=mm>YeI{J+Y`EAT$=EB!e)$LCj6RkPPQiIB$g(Y zV;rA2FL5EpV~M8{Kas8YYaHoGSr~gI-J3KTQlp0qcFBl?U~vevjL)UNoPJEUX2=;K z8D@;_GJ0fSeKXc(?8Oq^e4`^-L>{V;CK+?Tmuwq~)ckSzGKI%W;X8YEk5 zvYH_^@pJa9>_@ZLVEjD$Y&P;Vr!c2=PCJbAbJpcNE?aZUay#YTAzSl8^D^_0r+EYO zCgn}Vcqs4vyi>9@KQcc%AM2h!G=F;jOpHhKKh8&97sM6h78GC{Sund`9>%kU-i64c z!q$a73o-w~b%nbN_hS6Len9Cs614*mUk%cSB^R^-%`H69R4OX@RtSPZ;{cW zxJ9XKl{`%ENo=SuYkEmOEOAf#r#mh&Pekz4y?;q6k!mG7D=b#+TVF;ey9Dt z!Nu>j9+Ml7*5~v8__SWX|KZj81O9?vyHC(x+()}#$luscyKmUv+}C;k0aExs*xxyZ zMT-1~F|=`_|3w_E8?~>$sSz_7i3uTon#b1U~t2n)il1#izF|~1%FJoNi z*d0`~J=Zo>{9Lu?+pZd%ulAg8 zt|sTKJ?{?H=)AS(-m#jUyY~D$Rm1bw&f)fIItS-@lt_10<9TT3(zTk;MLVDF*THu`SBIrhI!=ct|M!0ULP+PMz8&gZI~?~of{ zzS=ntyFuoxo%e_vXx`enkG#R=uATqr8*u*4YfvJMxk1-JyAI=S;C0Zh#e^GtEwt+~ zQLKlgU6aXTO>Ar8ye<}Ls#q6gU9@X6U964M+BmOIiS&S2pFgdSc8z9E@JjCDKxna(^r3)M+Qy?(e0YBlYYL ztR>Pik$QhS_0;Jn*6;79pHm82q!l6s|4|C6(@?D8KTAVLD%zj>S)@lqD*oeCRHvg@ z$A6xVPAOR;tr98uuToN-mSQdcU0P~VbG1m#f1R4@^c3s)@6%J0qH9EoUX~P9r>R)e z%af+|RQ0Ow_+vQhM5b-r@xtV!u@ zBBifrN~_aato4;mYfWlDB~tr}r?xu1#d=@)^ma<|5^1|g@vD;J>NFQ?es$8^k?IvM z;_VQre$`T4o$g}YuU@)qy8Ck?<*#bWtJ7Yr{nbr-P3rFwsejc|U!DG9{jYxd+e^S} zpyQ49J)#7zNeQUSKwN`sQwEMw7~v>|y`mJZSt+Q?L0pGxR}PMn7-uU9i}ZphiECOC z>aq~m;@Xyly)+!(j4qM(i_*B}rJ*hlaXqemc{oa>;>Fa1qC~1hiKxp&T$8F%CYn+? zEJ~%yl#04s#C54U<>DxricfsLBub`Am5jP<#I>nfW#jZ{W08)E(y4N#qb?tDeX3sh zI6eAUq+_Cls$>bN%Sc?Ks#!*wQhH64Qk5+wbvcRaRCUWqQ&Mk;lB&`rr7kORt*Ule zX-ez3D6J}ATI%u=*Q@H6m!`x{h!U$7C8jPjam}hnnQ2Px9Z_o4rqtBsCazocDK|~Y zofIWktx8T^cH-Jqud>sW-ut5Ts$J=+%THXt>Q{dD67<^b_(b`Kq6DjD398FbT*K;F zhMGsik3=a}+fr1QqqvUMw;Y{HvPAkslw`FoNp)F@YgxU^Qd63riPEh0rKv7YaXqVl zdD=_V>vhK$_`eV(dR>&Lx=h72y*|oR^Jw~&DAntxRMq7wuIu$vu9}klMwIMzRkG@` z71#FqDqBtIT1DwzccrT?UvYh}zw*_T@OPqwugelvm$A6U*Jl|!m9jrDzV1s~UEbn)U;pK;De*I+#BYcaSC_fC z<~K%}YfAlBQR+8LsjJIfT=yHN+%+YCR+Rh=Rr2bx7uWvADtk@opBJTn!h>Y>L$nWV`_QxylV~BLg}7)T>NX;BM6?lCZN#aStYAK(m55g2rj@AMiO3Vt zPTaK4H1{z8jUw;7Q$qRsr3Hlt}ZA)?iYR`VBIjk?{4ybNX^DNVK7U(uOpxC|a~4(Te_ID^j;3kw>B({nK`&X-To7C5e{wFItkiEs0zbZRy{% zB~5FJ7p+OOrhnO*)a^;+lW0%>wmoTDRHA56qD5VX7Nu@eBBw-~x*Tmv)2fn1s}im1 zGPNpoyApXN+STQ1SDKcUDq5CkS(mY8soR#wEz!0vXWMdWT|-&AXkDUpUFO!MZeJq5 zMEknj?aQf!HD{Tkg^3n+1zMQ8jfor+ZR|?4F?%cX+U==({}TR!KuyugL@T>OtxVm{ zM4pLucBR^xy`|~Qj+Rziv^3Guu3$@3w>6P#qOD!Ywx(`v+?(Zy)+Sop6>e?n_9pU8 zw6`nW-qbD5qXnxgTAXNcSE0pKw7C|xyM`ZFuE;x)cULLzoN|xnvV4(yBKNLh?m6Wj zUIZ=_`6u%4D(9bb4i04vL=K7^yaqYwoQKU>Ly?Cf53f-kI^`k@WyK;FMJ`^$Ty)Au zJWp&a@=@gDHO@zEPFh4xikz$hIjPOda*>xJFRMsiI^|}KaMo1hrpV1Il$#a#c}X&g z+^k~Pg|5ww{*QawK3r&eUy@`UE0HATBLy&DDUta}Em>P>Hftxn%Gxs%yP36M9oPfx zR(6ziWS3Z1p3l1R!K^#q$a?V4Sx=8h*3YAi_4gRa26!xC13g|~_jr8E2Gs~)gKN}h zLu&M7Lu<@t!)okc!)tuZM#x_5UOAhMlsmCe@+3A|-pt0xXV_R>LpDw~la1G%WD`7- z*!`YE*hJ5LY?7CWP4>EtP4QaCrh5I%rs<2>bbVhoL%)N~HF&UjhBj=0VLV%Cn8zM6 zoMOw2>1?@i7+Yc7%N{m)u}4hp*hF{62M*v>B3$N z+06EboMQ(<%h|!uh3ru1DRww4g&heS%w7uH&0aRk?5MdNd&Rtx9W#H+UJI|oUJoD3 z-UvU+jzrJSYC<~;R%?va+lYorb1a@vbrm+r$o z(>rso^bK5}ewrIH8gc)Oc|0KFYaW={kOyTh=E0fY@sO-i9-6g`hh-h$=B)2{c+FBC zQL{gfuDO`U*4)eEYJSbrYx(hvS_M3-R(D>r)=XZj)^=XI)+wG{TgP*1*Wz_*cjR?z z-_PsS-pF%nzs2)vU*!4ONxUGt6)()bm)FmJjW@_i;zc=Qc*C5xc%z()ytqyhFR9at zH?DIpFRinRTk5>b%j%rw<#i)?le!k(wC(`jtnP!ndEI@yMcr@sP4)bF%X)>pRlOd( zb-h`LOYSz_A@@CgYaZtv^KRp}3QYXYf*!m}!7kpl;B(%su#k5zoX>j{e#3j#Z^ZAa--q|AKcDxmzl-;&|2gm5 zz{KxvP>=U(a3}BIU>YCLU>hIU;5~j%5$A)7ZsUWCCh{RgoA}V86MR_F?|gW}WIm!{ zYkqITk$hysNBO9RNBQW6KlA$WtKPi^0E}ZqO1*nxNH=Eq--@` zS@sHFRd$9yTHb=ME?>-ZYADY+BAYHSNzg zH(kKDG<}Y5ZTb;^qFD{Ttyva-vRMcIRI~B?>1G@F_GWML9nH@3XPYPRoy~9JyP6N@ zyPH44_cTAkpKtyX-`m2>549M;d`urmlIcU%Og=2>jFXI$B`!ZLMH;6Vr%FucBY7F8 zfz^;NNYTdWU>>^8U^BpUx-u!w_yCx!+W+Ed~ql3Iux)%-?GS*b=ZH zudZNA!2-PwfIS2j;hG z*eb9HLoC>%V9^Ex*lMsS!$7ddz+w#@!PbDq7`A||1&cQ<0b2(aXE+bG9xT!D1=t3# z1Y;Ah$H9_~*YztVr@etTnuryN=*b`uxCSR~^U>T-+ zz@7xFX}S&UDX=WlPOzuJYMUMd+YVOC+XL(wupHC(U^~FFy<37k3s%=V59~RxI^Ijb zc7o-4-w(D6te*F$V7tNcyM!p@u4uLiHEe1ObR^s~@*by*`?`*J_z)F380(%*( z-1mL3qhMuzMPRRhHT6pYI|kOoZyMOEV9osogS`gU%tHwe?FD-Stc8CZ*qdOj z{EcA8!CLy$^?eJhjXz!A6JV|VcZ0nR*3N$|*gIft1H8cA1-se*G}uY7_5rtmy$9AI zpb^;nV7COU2KxZ4W56u155aB?_z~P&n9^VBLdifPDqlEvP5h*I+$^T7i87)+1;u*tcN4 zf|i0=!R`vW0QMiSK0#lCeFxS%xGC88V0Q=Sfc*g0H+V7Fk6`_S$AkR@)-U)ou>XP$ z3_b>S8f-vFEwG=#28Ecx&Vbz$G6w7yupuG6zQZ(zeho(4M$HZ(K@>>SvL zPzmfj*znLEU>CqfhPDK|2zGDiHn88pMu#o~`vYuLDAn5~urZ;iH;x-!bXDC02?265=;i07`7iw2X?g$n{_`U@Ic$gT;a^k30hw2lhzhM_}<_4@Wfw zO8{FHl?s*!wlZouSQ6Oks3BmuahB_INa|uc;Q;=4iUUwZS&Upr4wu!M4VrpPF*Ow!|y~ zs{^(zW*k^uuqR^B!%X$So{B*aGv$Ik8Jhu?2ev&n7%U&`=~(nIQvukHSoAPcA=opq z`@rghJs0~pSOc(U}25ShmGp;>YBd|SjMPS8XyW<`PD*@XZHw~;Y*z<8# zuu`xW;!c2B!1l%01uFyFA0G`?4)$XFIIt#Q2jlyIH3d5m{}Na;u*30Bfi(v^ln?;c z0_>&ui(of_9ZBc{))MS!LNl;dU@s?Z25Sv=Ea5@0HejzLoC9kM_FBT{VC}$OO)Lj% z5B5f4ZLpicUQb*Ib_>|?#Iaxk9TkayPJUU>_y70P7BRDtQxF z53o;?7lZW#`#AX*u)DxMOa2(F7ucsMjlg4Fda5>UOZfU_Ycj0yYHf z`!ohN6zr$e|9}kx`!TH**l@7ZY58Cy!2X-I9PD1OGig)6MuPpE_6^u5uwT=TgN+9J zCA}WlePCzPW5C9M{gyrvY%JLM^!{Muz|N%~0~-%^F?|Qv1h5MkX0ZFg{>bnEn+W!M zMlZ=^nq-{Jq>MIFl4&y7rHtpnrhxH`NAav;s&Oh~nL%LFz-nZi1)C1$k=YMy2AD3h zHP{1Sa^`-pnP6U->%eA#d1jfxW`h|re+Qcbrq3D#HW$p4bu-vJFk?;f%?IA$2^N`mH`pq$h`du^kAg+#y$H4%EGoY) z*kfR^`C(vdz+&=ef~^IM&%Xz39avocXJG5W67vs(Z2(IsC;)pLEV&>GY$I4w!91`{ zV5tSez&3-W6#9W}0ZT791GW_`t*|%P6JVKzEx@*cWfblOdlIZ>;cBp_z_RKGgFOva zyYL*?cCcDSG~Z{ya*AlaJHWDwr-3~SR=2nx*mGcYN+yEs1j{Yy1-1*UUg;sQ-C+5p zkAv+2%d_zSbfXSU@w9-w7d(pAFQZ66zl+4aoGj1 zgJ6xy2Z9{}Yh2zA>@ZkKIUV;1n5CSK`x01b6FTn8VC7BdxJSXtT9ksl0@k!e3fM6) z{IbPBkGDPEkt9EZ=~dHf5;L8YBq>m0_@5+srtdPnD@oh1DM>ohDQJ$QlYAsU$zRgL z7A%Ee48v%~7=bYoV>HGXjB!$elqi`^A7MW(=}jMFG$OD8|BOR%HlF~DmOQ1;06x&Q zmAs&3x^i6;T~l3i{3~~`KDxdN>+4_xbORMO(7}f2hAM2RgWanesj!iz6Sxm6)Ai8} z&<)YuYx-1@^lLHI@8vJ$BTRlz-pk}u@{3G9F7IaYr}9B2zb#{N>U&@nibxugH1fcV zN@%MwNhyhiq>QATe%pk$n$lJ?+GayLmolKvzE=->lM z?jadOGMHotMHxz4!$^jcj3Bv}WF*NblF=mhk$DVljU^dJGM;2I$pn)7NhXp^BAG%m zm1G*pbdnh)4^XI?B(vzp*(7sF=90`KnNPo6KwAq*7LhC_d5~lY$x^aBL|e;9mXoX? zd6<6j2+2zNaTUp<^y6yUdW@E24Q;I@Sx2&-WCQ);agvQBn@Bd3Y$4f7@&w5?GCxW3 z6v@*h+ew}w*+KFw$#Z1hNwSM%H_0B7=SlXG>?3)B%rBDcCpkcJkmL}_VUi;xFOj@V z^EgUduh727XzNvy*GOI`d4uFllH(+Ak(?lTo8%pm6nT>*rI8epWRldBpOd6?+R7lw zBFUGJpgqWYC8@6bq9oOpcS}-|{5r`7NjAyelI%m`OX4T{OL72h1(F1j1e1i2gwk)r zXv<7n;j|S&5=jz85=|0A5=#3^G4JGLvK$ z$!wB2By&mTk<2Ia0+NL!i%1reJV>&HWGTr*B+Fl7%D>k~~DRf@CFxHK(oBBx^}F zkZdB^O7bMhc9LgFc9A>}VXbNF1(E|Khe=*0IR;_vNjj3;K|-s>x|8%Kp&7BkBqK;@ z9&7^16cRddMkkIpy&wxnmXOdnvqwqRkGKqo28^Y-7^8k`il1P#`l4Oz$lG-G7 zNODQ)Lm1@?FD5A?p{u}YP5I3vv~>J-l5QlF47?wNQHJqBB$NkyG|6}py6}7k$sCe} xBuhyihOnBnMHiW`C)rFwmzLA2@!cdZkkB&mqa?3Gq+I!+Wco~!BoA4V{tx_P1Rwwa literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/el2_dec_IO.class b/target/scala-2.12/classes/dec/el2_dec_IO.class new file mode 100644 index 0000000000000000000000000000000000000000..69a010f6798061a801368fa71befe9d5ebf9c196 GIT binary patch literal 82218 zcmcG134B~t_5Xb{^JbFC&7P)7o3^A))27YRBu%rm4U?tmG)vp0OOhs;PLfGFZ8Ax- zG!2x3AOgxFDk>rZ;)aL{Qa}+z5fM<_cNRra5XF5*{hxF1n|Uv9&ZO`6o8SM_Pv5!k z-19wmKlj}G?#(>?pU*wY7~87;TVW|9f#I^ic!dwB&%4*f6yOaX3(f?_E6W<^LL=h= z7qcrYX*@V2hIzt_kw)%gn=KhXht>Lh;!=b>>UwI8{7pK)*T^r``3pvVh0f3A2L0P~eyx#Tr}KM_{GB>~!N_ma`MJpk{kwF2 zt&zV+=l2@Qw{ka z)%mqX{&Ah(YvhM?{(_M|t@Cr!4Ej&${8}UbBAwr>@kM#hO1>Ds26@#M85jAvVY>?T zpgMJa*xsTZ)h+p;C%;#d7yJ~RzhLBR_8H|P_8IkS_8G=qe%L+>Ju7rQy#~9juGaIj zVC3ueJl|-~Ro$NFYxX?fYln1eP>-!C8`AX}?6A6BPd8}fU#RmFHM=VE*{t)6BtO4a z1^xS^{`H_g(O@Ul15zH#TV&+-=zOn{->>t78egP)RPwPt6E!<2_#-;M$jCpY^Sws? zxXuq6`BOSSQL~dm|E$h0GT2Y`wB%#Cyhi>RogXyvAG=JCNHiEw)e-5q`9(&4g3k9E z`CR7*4F*?Di*)q-M1!GJvvhuu!QiSnx*o4lK2Mhq8u=IK{6vGHR10)HMH*jJ+(upA zYm_h6<%3547M-7HFqG<6onNH!h5j0Yej|Uo&JP;(T&U|wG#E;?S(h&|@^|ZeuaV!b z^Me{64XC=$pkErw{M;1a_aoodbOJg`&|pB-qXv0{;ZsL+evy%XOy_%z{BfNhH1el( zexlLv&FcIjBmcC{_ZszOE~roG$u?~TzR{C)>Yi+o z(UW!Ro@`OrlNI_kPgdl|V2PcYCyV^BCoAM_vLA~47!0CQ^JI~4^kkj7CtK7j<%@!e zkbmi!Htj}vY50n~Zs4yK`kOj|uX&n9MM>CyB>w>B$6&~uy60FF_8dh%HP2Dx$6%P8 zy60GA^c9EF}nLwOAb$fE^aPuZ zL%EEepi}n*i;SM2b4cb#^k;(sa_XL7kYiYc<_Q)BQz2dH*)^TU`qvDN$fxGn zVLpwXom2PhiWUs=F3qz8`EsLY=h8j9a-(PG(mlI!qi5&RJ-c$FXXnyAyYjGShxv4A zo*kwe_Uv$CaA}?$)K9t5vvcX5UAfV-bLpO4xzV$8>7HG==Go;6{nEZQ3jKx^xl6Zi zgz+F-M~(zurEzEj_<{ zHN+p`C;hoq$H%fqsyEo}_Gx#?Mvransez1oK2TV2EWv4WI6S%K`xPZcO}u2eV&6K`mVIVha{sn$ zHMvBw+ZyLwE4?1S-(eqj7nLXW*Nhx?di@;(m7@(QBh@KhC8;T;Ag6P%@@Q*C|8jp* z`AD#EYtKYa#l&Hod%wNE?o`7HUY$BvH5oj+a(7v7^+bbncKH@aH_wxwpFY@_nwg%v z(CDwXCnjDzpVF@^@9iu;-QHI|8!Vh{o$RTbYpNKTFV3yG$fGKaC4Ch~+lC;$y!xYK zUAz_KGrZY(^TjK6%yriloE~3QKh#^{$n-lZM_bRfxyEvU?kqSwkyB~QPcN>X=&34i z$N-uL`Ok11wO&a$-jL$xnarsg>g_sHSk_l-TeBY8VW9r#e&f&OEm zo^ojalP5zx6(>)e&FtCRzh$Vk6zUuFd$Y3+PxfsI`7(;cpdzeal&gK9a@wON8u>FP>SVpG zuX5Btdylpp+CFcrmm`gN@LoCFhV>h+zn1Egtx%4Mfyy~iK3UJv`4Huf&PQ=?#W;}< ztT$U!KA?VKyku(iG#n^(>=ymItGK4j;|{dBi%(DFRLmT1jI>+Adc{3@^3WM)S%3Y} z?#7D>I|jCdx;q^?{+7yx6KCx`rGs0J`!C7dFxgXme5}!tsq8ZI%jcR(i^obmNoGAm zL1+Krj=Xf+s%6d4?`lIQ#CWM4391YGlYR9^{o9I97q84c>Q53gh{>_w0$~9#{43iL*2Fop}`#zLfrX-d{81JzJTR++P)F*arQ> zNyV?rnSlOc_xr1%zfStR;fe$8OWm2V&fMy$*3vV(LjC1|hQiq~7%%zu2~zLzfxa`l%6hjR4LJRc zAsAl+J7+f}qg^{YJYSky3FCF^X+BtftYHt-X9Ah;<;jEPM<<*k%TxN4)l~j?AJn^6 zeveA0<8>C~O!QRF1a@ZS%vOWS$U3__r_Q7)I+dTqz@(9=+u#-oFdzz1xdJ?feh<@2}DDO01UAHg* z_C(nG#__|GITfeIpkIUr$|t&4&mKG6@#qn!C!$aG@6E2X!OEEdC(KVUj>;qX zTDf~N59X8X=G|p0>L!~i&KxS0<44rjSeLMOqbIwHH|*^%pTO~vuU3YdWc~xKDdT9T zhP=-7#*+SWF%EfO#mEt`Qzf~T`F3yq;nLnM*dDtkdMiQ=gIPH#gO#=o>Ep{M#5i78 zJ<(fzbaGF7YuSo)nD@f`q_I-pmYFtZ!E!@-Lj$8|H_HQH$0F(Bd>hugelh-Zps=7P z*{dYWdAe$*!CBDSF`%R@dWZhHQq;%RMh(pR=NVp-{BoA85HFoEm=`NvS0Hn z?E7iHM_owo?9=Sok&2^73iE2pltf*B3bfCWx>=8Ua*^Er!h#%sbJbYb&bFRyT8{Jg zy7gP3e@FV?XkZVtr{a$2!|_nOqHe~A_M;_&kMv7>OC+CSJb`>pnpa8hUQv8HGCp$Z zXHM4f^ho`P@w9GZ>Y5s8e}O;Vs?{s{Egh@sZ5uFOJyjzO*;yN*|DoTqAr-n*`gh6)l0`ieT#OR3lwgjFIiCs`3TtU{WRaB20a~M zUt6~o%(oB1_|M>e+lq=~4JqSbueL)w7Qi@j1zJ|0*;bNQDf?-klInGDpC9k74ru)@ z-(H@-0p^F1BN<@d*3^#Ls}{e5U5%tuoLd(hTpTXjk9R$H#DkMf4XyyYD@evI!hFU>R-FV7e43jQV3chd@)zi|J7c{)4PTRsMU zZD+%#qS=qJUyjj%W$Bvc&##& zl%AMg)mYx@vM2iX=PPTIZK>;LtCRcd18s%*p8c+-9JO4mFNOnW?KLTbTjuPmyxq!?^EY3lzom=MgWX6&J0Zu%8Rz86`lEqE>7I0VqMCRlzjr-s@pq>0 zSPTBmMBtKP^b5rJg7G#UJi9JO5q4hMlZM8A^o#TD0Z~7*-F4erOJ)C-_67XyP;Q-^ zH+$-i53C+J4D;>0l)qT+HE<0r2Q|SoN)$)-S6J!*jUzA z0qZW!erf(fQ(Zgwv#B_5WS8dE&a|bpSA#z*^9}14;pbvIF35H~(wNmV(OWg!rjOs# zov_XjdX!jt$^+g#XR#CC z+hN_1*OQu85B;pjwPkQipu6wPsl5Z0llH2`?=X&qAK2QN1?!8tiiROB^`_))1iiDv zmo$NY>cVov{84ub)-5p4Eq(|8Ekn!;;CG+E^+<2cOyF#0jz_d3`rY-o9+~f4Rd*ET zgM8r!NdIp(2p)k6&#dDSKTTMY8KV&3zn zbe%pYODeyTszpvb=`Fqe` zM0pwsLtV_yKm0sQsjcxWvgNRHpG>6*zP!F_JNOT-lie`SCHI#fKZ5g(;=p%jYQK_za(q>FzCAza z3JsQzw;giiR8w+wgV&7riZdjLv+6o=JA-~H+eSzPht@~!YXV>ApW819F zyW#b20Oq-p-1;Gpx<3Q`70BZ7b=m2)3PFcetas{+P6@^|m71FUhH&fc6Di zc^uYbXs^Tba%3H@&C8K@mLFHwBq_iF933;he+7b#nTes4IR>(F1oeDoy4yuDuM%W`cy(^}G} zWZ`}hUk&RJZJ*%?><_`b1nY8*kK<{udQ|R*z`9&(_i%ZdQu=Y75aD-J6xXC4f%WSi z@LN}c9fNfO?o$OTU|(iM^{KJ7xNfc+^%jC1g>sig@^!*B*{kKZZ_Cs`MSG6o!u=@O zfBev2abKn_C#J$X5>?`A6zc)1TVzFMqP3nvG1kHUJ-FUCzq);#1p5cbQkp0Qm68Jb@v$6>@@ z^FldGv~d{rFL__hWSh;ci2XX$*HaOKeTU^GE30kmWctwWRvu32+cMTvi1sug;>Y?+ z3t+ubbJ6C+qM%K6*pFvlvcJ4VRg;?1d-r;5hucydjmlc?EL@+z|D+0jREzMV7UB7z zk{;aCK0nb1_6hwFIsd{uquGU=ty6)N;(4*}2J=|B{@VL$W?Hjl`@+6v7w)%c`#ZsI z+&>&pGTMfuoo(3zaz|j_5!Ms=V&4btZN*I3PYF2Fjd;yp0>5Y=Y=3co20{xob1M_ftWFE<_)Al*Gz2Jn;ER8?Nmrp_e&JWKYkk0sE z`9(r5vd@eD$mX$-ai16aVNc~G?(^#V!F98NXt|AHIi-2)$$&@~^{rc;zxW;I5!W$4 z_+jAp&W!E3Xjh46@jKX&RIwio{&V-XaoG3R60q+O^9js@ZHHkV3t)a={jKd!Lpv6Z zABJ`9QL)|!yP?(F@gv)cTfy$;+n0gA-cx=O`f05Tj;NF_Tc2fXY}%ISOW(hCMRjF^ z6UMXVAA1_19mKd4b_?@41oPM~=yy}zY@mu5uTlK4{lj@3zAGG_$c6PX&Of*>3Ew3^ z&um*oadk4RyTLxeIC6&h_`Y0;v;*$nf?wbamk;YPb9vf8`Rv$P**?Pl3BLvHM8!pZ zXCCYa$#yd00OUpRyv{o`KG++4kNYC!0E9zf0`zVLjO8ev#AWOlySo)~+URW_nSs zdx+atE*se}mLvA1wT`^&SzE>+3zN8rbc^;FPV?m<5v z)_0nng>^tr#n3=XaVzZaOM4CRt?wNjr<>IMumgEAEd&yGwru_eXszwpN#| zs7Jdk{T=E5j}Ab)OMho&HSEvKwAG#21^p=7JfHOL+};EG)-(3rh+n1oy~Fb%*gwN@ ztcd<1<~_~-tsM%k1v{n9FX(q^^X$o{u4Z9($|Lg=w0qCCzet3S9a-)G2dEh7K8q%@%bgbGsGSKC4tcTA}VSmLB`<4^A zHFLwbucwuZ4^+-{q|COK!9Ile9wz*|Fg`0w+9gphC)@UHt?tOHuMB^8Lw_cWpX`v| z-Gm?22>Y0Q6_deEoAx~o$04kPGunl{06%MO{?K;xJG;_-Fu#tW-o)e)%w7292%Fg{@)%$UyD-nCh$Ir@F; z4R}~5c(a>fyee7H4HQHk>3vf%Uw=?gb=)KU zBM#|q8HIiIeDR)AusZ~H(q1CQ(MS;XmGS#cWWOq<9oKLA`cA&*+ZQ6^?ojfY`q4lg zZ-wtSfsVlfuy3$0?j8ZZDlO7);QK1{r<$SOv%{?&ecNHag8hu#iV5(u($%nE1N$l7 z?BcNwcn_YnpN4ctaa{xZ{lmT4Jl~oejCdUj=N2>kO2@Vm-ZR*u?(k@Dbv^ay@m5B1c{!#+YzN?&B2!gXmjt`FgR`}}y` zcJTLLy{pYrVmub&J}`WLKYQ^Qe1Dkf&L{@IMYo5z9|P-TST}5icE)z(>5g!_iur1B zz80-Jdh2IR`7*3K#P^ty-cp!Hz^=o00$f++M(VqO8FC#?dvtno z0@NIsJRBUEJ?3I7;SSPb9wcnIfK)JjYIyv_v5{#|yINt1kyj|~VzH6Y(EteLE6g>0 zO1wmAnpp@9&&+@jqVerA03rpPBQG z`e$Z?p;_O|tbcsm=MODF86q&OJV2%4C9A+`T@F+uE4T%(Urmk#d?O0WK+cqZZYF@b zW+9C+%>B%)?^Iyes1&Okl)6F_K_8^7g``l>H#{}xJLVss^-Tv(!fh?dn4SyC_w-3! z08^Qp0nG*;bP51T27<(U<|JfuXl~3mfmsd1T*H&o0bdYvJ~1_{N$4r3>r6d$6m-PJO#iI^L?8s^ zG_a?Jw<;_b+b=X38p6i)jZgYVe5d^5!4cnt!j?zJ&HAAR$e3X0ly6#LE2A+OgE)xI zi;f+EtjQ!}M+c^-Cjq@81{#zrESrvmj)xIgJwtOdzS-&1Pzypf%!2xY0*{3P(2D6s zSuNE?c+dfzJ1Z=vV+YZ<4|SOP?$_C%aTB%1s^_8uJZLXdTz^9I^kQMmSBVmtqGeYW@gy9p zSDOpa=!^uxJ_Kgw#-WjUm@z+%MkyIzhi9gJ(=+8hD7~maDU0nZ2Br22_7=pz++vPI z2BF`C=N!>JWVQ_43>-INaG9Aw9B~F_x?rIA%#f@DXdBGi==j{sF&I=Znqi(qP6&;- z77Oj9_17SdHo$7JQ_xM^kuaFyBvcBDKrJY7PXtcGtTq)0fiXy&4NgFJ z7qeW#%;e~-3?@y%&>0^02Pbg)apKz)>oY`6S|nJ!o6IK zJAG~x;|}X>A^_C~)3mTaXk^6finHoCj8@?hV2*K^A{x!K&WudS2BxM|Oqk2VnUzf# z0_!^hwh@{l5`*)xRP37!je~X1S&SJE_)kC#Tp}3o<<-D_S&B*Z_?{B3i43S)=|Sv|4J|g=w6buR}0|NRhT<6zn z8e)SJ69MSekUdQ$q#WU?Ab`!ad{Kq@p|geitY~kP!Vb9DO9d|codCG)k7E`RNYWCJ zZ`pV$fPhW!idWj6Jr1et{i#elk;H2pl!3T zW_13PfA$!%BZCgA;6xBCx|qC&FiJF?Km;IlUl4g2FjbBCa5lgeKx+(UGa@)N4fQDP z(uzfG3$2fe!p$48kW+!_(c#eS7K72$STMbtr7EIXmD;F+%wxua*ekG7RxV0C5~WpZ zxFwc)}S#rcn^5f8>d-iA|u5Hvc?w#W=wtPE7lUeWT#Oh<+)$3r@9eto`BH zap;Zu$qb&18CoB@-p%S75ytc=is zi@+m2Vn{Szgug1Rc76z2)IT1Wfeb+z!^uW^49?6aCug9FXTeP8hG8&g;7kZc`V`nH z$eOV?fr}X<3hORL6uPo8qU5Y=Oe>NP;bX*r1;Nu;92KbzXz=05iHYDW_^{9liSVaH zLSmH(W2)J6z~k#^>F~9CJ6pi2X@6(rz}(b$U@MsNo<)r9?Z^G6{AJ_*&{)~tq2tgz z_5V8#&Jqa+pr0L{oQ6)Nc%frOb_ZYqJcqqudHdq+25s{Ipdbrn#Vv|hvRX9ACTm+0 zVaa7CtzzE-i#@AknM zR{Eg3hnd~pKB&wDe3ikZ#siH;<>5D}9N&z}lTo_yfTz{BYj1lq6e031wPoQuMpgK{ zoy{#hA`_aJV3dn82$=Sc3F+?@ruYiq;`mBae5Hs_z+`0(QW_6>+nar!-Hp(34nSGm z8l%U%6^vKp#npFlHxXW{}{Q%e;(f2$}O)cHsqHe%ADtib%)NYh4 zn8+v|*vKdz}|$TCOM)FG>%lz($o|dNfIF0G|X73^rYUuF9+Vk@ zOh_GFEv??Yo#8BXdz!lO=C*ESVpdK+B<#SU~0pe6s-sug_=s$B#;Hg!*lkNka17NLW(ZeXT##+h4)rKs+;NgZtE>=XiQ6MY(JWXwavAFL;k-`it zAtWDu)wL!{rWhYk91sZCn|zZc6orR4iPL(ykT&#ksY=#`1V?(j5Y>9T42vG`_U<|e z{afqL;TNqxhhIg1PWN^~e_m|Mqj+d{%yMXV%)Cm1hjzy-hjz!zL%U<q9Mb{ZP+POn8`*jh-=vBbzk<&+1pi@M zSw%pB!iqN2@G;as^%d3O?XD(`_5XJKOo>|{HvdklZI5PV}7t`M3$?GU-KXwixQ zBKu6N|Jy}^mNJxU)#7rQ^1+o4D7RrjZih_bUr!vG9TFdfj`?Sf!P0Z%;%bYkMH}vz zrkjC~?B>PEE~$nqA67nsnfNGd(V2DmMkmKdV3VHY7jWg{5_-2G8)AoB79b-Gi|_#Q zNeTIsp~$$(mk8(w;SZ~#<1BuQssJaVg0N_}i|+xEst7BDs;FJ6Dwb5wm2=7iSTPU6 zJU4wRa0<3?ru^{PX#uuz@oT6{Y#?CriINX{&@jZJMWNK6m#Q9tQZI@h!!3t&GYbHZ z$!hu{D4#wxGz~Qvn1&r~xwQlXKEedqC#3SPfX6X?Y8n>00WF6YY}^wyl;j`f6pQ5w z7zsPiBeFeGN8*$9;y1A*#tB}|!wDiNreOy*IhZhGexNl<7PrQEa>tctm2Y4Rd=os8 z=~EN_nG^mH?03K?E``-C*;N+jGpge_P#0JaY(c11e8Yx-HM%ho$Z+f83`f)wy)zOg zD$0~3SAL-U5R397=pi^Ph9^U#!Lhk%+(hz?%`5DLULJX-AfnHMn+RR_alAglBN}6= zI8$z5z`$k$JmC-lbZ&V7VP+aX!JqVDYQTi=BV+T!v(vCoIyo-7Jv4&OlwbW4Bx4+! zI*TY3RN(0t75M+Xj9mG-@(Zl-mvM#;TNOiHiqV35aj=0piTh*r5gfnJWpySDu3yW6 z_*z69W*Q;BTW_$XdIJ$>ng$eh`TvnBeXtU#M(Tiw!+~tW5#YqKV>P&Da03&+D`^!X ziw!M$Fh+|KYL`mVR0&-9lk#V5uD^(3s5gOc8~A-_G$Snq9B7xn%XaxkL|kT2h+DJ` zBF9lfLrW{7?tf_oDCJ!FxAGq>gT%j9;_>+on@Bm1#_{oT&Zk@!V00N1!zW) z!iS_;I4j~S5UcX=cCW7hzlx@BTbnknM!UovJhXK%<*31|P7p)$_?BSYn!{a1!6 zp)n=43jUCw`}T;Yy+mwQ|KR z^?A9HBtvan;WE_0m1HRg+Xm3j;Loe1D5+SQF0Q1>&;hQb%g`aNWXMntS2AU&k1JU+ z)X$Y=GBn7QY#BPrmE|%exTu;VLnBM)n`P*&Tq%}$xt1#>l6O5GcW`Bk484mh zWb9*HX_cWbab>p*eVHp>8TtxW_Q=pzxzZ-FPjjVR^1jBE4jFouE1fd*O|I;fp>K0# zpA3DMEBj^W`&{XgT7JltZpr&GR}RR~b6hznLoaaUkPQ8lD~BcGC9d?y&@Z^sD`S7j zl|C7Ig)2v7?60}fFL}S=%7E-8uXANk@_x@1pA7wxD@SGM&s_1#&|mRSBZ|@UcdiV} z&_B5{B13O*B_Kop;mW88*%?>HWXQ&qV>0C6N>GNJTsbZ@+Y`8QLWbO28J8i>l?fS2 z;Yvt`(zr4yLm6C|lA$cFoRpz#u1rhKIb4~Mp%q-2m7zSY%*oJduAGvg3%D{bLut$#kS8kA@F0Q;?hG4&CElwD)IP_2G^O^WAC%@Ur8DmMI_PtU_ z%#D;L{Ue+dTpuGz{li~)p6bJiZQT;$xQ8T#*Tj(0CCEbHN5b=#G%U1aNm0mL(gG?| zAQ<@yDf7Ohm|lR*F|?V#SuU+q>}KPqOiN=&x;XRqVu#|&Ouu^6N)e2!5?aS;^l8ZmsO396cPT^D<_x`1*W8)qi z6jl)B)|FRS7p=4|s@0g4yjEG)y3M+1wG`cSp6b;;UHngkwYs&YS*u-Z!dm@W6V__j zny^;K)`YcMwkE9A^Z6PYKXYuc)_N#vvHzl|#r}(;7W*%XTI|0lYO(*KsKx$^qFTLD z=1YFn4}bEPm3jfy&vC~SKk!HqYLYe`5IB#Z{s~$N#>`ygIDwR-reDJ;11UrqSM%Y6 z^W;zeT6>=SMLy!5Cx7yzl@y9u!??YCK9Pv860;HXV-QjoHS5X~58CMnDMn3O;e!$0 zW+_8?QDGVUa3-b5M$(U1NTE`qo5eVJApuk|v_k~)K!y~f8ebEOoYRn!RG%|Sh8>9W z$%Q=#%5WL=$#xMNJLk!yI0GUxNtw>DQp3@Z^Orq*Vnj+VkykM^#c>KLN;!;L4uq&2 zDi$iiJm#qmrzyr!FDhz@Mwj!g6e}V+lcvgWc16lk<3^K}MG3b%)!8*canePqTcRn2 zZNLLC=S>(T@m!1)q{feyFdh*(Z@Q6FH0M>PJGV>JpyABTd6UKyHio{lMDIn(#a(BK zwnpJ_4_jh5YUg=mE@?TO#}?0bbTfzL!kvMxpRm;8f>8EdoPf}0P`Zghov~NP6w*3_ z7$J0b22C!M3IpT-kIxOuRI^Jx}c!ud3dF5!HdMVD|s&7w;X$TLhQ1*4yu{)uTJsW%r)c3N7EjT_ODvv@!nht-V)0}awb*}A ztHu6{q89rvidyWyC~C3)qNv6Gi=s=^Yt%VAX%|)#z6czO!{c{SfHG-#YEGU+lQNV^ z3(G8R(!x?WWr_)cvim56W?vrdlX8@wXOc5`u+$WQ(%L~lsgjzTgphtdPzq9JH|p4+ z6s2lUKTRlQC{JS1AwwxfZTW@I9xkmYawKu-JQ=6MSc&OVU94Q|=NLtMQf7%b?kICc zHU8pLkW!ACi6e(2r3lsHhBK3~YeGLt89PslPgqL1bokUBKF=bL(QvkbZG?}n_CIn~ zBtw6OLlXu$abAeCO>8rY{f)C?8TtojB{K9c&PrtnegxVG6$cqOD`Q&#>R_Ce3o(a{ zvkJ*`a8@aKPR_Q6L`^0GLqm!WLVw#!fs zXFFtQ1!p^DD37xS8CuQRg)(#jXC4`XPw$N~1fSlUWT=p{W|`VX&RQgI6KA_5ub8t| z$t&e-w+wCJ%qwFnINKvbTRCf!vD-Lnm!TTYI%KGhvrZY>&e>iW+R52I8M=_O{W8?Z zS(gkobJi_GyEr=_L%TUUC_{TVJ0#O>=j^Zyb#m4tWA|~^D??qJ^~uly&W^|s97O1s zp&rf#WC#u(49ZYHXFeGk4BgM! zwNmZ@&aRW8hd8@l#(s{o8zk@ZoV{I!9_8#t8G4MfcSyM}!4Vi!T}S^>1J2&b-i5XO z1ZOu%+>@NWTZW$E>^(B{3}^3^p|5jxvkZNMv-io+w>Y~+hQ7nu`(@~RoZTuzKj7>G zGV~+PZj-tE31_!U-t(N@A$c!y_Cd+}8E1FO(9hw(n87g0KNV2Cn6vaB3*hWS?88{V zUvc(Pnb518eN2X4tc&ORwaV3t26LtvIa zEkj_I@0FVW&Dni21gFP7BSI=1$GKmI?D9;PaU|(?_BlJp9)KLHPR^5kGIj%JPe~peuXN9G|bs6G8EwKRT&!N?AJ0BgrkAmgQ4K;PIM-WXBg|@bewuZ9e1oTtc&5-|dDxq3yC`X=?wT)kW!hjXLqTR_Z0M{7-k|_W;B&ye|Z-+}c{;8?)1+Kos{s%X3-idV+NuU`{ z%+>ncR!zto$wnc(2^}TpkKFkaM*+2AB3q)Stm&nr9TQCoQbwlV@ zIDH)!Mj@Aa8<_DhXYbsstcp9}XlO*K#ue)BOjJLpe#i|E$Vzm&=;F$BKbpw0)sI01 zg>{Fs=2AZnhvFm3!)&4b6N&2G>OF9l29AD4Ni6=eg)sV8sh@I#{HNgt)L}WFhSP$- zW+eVNS{eMMvgz6JGV#X`A%*+Y&$wBhdcVTT^oF2?_4K9N4lVG28;bOxp#m|+rG6I9 zQQ7QpGFW{W4#*p=G+07m%3bOsFjC=kuKK9@1vrl?rN0QLRb}XLID0BXPe9){YA`uq zF7-*b`c?G{U?YuEQLckaecG))gL2tX)d=&nY#}hqx$3hpYZz56`g=Yu^_y<>Td1Zm zs%B><=itx#1Vf{fW!)kO^Ap&c?<6o6o?$lpWeBtjp|N@|p5_I6^?kScUG)bF8#LT) zTRavb4HA`x7m~9uX3!PEA@d_Ql<>!J(>I)Zh;XUT!EtP3kt4IaOMStuzKF$L6d#$9 zUFy%=>Pu1ak-6BV{=%)k92Fm#gTliZ z>)4V0Dm%^Z!4P)CpBRL{H8~BpFC0zcRz)f{Nax(dP+ZGh zT1Q~^*yPAQJX#lkQ3EqTa`!C!b*m2llqSNxp-^C2{AttxM7w2s?}9&~3fb(M3*lc7 zI~1G=f>KW?Gzow6692L)n3Zlw&hHJ4Lq@RW;M~06KweosvoQw)lMQD_GjP$(7*JSp z3%ZGI78KUjg2KvL&{B=U5?Z{&0$Na5J_`zqXF*}*O zmb`+(f>%&j?g|QvT|xUbI-t=(jlv>V#2?itEO5m;EN=yc#jT*Qv=tNs z#h9S56cZE{VuHdlOi);a2?|RvL16(VC@jAOg$0(Nu!Is67DJCcN6=?AKCE}dJFIpDg|&{Lu#yoJ)-i&@Dn?LP!w3p17(rqE zA}Fk01ckMWps;cg^f^uLd5ylHQCP8v_@8PNRx9Ei)+&O+N<~murw9tG6hUE)BIvI) z3hNW`{;EcQtx>po6>0z9Li@kYeg|@mc%w>CxBw+6+*}fPxXU9b+~g4y?(ql;_jd$^ z+dG27-5o*U=8m9nZ%0tLwSyGz><9`sb_9j{I)cJ&9YNu)j-YT;M^Lz@BPiU`5ftv| z2nsiJ1cm!Kg2L?_LE&zWpvhW1+{h8{a34odxQ!zy+{Fapm2*uP`E=QDBPeC6zkP#H_#|R3yV+4h}F@nO)7(wA)jG!GF z?bImTh!K3a4Mo_r_A}HK`5ftve2nsh} z1ciGqg2JsALE+Aepm5_wP`K|RDBN}t6z;kR3O8K@g?lc7!Yvm;;f{--aKlAVxZffu z+-?yR?zRXDH(LaSdo6-aXf&izxX~i`aGym`xXmIc++`6IZn6jp_gDmlTP%XY9Tq|1 z28*C@e??HZy&@>wT@e&+t_TYERs@AxD}utE6+z*~ilA^`MNqh{A}HKd5fpB!2nzR9 z1ch5Fg2EjYLE(mqpm0A$P`I5UDBMjE6mF&n3inb3y-uUoYZPvz2tM3LfvL{U6y-+c z9kAd->(;{T@Q*Rqrj^AHDewI>1a0b{;pqhT7M?1az6+#?a@;AcK?=7h@7Gc&13?s3H$9}>LF?yIKqE(y4q1@}`KqsS3PczV4z`ej*N)_s}Xo9f!($mHV`U>+Q1{+UFr! zXLS3Zt0CJ5osCHQdn z5Nx`}z(IG^){jxFB&^44Vixj@9JjLu{VC-iq{DWS-Y0au!$3x1u z=rlILIuL{+oi0&%21YMdOrr8N7$i8h5|yt*Y7VVqKP}3XY*(IvRKEuQzb;b#w(=dV z$@hUuRGP49b8*Q-%8!>yI3bd7f`V=sCY+$4BZi|TLHRzoI`(ja--X1!2mik>68wqs zoR;7$bHP8xQZT_>N3NRdd`S5vo$PKY8A&!pc^+21m~4vjg7PboY>I-O8SxRjiM22YOYg z{H^l3*1Y{%^SWi3A5#8GtKBN{?2eSzt^8iAX19U~U^TmyKR^h}>sI~

Rw8P9&c0 z07x1Lr-4`+2w+eC8(p@4(wVSSwtp#akR8YdpO7e{E_<%A1BFYL5Gh%LELpe%8Oi}o z{u*K8@3-0L)MA);_Bzf-V!-M1#Qiq)6J(YbDPfAuX>)1dCddzV!n95I+Y+CI+3Z1E zG6pd-cJ*)gWJ*%h#3mJ{X|1c+Qfx4GMQ)4=)1|^JP?-KdRJhERO(@Kf3Ri-{od2Q1 zJliU*2OFlub2i((WQ9d_9NQXw&0|}w4Gv&JpR`?IgKaHQcwP0%b2inw>fb?G(GyS= zsS>yNwBj3Ecb%oyWq%{V>#c$RPJ%aB1OLMYCM~K{Zn6f3U2y_jYz_Pd2`;q;hMjpL z@1f_GU1Cz9aZ*1&GEo%dJ+Cz0TGYhX@-JFS6}$ujS=22Qc< zj|>c(m>X4?Zo2Ow=Lyrqs-%(NgVw<5B>1p3a0UtPwFb^4!AGotvqrjU@O=Yv3Xhe3douCK7y&HSlH<{5EUgVscbnXAN9JrhJ1na48AC(Hgjn1i#Z7 zcnb->$r`wv1i!}`xPk=VYz`X68x|=a5Fh1AF&2*A;Dj;2Hr(x`-|4Vtt9wyYvA1^_z7!ZFA09q z8h8&0e##oSjjZiwtbyCfl)r8b+(ClBVGZ0#g1==Ayq5%j#~OGa3I3in@O~2f18d+e zvZ;P#4ctwp{1a>710?u)Yv6+<_(f~rLnQcT*1(6!3j4V=a1RN7*&4W)%=WLWf%{1C ztJc6r$ZWr64ct$r{99|_0di^dJ8Mg$K{Dk(SWDSQg8yUJVaL5 zKde>QFbV#bHSh=t{Zp zz_TQHl{N4j30`9je2N6;TLaIN;C0r(r%CX7Yv2VEyuljyBC?YdS?eShli7BXdzwUn6j8u)D_xXl{)S`yr04SXF5 z-fInfJqg}#4SWL$?zRSgI|)8$32Z+^pJQ70zdy%hKTNA!ABV~wTIGf~RQB5Yr~_P^ ztgHMd92qbk;Ibc~b6IR%rTI*ly`NTD8i&dOTIH5FR1VTAE8nfviIZWqryLFXOxg4QY?u z$D#5Vt#Vf!DucAj-EpWqPWQ__)>TIJ%M-N9_Bd3I(<(dTP&q-@qt>(kc(fp>mp5*&Bz-8CvC$I8@HkDhJ|FIY+DX z#i8;Ptpw8|^vPRYpx`*U`DW!Me(*7QdcWd1D+ZZ=hAaGY*w+r&Zn*hsqmimG6l| zAsJw~p>bF@}8P(O_P3Q6s>nfwV`g>@VcgCUey|l^? z$D#6OTIEOMQ29Pu8yoFYIcN{9;PpiBq4wbjkDnAv6$`8;g?~Oy{ZM4eI#G&$b zTIIPoRNg_Wd@v4`AEZ@&HV&0{(kdU0L*<8P(X zhssaVD!&zn%1_ZMzY~YbPtz*D7l+DwX_Y^SL*;#RC;E|fl~JAOGjuM0VqIlaC%T_j z`FtEI&(SJhj6>xEw922wq4Ghxd46tPWmNM#M5}x`4wav!3;rwXDx+HbbF|7=<52l9 zUGUeetBk74&(pd5t#y@A1%HIz)cKudmC-wckJ7pPgJqS`n>t^hRsJasm554<;(_~k-Ct6k+J;tA*RVKxu@@urpP41SM%&Au&?+~_q4GIeWl0<=pQlxp#i8;A zT4i}0Dqo~kR>q<7r?kqdI8^?OR#_c~%9m)BwQ;EYIl1n!*IQQ^weI-^oy#58RYt9Q zUZ%%*gJqS`6U8s-TzV|4j2`2^qE$Ai;NP7u)Ba#D{82*t zpUi(UI6?f?dD9;yWJM(SFJ^Ee3EpJ?E0OZw&EO;wTug$a{#bJ|2`(YQZ}_kSVKXa5f39BvW>o!8s&&D+v~V2io|% z2Dv1-iUcQ_Q(j4ew~^pvGdPa~SCimWGk6sVt|7tVPgNVsyqW~pl1-IqPWb{7Tt}w7 z%nV*fg6m1}ax=Jq1aBw7xn}Ts61;;1uQY=TN$^e*yvhvTK!O`c@ES9CBMH8c1m~N< zn@F&S1g|rLHqu}X3Ep7_*OTDAB)Gv0-cEw|kzkJ*yn_VqC&5i-@JA;JA5xYrDBBf$eC_=p+YPJ#zX@PHZIL4tiG*k=asCBa8Y zu-^>cM}qxi4;wavyGZa5nR37k?k2&*BzVjWK0ty;$ZQAA;DaPMK!Q)0!G}ojC<&f0 zgAbG7F|y2)W^f+~K1QZ|(hNRAf`eqrGiLAr2|iAO=giS!HxXsN!VDfG!82rSUugy(Bf+yI z_$o8_I0>F3!Pl6rm;5ia}mIU8o2A?9qmy+OH&ERIM8 z%?w^3!EYkLcbLH!li+hf65Gg3kkl4O!;0j_-YdTRucRfGx!=3{5BGN&J2Dl z3BHyr^MhvawIujD68u>+_&O4NJ(=x?&EV@v@C{_jkC?%4C&6zg!Cx?gZzRDtlHf0z z!S5u&?;y+kxEcH|68uibmx;;!D`xObB={yW<*%B-H_mJSPnZdV^ z;P;Z?XU*VSN$|}i_?u?%2T1VyNbtAK;M+*>EhPB6X7KGK`28gK`)2SRB=}Ym{6jPN zgCzI^Bsl8lT<;{ow~+(mIdjS%BEh$lDZgL_e}n|zL8kmuGx%d9_=9B1FPXs~C&71; z;9r=*caz``IbPQO0FB|(qT>}a_?~F6{9RthFX4;iN6E7P+N|VL(MkaPF%lg0bG7%8 z;Je7Oziv+XGbH%qB>4Ac@cktCZW8=QGx!_{{samBvl;vV3BHE}NBt1*gCzKqBsl7W z?q^Bxr$}(r2i=EB@TW;|)Cb+qli+*FP7?J&_Yo3&9|cyUe&6>|68sqw9QAX(kCEW} zNwCA*&R-2l@C%M23{tgL#f~>H$X7Kk&@K?x`3(Vjjkl-gtaG@Fe zV-oyTGTR%?;GdA-r^u8ynZeJI;HOD&u^Ie434VsmcBvWsA_@MQ3f4BSDC@TAi>`x!PRE)%Ov<)Bsl7`>Mu#~x79kL!nT`JeuV^omu#w? zX7H;d_4LzxX}!LjRgOItgvP?__rkZhh)mT%;4XV;2)9T-DdC~NbrwI z@E$Yxk0kgfB)HuS{xb=Fj;ydwGx#4Q_<0h%&kX)234VbDcbUQeBEc_`-~(px8zlIr zB>0dS{BIKcGqSdO%;5i!;Frjh`%GX(A;CWC+C*hzw4A;F_&u!{u0N`jA>!HFdJ*ChD38SEy&88){qNweDl=3q8B zZF|9{-u5rS&wnRA$x=5x%+~jAdQc6Wvn6hR{G-gd`SCB@3(HprTh8)8)~@~yp2^Jq z23zi8wq}<)W%S;RHFbe~Ofp?2xdxLwdCr#pf0kr!%p`MUl4~)^>2tQ6 z|Dz-o^+Y(yf-E^ON`T_OKgX*Q59#XG_|5pL$+WXWSA5h;VqaIM-^O$<` zIs2btMf^oZy#E390|vzH=j?xnh!5YV8Y4dPmRO%JG_kI^lPV!=0C zf|taCm&J-Gx1_gHmx|e=t70Wl9V?K|MyJ>tOaJ~@5#6yO4#tW&94n$XR>YB55d*Oze6b?@G6L(#6{8M@V_^fa zBF1#3u_`vGi^S~KCv=%uY9?Z3Vek&5EbzUtB0du<;+!G5gc#lGL0u-6;rnbX{SQL~_&?Yn z(O7U@!O48&{32h_bC9+xMlQb?D+`aK{)g3PV3dC?Jjx;EXU;jk5)1OxSP@Uhiuf8P zLOAA*XJbWtGgid6V?}&7R>b#XMf@;U#E)e}jBz5{<+)hk7c78(8VmeVtcYL8h;O(a zR=)*J_3ek%@AhV@-%Drrq?J6V{xIBfKM4mQlh2=XyrSnN+A7L&{2D|wk3ZJj_!}t_ zZOmSe74iF65r2#o@#k0(e~lFpYq95uwb*lLi@hbA71w>*h$JQnQDrK#AY(JgBu9-& zsmFmb534Uid;ZkWZZDowT@r1qae&-@PECvzkz`0Odc7*sOV(wgn_7ybo?j$g7l~Oz znHFT0q0GbTOHlfs8%qDuIWyY~I45`0JKs6 zB5P(zYyh@yPq9>X150BcW0~x0Y#Do*Wh;p+M_J2qm3p>PIl%Ii3ARdk3tO$+#x77E zXX}*bSb_2vw%(S)3T+$M2HS;fqpg>1vQ4wiwzsij+lN@0?Gd)c_H$NldxKTj*Ro1` zJ=P{IyzW`W0YO!xRiMu?`4gS zds(yNd#uIrch;(=v)$?@=2e^69<`sfsdKDdy`FWbA7y*h$JjpgN32VIgLONXu>;Oh zcF?(>9dgdG!_N1zKIgsci1WK_!1-G?=yI~7t~G4PRm+B5J~raIk_B9MvoY5b?3n8X zcHH$hb|N8-jVEknp@bebnQ$?iO1O=kOn974C;W=dCNegcn9EKjR>Bq??5*xkvTNPnX4kp@!mdwB zVQ)_=XE!DtVDC&i&EA!CBfBZ-Zgz9hm)ZN0o@cit{gvIyQ`rajMs^$bu-kbbyMxcL z5Ati-o&3Y>L;NZB5&jbUShACSJb4YfJGqA4lN@57O1_cZmwZ3_O!C*+{mH*%=aT=+ z9!SYy52lo}&!+5Q52p;X&!?PWkEGnr9!>c&do1M@_Qh1r9#1V~Poy@mCsTXaQ>kaz z)2X+yXHvh!zMlF^_H3G)eKW0qeJgDz`*vCn`%cPF)YwWpn z2YWt!6?-w=!+w^2g#A2ymi;3AI`(q z8CSDEWZcdEnDH$8bH*RpKQfcpKQjy1zcP2QH!=^ge`ijz|75;HQ8MpVY?)tH?3vFi zj?BL*YF4V^%-X29vOG#+R-fX|nqh{o4Oz3=*9KMnJM{WS=<}SVKu=F(>Cn%!fMx?- z4m20&3ZQvFR{>oEW8?zZuU`vv9nkea3*i&VKR}O-xzv9Gg-<4+QDKQQ%&q>5F?XiI zY<98b&;ANON6Y?(kH6#NAMmry>|Ypq10Vm!$A9qgUw8m&BU2O%*)U|skOLnoKAiY) z;UfVbiTH4%ToOJwK9Z4_V%yJ@G<>AvBLg3q_{hS?GJIs?V>u#n@R5s;75G?*k34*= z!pCZStU=)m@R5&?wfIp~oehdv@6`CcXG=dGQdWw? zF~hUU43A(j{3|;QkNYt^ZOHKN<}f_8$nYQ@!^0>H&$cl(F*Sqx8cuu^>BcYcN^e;9s)W_V_R;W2oIABGv8X=nIBp5ZYDhG&x)9@}Pk@SEWY zD~5;986KAshl3e@)n#}9is1zUhF^#oephGseVpM%3Wi6w8QzLu__dzl0d$5ZLl~YR zVt5va;h7$WXLA^S+-7(>p5a*th9?Uco@QfbF@zVb7+#rYc=es(wS6Y8-`}I$$&`DQ z`7BC^ahsW?f9mD%l>@IxZ>12i{ZW*50Wq4$m;n81)2Wi>W7>ie& z7+#WM_{T!nh4^U12maY6h9_4UocKjJ%JO1#l9e*m_M z3FP4qq1y4MOYQh$qjvmxP&@vhryYNS(~duyX~&ryBY5=OuP3_yiwC^SrfP9#^Wps*j!m%WRVTiuhK zWUc2FZcAz{akS*j5+F-5tf!u2xf0S!`YN%ixK zCtaPCa1xs7rFmuKR}xG~;v`X$WJD7FNU9@ojpQ&Aut;*E*GASMA%>(A5<5tqAVGm7 z0D|_1*$<2#%0BpfxcC6?A=!hdhdmEe-aGT&;JX8EhsX|U9fmq^bg1Xx%;A&+AcrjO zv%vxf@(sNkEH}JuK-v(pL0`kP238G)8oV^zXn@d=o#M>48mgE0UvjK#}(soRe0_j^2>l{ z1|T!nJ>hfanpXf|_W$dx*`3{{fLVfwprl0!DzbUpM9&&xRn@4S5Hh2Q1m{oi}lJ<~JudbYIv>xZrC*YADn)vH%k-97cHKKH?k zp9X-#WA8dJrZwF%Bi&w|#FYMZwWgCTy*sWVa!qNin(9=RR?e@{>sBw?G5?TLtIw$4XYAG?r_MTK!IBZ%8rIHguAf%1 zc|uJ|`lKOaom5?kGcx5&Ow|q=S~|0`d2UU-a!l#w33F@8E7a&zRo9xM`p=$RQ8}q5 z*1G(_v8N1Pv!7eKc*3w$$Q1YgbfGn6E3;s+k)ro%yBewB?mGHOr6cUOjQ@=CYEMlPayKOw^TBceV9Q zs98K_XzA?HBPKdyN(L<+J8JNnnOLuxRe0@|rWRE>B?oO>zoKbEs&s5+X-9L@L}#p9 z+FxCjs+ru?R^mXl?*et(y4%}Y+WKm{I=b81(@VSi+PXS>t8y=E+f%*0aTtWnU0f~> zgB=(y2s^^eI$PV*y;TieU7Py5fPSi(%Xwn zV;IT1bfBcI%YpF?MLJoseknTs;CVGoHOa+wi<1rYOX?gL;`NlK{_ghl;mB}G4&m5_ zY^|3zZ^XVd=c7@MN9gjV)cWMAuAWv0oO%a__H6Og@8B-(z^H~?dw91|6nQ-xhVgp0 zsfwQMe9n=1sBBo|8#H%eQ?h2>ycNlXs7>~&VJVi!_g1W^S)H6$x2$O)8j2_j79w*^ za#ut!r##+Z={g zTqMxZx&^$|0&SK+Lv0FVXA86=1lp0`10*Zw0wIKE#IC*%eN=d^6g19)NjbV+SicFrnvjJi~Jmm+^TU?WzyK=riD7fajF(~Nn8MF*R} z^;oglvK923Y?ya5x6wSJ!KFHCkJ7Lt*@#1}uOzo3DvFjG>TwVWt4HnXQI;-gz}osE zjkQbWB^%KGSq3wx`IV~8pjK%G>!+UGRRS-8r> z75V6rxrFGzh+INulqYf{J`|aWg0GqaBX8xBrAg!Ol+pl-S}d!tO;*>gu5G}J!E`aV z2DPO}JbX6eYewT+I20#WEnP7WM-7(qy9V=_;eq*@mNwNi(7=r?M-Z87-wH!k)Zs&4 z6ZUxTQK^E|_WIBuFKpT1A zOwGN-XQpQShi|#1Bv9qR!Bc`yxO0k&Yxo>|eh`$w7qG-WeC5VB?_#eF_@F!_Ux7Hi zKh)MV;1D}yYR>xta-W(<`gmUfNxSYzlBQDSAyog=42nfe{4wg zZorqhDYhG!*AhtWSIAH7VO!Q-jeQ2cBgvU`nI=hNVjalp`|s|mr7Fc6^73F;f1VN>;E%Q zf5uwp++UwY@ydJ>3jEEO>F)^Mv!%HQEttj{mUXRL*PHIc;UGgq-hUhL|KRgT&z2ti zPLTFA96x1IK}Rzmo%mI{8hgU=1F#&MvC-VFuJ$>(SNy_E)4!Re%Q?%Fbc~uD2e_a< z*cylAw#ES3X(#5CVhcEB*uQ(WbfkJW;U|xdR9_4Bj$?|tO0J#-juRs+99yUb(W-Ps z4S{d)!9q~s1-S}mV0q9Bxne`*6{(%U&Je1~(BM$ToH%AvKWXXeT-Ua~zbDn)o=&dc z>cFOK1#8>8S~g{EUqjyFa4vc6YUNKftPFiGAC<(>Q|}LOZto2%%PJ(QP3X{r_G^?d<1_ zp(YrM4V|%#DVC;qf8YsNmpfFtr6rl}q;J7!pH}Mb=+#+bm3Ag_Uv(yB*v+S72Nq_V zu$aRL_wr^62QK)SptAj0C^cgP;U4w%66z#nRCh8%yf@v7U&&iD1~JvfHeMOh#sX}y zBJ+%(o$1cO)PRR@Pt7(#@(6rzq;WOVQb@seIn1;RJ_TaOrkma;ePXv9K3L;|&f=Ao zf%}L9g#@mh+0GGE$s;w4hY1x>;v9{BH)U$QhDlIG*T-Tv^FK>EFd83aOG z*7(VcA@L2f%zWy^&kF^bny;K1rouFW!}n91J(-vbw%mZNaNt0!Y84GElqPWm#Ghf3 zRs34-e=kl}r8nc8Iwkj+Z|cbooR&-b7$5owU2UsEPn#er=zml;y2Yl{qt#)$Y0gtM z9BguHG#p}br*q5TokL*;Sth07FmtCx!%UM)Yp6E4^%`cGT$_f&O>UEh*+#ZQ!x4to zrQt|J+pOUzL+jOWw8`~rIL73*YM5hk+cg|(a%XBd&g9P4aJWW zcdLd5Q_}4k7Mt9i8kU&c-5Qpf+&vnWncOZ7%T4Zn4J%CUK@E*2_mGArgZzkwl_vL? zhLcV12@R`E?vol$F}bHTtTwr4HJoa4do-M8a(gwbG4+2@!&;O3l!nty?$a8QCikj_ zGfeJv4Jo6`XEZb$+FKf0OzyK9T21bA8qy~B1r6&=?n@fho7`74Y%sa6X=pRKZ)n(P zkiVs2lc9Y_L%Yd+PeX^v{Xj#f$^A$}m&v`Wq1)tss$sLq{Y*oT$^Ak@ugU#NL!Zh0 zMnk{J{Z7Lcll#4fttR(J4ckoa|1@kjTKz@C4wL(vhBM9Ge`q+%1MY?J$shI35r z0}bbLt^_ok$Fe0Q8qPPgn1%~Xu1v#)CReWEB9n79Tx@b$!zCs+M8l;9C86OmLmQ^y za+4dO;R=)6N5hpSx37k)jKqE#t~R-`8m=*S572O}$&J@=ow++v!}W$%rQrtll9B^8 z+-PW1G~8rz(=^;{atCYpgvlMM;T9utn1)+Tu3E!wCU>}o+fD8W4R@H_Q5xU!x=S;3$!yc3C)bPB?b!*sb+M`Fq3x?LG;YCyK77Z^M+BOZJ zGPxZZUN*V2G<@3R&e8CS$(^U+Rg=3w!)qpYk%rez?h*}enA~L=K4WrMXn51)u5w@^ zO&Iw8nCi&RXZ*vS`O(kJ7)6;HmKr9W8zrRduXu)Wa!8TcUk?3w>QtK8CKX|)k5Gnr zTnJZ|L#zb-$~a(6eWs!{C8qqER!K@BW9DZ}qkB=dYz3x=pymJQSsau-$o z-C~@%zxW!?DFP-xR0e-MFs!jDi>OoBNX)bcueN8MWzXta%tqJQ_NYhLvmR+!rww3U z@3)T+!|d7C4%xG=9kXX&J7&+qcFdlQ?U+3)+cA4~9;l(|cap>GT8~&Q{g+rR{g+rR z{g+rR{g+rR{g+rR{g+rhyGp~CSr*{088Aj2FZnrr6s2E?42v{L=dCapfHC_UwqXoa zF0&rN@JZ8eV3~qpk_OlO)e8gYm;JeS0R1w*0uP{{`PIuXg<6=tKo7){c~%K+gzP#B zgC)(nX32!Nyuz?a)0V%oqJEy?k)EP_9{T0YaEXnSU87-`4i(%iWa)+xkgDOWLNF^j z44c&W9$RLihvAfbF39OWKn%p^KS4+XR}jy%3xC)dKuKOiVw9AIP9HR|wq)SC`%6v? zXAxbwYw~&w!zw*6dKxgR=_(dR$nW!#!+F5e>)B968r{sdhHa|gOdeEV@r&V=`i;kH zs^Yi14(y0pBU&y~LU8Iz`%=n0*f`(jC18K}JiNkLI!5`A%+TpU-y&**-p3ZbhW=otIE# zXHdC`5iaP}p-i4La1W8)8Ig=m*&;*jkvD~fYM=GJP{jtClg#(0V5nNSA>-P+U zG^Ek8JF}F{@JK_N&r^Iz^SNlsp=)Td?lXuu9g;E=M#x(vw8aDn-L4ee&}cFBS*NtZ6K+Q*b&AD=a(Jg=w8# z2+8d!4NJm$Nu!z6__@m{4WBdyD$tES;fj_wrwkdA9QX8J#ow{*2rR3e77&1mcB=zW>GV0+t+i|6#S)SI@-`UsJk)E-ltz`o)FRh9zTxuL&yma2mhB{nqv9I^r zem>hAt*X_m3N=&V3Xj1CYFSUZHN6fIj-X{fIW%TJszM#9aEXPBE1p*Mq`JFlogMu` zU$501b*zhbkHZD8MljSWadiSp^|tLuYgOaSan*@xF0M(TYP473a;kJ^FPai7ap08t zdVgJuh_-^(@1bV%RGo_znNK2x;cQb2NeP9^G4`uUb>c#@{!aW!iJP=)W>u;+)!j$S zbk3Mk%qix0#u2sRmM<(byE2B`P^(4iBwR_N8itms#rWHnsJXw;ibWD?slxEvIQ?;O zm6@?~W4fm;)!w#)ye_VA`SZkVh@Te&*^ugJZcSk%WNKZirLU`Jdt9x=1!<}Fz5vaE zOM)sC37-sx3^w-lv~{izQgQ^FaHZRv(G9XW8XLff6yq_`~ha} zG|d$%rEpQ8Zb@~{?Qd&uo!`^d;lR|)vg&{n;SyJD&6XLp0agS_t934dtao615iPkl z#8n%vAn8bTJJ8^(nXPc4-eQSDkI`zAYIoH}g{l`S(bSXLlJ4mx7hKxef^OL5z)?YO z3XL@tib^P4lQgWLb5`@h_?!-rSrEtFqo9NJsy^yq{pdzp5(*b<4$s)!H%T@7!1iI_ zs2#NYD&5-Of@>Ei=bNq?hv2NodbQE&EOquE)veB<*7c&@NtL)d4_7x88ar=xz*?e0 zzTgUsq3>y>xkgPTwJ$<_j&18~>pLC?$J|ANxFSkjqAqn|thx+ql~t^1Lr>RMGZ0+i z!anLU7e*6Hp*3;&)zXOl3CCt%ceX$F)G8BzptjTUE(bkvBu);J;nt`dY23dFM`7d9 z{`54rYg@W^MSo`#PHA!V37l*CJDa<UInSC_a_P)tzWf zzdKX*@m`G zRCiZ7#A)qbKuK?W#EFdC>;YHZryiskw8KQiw{O?#A+?aE&WEi^s>ed(>QS6#eXT-* z>*MNia>4<)c!+wkLOr2AiT*~~wxFGl2$&-TUI@QOtf$p8E@C~4PbYrCxr}kOC(v2Q z)N!>J*HX52B{Rc(eMaeUgOeGuE4p*b$bVeY>P7XEt6or_!Y7?nb8q$`L#t2IW6MnS z3QmWpLVstfXM3`$8J{a0Se9Kz{Bh~=ntI(;=c_kxh~8F(16mx*tV(s@V10VFFz!qr zy9wS>Z&T5q#hp8{MdjO#ee`oK#MI|8m;&E0XYc}pxcVYGM->f5_(VCx3`Trs4!QN0 z)mH|oFR8Cm?owQjtGE481I1atAt+S=QzcrnCI_Hf+31&_42n^j=^J#c($I(b)oknR?dwVRp~MJtXJp>Z-@u@W@$DbwZj*rboQ>LT!&olJ%{kCfBYew!14fUb`6?rc))Jp>xoqfoCxuIW?Lr4Cr4E<`~ zVX#Sb>{>&-&L_5z$J}6uH~I+Zw%sjQo0|DV2H zj8B`!#oJn1I`{@ZR=ykC>ECGeZ`^AncKPt@S~noh{f7ELfQm!jZbN;@18Hq*No{Rw z!5fd58~Aw0mZcl5hoKKVZs<>VS{k~JebSJh3X;)Q&loa3#jy_%_xdg!+hfSjWB2Or zNmHnsj=f-LFCvYeWtvmiVL!!>8nKtrs@+|^eTMNB!}uz`CA8x{VAuy=Hj><^z@g%3)aN zWs8W%K8NOR?&|8pp`G5OZxo7>_LT~L2Js&J1NABXVI=3S*{&)_d+&bsD@p7Nu`jx@ z&&R$rv@G^z?0@b2kta|M9s6qRondI#uVHO6o2B8Ww_1+R8%pmiOZq#S(>+b}%1FlV z!hO|F#&|`#_J|2n(PgyiI*qSCy*Rx!_N7`jElzcNEV{lEKU?tjUAPAuxaO5;K5q1d zkx}?AN1rE8ZtHDB(3;N9E}XV$_b+_u!Y5s0Vp9f^hBng9ZuOn*s2e@vqYE(w)rSdC z0yO)V;cEP0^hFjwgAJp=FK}Ytzla+A5Jmuhf|FD;S^(jIK_w`PBGqxQ;hcE6k~lj#Yi7cG0ulm zjPl_WV|+Np2p>){zK2tc?%@<;dpO0&9!@c?hf|E|;k4PiZt+r#=V3ZV^Kgo>Je*=A z52qN%!zo7baEdWJoMHqIrx?G(DMs&bim^MKV&o2|7`MYIM(uElF*}@M#15wzufr)u z>u`#(I-Fvp4yPEW!zo7TaEdWHoMMCyrx>5ZDMsgTim^GIVq^}d7?;B-M&)pNftOzB zr5KOHbd1K~6k~BX#Yh}ZF%E}QjKbj*V{kad2pmo^{)SVGzTp&OZ#c!s8%{CqhEt5X z;S^(TIK_w?PBGqwQ;fFZ6k}~T#Yh`YG0uiljI!YrV{ACZ2pdi@zJ^nbuHh78YdFQo z8cs2;hEt5H;dGam-shzlPs4PKrr{K0X*k758cs2ehEt59;S^(NIK>DWPBDIlQ;eSB z6k}&N#mE^>F>Z!ajGEyTV`ezTh#5{XUWQYQmf;j*WjMu18BQ@yhEt4^;S^(JIK>DV zPBA`)Q;d$`6k}sJ#mE>=F)oHvjEdp(buWFxOEDgX=@<=zU;mqM9DEDj#=-rYNUZ~f z|Kl$M)5aXU7ryvO%$CHyg@4PC4cwKnZ)1MEVSz8fm+=aj;j;*Z_c8Yld<7-GgZYXQ zBqxxNz*}F1uX!cRz$;=cowgUgE#qs$VDP;G`Rhpg4t&=GIR=O55(FumHhnMrNJiPm zaAdSBgYThk4(nFx;05XRV;S*E#ZVqt^upXLIGIm5jI`>-3wk$ngiI65}EbadHXXMefa zg@!Xz>=0*!a{$+Oh%?d|$HfkD_Mu`4(HVs~s>~2)U(As~hd84#SH?<>E^|hpLL>3t zKKO4G{@WM-jb;VMiw@*e$%+*?kTZeo;W-dqkdY@l2YSuB#%o^J)OoLSs0@2J>+ELg z>pD|BGrL>>nb~!wB8}?nI@2)cxAZ|=cx4Pl5~KjKC6Iv>nIYG$T2{iUZnK=jWd}M+ z1~r}=bRfTG<(Zn5o0|C!6sQL{FkTqqpLLFrOA8y~CpmMlk!U8$&J)i%$32FG!g(kM z1g&_ybAl&ai~3NfOH6y#sd*b`v*(>U%91iF_Fei5&Y*&c%>X96)^(iu&H@3j-T*cr zVEu;#Tq4 z@H#u;YEgKDo$xGCc%z;0;i7Q6o$zeY+MRa7M~EeN+X){jmfT|}e3V#npPlg0qVN_w z;bTPMZFa(QMByEF!pDljXW0oKCkmfqCw#mpe4d@~31T~6U?+T{D14EfaE&N@iJkCV zvCfy-3D-K8XU4D+o*PSXy1DXkah}MVSfNf7zS>TBz9@XHo$vxt_SPHZV)}}4m&4VEDGOcC%i-y-f1ViR206~PI#Fpe4m~0a#8pJ zJK+_g@NPTdMp5`-JK-kLNglOxl9i(H<95O)i^5OZ39k}`pRyA^MHGIY>$=W5#PWXJW8(;lXyomx#hc?SwBCo2t@I_%gBN;da88i^3!Ags%{VN7)HqDGHCa z6TV6`*cdzEt3~1c?S!up)gEUje61)v!A|%(QSC`~!qPqq`jL3%YRImqtS=ti;R zsdgpbBnnTr6TVp#KEzJ=6Qb}8JKE>ZY6JK?)U;S=nHcZyC@W9KCIh{CmY!uN{8b#}tLMBxQ?!uN^7^>)Jd zi^3<_2|pkTFSZkYP!wKjC%jv9lI3zGQel_A$gfV@tIOh$mbzT^gJ0avphb(CE+hi@f?I8n9vSlC2$0XquKuV!WWjj3 zSB88%0^|!aENJn!WXN|S zK)x+Qem(-^XJyDQMu2=rhWv5_$j`};UyT6yc^UHS5g@-HLw+*? z*7CddkOi*(Wf}7O5g@-JL;f%VWGt`3)KJ=Mf;kDMS7; z0_3-3$X`c*{I(4F+X#@~ks;rY0Qp@R@(&Rpzb8ZfDFWp8Wyn8Afc$|xxc${0vS4ug zp$z%=2#`OLEB;S=$b#|m$1>!kvO925caS2E<_2#~*)A%{kQ{Eh5HmG+PYPV`$@ z%i;Er1y1xk8FFL<$oFN)Q4t`2FGG%w0Qm>GdB)g77BtTvWyt*_K>kUt_&9sWf)@Wj z8FE4d$Un;!pJWeNV9USAT28iyEU5Tj#g95A2iZf;%l{?iZ?cwC?I8=a{JRV}Jp$xE zWXMAzK>kyPoDl)?Uvl%zw1+Hco`1`bvm!wLM~0jo0rI~xT8FJ1? zhg2X#9v1=9ks(ir09hitdW}6~ejis#hOCVM8IvLFB0!ePkP9L}mdTLy5g_9-@WB6t@e-w6U70tmfP(i z3$z?3L!KD{a=Z+Ab_B=?GUT}tAScR@=SP5?Btu>p0kTSlyf^~nWEt|(2#^QLke5e* zJV=JTG6Lij8S?6n4oT-zW;P}CcZGjeP0!yl_+;L7K5tV(I*-iT`gR@e=39ar8CE~7 zW)UsPuTyr9l4fmhY}`6ZpgC7Eq< zt23KCSF0K70#uZb22qE4ArWc@BoZ}PhYJhput!~-k8F0%&#l8SXRd=g74vq-Y1|f@ z>M(xV>W0^8tKzp|=(Lw$?CNRHtIMBrYNo%j8_K4?@yb)UcVi4j!C2%qI~flK^&c1& zhmv`5b!FHl$>Y7s409HR7K!^BQ<3{nkykzE)PHP6E)OjdcRr>fM^lkkKj$p_c#2Gn zrgMPI`eWxoD5t%+(Sl?5sGIkyJJh`pkL`w-@(GC@J3Y!dx4{Y1u<`b&d;Oc}PcNza zRu5PASGuPpTAx?D_ozo+RgWS4Y4y||^&DS5zel~i8{YMW)GpZK;TV_nZ=h%HQLpA; zR>HMKKyz3VXNGfrW;dj~BJt?VfXN;~|gj1ugr!Gb9qHe%_@y(GDgO$22kxa4F7z*Jr8^bDoER^-P25~Kd-(pZLj(o{`iZpp}L+*%`8}V{T66u(e`|GPIFYxm1Wcg9lJY ztXp#kSi%EK7;6vmeJJrCYW@FW?Z_+3O9bP$gg_4WA&pzcbA23f+KstU?$2ip}oB=q%|gcIL2|%iDTsOq=zkiXEMXAN*%#A9SX2(J8w_YIjUw?FcZ;DL03}99LL3f{}*Bo)Cx= zWlh3npWYCdS`Q}B^fbnxZ=4A1 zj~JL{a3BpJlBVHP;W;6=tv;^N6g@bWZDfA(MNCoCb3+i<`-u6^8JWj{;x;`m1b3s4 zD`{#*e_7GI#=L+p+q8b*!JIkq>tui(QsZ5qG5xS(>p(YA;nIEaM&&ghjVqJBE)X@+BjvR!lFp5 zPl6^WEHLo>BiQ`+CbkzbXKwX)kU~3^lAX%PPI0nRIaiF`0jozJnfblCBCN<=Jl+p9 z*chMYBJ(VY#`KE}sno7Sn?5YC?|)gz>y^3k`oLH>9F@DYAi|)c#B2J zY|=1axi4Wc;v`IgdF_Xu87I&5j9K99AyvHJs`&<}B6kQjF82hldxi9e`>pC282R%Y zCffzM#K1SsU{83!s$OQ;u(l*Q3^rZfsF7Q&eFO6N8|pE^@rAuLWCVTCs-golAdiox zMD8ADKnpSLgH{drk(@N7j=QbuXg!2dmk)j_3pU_xtIC<8q~Q$B=MQHzHN?rX)E~sB zsfRwq_+nic`Df^8{gBlJVKZ8XILE_QP4$u7F~m6@{tzu@-{r!lyGK6e`k4m^?%-iw z@`zOh2Y3Ps4AFr{7M_f99)W^=;Zdu)+Bi!{(>-c6vj?0-nhFAASMX^p%$kpVh(5>e zB9%$ce*Pm8J^K}ZM0(7s0j+1ez%Q1;)_VM7Ypu`%Z00uWYQ*I+@d>N;v2nW40*XB& zXI?q(O^gV>a%9$IIv>Ef0Pac{=AH~y?y0cZJq`A{YaDRbIt$#>oh#g=^Bwn$k}5Y< zvfgbjdDd-Fako{S;ilCK?z-48cYQ4BZiqeTw#9z$ZY({_-Bh~OZ7=L9V? zjhOz>y>Gvf?ymh#!1M+8{xRU*H)a~9ce)RZ`I&qF*dgu%WBc3($G(Q?Z`}v?U+eDP z{{c+D?Cw6G#(n633ow1meQ4Zh_u+9VOs~ZBckUzO4|g9Pe>$dLa37s8(tTvY37GD6 zADi$8_tA-?-Nz#U%rPqZ}}ePZiu}dSiXmA z=ltb+ZtSzMcf94T!*TT-uAhVWv=?Jv2cS{_%3|Ncztf-;Gz@{ExWuj!hQkOL4f{YD zu5ooRR|flGIu_IY5$gNMUxJG@e}L&Aq?hBrie7MIKSV+`D0Lc?t9rFWEmOm$}&u4(c zC^wV-RMVeX^yhH;Gn?)nLAfI-cNFE0ra#BfpE>mBSo%Zf-UFRq4|EPaoJfD@TzHsE zG&-l9&szsNHy!BwbD(p~fzBfbI#(R%d~l$1zJbo`20C{e==^M;bFhKVvj#es8t8m! zpmU;u&U*$rw;AaCWuSAEfzCq)I@cKJd}0_6Ujv}ShJg+Z20Hu~=n!9^!+3!X)rDrt z(IK}$ht&cdItz4oEYKmaK!>>k9m)!HxGK;gsX&LF0v%ckboeOHfto-ki2|Jn3Usn3 z&_w@azKZ~0Uh=RbZ8sU;cGyLr~w^@26U(y(BWi2 zhl~Lo76x?a7trBdK!GG+RzQbT0Ub64bZ8XN;ZHz^H~}5T1aznp?4mz( z$Pv(CML>rR0UaI$bO;d8VLm{I@&FyK19V6Z&|x<~ht>ccJ_B@!4A5aPK!>^j9nJ!D z$O_P5DL{vw03BWebO;H2l^T>z6ahLJ1n7hhpp!a)PTT-GIRoef44{)NfKH?UI#~i< zr$2Pk1JH>LKqoK2B>M9nd>7!C@GF4tQ0~Y0#~J1f2VMYgR`NGFE5SL1!UXut1wJK# z&o$ta2>9#(-tC|F=jR>uc@KQvwVwBx=bhnsFL&O3o%c)U9nN`A@_PuG_xt26Jz%sVIZUdOyUG4ChLI{@>Zy}ZjV?~BVj+4A1Ayjv{q@5(!} z@*b+Z>nZP3$~%klUZA{tC-1k(J7m5}8t^WZyl*7$^vHWNzDYND|3lufkoOqmT>*I? zKi+wd_sZkl?RY;r-a(G{eB)i(crP&CT#dIw;|3i}&E-U9)(fE8dxk_mbk> zpLo9}-eKuoQiFFf;(dpBry$J>%7D~em^ zNOMK8;i{DWQ2e!`h-pPJ&WfUv6~z&A?&8dM7C01j;W&$(C60r^5RNn1IS`y#&f(yUr+zep kdek4NZ~c`n%bf~<58!{`9PgaqP>_fd`!V2J`%-}a2aJ4y1^@s6 literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/el2_dec_decode_csr_read_IO.class b/target/scala-2.12/classes/dec/el2_dec_decode_csr_read_IO.class new file mode 100644 index 0000000000000000000000000000000000000000..ac52bb56c9bf6cf6ceec14dc0217d84181158113 GIT binary patch literal 43478 zcmcIt2Ygl4{r}x}Ge|guged|78f1w`SSqfUL3oe>87#;1kvu{qB;nYpu1` zT5GLZwN4bZ?pjCp-h0~CZo8}h-?;b9UtUhV{y%)k@7!~L-|yMyuJ1YTwYQ#p1^|}2 z7ddc9cf4y+yssvTmi`ZP$D>_CiD)7o>yAcROTlrVqHBBaP`q!+qT1d4-F@*=a2*)k z*SnQ*XiK5kfr&mzvTt{^E7^DCP*@cFhii+RiL>VIa-BM7@vLJO7dcy=638)y2K@8e5u=im$CWXVtkYYu-9xE9a@R$)vhjfPh3_Np0#22KyOJ|zTd%Bp|NA8%^f)Cn7-Z( zWs8f8L$h0#m91~6bLP0yBR&07R_uvPYMSM&9q-PW*gdD`AS};$hpj&Hw4=Iv)|^u^ zFm+|9%EyO74%GOK*06W5ueYl=SvRnAu(vPXI+*Mo=pU-iKCJ7D4GopTXl$>-xKbG7 zz<33WJ-|l}#=3UIdg9fck^W?LDO5TzHs@3+jKhZK^BF1nxNV7noe1q1*x1{h++GS3 zu?uF$VPYBmuR5`(t8d5l?gS=wkOO6@L(FNmTlcnYadevMKxtwRALKC&?d$Iv8bYT- zn8`bIpppg%kBshEcXuM%jhSlli$x{Du3Spz2X`bLnBLUe-_^IfJDwU_JS^lidXA|N zcZ8$O4b9P}NJ|4z$tdaAJ=hmtiVmByB93c1Beo~Ds4v#vv#53J890tszO$GUka|={ ztS7p0Ab}-tBG@*GJzgPq4s`GCi#ss6DZAFZ(+EXhucn9d^-fa}y&CpCC+4tn^`qbD z+SMJ=aD9DSbT#HCebm&7>G8R?w(#a?eZ#tr)mTv!)3_R)!|ki1i?Ik>958-ldt?h% z<|sOp;ON?p+Dvl)NXY3ZlY9($*LH*(qpMn*>ahr^V_};>$8@SD8fmF-*uv zOSmJl0ncMpdvsk}2UXyzaA#A816ADj!gX~G?d@DQM`10lQR1<7^L%TRxFt#)<|Yrj zOo>~r#I3+_o0-RkmT+xT1LjhR#XKspm`f!V^QpvQPL){9s}hU3Rbnx}S!Hbwx39(A z=EddKC;8>pC&lH~C&lH~C&lH~C&lH~C&gj@D(dU%nxnPhmbKB^NJl$PZ4$xZu@c_g z(GcAjsi#pUG28}Tj1V-`)%h-?86-`E-HeY`Wg>(_#)+gU94(!a5zK^ev^6wFT3h@q zwTJ85Qehs>Ld5y-GC!uiIUKF+T;)#+<#OB}jo_d){sHxRN`rmdqd2T_YFia<@4&&^ z(a_e`xvnGH)=(d5YpBCfF(y(Mu3Oy@t)WW7yj6O~8Ff~X8AX#-Rs@aYs!R{B<}!)e zqZ`ARr}4g9LpWpVOF2@k)R@o5_3F)l6Fe}-N%dwXo!JECo+~z6x`2L@jq^@sH<}kI zyi`Wx#Wb}<+i|M(Gs&%p8O53!>v>QV7LVH1i)n3X!qWPX_PUn(XcN|d+Ce5YKPT-S z;SQXwr0711W@E49ezj3ly5N4pQ7l!2-zb=sb>X_T9Fg7ku}FRdl@OEn57Vq=63OEO zi-Qh+y~&Z3kPDCJ1n2c~_Ri?#LM3$};8c%iSFguQn0tJAWK}2jZ?8Z52VQ^n54k^A zMOv^wXV2w%aWwDb`q8|T8@EJ>qj@LSkLI1+IGT5I<7ghwj7!hs`LQ&Q=f~1Ko*#?( zRAMowN-WLe`3y9V=f~1Ko*7GXC(Yxzak=$Le!2BYak=$Lak=$Lak=$Lak=$Lag@KN z)^L5aqcz&u-Vj~a)Y*=CDQ#-+tif3hZw4@w?l=B{*Khno?l%>5M18cSmKYtFkR6el zS(-pG7waN*(VDu=bxn9Mh7M}Om|MEV!+SG6W;Q;B zQ*m@-Yg;|e8jSOY2K&kMz1U=n*0_~0A&ayWDDn!KTv@$g)OBjJP*T#ifC zQSHD$-^%PGenh4VzFe0_agZ;|MbzXtrDf&^TVr8%YmAUPIo>+!dwNFmh+Sx97~RWTG!RIMB!A z0E?22Ozs!zTjqky`Qxzh`#y0L8ri~TaLP_RSf^il(M^OXfjU0~DbQ46E zf78Y>2g zHyCG?(^!sv_%;KH<+Y?n?7y%ya0DzQV!XLRVlO(A*f=dhi4U12@^TYjDCFf--fJ2- z5*861-q_;RlOwaqI)!Y51BYW#t7&2(8^swg8Bav3`9a=)LmaJ+@4{>9-0XY4c?do^ zJMLY)=_7V`Z#8zzS!xFT*T|B$qPY>QbvR0z^E3mCC3L!h8VTX;GPcYX1502j)lA&L zGC9*@V7Y{P4XlvR4g*I^Xs3Z=Bs5^)Si$Zxuu|fN3>+tMyA2#Kac3GhK|=csoG78Q z4V)yQa}9(gbiRRF30-KQPC^$Os29FV4KzsTas#U*bftkt@w=K!v&O(`h)`+XV_=Ph z-fLj3gx+VMNkZ>8&@7=37-*5u2Mx4J=tBn9N$A4{)=NqsG0-NVj~Zx~(8mmPNa*7R zIwkZ80~;iCw}Fik`lNwP61va8W?}x6fh`hx(7?$Odf31z5_;6YsSn~p-&r#N%@~KuvJ3O8R(MG3kJF+^ce$j34PYUHp$B?26`m!H3Qov^mzll68fTn zGbHq713M)2RRetz`nrLg68ffrehGcsz<@A+*TA5}ec!+?3H{JOLP9?_FeIU$8c0g$ z=LU95=$8ieNa)uF&Xmw^4D6NA?+omd(C-bLC80kWI9o!0HgJxF{%YV{3H{B$d6KJt z7&u=-|1xlaoc)i13nlcHfr~g40s|LIC}iLg2^AT*l(C@_1D8qMCeG-~!;08H+ zsDbxOsM^4da`tcoACS1Y25#bB5}I$|gA#Xyftw|Cq=64f=qLlXNT|lZhXt|Jz^xKm zZr~#lI@-W(5<1qvMcvwQG z8+b%QTMaxa%-sg|OK6*c$0W4fz~d4+!@vOv^%;0VLj4Awl+d7orzDgx@M#Gp4LmLF zvB$tO61UgDvy$#v2A-3+a|}E$q4Ny9AfXEkyeOfI417jHml$|SLYEo%tc0#G@Un!i zGVqFot}*bcgx+J|H3_}ffkWwnfzOYzo$2ctf69~3d~(Go=+x9IPJC_Dn9|?v#Bug8 zNv6LQ`q!x~bYVNJfIGc*66YDic%?nmLeO``5liYj6)Y(^<(9Ns%M?1MK3q!P3%aEX zuwWRr+|QhaN%;jhef_j@^NT!O7MYE{m0GaTCsYeJ`m$=}=Ci3ykF}hxIkm@SeV&yB z`R*yV3yU9qwH2Q^S}XWI-pAZRp4s1c#dEI0$v2gmp9{pbXzm&s6gG&(_T)A8u1oA) zy&AL0>oR-R<@T;C#C84%)$4uj_+Om8y0v5WYS+%$t6w{3uZHcMy*jpY_G;PA*{kP~ z8k)Y09A(#f6?(aXcy@mO~D9`>95w}IBe!p3Iy@fF25PV1aZDBY;2j}XvOe!2cqE+H?8qKx0JL)JheWT>FM7EGi*)%xfLaBc@T$6;+_o<$5b_ z^OVlyQDw+o#8(?Pp05*R{aS zXRd33o6lU=0ym$zt_5yBb6pEOJY~9$`0$kPT;Sm;-?_lUQ@*oTzv|?b(HA7o1sxr!c&^>MVCyzKxp$mInlH)YC!SR?(=f| zGVWltO8|L$+Cibzu1)NemK2JkHtpsq4aHTfy|e&Pe6%}>EM20wX|Mczxng0Wl!~!% zo-!|o!zGr!)D4&GwDghNQ=66)g{0(6Yy52Cr1)u9;*_3JT(lO?kXa626KVD3@OjD> zWr`n39(_Fvs|*axfT&Vq@nikq*yRMWnH zy9#A_I9Dy=SJkC13MWdcsiH9F6;e@rh9PU*wWHmL+hp7|?ow2CxGm`9*G8ltl^E5f z?mDdDRFNf;OW0QCu6I!vF*$Y8U-?JPTFpM4Wl-hfE9pv~)ORU$H=%AT56Pe{W$tG8 zWc;{;T7*20>=lHlM49ZKT8{pwp~i3{Hcmz@qfRWI=#TX++SZ#$B>NWeIz&w2bT?KG zlUx)s6vqY!`}R4oC|$8SD4h@KvTnB+Ynq!cNqUq!_$duC0MGx8EJa&QV~gVyT+f$nwmVK9zWjH2f; z?MYlM+8i77Tuh|DKc3*_sJJK?%G(EaC%WRRaN#P7ciMLMCwq6sH}nqmB5An4e;^s- z)vQ?Vc3M{)>F>jgMEbW4pz4QXFt0dEgrEq8q$T(jc^u6dxcGS-%?E5zkOYoW z2jl0$JPg;8&WJ6_g4m*-2kkggxUfZi3tLpTutil1Thz3$MMVo+)U&WfH49tRvam%Z z3tQB&utgOMThy?yMFk66)UU8b^$J_muCPVr3R~2zutn7hThy$uMa2r+M(;f8RQMQG zDr`}s!WI=OY*C-W7S$Py(7x`ZuiOW2~age~ey*rKY0Eow^GqN0Q?>Pgt5nuINC zN!X&2ge~ew*rJMrEow;EqJo4i>POh3dW0=%N7$lrge~eu*rIBLEow&CqGE(C>P6V1 zT7>Q8p1s1es1xCMREe-fjR;#*h_FR{2wPN#utjYMTU3UyMO_G6RE4lbO$b|5gs??D z2wPNxuthBhTU3IuMI8uRRDrNX4G3FQfUrgV2U}Esutn_$TU36qMcoHmRDG~T%?Ddl ze6U5m2U}Eou)WK(cY79f9vqJ<54NcBV2cV5wy5u5i|P)xsO?~j$_}=u>tKtj4z{T2 zV2g?lwy5V|i)s$GsO4abN)EQD<6w&_4z{S_V2cV4wy57=i|P%wsNG56mY^Fh%yG}c@Jw-muff;x2%X^zNQLJy^hKOhL+;raE(>9FB}P=@sc*nH zy%ZMV5xEx6KLFp;`HeUTzc(R&3*){IKk!J7#VI<3Bqj3~9DtwdER)0|mCF+NA?D5D zycIimK>7NaPWj6Kl)us`e-nW6x9~f!;OX{RfbH{Voio3E-k_3m`}{t$ecr%2_&wLd zUxrEHAGRs{HI>4fnJN4g+27<8{;5~XfAj?M8;=w48;@um_^+O6$I&_StA#rRSIb+O znWnDs7Ny{X92^cjFxEpc{=;59f5!AFMF*VGdK&Zb{sNs+ovzF&#?ebvU*?qHAfd5U z=9FS;MbfdIA}-Sy7ac3nr4mn4%ElSvHTgQEB+^my7aXzhfHR>`!lP0Nk8&!!78&J? zrNR+|Q|U~^^3dqS1S>GHvG~7|6P)Bs_7Y6uFfK)x3QBNF(UjsTB?p{Yda|p%&M4P(hE58sv?9`qH`s>RiXoBWQkt3<$5Np%C^EeTJJ!|>7>$g zGdqx9vQep$jgpf29Vnw5*u`fnQ~aaO33_V7P4RP`6S0x#N|e4%JnDq+!-)LrP!fzk4ytDOI+)9^B0%^r6m6r{|!?m6@qoY8p~HX*F^TGzq7FxD!B%|f^i37h{% z!u3v@Lf9dM8XV`&P zsNg<3@X;!`-wu3?3LdlrAFI}O!VbJrO*v@?K2A+}j~)1UHRZi_;1g8vS$5zPRq#1> z;FDDFd3NBi3ckP&T&sdFvIEzt;7jbl^=dm`W(RIi!B^OUSE=Bu?7)p`nXj<}uXe6Y z&0!(FHWuUM=DPdU>qO3l71pTW>+Qg6RqzdV;3gG(qaCa-`Zc)KE+ksnE@GW-W zbt?E)JMen7huvn^N!nEK?RMaH6?}&sxI+csX$S69!FSn#H>luy?7$mU@V$26O)B_) zJMd<;lRRM8Nw%oqhwQ*7tKdiMz^AC-{dV9}Rq*3>;L}v_6L#RJ3VzBCe7XvL+7293 zC;eybI>}ZQ{Jb5wO9j7Z2kutEFWG_PD)?nP@HTZ+y=n*UQB(e$9eBG6{(>F2R|S8` z4t$0R{)!!VhYJ3h9k@>gf5Q&EQw4v^4&1MTzheg;P&>)@?7)L+%0I9J?^3}(vI8en z@K5Z(Ln`=ZcHpE6{)HWQw+jB19e9rle%%gyrV9S89eA$_e!~vDPX+(M4t$mh{*xW} zY!&<$JMcLw_)RPqcHoOu zuxkgtL)VJg2&o{uTsHPcHpa3@OV4$ zHEL5$v;$wOraajW{2moN#SVO(3Z7~Qey<9iZU??zt*{w(;P149r(j) zg)OtIuv=B|3On#eRPZr&;M-L2N;~jJRq*k4;M-O3iFV+Rso=03_zo3ZX9xbc+DRJh zI?0_XxX}*$2^Ac%1K*{B*V=*aR>947;CobXs~z~0DtNse_+Ay3hl@FOa?%MSdg+DYPeon*fX?y&llo9d_UYDtM!@RfGp zmsRl9cHmc3@U?c}S5@$JcHq}k@by;U(ED^9Q}mtdm_j$`l(7Jm@7F220#M!<`hX_j z+GbC=G)KS{x=GLFc6-WPO<3rII^`JwC~wv&`vOpYNT=)%KzWN!IT(QQ!+MJ+>?!kF z{8l}eNqfq?7XOHz%RTm#dAYnz&*ffw%Dh~DRHr;E0OjpE3(Xd1nC1$92lP0#F{%DennD`Gih+ zZve_Cb;|n#P(G!1^#|-J^XAJ>>y!@#pnO`Vd?WznGdkt|0F=+_l#d6Xd`_o)A^_#{ zI^|OVC|}SipAJCzqJC@etUYDkt-)t>%I5=6zNAyW7=ZG#I^|0NC|}koUk*U|iary) zYEPMWIeS&l<>%}v^IH5ho$?C-C_krDeklOu=XJ`j1fcwaPWiO}lwZ^-zY&1)OFHGZ z0#JTgr~FO;%CG2M{d@M5d0qXhdM@qNT>XJ0LmZhliNS-Df1?`pXikT4nX-+z2N_~r_7r#f2LEu9f0!ZDrLwCK=})u z(hWfQOP#Vf0OhaL77vx$Q|5J|U+cLnv!~2!@z-_A(E%ubqf?FvK>1spa%=#~-|3W9 z0Vv1&ta!CNnxAf*&W>1;dJa6liE8aP!3p(X7 z0Vo}va%BL@kWP7g07_SzV3P3qY@9J@T%DnkGl)C~@PS+`i0#F{JQ|=BxIYWQ$In$mp_qoTNspoQ^ zJ!RhLnWc~Mv+XJKE)<9AxjfgNGB1~h>6GUOpsdy@FAP9ATc^A@0OjF2<)r~A=jfD| z2cVp*Q(hT>a-L3kbpXowI_0$iC>Q9I*9D+FLZ`g`om0XrcVTK%LVs8IN8LraTL!P^ zUFUN*B|OYMN&)BX+uWvr$2qHGy)?fVO~e=pEJyg>Wu0_}?nw7)H^q!8^l3$$-6(EhJL`?vz_#|mNkL;Irw z?Q;sWUn$VOqd@zILLK6@?RN>ZZza(FlR*1O0__J0w67!3{)|BT zECTJ92(<4Z(Ef!$`w#-{CkV7JAkhAPK>PFo?Y9TCZywP8cR>5t@h;yGqa4uYazLBJ z0d4jMv}qgA=4;SHA=(TLXj3zw&B=f^83WoZ3~19Ypv}90HsJ!=ObcjJETGM;fHtWD z+IR_Q$0?wlqkwjR0@}$5Xj3Ji&5_`2`a_!)0c|=2w0RKFCO|-&`M`zrhc?#%+9U^P zvm2mIYk)SNfy?O+Z3Y9hsSD8NEI^yA0Bx26wCM@Z<|ROzkN|Ba0<XMn{)te zwgI$h2GHggK$}ZNffnDxUG#?*!vig92U?sCw8$K2u{hA8Z=l87K#Q<}7E=Q)iUwNT475lYXt6QS zqG6!Lzd(z4ffnNeEvf}t91Bm;A6l%+zsv!&@)T$VDbPw#pcS3)JpG{+mOv{dfmS>M zty~0Jfe0_tA6gLzw6YIqg&xeMKQt}##18aB7(hQ=!9U!=Kege|uM9Y}X}QDOi}Tt% zUQNgA-+09uuQB6QVZ4ruS6=a2DPG;g>ydZ`5wH2-RXV(`hF8My+7(`H!s|nLMF+3J z;8hd%&=rhVCh%GUR{yhJpB473iDwl%>&{sz&f0EPW3zsm70;|uW>qokfLXcAT3c4f zvYwR{sH_=fl_%>mSxL#-M^-DczK|7xtl@i=vc@_&R<^Mgjn!kU_hN+>YpPfU#kwU{ z8nHHr)jX^jVG$0?W?1OL(iB#HuvUZB6|9F~1p{jiSS7&U_4!LYe>>-|-TZx;zbNxJ z;MXaC{GFA*jPkck{`$z@3;7Elf0N^{X#Cxazf|$JCH@-3-*5Pf3x6ZwuNwRvg1;Q_ zyMBH<&+pUe4LHBEcIhp%OYenUdXwwYyH}Uqmb&zQlix_X^bXN2qd)YX&ZReNF1-tL z>Ft$E@0<7ykxTD%TzU)R(t8t^-gLP1Zo;Lv2`)YVyY$5F(lfS8PsJ`h$GY_7>C&^J zOHX$$J&(Ec1jWxhE9$VvkXur)8t%M^R}kqv2ZLiC)t*Y#iL78nOHK>Uf2C}PCV4! z9-t~z^V_)q1)25~V5YrtWGWQi6l#gq)hCnjx&ZCL)XOmis25X(u?yU)hC~KjAGjE` zs8YGCo72fQc&$vXiA6H40on^~*FBA?uWsl}yu)jbceJ;9(XE+SA_Kq1TxE3m)0z6@ z{gUZ$)N2jJ;Z>^>E#kFjLh(40iZyq5v58(dmT2#mkFF&0>7^0awb|=zn#8n6HYF9d z=L6&I9UgkSDV_{(^5V%*#M=^z$0A-EQ~y$1Ce-3%i6yppX{JG?jJ9YF)_qE?kx(XN zi}W`~)9EDWy?tn8j)5l|?TFNEkV(f{TB2#MEtCnjdXb_=?o4~BP)B=I*KqG#%co+g zXgrpPC0e{ltUc5ek7AJNGP>u3X6ma`Q*9ky8~oz2mPFLF-k!OfBGIOfmRyew$fep5 z5RZm7dC7z+y0er)HR$A#4*(yS8VN>8Nd{tZSq#U2MW$sm-6pyxq z;@W8seXYi+eUgW!H%*ChI^r396ZY0knLQ0B)5r^%XvEgNIhF`vim1^kxKQ*)QSa#q zA+5`>GepzG>|L)@vOqRxKvm7@C>DnJCK&TG)f_!xV2TNb!kO5XtXaXyOK!pa8jIk5 z$xT0L)IXXi6gSaJw@=Qd!$vXhtWQrN<0s zTYb}&`dl|tM`E+~$){nm$fcRA3>PuAD9$R|!g-g@bgmDIl5)*%R$rZwoqDkJddi`-*dkxw8n;RRE1L}`n8_;cdWzwNk_wkjThR~aEHpOE?GWW7wo%>*phFjRx|NhSWjmxd>X}Q^Q?Mrb6s4Jo+B9ZSqMn*{nwgwUgXrngECPu5 za4%-(KD~}*CkJz{05Q#`)3f(UHuf-6rA|g&%q*8pH^bGW=cd16pnIr+?!)la1K&y4 zy_lUp>|BdHGMG_Ja}}j{VJ!XmA!(-~rfLME39k*eIyz$1==_{zqANuMXkay!(Zx*V z83eaXLmN?rZwZ8_E85#KKU6fxYCr0pwF$Ts?L+(OtbeR%u+^?oG{kB@Q8d(|KUFl$ zru|IOem3priiX>?8x+;qw3`%-u-Yw(Mq2AH6zy-dUn&}9wL27zwtl}-G{$OOipE;& z-HHydX}?x9&T6|9jknrw6iu+&Zxv0n!~=>Z*|digO}5(a6iu<#-z%DG(;mY;fz}m6 z2So?cLAojasOVq|KB?#st39pgP^=@PDOzIF{$J5jtNmBeGGoQ6Mn{@7F2fX8G$8FFs%SZ_ zKwEKzqLo$)C|YINYDKH9R-2AjuVMIoyVRn%m){S<|*U!9_eO&h5wYSTt3YPM-(6t!6G zfYO>2)+t4;6w@`CQ0i4!s}yadP1~US$0$0*YM!D~ ztrk*rn$^OJPPbZA(HXX?EsD;xT1?SdR@%~BMdw;}v!e5CTDzk2t=6IF z0;_c@y3lF}`73AOXH;e;mbFnn^X&A|tKn9c?8rnh>krBO81{Kg5a1J-0*jZ@V`27~fZ{>rEZbKhXc%*>5PUX{r$m?`-J-MoOcg6K6 zK90`}QaPW6^(p7okVtjlF#?~1wIg3^n`kO|UNxV~=j(tjN8}zZnCBA4=fZ00$rs@{ zL@1StZ&Q2;T@*yh5A+q~vAOuII6Z&nRxWQaZpeav7(~IBVV=sBtIG@Uv56qe5+n`&xyRW)D9R|j!GPNkP%o|_oQpH|bJd@b4_mv`S18r`6{i+2Pmz<0w;+lN@2%z0q5*5xCk)ufiwCSt zG@bItYW@R1p+``85ZY77G}oubE5Oent}MQ^{Q48%XM_BlzMyXQ{HhS(KL`1R5_^6< z2=I$ReyPNsUkL*IN|0aG_I}0X7iQo9zaHc_w8Ow+hihIa~_w|njIi$od=JGivFztoFDY~SittpybX&*23X-wiF>grHBrq8p9wTq*f z)?{Q^C>?5xV(21VRSk(mG;N-bMseC}9-iGK+wr8zx+1J!k?g>8!})l&3iAs6WVRs@ zM{x~_<|L*LlY$tpjLPvSI7xkUNDQry4s(q}kZUC3StAk68i`oeNJO$mB91i@QLK@O zVU0utYb4@VBN4qCiP+UhM6O06ZZ#57tC5IVjYPz1B;r*g5v>}DSk*{GszxGCH4;&( zk%&=^M1*Q2f>0w7f*Oec)JTM%Mk4q$5}~J&2t18M*l8q!P9qU=8i|0@$k|zmVAGr< z)HD)-rjZCUjYN=XBtlFh5nvjL@X|;GmqsGAG!lWOkq9e|L{MoYLP{eMP#TGF(nti3 zMj~u95<#Pp2pNq;z-T1GMI#X`8i`QRNCb*TA`~%^fMBHpOFarj6`5(BtkbM5u6!`(9B2#W=0|`GZI0WkqF6*L_lUF!Z9Nej2Veg z%t!=cMj{L|58l97m#j6{rNBqAgu5g!?e=m;`Jm?-EXyk_|bXA^M7kQHO@qDyXv zQpO+SSZNY#`0vn_b)X;6r8q-;DZ-bzuoB@xB7C_E?;*nb&=o?puXN#_B0N}xuXf>H zB0NNduW{j85gsaD^R+JATZD&+C12;leMERavE=Jrcux@?E|z?w3-=Y_Ic&G?Z6dUY87ak_Ulf;rA zcH#X*c(MpT;=;p4c#2rkx6yYito+HAm#A^<^@LUmIEyBu$=Zo+f5$@^23q*LW*xI!& zyikPKiEtkmZV=(4M7XaDFB0LSMYx{}A0fi)xxX+-2D)&g2p=o9_8=EtEW(}$@9V-# zM0kUE%|l#xsR)Nec$f<>6X7Ni9`3?Nif~xG<`FKuT!bTH$@{zT3K5QqC69LDl_K0M zmOR#lSBY?o2#<5&)gs)=- zC&jLs=E6sda7u({xbS)r-YiyorVAe8apA2Ze1QmWb>VFye4z+$cj4_4 zj4xBZhj&YO<<#>7yj?28Yo&jVxsy-X!DrmfXG6Q-PQG{tUuu(f@Q?22E4t_sKf`4( z{G>Z^jUg_##Lw>J8*6KK@GU#|mpk~*qFnFlqAM+Ie1?|zARqW#UF0*w$LAVbR%x}b zEmUIc4!)-Z$Hk$D>-_NRcMHGK55L*ZaO-a6dt1S)wzPF@)o(BO1bnXUPQO~*LmymQ^%{F|bIyt9j* zDCCN9c0c|eij;5gsq|CboFDP=dZwG#V?JKb7QAXp?+!agp0_@wcgG8Uh8O(|FZ&r@ z^)tNgXL!@k@Rpz9Z9l`ieunq`3?KR#KK3(wVhui1m2K!x{ov1c1O9S1e7@QZpMU#R z;y*>O-%$Cw$j5KW`NpRJ=I@5NhxK`K2R~hO2mPswEBy>re#Hf0kX9a6eukdbp!=|@ zppQ8F{pI!VMW|QZ0Z2|hZE*@y<;u{u*E4p})pJCsk z@=E*7)@jIY_zWxf__fe*zdDR4u)giGzaKoh0GH17w*F(k+hv?~*~KrQN&iyRq%U;w zL~D5omY0hxFLm)0Yk3ux*NQB!cJV=l0{t4}5bILfQ`7tmGYXm3`i+d4e()?mL%pA2 z?rsz}-w$568}OncAHR{;=vTlJKf^L@*u`(4Q{F7a?cF z$1k6Cwia)p7Jn^)5U=kfH+XyrG+O>3VOynhIWi_etct zU7D>+>9B3}Gi>xT#Qh8jKSRnIzHJsx`>`26!xlfoRv4sTG`#(e+&6ao2zG-IRa1~^ zunkM^KiUKPZ+c=+M=!dHYUu&$O)u%)|M<##Aob(EKtQz`JN5zeaoW zS2U=smi8&bXQ*Y3G`K8EL&{E|p=FoRu(F-BU)i%XyzC>Y>(Prw^cY1Wd(_kZJ(4u4 z$E7s7$1WPv<8>O_<6m?@d2bq5K8D7Z&!GwB>uF+n2TdwJpC*^zKvT-^r>W&H(t+il z(Loh8bZ|u-9a6E74y_2$w2IScdc{pNqv8oVtl}M-SsA3mE63BU$^|sLaud~8o=S5n zucEn?J7|98Q?#J+Jz5y3p@zVCS`=7LM+CM|W8gws9Jq;=1RkWNfp=(GRgjLX8b`~k z=F^I*jkL1r6k1hvJ*}>KjMh}WMQf|Rrghc*>8R>ybaeF!T3;QTjqiIDo>z859X_plEO#H3u)Imf)?_8hn~!!7pfI%>dd|GnwKwYpJc~G)mOm zLdlvZDOK|+ZB{`_tKrnHW>7}0q7IdyE$SlbR5#OB^$=}Suh4e&B~_bWyZ!7h+Uz%y z_-)I_^M`nT^$B{og8BH`T8Mw(WDHfH%WKfx*iwN`?hUyoWM9aBko_U?;~4*X^QSnL zQvlyweg>@!IeZQ=m6=ld=QI;uH!_9{HfG?HB*yk3Xn4uZ*gk|)yensHGr}pJTrxHi z;eaQ`jEzJv;87@JPYw=v>V*9V+JL76j4!isz-O9_ZCN<_{LkER&=jc~xDBE~Kw9Prhd`2v=)9T6J7!C=BCAdC%rINct*+CGKB*^Yh~<1gfl+fVQjU;=?JZj)Ed5|WNg^P0Uu;yTZum4i&7@M mmz=F(e0MMRW`CrDcTJBgL7yd}VpD&}AB- ziPcJB$vjyVHXD!5tN`~*$~YWAi^O=+L`)h>UP`Lh0zS_g=!hJf3y$QGC1q4Kx6{85#^ z%jK`C{M|0UA*k5j=khyL{u3^LROKIb`Ku~F?eZI{6#K_qeuv8Mborwy|Afn5Rr%d6 zzrmXDgsaGDm)~LWSHs|+WqykKUzHznh%c4@jKT z{7p5mA7k8xU4DnkkGuR)m0#`hS5y% z*#173-=XrKaQUMaU*h2~^9fh0s(jj&Z(u*k_K&&z4wc{O@<&zv375aB^1EGrgW@M6 zr(J%B%0KJ!M=idr{}A(K{Z;v4SH6M$E!Y3D%kNP6*IfRn%D>_ASDBxR!4F4D#1EWW zM86f;4>$JHJe`;Pp2OhB*ne`l71vI)%D?CG`&IsZmtR!*zw#pI2Jp!fn@Ne{C<_c!{rxM{^Kq`W;tA0pC;y0 zeVSGN0hiyeIOym>*G^HDZ*}Ekio=Z_apjvWzAU%hmG4*OkGt|kmH)KMk0}l}ddlTD ztNb%A-;V6$cn!GxqALH4%a17zI%-9NvVWN0(Lv+L4)N5Xnp6iIV!s)Pgb>i3YC}Kv zD-JJu-?dv*`Br2|p0LA;3?*Wt92rW!6&W_j4&%tMn<`>OhRo;4Q1Y$Fkog=LO1>2t zb~GRYjP8&?K6MUuii&58a%9N$Q9Mhk+l{+P=zs{ETSKc+;a(J7bTZ1H7%ZZTihUzL|0vRjU$vN z0kW!x#J0haS3P9R^^jTBL&jVWnYBHnEZ6doRIcqICExOp#J4@9G0Q_z zJyj1Gb3J6%_K?z!LFvUhs>%TGUj^7tm+|Su7}L39x~>7$gJ%lW&JG=Df>t9oUyC!IQFajF_&Ld`8QpD zj6>39+B(LjB){i6^}ou$|G$L_g& z)uYE;kDgUMdQ9=?S=FP*T#uf$J-X~T%cE0;Y>zJamPaSP?a?LQ^60Vwisz1Pay&%V z+Us)z>Ro=nD$gqbd5EmK0>s=EARAL+(wMaZki5MD$og0-0P*`(d20ob_Eo;M0uUdQ zT$uoFJ)2d&wE{@{D&JZGqtbUAk^R7H#FE< zI9GlD^r36%`Ti~Uk0p1tB|^zVHF+~L-B)df(smV89Y%knNAKZ`Y+Q>PxJD(R-$Bx#dY9iraWG0p}$Bs_c)iiWW zH70`_Hc#!Jsc*a8+ufK-o#}pTdkl7i!K$Z|n|C*co|vAzJg~pzT4QCYbI1NCuXRor z!>R0n?d`XV&+guxZ>+6}BzFcIs{&Q=P)*I;SRxqNUW@WJRb6gKrE1OvOk8IJ8#|{5 z_f@VO8q1W6&&E@Y*V`K#YZ^wU@>}AuWFd1Qgh1$Wr7t;_iEU0UXBOgeoLBdm3E7Vu z#=33}rVqAnxZXOwFg!3*eFNq61W?X~s;Rw=sSAVMbtry$ZbuvR_a1Te@X4TD**^P0!d2XVqerQM6&6#1*SG^;Yh)ixSBek14jf9tZg9I}x3LO%AiMP)Qzvh1+8Tj=xZ2V`8Lz1t>%4oi^Zv|G zL)(1c;rplO#!`io*F@jp?U|d`cV9@=40j(0Rqc)C^E(4AsU26#_3iWH@u`)$(PKA9 zPl&$R`mTxd5AHP*`oPhegKg;d**gz{_0{8<*^$nHnK_g@G9=L7gzw&C z7CsveWaG0lm+$+_y*!!0(>i(cA*R zHSt7EDm89KM>==(9p06hCcjv2>}%>fe64k2 zd>XjVKW*c_HP|n4Kbs3Tt(10lO^l}RZy6kWDz>>MnR5D_aR+>~FP(d^{Y*JABQuG=k?vqm+{G`?L&ERCwzj)7n16F)$EORhi}r`= z-Flf-&O8Jz4iEHIkG0+_>}$F@INFMSZXBJk`Wg7!Lj5RqwcS0r4fA&AQTWB)7kwbai(8sdC?gJ=Bg(ZaZq+c{mQgKQwS_Zj|Ot5gwxh4389H8|^n86v!HZ#$2A_Ot&a{e~&G9vex&G}^g@`MYwcp^fHEcA?K6mz{y_ zG%i=umBNF%_Ljj`;Ik3ytut?vqeJND@l54Ty6N6Qtaq^&y5%>9$nV#oeO+TbVEb%6;qQ>W zt~VSoo%uuS=>gz}aAV(J-IwM2Lk$f#XW{RvrQd06n0D*G@!Z70d*oLNTXtOCfOUI; z+JBDgXYSq_sA<@A75-{!^zgym=ET+-#8cfD2123O$!*O&+2EC&rFy>!9aDAfb3YR}_mEQn7 zNIyaAz|zd=XZIa?tYczk2=O!4lasZCtNkvn@{Lz!M+sMT?dJzuCzrZKTX|fr>(cMX zPP*}nvo2gcKG9#hNyay^KKuT2bxyxtJw84Dpe~Aee9`SUr(f@GxRzS(;ro~E`!_>8 z&&jW~Y-^kD8@?Yd^E_X@RV4REG{Up32M?p1X5p!&vLWmErrR z5qE{2vDTZ|9&y3Ec68-rH_fA?m`Bg`UAT^U)UqRXJ{y@HJ^SqGL)(wv%pY#LCw5_8 zwAt&f#4W80^~r6SCClH>yZy)g+rRI~z0zo^+_z4pW_+nrx3@C)ZX9MGJ2f- z+_>9sjWV7gfAxZyLE4`%{_nbWigJGR4PpGp?fyJ&p;6Se!_Zujj`YaK%z zb_VzhH@WR@4DRfNUz6()&9mw1@ih7S3k$tmPUG%`8z*92PF_1YReTUc9GnOyuF(Fs zJ$!g?4a?ZMocuM*+A?5D3ZMf0-?0B`a&$wW(zxjN9fa~YmkCLCI`VH9i!@gD4 zZ;c%JYwXi|THX1xD(A5kmmwZ)x{A0kY_H!Ddw*u_%LxC7-=@w}zYW^u)dzNRf5R^& z+LAYpuAHO#47vB~2C>f=Yn{v09^8sJXu9XHs2sfB;LfLTP50qzsnXL~OoiZ6tcQ5Z(yOXN{%zv=gRZNCV`i)` zTv{PNj{Z&^8f`;=UW)W|ckfEH92`9y42G{&Z{D3Z4^H=SyUS?z>Kxj=SNhAg`5x+* zQLM+bZepBa54Z?T^&Go@7kP-~bKwhQ_huRKW()d#Pf}c&!}!k1xID8g^FZj=Qg;e@ zyPi_yPP}n)G&Of}UuC9T-#Ios$=`p-^%|Lx0weBM`@0{Sm=}PujPgPjQQ}?o=d=1Bo zwOcoirz$hyqW3xw&sz9s;&@VA@Lpp)PaT~d-Ew?ua;#P6w+`>>3N-GW!oK>*#3=G& z$fM2Q83vB4I_77Fcho;KmbUzWxiNnN^F;3dcwT2iqbA}|YoC0y988&r-xUAQOnBz--x+>>@hlob5uvYE>3-_%_|Vj2Wl6 z_2Bl*>^bt;TkSk{zwgjBQ&{bxbqM1g z0zQK6*yqm%_eva1Vch}0iueZ(k0O5sJe|LuLaZe3FP$3}CgDAQ_Fx~@iCUUZjB7g% z*s~SwOF8)id;ez5n|w9$;A5>zJvVsXxYy_xnupyNw<7+WD2GRB-Yr}T%lN!8c*rha zUI!YiI0<-_eu1tB8ai+0wjF6}+13@(2!}KO0I>`FDEK zynb8?Q@>Duk)Li|lKo}v@AhWH;P>1sHFV8l-fcx*KXr0Kp3mGo*NOQ;?K*2;3-%um ztoY07mvY>>Fa3#oT~%k<<9#daH?n>E8uf~x{5r&?w4Zzu>!$Y_^Qju+boV^=k>r=L zPYmot|CDy6*?(g{m<=sZd++t0#=eX8$0!GWEQS5m))}6+h$CwPhp1o2+RK(dQSyOe zVGnTO&;RqeM@?X768j6RAL9=aSFs+T+|?BACkk6CD+kAsPsF|k?T~qE>wN3uK`(dRZCm#^l?!Csk-67YVleFKF?Gg(UyE<F4-|{(DzZo3q#<}PA2H?>0kF^8X zf1sSOoX^gFv={4+^c&9pbE&UI#=}A6*E6@}{ul9;?N{ag6Y)OzQD;9|-_^)|FST&8 zd&mC$Ci%TY1abXT!Hm~X{pEhWVS8XR^bR(3+{{-uRqf42-1zgsp8DBq<^~z(^1M5Z z{VVEk#dFu--{pDmf{g#<`Js#>QU6|w1LZm4(m9-0V>~C$hdB;){|pQ+?SF(!2omdNb{OGZ})|9F1HPFdy#PE z)}!~t!CTeWQcFvgp9;>de?J?_rsy1@xTQpS;OMn%Y9Tdn>ga~+w;S`j>l3k^&n?vq zVjdhTA>K(%j&8Y_%}+E$r$ZM~vpWzERX5e6e-ojdJ2y3UcOpI!TLayvj&10wZQNal zyu$?i$)(ILp z{Eg+C>LNpCxEyZk8yq(y@-O(CQ%%nK*8XgG7W+m%$2uLT%LZ{CNpao|#C4(3EY1%& zF0bF#7;J2i=PKvIO*1AuA>->(6rE|3$54qRy zvvQw%unqg%=oZ@V^`9E3tLf;!4F@atxwOtbpt!4bs%XV2*k@bu0LOJF_m!@2Jm6l# z?`#5YkXMFZdXQ-98*R1xqPbbihhLC>U_4Fde%N1jPV@e^gW^S;7o{qQM^iE`d_wSb zSni`Jev|UnzKZ-2q`L@RzdxvHu7(P#l6h=Lq6s$~$bf;u7qe!>w64KJY(y9s=v6 zQr@akUKsPvEpI|C?d@d z;^#R|s%^r0oVvLXE_$y6ac=K1oL5|LUA5Xf*E59YDo|eIxI7oVN&UlquBX1Sm)kGT z`Pd(#{LvJiBbX@Q`2yO{1XB&zucqwh5s-)S$4$t~AP*(;2*`&a&&~dl@=#{Py}ogf z;!)2v@F(1S8}`LWH#z$j+J9uD3x$36v3^tkSb2gh;;idfH?2H1#t(9l!nydtd$aXN zrpK$X-?@(G2DWUvQohzYa}ItD=lhMpr#x|!-0#ylXz%6YIA6@*e8AdY@ID&r0-dY! zKH7@oP!6qg!F$MuQe4OJeYc&bB%I* zeMgq!&RU9xJC;UH(D>uLh5c8ch1PX>{;~=F6>%?}m&kK{_#KM-t>=A^AAugnGn_BL ze(OeSAsdqM4Da8qd<5iZKj=OGr+fj~N$) zH&-*Ocbxk&zL{RQY(0lV`c%%AQ&%^*`vZ!@E+=zc^t=G}zf|u=io>8cb!GM%)&ncQ zjd8d@@jcd)Grs*St@{=pkCq411IXLsTsRyHz>m*k{>}_-YrB1ZH}Y+mZ+o)bpNL2L z4qrb~l+S0G@iqG8IO)3k?I@B|C}9Ifq%O_zKx#8If}e&&6;u!ZaXp) z9JJ;G@^Uz5xpiR}@$WXoQG@avZ@IAV_{yPeoj0$Co7$7tv0uHQ=c7O5b6fdi$SaH; zt>Ag8mBFzL&TYg6{qsR}(Y-S6t2;9*&k=?6`vt@;*cYRJ<-Q&JX85li+fu<4#Rta_ zx0J37B@w5}eM{GEtoQpPgL0l-Zn+MBcs5YQc?ayHt-Qnh)pTR9f%H3X_JuoW{$T#2 z-vUqB`QcSNKg@Yte(qoZ&o>~yV5<8yJg*@01dpWz&KD>SC%=n!)(SH?mZH2D{EfAr z!n&|VZbBo6@!m@N%d466$>ICRcbZ9>M+fn|QSk)M*|1K~H9vPE8Ur-n_<0d;K9u-) zjtTp^Sx-Jx;b%i~UsD*l20zQse`bg(D+$-j7iQHGXD_f$9VXHoez-v{uVVpZ3E&0%A@jg zh_s$z{t^EMt!L8TN&kd?Nnjpgy@0>7_aQ8Iub1*xav#Ee3waNj@0a@r`}s!cf9?Co zhsZn;_Sd`8oX5qv9nGh_^E?)wd&Iuheoj*0KK8W>!5f*m`~$h)xk>x?mTT$dlbu!V zc#b5Hx`4QFyp`e+#4qhj*ym$^(Z104pa*!D`$u}-rEsqMAfC&jbC;g_JmtC0?x;t8 zWIDg4(kGuML);+qUw-~s%J0#+0-q0@>qHz+*I2)qze%1O*!+cX1NkX>uH*EfZ5`9& zI0wNs`n5*KUy}JPoJYuW1)N9Y{DkIBW6(THe{oLXf8Ns0^FB$>l>~Y)j`AEt$y3PZ zLhZaS@-!4LWmztx<}=pFT{CxMyydxve_zo%T~$x#EZC=J$^OJY-Gliz^a=FwL zF=|a?gYQ+;N^z=kD`Gru8r5E&Y3$LJHd0wE&dn$V?mWg6oS(-7+2 zh=F%KBoq9%4 zphy*)D#&+aEEZ;R^LHva(g{xGD(Du^{mNo~-W9k<0%80s3k@#HOZ>~1Q@JU>`cz@5 zqN+mxTKG4Ne_3l~f-Z}#xSqtjIy|LMl812gt0A;5MS8PH z?ry$>x0QIU*+VJEi1ceoXDVOGt4ej4kQ1fyafQvxiZA9@&{td#92IriJf_5e zI=Ai7jLEw>sUo=NCRXRspTM@fOxu?mioozpzJ&57@06D@#MSfVr3y_HMh~z*zoY;u z4*mJ!d?82uxB(ZkqFiTmdab-HO=0M{2pWmHrAm3Rz>Qs(i$U2?DJ|z#7IX9Y<)uPy zF|~%1MisrYz-3s7r#ee@OcEL%cZSdkfWA*oV|L!kO&9SJnH=Utt*;j(I-{kf?;$0q=suiyPs~ zk%WJ`0|-05poiueB&d@o7U#)T6w!9-)VXp6BVE8+graGnaaG}gx4Cj?;9x8vs=KQ~ zlhMA)Ni>ohC{E_5ZEskdTdFuE1d3Q)X>iepQ^iTlSL#sZdJcG_0`2>_B!jdjOr{n3 z2-F;3n5*PxtZT;~uJMmjrrPWO);dHfwb6^LMf6otx)|BRQe?YVMD}P7uC-9guhP`E zT+|)RaeH!X@~TYcfeTEZZIE^DOq8+c<`-9O3`H@+bCtp@obG<>)lk|1pnq*ar0QN%3Zqc%u>yW*B|kNVQH__TEDsKM z>RwCyS8R0Vmgi{ftrfZez;E`fHki8PLo772Kf}CY@bYCUJ>mE+i7j%I zSV)&J4GF#QmDZJoG|X*zlP;AC$;wTZWcVOyT4qtRgd;;&al17+Ol3#Krb&gSxT|8{ zL8a*qb%sR>X=zG=40ojA+5FNS65Hr?Ol%YDfwg7h-tepDuu4yzD&|(9@~_= z1rBQ2X!DaWM|Fl{z&%_- zYb*IoxroSR8ylwF9PYq2xn_##5ug|5&`Ibz9TPTKGOd$TnUH+kvgve=Tr8}hlZTH* z(ypgpE0R2wy_n4n_73I-`iFXbp7jVE-c{Ar9yvbX?ugG%+(r|-{%0I==FyA!ncS5! zt#o(+jIm+yuC;4Lu!+|k(k9Luby&Bd3Rw<8njR)=-G*$^+A`acn|)U0ej0L#?lTv2 z*;A*6b7xQ+_iErg%9HnohqI%(Q@zhzJcEuRPTv^_XGhNDu-cf{;QMUmNdGl-W|S_O zOmtuDR*lE_b zO$E8vY2W>H&U-)OyPuKwqf{){pt}1~|G=qS_DnZ`a}jNgTa2sySf4jK7rCMA#s15< z57tQTnc<7nfv2;V1}eG>tR(sd1^45>%Mf_b_#L69?A7%(hB>6P)}t@*^wNkHC)^2?8rq--iy7%!cdr)j!CL67lTf#f*0s`S)hdgem4`tyxki<+dQLSolDm>co$73{ z-mK#K96{o8kJJY>&Q4E{gKzqn)t()FKvzTm`+9)i}z))@k zuGX%k97R+VJ+;A-AQqZO<7#ov4-KHTw#rD)(5c)2`rj2`r)Jk=tkavV(XjY9XF!iTHT`=}W-3g=hQ1!n1uT@m$kC1U!3v`5GSi9lsp; z9X~Il;gR3*%aPyl^T_Y`dF01cp6kcga>NzPaARLe+*H#QVRWdQEMi;YWjJ1**dqvvc%hJ27ZuN2Gju-3c&ESQ zKv9cl`g?Nep3$BGTqNnDJB!*ZE` zfE+hQj!Zb$b}v*9_af-Kh#7B%N_d{e=?k1GOPad^PtwXO?um>2gT2GKf!;pY@5M4y zOYh_6qp2qTgFZfVK#8~I(PCG#!m(fB&GHCpgGiX5QxB*@R^YzT{4jPAd|uTpEU&H+tFh7Ol60+n| z!u(b9m&n?$nZ|aXI#N3M;pMrD=&7cN`RhRZ;Q3RR26~(53}hWyl=3F zb*=u9`Nwf|%2xnWr0-0lPc_61Ra*YvvHYjzpHc7pIl>0?<*o8mPk!=N0W)E@f1K6; z9+M`*IuPIX@bbwA`g$I!^)Aa>--!7)x+x8Jy?repD4~puZ*DPa{;jtOkhf|FYORNW zr>~`)1RX6+mlr1sxm)>CC2Ibo*9yceE2KabWvp0!25Uofda;1-5tQyk&42ak;-;kw zYOOBT5Tx*AR)jJhl!%C$|K&9S8OsEywI;CSxF*tUB2eWu0U65#sI?}rLdhc-I@HP` zNf-^py!sHZ^g*rFZ^yiI`ca;s74B8=F@|WM+G_`bmK{)Q?Q~$mkR8_M)O0jZ=d}SL z%Lb^rHKUQj(jSt^x@e#t3z-UN+=^OY1*y>mhCK?nwiQ5X6aXua0?>6|^Ir_Kh@M+X~u|PWwihu5AVFNT)HJ z^pz9#MsgOF$}7l0uS`S(2=zSeb5THQn)YF+Hz0M+Nnt+Fjs~!6@>p@9k=8;ZjqwlB zVeEV~fDp^0>!O6DG&S&{B<-SvNR0xZ;CN&Apg$V8>?_$tPg;wfv=2RWZTGwuJsBT* z=-N^sa&1*JKJ?JFt)N9u1`r7p=Ar>C+iEWo-&WM3C)d)CYiZy`K0_{eNJUcKl8ywiUEvf7CbjbZskW$Ns2q?CIK8(2o64-`LZ&t)LzIqrS1HYg<7( z_D3cG*pt)Lz0qZm#)3bbcFHl_s}uSNsdjC%~Z2p|>B_+XgrV0vO6hnTPv4Pck- zvEstws1_E-F!uCxmtCC++MJ*^pZ3}zF{@cbuC2{6K%(0Ait{-#6AgUUXT(JiiEE7b z5OmB%5Rn=MAA*j#2qIFW0GO!Fou0Xc=P{xI?5ovoJLUq5NR1t!M`nkY=- zlXlU-*L_A@m>tu?tPP;CjnHws?DTJVjgXAh8Y0)$qz#~vQ5W!vZ|Kn}JPzSen{WCI zxi}*gjUgY-+FYCwsZsFZtj)z4ks1Y{Mg>%x3n(Hr3P6ZQ!39s77Cdc02t7cXpN|IP zK3x|_B&8|Lhod$ZM?`8A2uI59w9N$#ks1Y{z@y*-q)iKuc8s{HK-U%+h+JDjJ4V){ z;0`+7(kS=_z1Z_wM_K_^n9;2ZRIchHH{C}21}jdn-6T|3h4 zzLBPDyIZv*-R>J{y0#UxBi-&BX}Y!*v?JZ&8)>?>6o_2g=nmgV)3vRj9qA6=NYk~g zpdINB-$>K7t)Lz04&O-AwXL8X=?>pW)3vRj9qA4X=hn69Lt33@qd}aXt1uv8+nN>z z9T;nkHA+SIQ@%Gz^pUd@fS=%X15eoPsa+7q7r7rH2(g zr5!{J7Y)A3udG0bq;&NFN`m-_PBi!feq{wqDLs}_z=XH66u{6;U4g(vJvCgOn{cKQ zKR?6IPVmE=Xb}5!uSEq;DLtH0K#WARVo~NkVeZHL<`krnp00ublf8{YJ&`%1ae2Gn zkOIGy9)6TO_OyiRufM5|lR9=uk%3qeBe4Jw)qtFw}C(NS=az_yja!AMZ7zK!fthIx`r3>2TDC{$c71 zep3o!D8sBX> zI3`-B16+D_6wp%gTBid5dUX_ZQvO<}<3}f@t)05*(MdULZ#fDM>87q6fXb_*AdV8* zIvpU$!R{je5@;$~CH$5Y&{2k4X9>vhmaf2xQrbEl;3G-rdU6ipiGh33s!e_~3Z5v@ ztuq5mc#Bp5Luqe~4rR1`Yqa%S+-TJfpE;7X$BSs4IX{*t7w+iju|(N#xvnceyNy;g z`3qM7MjE=p{Q#phxl_0vV3Zh_nKGqt{M<8Ibrl&q$J(V@VG@%BOpE6yVWKU5x=Gua1H;N~Y^{{3xSzxl=bi$|w`=DTf%g z4$+!&I8Qz?lbf8K!Y`nyXQEYjh|z0Dffp(0>;NoY9R*30EZ6DykwiIir@ne5QTki1 z;}q@UkU;)Y89wA0fAI>CNJm#Zu(Hiw80hEsrQ#f3{(+x*Ezw&iqE(mtHWi>z23=

Y+y2bZ3u5KR@I>Qk8$pPjZeaJpw6{E+;>^KI<2N(JCCM zdxuPcC28o|=Z7Vw*PX)ku%zUYSCEKG_{>?s#*j9+vluVg(Pi=|e=;%R7 z$#XgF)n8&pt15m=3RFo&SGpgnlxBBI*F%*O>++1j{jp%Q>b}2h1*fE-D;rSrwp_s> zCF6BEfK;80Z4P;5AQi3p4!%sea-=A2y~b}#K`G_?HMS_L@9AY?*dt7| zPREZ)%Je%rdQ4JopZC`jt^B3}Yy_%)#9z1qNz%|2?uR5D0yu^1AxUX}ZzC1>(M?@B ze)!Q*fK!ehew6%|@ecj!*E(O5xdb%zr~Oq>07!beDga8}YAZOSBLtleL55}kRRMn~ zkzq}NpZ8l+kVfYVI%@!pw+0HL=!ik1L#GEG9b(uWN3>1{ppg%ac$`|T-c zqeBRtJz&Qf2z$A-%zeynPQe@mV7{FiU!E9n!4;pjXF5r@X1t0m{5OE(Fu9S_r0FeGrrkr*2vZrdtVuv`%`bQVPw}RH__>Use%29-*bvk}P(eZ;l(E6i@ zG@Ukh>ZTk;$eX%yfEoK~Ir-*QCt*>>#P7G zUL6HL`Xhxjof>#`6tw7%6w-8R;MGx(p+8bc(+PrCNAWClQ`a6pGUyn==}A2@=+wYl zj)DyRkwThI4di=HWPS!GvLQSP;5DK^MSsSSreg&8I5gg(gdg-oL&H8B%9(={)-;&T z5Ip4)!)~ztfFVtX1zsHmDEgCxG#wUrbrhiJPZH8}Ea25qfTBM$NYep;S4RPg{&XNs z*?zB%0u=pGK$`OTUL6G}`ec8avi4pb1t|KIewxzqUL6G&`kZ~*pR<?L?(E+W&4yEP2ItmW-Ir}uF=)F1$4(O(?96t^yPw&(Xv`&X`Kzky@iSn@vgc_k2 z`^#3aq0i%|DJk!*uYwJI#y+h+l+K4uAz$`Dia0IMyA{gGJ1wx%xFKKWAWcG!9niX- z@FR#4_l^!|jSeN_u}kzEA7Z_i4)3%ij!IR%WB2H~Ot8KqGNo zb^Ji1e7)TSeeOO@sd~@ADY<)jQ&$eKR73HuRa<^fWHj{Sep3qm^r`zaW$I-{6Fum@ zqAMDDhu@R}LDJFH$`3&$cb_I&r{i}|l&^PsOb<9p&wG1J0hc~^pQcp3S4ROC-PF|0 zpSw>hxqC_LbN~!bIh32X+oR9ZrzsWh)lpER&(o(VE$`J)+!Ni@)eUHnFCS$;gM-%4 zC;e6w!01!-Y09!YDO7$PTQu|;za0fF`m}tSvhCg$fZ_C%9xaqs7j#@m>Dv`Nc@Pc# zmfwnk9}?H~gC9SXSa(VWt<&-2M@h1$iPq>)*4)!VC8eI$r_|H_l)7Ztb)%cQa{TzA zgt?;wTBqa3kCHr36Rpz$IK1sq(4tS2rzsci)lrb4Pn4%A79UVQY{Hg4;lFF8}rX0#h<0YYZ*C#~`&MPXT;r+fklb~I`K8>BGbhM|P#Bg*# z>&gdAygCYoC~vLP@p~OgLp$Z@F+|yAZ#fEJ^r`1GWtY7=3Sji9=QQP!y*dg$^hxG4 z<%_*K3O@A7{fY_OuwuP%_!Im-gB_@3-gTM=I*t1c=CI;b@EC)=zF!rlR4Cev2-;GL)m% zS@fYRLwRJURiJe`0MizV*u4+h%V#^nWBxk0$jeYNT4xVHb6e%~lHIDj-=d4lj6Uz2 z@#mc-!)cY)Z)GU8>>Xbhm-42j=9FfZXHV1{)>#p~U^yE0J%Nx>64H{^83QIg6;Kk= z8GS-J<4;IShTTy8v+)^v&fQy%0zCag@EOV{%VPv;sP%q(wDMWMB?WN$6m&+Wpp{># zNQP5mJ>dN5<_s|$9ng{4JHzx958x87%CbM(k)?-sBp?>)ZeWBu>G z`PeEMwcqKjz5U8Es~#f!y6_KDbzhH47D|}ql?nM~s9X7^TlhYaJ0|+R3e4lh+%i4p#_o3CE`!n>;@)|!23LoHZ`XG!i z-kqRl&I@Q^*#3Y@++jlY!)zSSDu~6qi}c|ptA>vsIJ;)T@SE%;0@IiYC!R;d{fLA7 z=8V&0@=Ctvo8DT=FBgqUQodWXiiRA4zFHrQ23~Ney!5;4d1@!Zp9p`FM&MKMsf%~% z!v*+?mwd;)X>_g&6>mM)0H=y6V{R!5o^ljXVT;>_|QJ@%NJSuu?}ZhQFSDvmwH6FWT3V61n~R~t;2#U-o^ zwfyF?rA2H23kx~&_+ZW!iZd&dl|{Tqsa%qPmrI(>q=zQtZ%v6&!XR(bvx?;&0H z|9k61`1itJroR4t)XnJ?r5EKqp|=>|J33gR&>K@Uivd=biD~r}o`QIo1Jg>r^guq+ zWEyAOp;`|IntQ$lz%;(?f60_PO*N%DeW1BVQ#eeGqaKJX(Z_`7Qz=$o@Pk7b%u5d$ zOpV3$O7pc}g#Rl1RT`pUcX>xf%VHj(%vIs{qcHS@tL z%Q5#qoccQBBK(c;KT;$ANtm0>Eioey{ue;*Ky$w^>&*tb{x{4g`}+v^hU)ggt^OnjLaqB;;gSSc#UmfV;fClAKKSM zZiD{fMIIHr5jEE&Un*{Yts>V{SisVF!1INQuI9_8aox-NUyR+zdZV^#7p;$3aILBi z^rMr_$K~inwhFV6sRm*0W@@_}h->)duh~R>vP+nI`Of3Q+{e^zVeV(DNtg$i+9%9r zrVa@63D$i=m`^hApfC?I@31frF|SpahnY$XvxTW6!fa*gm@rdJwF@)NRHraAOdS{I z5w>?im`9mK0}j%bk*~IV;R|vx8dGC(KT!fZ;Bt&I$84Q-i{MimCI$Ji*ij zVV-1aM3_%AbxD|6F6oLeyO|mlW)D->g?Wmpabfl{l@sP^rt-qY}$C&zFVU9EP zN@3n$>ea%`vE|nY^Ct6tKwzUJt-MZP+a#&i3v-gGHwtr#sUH?*fvGnMbDF6)3v-64 zw+QnVQ*RSyk*T)}^EOjIDa<=ey+fEKrrs&cS*G44%sH;ryMOI1o=ezF}<^ogi z7v>^U9}wmeQ@<$83R52v<}&O4vM}#5?<2xoVd|s8yvNkXg}KVqCxrPdQ=by%3z+&< zVcusepAqJB%=@e`A29WKVZM;5-xTJHnEEYYzL+(>D9mqT>Py1>cE0<2!u$@VeqWd` z;k$n*%!y11h%%x2m zQ-3GSS2Fbv!h97||0v8?Gxg8H{640>Da_X}^>4!bezyD{!u$cI{!5szl~mLe=IfXW z3iAh<3JLS|OhttG2Bu=dd?Ql{Vg8V`98C)Ihndaxx_+vx7Vyr7PaGr(a zs;ILx?0lMt1@}IrNcW3H_BwTqmb5+Vh||mOS@^MsNVyW)M$p%dp0}m8(7G)pA%9Do zbd`dj^Z6vM`?_Lo1Dfc8bwtD!{gsnb)G-0ckA5GZm=jR(}`aVnRqm3SkJ|cce^bzq(qK}AQ5`9Gc zlISDimqZ^Cza(n)tImD#=g91Dm2sy&uERNHHR;PVETUVaeP1?v9zplpSuFU_$~oUb zV>#XOt9%}fg>=r<|JAhTsh|6qspqMm^Yy9csULrBhlL*6!<0gPJ`pEYdFUXx-*01E zx>c7y)n` zcPzP1UFFo2-+^OMUBGD7K#KBId4x{z&v_l-EW!6n;z0g@_tV!5L*gDhE`Vb-4QZGmAn0LL?53?<2gF)Xvwv@La zI{z*|-R7>K`XPon71a+FvcMo`h#tFH7B%E(HvKj3NS~BaeO3D`=*_75V`ak^m=%VWcpX#R$DS5C?@aY#_C;0S>t`mIv zMb`;F{i5pxpMKGGHczVP^KPEj3a_(yS}VNH=4q|4)xTQjrC@>Uyw2unt>tw#PiuwO z**vWkUT5>93e$dIoz0V9^bzq(Rv!_+B>IT>CDBL3FNr=Peo6EZ@k^rX^y``rgtA}Q zrHMuGHBb6(C=2LZ8h!4QKSRqhI+te4tnbonDOxh+0-^K!Bt&kXze&n+x;W1#r$n&2 zC4i)@FPO4P-P$CD+z*?wpw8{C`Q9mu>Uz)p3@Xd$A_>peQCUov^0Pmhy1pUjTdM2V zNnH*fR+zigJ*?L52Uz8J>fDm%JFQ$Z-Qasa-^y~jmDu^JD~sqxT>04R!+XO02JFM@ z=zpgbmRanADI;>KkF)om~{}9G7Q~xE55lO{NVO(S?D2z)?h42AQU+pGK z`K2Yt-euzo?8TzOxXM&q7^6%HVO*0v5vvx)b*457V~nXgZ zrpm&21yc*ccqLOy!gv)^%ffgyQ!B#wK5o&fFkZvF7YO6~nR-qbKfu%rh4EUZUM!5) zG4<`j_(7&#B8=BF^-^KHf$hCa7;j|W%Z2enOnt8~ewe9O3gbtZdNrQU28^&kOBm5Y zy?B?O`2i;1Wc(-%%lFe0H!gnpQ9WV2+4wQK`#NE~g^j&l7;k0jjly^vQ$H+>A7|=K z!gxDVZx+T+F!dH;{3KWSZNm5|rrs`$cktbx6vj_8^$uaYlc{$K<7b$9moVPN8t)dy z&ocEMVZ58~zE>DO$GrCo<2}s#fG~cZdA}%(_cHH8!gwE3zbuURGxZT+`~p)S6~+gc z`nWJY$kZo<@r!H)51=-qU-;SC$#RK4JX9>twG?M(mn-xLXG{MazP(kL8YwKmj}#tN z_PF|VQ^w~joCQGhkll$c@+7}xe2B*7Gs5@?*Z8x-_&-d2UKk%`>Nkb)F>b|g3FG5T zeNhm+84$rj8BsNFAL*SZ0iq%@oCokBVqh1Q(qCruQBzf!uSkR ze=dw)XX^h7MC9j5+W7++%QKZWtTl8PI`_&uo`4+!JS%&QW{?=vqfj6YxsE5{%5U922`#5}AV zf6NqCj;}Cu3*)buY7)lZ@LhQHuQ3IW{&l_!kN&qz!K42j--Sp2d#2#g|A8rZ^lvZ)kN%HL z!K42ZQ}F2j%vRvh|Ai@d^lvf+kN&T6^^C)#{~J^A=>INPWnb{ zizz%FW-v7%@IzLLM&d)l3^4VKFoR4D3$u!;i^2>sg@@_FOkEXbgeg2c7iDTpm@%eq z2s6&qO<^XOnh>U73ZHjRGBqvCYNl=pa|2Vig}ITbk}zwSniFO%Q}e>C<33mv<|d}_ zgtY1n`DZgC{2k$!;MvMJp4nETv|&6Pyf}qP(-Zx3x{cofg^%Oywv`jK4yt|^4>5}P z{rGcnqb>db&q}%<6~B0EvAja=Bocp7+(^YA#El~)($JL0@5-i^=bGeC4rcNd`ibYv zBt7rhdcYGJVDj3(x_OAR$8T$z==VBt<1pE-c5KfTR>c{z)RCvQF%e^o60$~e}feYQ!wZoaTMmoK#} zm6!2p^Wxlexn)F>h{DhzuZbFX3U#ac5qaxt_x!HxNOT^zAe_I^3@V1aG zcJ;i1kvM*SBkX&$yhP$ZML%dM=b9$+c%=Wv!yZe2_$X1AbU6+6mWLi!3quuuI{wah z{2lS1!OvSzXnu*JL(_Q1E%sY3h~v%p&&J#_be}yL0uJ}jjrCii47x7z*^V6R3IEA0Axc&SscatI&`U&WKgcw?!Ee+CW(*T0T_=@#+N zk$c${|Ga`)8sA9#H{aj`)$m6A#4waP zx%}cPP35^65&uV?0srK04SrYps6V%pa|!La*Mw;!y+nR?-h)ah;k5r-Mx`}*|1JLS zG`|1guR4C5+x+l>u;X;+H*R>W+1Tb?gR+ZQX|qd9b1B$ zS1HaGT84|0w=iLwA_-(7>Maxgo6-#D+ME+PE?P22|IxcpquX zPvz$k8<n7!mphv0p8> zXr!=M%$JJK%7`X`k8bRCi^g{c%XbPb1NqsBsr+D}lAp$>?#hd+k;E>HcD__mc&724 zY85P12;3@9oxv{xONFJTfpYoI@_d)lI4e3(I37iEtzFlZyH01Usbo*SR2qTDM(;nK zXpAQwOYBC^ATNXYqk2mv_Hst`^zxkCDyD#vPbBu%8fIc2LX35W+}%k6b_WoTt%naq zSif9LrCZcU;Yi>6uEl1|5T8N#XpE(t#mM06efLpC}<+Sa2I+0BzjwQO) zrKwn1iX=|O6TK97#9dz_5`8ktOkme^Q^w8-yg?&LVHYsGB>ypu3(Ak=zhzHq&FF!| zAlCQ95WHB^Y;kURscB}hfNjL0>yAw0$Rl<&kDKLQMdF#ng*d$DFktPvqw?HDsXTdy zKNG;OftbZl3rqRcN8Mvsi|CW?bfhnUB7R4%7C9t%~ zn&p?5V3~fK=UQ~OlbF?a5~Xz>*o~uLBa%P{^W_Z11wN-ocCxMN2x ziKRID4?7q9=FB*xROERF4mq>X!g9U@J#Wa>_B<=S-4;7SDK;VfF)5?11bz3z1td1N z?f6{mIvyL?*`o$yc3kg7?N^FZm0O6}cPCzim_6}gH1rB~cgi-+nXc2t#l=dg#nMQ8 zd*VCdMq}b7rqSZY&U#_DTY2ineu*Jlo~3>q2S(9=rdpc8{DQ3G8dD zimg=4PeU#7j>J1L1<2zT7Wh9;94q_W@e$4lt;tC|;%?XKxpyUgmU`~pysc|O@J#=U z#Cv3XoA`N)kF7UtzeVDG%zyuyZb+};Wa5c=f`xB1kox-t?xhb2^Xu%7@O}vV1e7P* zT0>ZBeKzph(QmNk$DxS@TTW5@^~qt5`Z$|c*1K9j(=DNF9O^13ST)wevLj;s5890mV|e>x)1`g7Pk0+PtJzlR6liz5DSlsxs~aN$k$8}JAsPD`jD4NLcx;A*bS6!+lb4&85D0d! zH>4aB*jpo7gW6lp+M!dcWdrNb-zWZ|)~HH+!!%a?0ak05FX95j?vQVJ;I5!Yd&tg5DAnl;sV46*+4Z6Y^XJ2WFS_q+$t=t z6lp2HsOcWt{{OT$E(=jB>ZtrpBJoSyrY$IcYpoHdHhq+Q|Vxn2#SD@dNK1m!>ri)aMh!&CfbmCX3x+xR{7q<&bv$`&L6DVC9#gSU0I)Nk% zB44{YeCD|ZiE3PB$6#n+TO5_bnaY%$!vbe2@0ash9EZz1V!2HG^*P86nM{EzMM?#C z>zt)@GGEG73RoWy2|a3xBZKHkC^2~^B6^knm4WW*VyT4w?Nj^Ly&?OzKPJuy9O8T; z{Jn8d1GHk{=g|Mj-F+TUj6}aieR~1VBdDF~JX8`9BS^ua`QoCuBmzNJyn-|w^G5Xn zuM#I(9lMqz6a3I*R$5iQg{%t;stVPA!gag+!`YoWvFA^tDuF((7Z3p&KE9%H zq(R~<5CIx1z5?NE%`p+!%hrD$4~K9czE1=WFz*+T2dAn($(J}=2+5BE3h^PX$cIVb z!y<5)1wMk(CRT;`DBne1|C8clB9P*{Naa`E%9o~v_!Qr#w7dAU2pr-2pP@_iMjKy# z4vzw{+;4#5s(nEOI{EHzL4PbG z4?2TI@lRRoG_qt3!?;m!gPpw}bv6-`xo&mmud2+#*}_zFaTK7YekAOiGZ8@>V& zpikDwDn9Yg8sxD1}lp9tpC)?rp$YpVe)K`!A(dn{*_}u}o$LV>e-5w3N+MQK-gK#X`>0gv!>dMVlI5^2-G|KsgE;H0S8KRz?Nvv;?fEw^yO03s?Ddhd!NML~K86{H-}MVi>Kcg2EW z?_CfPxB~$dQN*sV1r=X=?_II~pOno_=AI34_Pzf{k0i7CJ^4;1lT3Lg=?k+3`;_cR zRXsi7cWTN0$pQ564kXS1-joIh28>w?V4gebom!L}N}QoQ({LCBM!_VgePJiK-(0Q| z=+<84Qzk5!IUn8}B}XPl!K0w$XgKt;esj-_Xg#yHOUbb%R^8-L^tJ;Y<pB;X-mMoJ(XeJm`Rb zlC9_u_r`vwRRE9v_K-GmjPMqcBOYI3)lbfWcZl@rV4Uv>w0Z&*-1w-YEwCoR{iJ?! zKJD?3ZAQc z+cI#h<*}>b@Z=8Yfa51Gpii5V*TNYu>sxGPNA3EODtXDODWb} z)ISJndlKJm@X(}>>EMSI#F@&s-A|lZJoW%_=J42qaCtI`KKUofwI$1G{&mDzz<+~l znv;2K1DpZ=t`b(J5$E8>cyd$xNAUXPg*{B1)42qmUU_~Ao#r0gWO6#V>WR*pHV<0B zdM{MQ$Va}odbCD@xe za8EXqBwyygfj8yp5QF`CjX0O`T(85m{ha9&NRr;@K+!wFL7C_IFL5sCdENm&K%P&M z@9|9UgYPoW^dWJU@=S2;rFv5^8V%CmX4VmO!LtH9ryCllar&0|U8+{cPYrHOMtkCj4|&lgpm zss;Iyn^NFPc^+556$N`C29IZ96&QF#OHy^&W0Kw%YSJE z9XB*4SV<84JyQGdpZ5iCWo~>w@K)wA81L`mu_nZ+wKc;DXKiwG`ZwU%;O0q{gIUsj*or0}pB9>*&zxq>h12<7}&k=4#6LVPERltW}zt zK%5^r%p~IM;<0iPyOFn|pGXWD-enEAXPIB$pL((yj+`o(}%!Jm;At zQp$6lP3({OujfLH^Pf*5wfV1b-y4~?U?NG;7iwVP5{U6UOGt#@ucj`Cb8;s5ERfWd zJkwH$@l4A|q#iePHMlDAU#}&RJ^8llsc;c()i?5=Zh{yW-a;accqX`cjm(4IDn;8n z5Znndp66~7*_Y>m`_{<3xsYcC&$AL@JkKf;*`McG&GJkjsWm*$T8QyH6(rJx=ULCn zg8F)h=h+A`o@XlPAe#kXw*-9&m?Kf!<51~IPNP9kl2o~LP^+2thl zEYI^C#CV<;NTdVL1ATL3;!G%?_RO%auRx6Fd5uIm^E|X?o_HMCp*=I?*$FY8=f5P< zjpu=z({21$@M%9EVsH+8KqB4wuOGp!760`U66wLWeFj#iPk^L`iFSjp6_#uzSL`yx<{vBGy>asGx#3#@%sRK zd)keszJtfYkzVW|ri)4Ba2|^j`#yGwoF?G1k?cew{rL}R5*fl{r6e+($7+$t7#=Gl zk#Rg$$M;el4tV3DvJB#E5DvkxGV#XL5M zM9$=~Atb^tKGVbC6ELoT;dc}CJz#be+*VgT@{v#c{|9GkJE)&A>9MqsqktWrmE+EP zzB9F5w@i9m*4iTtPpbB-c0yqvI2ENQz^6wg^hHa05+M`GBpNG+@}^|SB)*J-e6an@=;-MBJ*PXS3hB#XG+-l3`buK;V~@Pj zS3$o(SFDF8^RQ~foN|(04zgs!^wofpyI;%=20h_!40;Z@Ypl8(R(@k$$n_=Z>(Vy_ z9yCpxo%_NT4BSMWocuRRzF(P%TT0S5r*DO;iGd^f_a|w3$qyUuP~Rc7ElS@7qg=lp zkb{2Z0Xg8hA=Y!yz+Q(9C24x;0Gn2Vm09#cJiUtV`vb6H0DNOj($vKRa;$-C{V6cb ze);%mBu!s_$Dc}9fT2FU2lnjUm!#?2GROw^gD%TP(i?fEO|XS!BI$>Do=0FyIlC82 zZ{d01p3m(KOS}LhJsx1^2{2tg5juq#B>g1M1P{Dpy$>7OyT_3v{WQ<@415s>Kf-Z- z4#s{SdjV|q95!_5D3X4O=lT!5r-AXb7&ea@+IM(wl75x{@*3#h4`!%rN4s1cOtkQ4=tYp?uHXivaN#-L^9^OS>KqN`+$)< zEl*}@=taIse+xd#>F<ELQW}pi`MneaC-idDS=w4 z-a#;Lg!FG&YtQuW@Yx|3{0Z->;0Z+JGTx`cO(|`5mXonbIEfCbsT62`YZW%e$~G|GU?_rm!w z@D|N^KKPD{TB-b*t-U?dI1UEjHkLMJs0z4^eTG*+6KGw~Tf?ioOf%MaGR@(^U;><~ z=#?{MZ3W+H@)$gArdivxC7E_SE4T~M4=x~AM-o}Z_qTK4-ioft%I~c*UE`T<^l`y5 z4gtd{zx~b}0zahSQlH;YXAXnrJGXom$@GGZnQoch5aR_NP9pr?I@33v97b(j#clN` z5q>|N0bkW(x}Y0-MU)u~KPQJ}hLFr)ZebXS@H_3y2$r#}$T*5;98EH#c*e0LvX$=< z42O}K$Dc?t<9MF&5aW3!kjOTk2L`l@`B8=eEi)x+?M)lQQ~bwiB=Rhe%^>7*b_bn- z5iA2E*glYrEMs@j85qGbFoNw1H@;*o-vlFA21c;PuqnbH0c1|1ec^NTAGoLC4+Ao% zLC->8>A+hgk~y8ToguctizAXbi*Gv{zORKC9Itap(~=GBh_pF)vQSu-CA3*Jwxe1=2XKs#Ljp=t!)M)$S z%xxs{6EE@(68VkC?jqz*Ug!5fZQh%;_M?a9PyXXdLjK`Du7V#Q$Xff;AOGP$t|3W> z|F{l*tjJmiz>iUf|G0r9UH;=n_;FL#I*|V8@*f`|$zt9dw!n{%WvwRgV^N}r(7JyD zrU8H&g4mNJTFeDc*;e1GD|mL=%C11Yo)?ZLx&9;YYDu2(&%igJnP*8f!R7qRU z%Z~whZDu~rd=2>y2X%gj+I!a67Gk5-LE%?lx|5`?({2@na z9TMdaG)m#tlywQm&z^Y_DXkBZ#EMe5DZQGD;S2QUS>1+c%H{vzz6j_)(Scmc)&)Nu_2{xU|amD(zYZzh+An- zqWtE%v?Gb~o9j{-e4 z*hwUM9nW_PiQdR#FxAM{TyZ*y-p03`Nuqc17>q05@L$g*(R=u|^I--8Ztp@8UBS0q zOrooK>{1e4%VU?3=ms9Uf?rxZvfoHpyM4#o`RuJnuZsdMCfu({AYL&S~9IQd^CRCapiMK zDSaFY=&z9I2OQ%y68)6NVA_xV?AEmOO%mlpdMR9w52zZj#9ULMhOFE?RrdDMw_(sK zg$JtxcxmsE=+~U!1DG?4Z~KTuzvJ6JA<-Xs>@yPG#ba=z1D7G(&#Ck)*dyqqzJ}>6 zAO=n2TbRy*$G#`YGB$XZ{s>Lur>xZinnto7yXY$Y6*le4S}ozCE6QInl>R|nKJ1sm z(@A$)Yj!&S!|a2rYO)b)W?3g4%SN(RE3oDA3xRBmxWx=DTTI*pkHv}0-ymcOarqm9 zYzjVSU`;KXA#QD+FH2mH$7&O|A&>1rTs|md>%s&+Y-h6d;BpMsvz#@n1IaevM)rhB zI#}Dt?gf){@YvqO<&WvJ`@)SPNRzFy`+<`ij~xKtPV-n3;_^rJ*=8iUUvht_xoivA zvzD2XqO8@L?i_zipKVK$t@-cm;r9+%s}22;Kd;YrCN6&}pY2ML?RW(p1liz=xwf=R zq_5tOpF5Of4~^4L?Xx|I%b(C^dlI)R-`n0WbrRpl!-;z^-`1D7hw|8wFo_cXbpUaD z@@<1)Iwihs2yy%HZNp$tCj^tJ+6PFJ<*(t<5ns1v*-0?g%9c1xmVG0y(a29bV@*j>TZaI&g zK-{T3HjlV`49YGb?rgs8MB<*nVoc9@QdSLdBDMH7!f zfBZMMcQ0|*@O;o8({l`%?pS9$Dd;=aja&l2~) zJoY?EcHvF@MQGwLWvzDfOn8_7_zH1(mzsTzxF7RvuM_t(9($9xU-H;n#QlcH-X`w% zJoYYef8w$CiTf*$eF!t$aJ-L+`#azEDa>`lx53g;aUS~;=E>o)uZhb$vh24cW^n~9 z9hKm}!qQPO9{ZWZT>k5?BqsW;-$*RUxBWq486Nu!=FZ`Ye@Lv1ZL4LISX~~Akl3C) z=8{+=9xH-5c9^1835oF@tX6`=HnT^twUQ*pyQW%cn1P4qD?j`VM`FkFSbq{L z=dpn##`}|6gGr3{C$)x>*c|@ra1!I!ueC;!*h0Q-G>M(WV`E8dF^?ThV*F)9t#Q<99Pt!HoOW$9oOT^@DTp}jax66Bw9B#3h|?~|LL*MQ91D#&?Q<+N;$~BTk1L3ynA( zax66BbjY#Lh|?j*LL*Lx91D#&9dax*;&jNd(1_C^$3i1cha3xyI305=G~#s3vCxRq zF~>q9PRASzjW~4CRCdH@#Oau0p%JHJj)g{?jyV<@aXRK$XvFE5W1$hJQ;vm3oK86w z8gV-1SZKuQlw+Y0r&ErFMx0JL78-Fn6Bxk5vNm*g+`oCITjjmI_Fqu#Oa)4 zp%JHZj)g{?&N&tuaXRN%XvFE9W1$hJbB={ZoX$BG8gV-3SZKuQoMWL8r%R57Mw~7= z78-H75^li5vNOzg+`n%ITjjmy5v}B#Oab_p%JG`j)g{?E;$w&iL_}A3`P8_ z1&Bc!C`1}!kOmHsh8U!QM5G}GXgj{1|E@y7^Hznq#*`rU=nGFK^mw; zn(@)EDtMa4B5mk*6(Y^}=$92D&G_iI6(Y^}=;s3>&G_gC1R~A&=qCgs&G_g?1R~A& z=w}2X&G_ht1R~A&=obV$O=FQZ^kV{%W_0+D8X^n(JCW_;97UZfcxb!Zo9#z*^T zk!F0fyB2B2M>}khW_+~E7HP&u`)Z!1u}B-*ZHqMHqaC+MGd|jNi!|e-owrCcKH7bY zG~=WFwn#HR+H;FEvdwh{*e6-IOX~su;eUWB-wBHwL#z%X8k!F0f@8@Y6i?pG=zeqDa z+W(6*EXbjSlkH#Wx<@mv3kd}Nj25HGh zW0017GzMwOM`Mtdd^84W$wy<5mV7h@X~{=pkd}Nj7HKQT4;F*8EXbjSl zkH#P^`DhH%l8?q9E%|6H(pHWiECy-GM`Mtdd^84W$wy<5mV7h@X~{=pkd}Nj25HGh zW0017GzMwOM`Mw;a{OR1NJ~B%gS6zMF-S{38iTatqcKQJJ{p6xk^3fQiB_EAJTJq5tq$MAXL0a%JsdvhDk{4V-W@HNJ@NQO!;goz+If5(`;xMiW%tL+ zR+OzG@sH!5z$4kR)pT;5D))`tg^ zxqhnj)A+=Wxw#+9C-ZFJEKrq4lmXKxt9!(=_NpqPsO%xzTI%;M5$6BblRqM66=Lyw zPdO8$y|rvpyli9H=5(y=VQ>SR$tJS&p_8&l%Qj@K_GMe(_1rLcV>e;`g1O+<5bX(G z_uv{mY(C5;JYd3{;nblW4mkL1mJeJoYhw9au_fCdCb^qAasteu2|2{Z4Bzp=6Xs5s zRSw?p^T6+~?`)XIu_yhgxg3&lK4~^g_yJZuXdZMT(~;BWO#>r6X3su;KASiXGG@Tz zWd6MQ;55i>!lv{vC~-pH*)xHK&Ts`!+1VDrxAwLiD++%xtJofIW$9uxFiTLDt~68pG1CWDE;o!59|8iZCpM^CtHH1k)`DRntOUbCSm}j@ zu+9q$VU-sa!Wu6ugcV*`2w>WGaS_6rAS?|lg0K+Q17RVo`N2Y1@q>l1-Uka| zwGS4;S|2Qgl|EPq>wK^fR{3BdtntA@SmA?(u)YTiVRa7{!rC4zgq1y5xI>ilx(H!S z54Igv^k5;Z=fOf)&4Y!omIn)AB@Y(DIvy;9RXkYuo=C$A9xM&(cd+n7@jI;D!P2mD z2Mb}{4i>_y9V~=3J6H%ScCZlE>tG?Q*1dUdy24^2z!gL zj|dMJ;SnP2E9~?W;gKTjFTw#L94Nv;A{;EjA!0vp2!lOlaj0nexaJ;Z{tVmA~;Y1Nm65(VKmWyzT2#*utR1r=S;dBws5aCP_&Jy8l zQSR{~oFlfMAi}vKoF~HhB3vNyFBIt$MYu?WCyDT65uPH#Q-$s{kzOpq(?xiO2+tJx z&Jy9-V*5EFJXdT#Po&Qm$L#`qU5juydmbZxZ3nBD_U}w~FvK5#BDsJA|D(MR=D8?-t=b zBD`0G_la^meo=p`MEU_?f3-+ID8e-&Tr0wLBCHT$r3lxHaDxaR65&P~M$U#s zY{1zC?xr4xUg1N2IK5)z8&pujQ96e=ACYOMm5kx->NsH{0u?J!W43RIbd+Cv9b z#~jKF1GQd(swbi9>!2FcFw_PGYEKE(PzSYF4MRPoKsAz3d+VU~sbQ#%3e>(5s<94g zzZ!qfn12P#q*xM;#P+<<=L#HM(m{c*a*aYgp+I$)P>1NCz-_ulp|&YdJtWj&IwwP3j;MnfjUw`_18fSFozlx0?JyfKn<2qLv&C>|6ieoNvPpEs1fE+qr%kGD@sj` zmQZ7KP-D%Z!rVAmuPIPRNvNZBP{)`dY`upD0jg zNvN}RP%v+tX`2cK^{E1Nu7o;I2L+R%)hN_w3e*J>>Ovh9%)C~kP@gMM7fYy1bWoSp zFw_?c)Dj7GnGWjm8ix8(fx1FMU8#dwTEkFZDNt8QsAW2+<>pYK`Y9FaY6*3X4(eKS zs8C(P*Gf%YC!wy_LET^ubyJwmQ-!)&LfxW+g1Oylv`hF#sj1r})a^Q`JItX%wJ86F4(e`ms8DU{TcxJ%kx=*QpkRUl)5904rhZhQR!FFoI;i{qU!hh>s0VaVt7{nQ zC#9wylu&DQP-|-#>SqONorJ2;LBUjnrfn)zL;ppAS}&nC=%5~|VW?jfsErb8lMZUL zIaH{#Plb9|LOr5`dej{1u`t8TE~TcnN~p(mP%sUNX`6boaH#DP>M0%6)8~gp5~`H`Q*{X zluV(*+_u}E0u{~GRK(TQRIG-f>M2k~5~^4SRbmVkNrY+W^%W>0p^`eNR1HHlP@vKh zDx-rctzoE!3RG4?)zU%Lu3@OX6e#Jv7}0qz(nY`w&%SVNs*wU!SJsrLtEqZ547Ilc zRbN6i&_V54!%+JuPz@#2UOK2oH4L?{0=2h<+D8YqZw*5=R-hV7sQq+MFbiajHuU`z zr~@R_fjX!rH4L@C0@YMPHPb=C6udQBQwJzeEhJP+9TZIcTcc11Dp0K@R2v;s+Zu*y zqCmBiQ0;Y49cmb=sRGqeLUqzXb*^EkW(rgn3Ds2x)vbo1nk!HTNvMN$P~B@7s)Yh| zh=e*+2L;P6)acpQQh_>5LiN-^^)iME_tjc^1**4%>Z5}?+!!j-H_SD92L-C1ggR0O z1@n=c9=?HLpgJi~gCx{o9n=tGC_B{meVrAkp%QAC4r;hDRAgkBn(C@RjgnBKbx>o> zp^hpX>Szgdj1Fp?In=RXpt>nFCA}9TI`2igMyP2+4+Yg-ftoC9s$5r7Q_P{JhN&s# z>)OaP2{l~@1+#dY*3_&pP!pA!lHQ9Eo%bSL0<}h=CM!@U$eNm~tEqYBPz%D;l;XJ` zSty}S)IlvWhYHnCsZb|LsFQV2rx-(p8<3|chwoGgb(#)pu`yJ*?~qlf({+oFKSBqc}8@8o^*X+L#S{cN2@g@{X8Q&KTo>otszt-R6jLSX;aeAGothJq$}*2 zLR}K3OIV;lT`Jqu5?!0R%pB^9Fi@8$P*+N*r8=mq%%PTtfx1+IlHQ9Eo%bSLmeus| zg&L5R5AGwm6Xr`udzAtueexnYpFFx2a*aYgpg>8V zyok;xkFL91qfo0As4epBdrWusZLMLb2NkHtCDao-sBPv@VZMa4m3dtvPfDomI;f}2 zp+ZgKP@&Y+(-P_#9n`b`uTau^F{1Ncq$_nBHuOjs??wA*rKY6!VnpY?NS6vXg$gx& z*mDZh4te-q*B!n$%%MVEqra>`y(yt~>Y&~-hk85Afc%;Q^^SykR|oZ;In)PXpmr!w zA4;f?bWk6gLwydzX6Qoh)Z{3W6O) z+fgeA6}2@`QKyEXKJYj6XhcFqbx>{%Lw%?~#Uxab4yxD~$_X=Hg8hjCRU)C{I;ey( zR5Tf;^He?~kESG4S_hReh6-0xDpaY2%IctM8ACI}N`;a>c~PBDUew$t&j~XvkF9(&8kIhIQJqg-)Z8a8x?h-@ z`dK-A`^&?3fbQ@eXngp>)s*rjWVDHdYN~^3W(*Z=5vHb;uhyb1B~&XNRBL0Xa5bfT zHxq3mq1x)8+8IMdJA|nz6-s)VMRlHLQFBkTa5eR}($J-+Sybm~7B%-Y3pdRCqd-Yd zv#8F~ENbp)7V7Xht^y@J&7wL_v*^F@Gz;@A!6{Loq^DU_=V=x-_cV+43DM94P>0L2 z?+D%5*Vp*$i-fAFxKdNn(=4je*hnuV(=6>5O2se!th8f08k(IH_DUrMPd>1h_# zd74GdJa-O`XZl1j8znCX4%sXUf4+UzPJo~2W&b}GuXI~f(jsTSO zG>hsy&7%Lp(=5z8WJmevFnWTlskyqEnrB{93&QkM%J+Ry>1h_#d74GdJ!2<$hq@>Xl=3ZBRL+wZ)yLhdRgI6m&=;ELRV8)n%C4-VW9R` zdh}%yYPk;TYICSiHKjsbBcZOJek8=$0^tudQP@f-U`$!66#eQ)N962PVd5@ zc1Wn#bx>~@Lq&Inf$FE!)LRnjzdES5&7s~619hYV^`3-!UkCMpIn+mCpoS|@A4{lD zbWoofLxt-SR4C~`71jAqMa})E!aYkErPS1yvZlV$)zsI>KlBcZ<4L49Wq z6~=?ZIa-1GUPAq#gZj}JD*AJnhJK6!^^1i1RR^`p94gH0Z_YRcO3wZk)y@7EHJ|-0 z`cIgeI?fN}O8+TXJ2(G=x~{qZR5+-q3Y7Gpa&`Vw?!WM#3U%8)OM!~z+LT+QtEpo1 zHWlg`U4<%E!2ms z&U^(bD-T~S-QlZkeE8fw!gL7>6{tEAs;&;oGl!}l2I@ows)2;sQwP=19I8gF_a|HYhUp`ey1H6`aXb9Hl?x&LBLvrvam`3~8YbDFujInCUEF{fFmno^CInA7F6(~8UnX8-A%r&3W%pDe{pSn(g8ZO(^2wj^RY22p5Jqo%>fs&qP zuFliUHTN`&g!w$vxkG^(D{JZ~T}>Tb!!>oM0(Fdp8mEIAZwwXgq1inO)Ugt3f(~k; zIaH`e)+-dKNfK(Z4yxQ3D%>5i3N=MS9jAkuY771t}Wc}<0S=BZ3~;T|uc=IEeKFoz1&(AOvpeXfL>r-Pbb!%%A#s09*gp$_WA z8irb@K*?2pUEM0b?!Q>&H`L*)P@qncHFc`4rcN`jsZb4ly#gh@7hRqAqHFHG=$;kk z(&Zrq>TFq4=jdwcT;rOGg!$m!*{DFBC!x;QL0w=B<%aTJ+@wHB??qSVz37^IFS?<8 z@-{0_(tFX>c`v%=-izTbT^>=Omde9-mG1B@Ge3M+hiT|r6e#Jv=<2)|U32e6_l7V~ zk10?$%9^@KS5r5e*HkE|Cln~@z3A$^7hQAjMK{#pQ=z2yqO0>>bj`gN-MhmaK4n@S zSFT;_>ejAx&DXAVSB8OlMmc=<%Qm%2*QOpYZd0Mwn{iaA)e`DK9n_i{hI&q^skIVn zoerwP7%E)n`GNu^y%$}b_o8d=y%_Gs;WY(HdM~;^&QX56O2eK(`bs^rSGYhB&iwXXTvwc()NQV!oXSyNByYHGW2 zO}S5p={!}aXC&0KI;iK&ph6;E1lnIR7mnGCII;dBTq1+u| zYD$HAT|&K~gL=~#DqKw|6BxNWCDdCwsQ(&6*?)woDHZB%3H6Q+>Rn@~aBd|ZD-B)x zdAd43PxoK=d4~GvP=)$X*3?J3n)=wdro#18D%2+u>Qf!mXU0(B9B`ERr`*pa)E7Fa zFO8wXoqa0QR}$)L9n?3*P~i@r3iYjo`c4P+eGNl>rks60NT?rmP(K+%g}WU7R)P9i zLj9tH`n86kzEhxfNvPj+P`}qO)b|RM^oVtJ9uM{Kym_oD*!x2&muG&L2ojB6_9 zgcE zsI(3$V+<9`hN-Dvm71z0p=#@(%8a4HwJ8;94+&LA2UXV?DpoH{P3=-@s=kD3po7}e z7%E&%sZb3i)LuHMM#fO#&c5H3nvx!|F`Y+j%-kb3+~NCMfs!7vF`Y+j%-kb3c3_w` zrA)LIYa$O{Q{CZfW_*x6n7K!6B+LXx5fw^$#Kv?Uu`zRx z*ib8o1)!uyY)t178#DKa4Rwbc*-JTm(jzve^N5X^d&Gu2d@7Xmh>htyVq@kWvEdG% z3MD;aV>*x6n7K!6?8q=@-~P(s>o2>60lF?>pmCQF8yp7e00nA@gc_=Y8fFePA`FzW zM0#wbgc_xT8f^>}>TP?ZsZvv8B-B_P)KSJzv17v2lrq^&Y@CD|uY)?)9BN`1sFq4i zO_ETPbx`HTP~i?=D+NmWdB${po-uPj&v1uNnL;Hd{XAnjKhK!CpJy!0+>4QRN=?m{ zhwpgZ;hST8_(Dzf6o8U*FUE9pFUJ1G+>2rSrvgy(Wlb&6)zm`cnhG_eU;yew3AIQE zb&@eu?36HFLVKl6ohqSD(?KmZh6-0x9TX_J%5Urp9hCVhzu}-dDo}Ej-|Dz(d)%_DR+bI_S(e*$L+rxU5OK=3!N2fS6#gw{wh7M=yC`-sY_nsR#4Z&pef72? z@SkNBHLc%#Lu@H7Z^W|*Ib@D4u{~z8D0Z3E2TW}T6D3Y;iIss387SeZ*fLRqAMZNc zzJgb_6>K@NEVktyYAc zRYNQn{)1NCbgw?LoA{sx=(@VS5h%Vnb|EZEsJN$@xD&e1 zz0Jg(i|EzQ<1j3=f{PS>f4Hvbi2KoTLK&T5Xzz-O`Ae6%RKPABu`cnu&X$Eo`(|BRLEek2MqbM8!v&iF={qac1J)sQ6ejaUWDX z(M)_eDxPd6J^~d_F%$Pi8_86&M$!)zPd5`EiHc{MiTk7C*=FJasCbT@%1{GgmCLW85FESG!g^DjR6CaI=mzar`#!P%XDqd$Mo`Ygnnu$+9#T(4T zb5Zd|Gx0oByxB}V9~D1hCSHKn_7*eoLbT+qX5tf3@e^j^MX2~mGx15N_$f2-$*A}l zGw~^?_&GE2spz44!AyJ_TJlR~;>D==Wi#>VsQ6Vg@foOihne_Hw8Gvn6Q6~OcbbXM zMzQ~ECO!uhzhfpo7sY_PIVyI{#8;!@sG0a0R2(xCUyF*1&BWKC;<%akdQ?oz#5Z7# zq&Q{PNNz;M88h)ss5omTz8MwQHWS~1iuW)R--?Rsnu%{i#r4d@x1-_)X5u^0M$*u% zk=%)j8<~mkLdE--iSI_mjm^aOpyK__#P_1&1I@(uq2i`y;uWa4xtVw+DsE{ez8|{| zD{gHjUWJz2)=c~W+P2%9we8ht$sNr~eh?LRHWRNw#a+$BYf)> zCay%qhnb1jqvBp>;ti;{kD2%(RD6V)cq1zAXCy8@67^#$svF*qsklFGvR(lu2jC_f z6ku{-@gT&3t7tEClZ^uoT*ZTN%Dv4^27SVchu|jnEx_bZ+~j@*m>hM z3NSeWKjO{IO;$VNBXPO&(l;$?hL$$kZxoPwL|Ux3NuaFYWIFgX=BIk*6m z({PhR3otnyH#xijlQVFWBMUG&6F+-Ko13h5j?cnPjxE6CY~1A01(-Y@H#x2VlXGyB z#};7n1l;7r0!+@uO-?Sr?cvh?|^QfXNeald}sj zxd=BorvQ^D;U?!6VDe<#@k@h6<|eCM8k~lkJh=dqi*b{u7GUyp z+~nc{OrC+8Jfi@UXW~84S>`6I4QFTJl;@b6tailD#!a4AfXQ=klNS_V@?6~HMFp5V z4>x&90VdDKO)e?GX+aquPMOf zrMSuK3NX0@H+e$=CNINH-c*3e%W;#p6kzfS+~jQqn7k4zm*OVxD!}AbxXF78 zFu4pjd0zo0m*XZ^7GUyf+~lePOkRVVTwQ?4Yw^x)jk(Eco!fP|$#n&oydK~2N^_Id z`pX+|lN$;!c_VIeV*w^_!cA^2z~s%i$wvw>c?*8Tx0su()`)J!DYu%Ntaik2!%aR> zfXUl&lTQ|4@($eOQw5m36F2!x0VeOlO+HtE$-D7J^n$s`YK`a~obn}elhqp0y|~Gj z3ov;fZt~RvOs>F9?kK?IO8oG=VQ#Y8;kh3-xw8P1tMDEFuer%;NBjZYYd%JhuK<%T;3gXsVDd%0sW&t?S*^c(2{+lO0F(d0P3}{G$(M1HjSDdO3T|@$0!+S& zn>?@plds_>n-*Yl2X3-?0VZF^O|~q+ zs|^%y;glWCO;)4)FK)7P0VdzZO?EB7@g9dXjf(rD;-7=!b5QXBR9tO3 z=yOr=KvZ09cIWd@@gP+EM-cmbR6Mx&Po%>B4vH^8#X~W137ZGnKcDo4sCXDEc7i2e zgo=lw;%HEOF)AK`ieo|XC8&60Nm2C*D=7(zFGaJYpi=C1s z>7e*>RD2XFE)9yWK*dL+;#xuRm8kfblG?)4-8VUONu8j0X>~E5cDtkuW@9}TCGdhq zuBvVX#1l}020`(%>S94q4~$GgjWi4zS*|rQ88y-sQ8ee_-<6Z02TKLitjrwG}sCZ&fya5%TkBTP;#Sfw43sCWtpm-xHz7Q2p4T?9R;)_u6^q_b%D!v#M z&kTwmM#Yz);@Ls*BdGXNR6HjreiRiiLB(@};w`B7GE_W2D1HnTUyh0w2E|)Z@fE0e zQBeFiD!vl!08b8zpFqV+(UMOMinpQSt5EUcp!i8tybKke5fpDn#miCgSwZnrsQ7AB zd`?jOG%CIZ6`vOrKZA;|Ma35c#m}PR>(JwTQBeFGD!v{q`I4acc~pD@Dqa#4zkrHw zM8%f}#V?}bn^5tULGeyhd~?ZC1;t1Slk zF)F?T72gmn`8!m6Cn~-vDE<)@--U{A35tJ0#do9P+k)bsQSm*f_>Q3XcT{{YdScua z5Ze({d><;lCn$DN@d_0CzM!}i6|Y3aD}&-PRD3@wUKJGALB*?3@#>(sE-HQit*|vg zv4@IRqvCZzaXnQ0Ac|cX6n8+yYtWK61jU_D@mjRxjX`l|RJ;x?d2>+Q6%|*YB|j1r zcSFUMsCY|Id@w3rkBYYj#obZy22}h+P}~C*KZJ^(42lm!#T!xaQ$g`$RJ;j2RL=y( zQ&92dl4p_Uq%Q=;)2oZcQ_APyq1t08{!2k4GpZW_@m5s)vYGgCRQ#%$_zAS)b_B)q zQS5D~_>G`=0V;kH74Hm+7oy_rC2t{v{M$h>{tDx1w8GvEimyXUeg?&UKPbkZygrMH zKMacTC$G<;;*W!3{K@O{sQA;M7=IS{0xJGID8?^fUqr>hm!UR(0s9gv4!#Vv@eA1h zpyF?X*!TtP%cwZG?5+JS+DKkO#lbhJ_Is%KRa6{&lWOA^u&<%w;G0zY1GMBF=!p@0 zlWKp6ieE>?!8fV)C#d)hRQy-)P<@Ju-$cd#1jV1D;+>c{ZU@C*qvE%)+Kxwp;vZ1) zf61{t6ZE z7ZmT0ioZs&gD-TQ15oids5tmS*EtXse~V%VU+6l`QSo=EIQT->X@QErN3nx1bRGP* z@CQ^Je4*=fL`(h=6$fAFI`}>1PpCNfLf66XDSt-A!56yDF(~#gs5tmS*BOV3e?<>f z@P)2}U##y!#laW4&Piy=zoFvb3ti`ARQx;UxDyY)&~+|F#ebmU;0s-62`c^*^K^;_ zU+6mc+u*;@Lv?ttGk63o`EOL*Hz>xRHvfZ)j|_^@>uuXY#RG!kmoaSHM#Y1I;@42I zgNla)#XC`P1Qibpir+!SQB*u4C`K>VZ5I`f3W~o%OOB!9F+nkU?P(XG;-iA%Z_$#A zQSmWBG5V<5E@#+H_r!wcScL-DGtd({z3O^ok;@+2eOxv1X4y`XD?xj9PW929P&W_5}Q!v?706 zb&4#fS5f>--+iD`WTV(cv5O&dwx~F7kwv`7HnhmIDvFB!XGNy-7MbEjwx>m&T~U;U zBP3%=%~u{)uQvH-MoC zw6Q_;8{A@bhuZq0L1p~gE%EOw<3IUZO54o9{7357yRA}HN}CSv6iO`T59`nYMe!A7 z+hAjiHH)^ar8nXwb3n=v|I~&L$yn?&h&inFCnL1=$3L|)@y{%J7VL`uCg3aKETCQ0 zSxr^cMfp+JHdC^eT4!qVDp@m&^XL3Kc&hLn+=J8E4_keJ5;)_(L(FEoRpP+r3~Zpg z^iRSPyY!3|XQJh65>Y6AO`-(;jZ`L*^(zylP3vz=9AH^%63r_Utt%7lw?Un?ULM`nIrp#CyU*k4w-2~ z?Fe;#T77zgsRyfXrcvI#Nc0IduDJ=R%PP6%EX1TKkeu5f)W>1bwT`0?&o9aCRw`WW4TyX$r5qyj)f|@RB49& zGo|?};|l-5@1H5lpEKy~WB2!=j+Llm^Pw)yRU34%{OCOmsLPaUqk|7#Z38m(0Zoio ziHrQo1Z>t+_&|;Fda!e&JT!weId6fSx5=D?)tpraF$o|?7_ZT zdRo@f)A?%Y_S^xrFXcU#JqAj?6KUf^GW+E}SbacrxBt)%$#lt^JH8fj-&>hj@oR{)I+)UY?X`l+y<&qZO@{UmF;F|rVaqfR$SF?_{l%Cioj} zD~z8oFxpLX$Ixz??-)KVTMycPS+B}(2DZvpG)g~sjq4@ag4CW7-#OKX5tXzxwE{R@YbtMlUz&Ky__rmm5*2uBXK1Mq^%G z%H3h&X?+E;b`m_nkJ6vuNBz4BdM1%`Wx7sW#!gw2cqw;|i&2l?27Un~-};;DxJ;kC z%{30Ug5S%7Ixf@7*EPHXKLsz4_$vs0fixx8vaBijTGp$%ii@rSY#0zD?*KC!!yw!N ze{bYJrF&%#%ge2fG`%t{^5%^H?Jq&S_3D)wnpc z$)wZ@;uQkLFlf_@0OiK{qPplF!!rRl^#uR6kry*pXPIEK*nY$W5U~j?~qRXpy4C zoFdXB*GrGI%3#pyWo@;4+vnJQ><{h3orK-jDYN@I4Iu1b_jktGL!5GZs52A7bL`>H z#r81gc6+3Azdg!X2jTPfSZ9ZQl=C)(zu4mmCJ8W`jY=yYjn;`D5S~OLjc$8=IkM;2ff*onOum5jBdWgpuEy!WM#~83V0~_G} zp7bOzn$wRToQL3Ha15&GqfMmGm^I|c;#@m`%cDv%K3-{@n(Ro_}p-&TYt#WYn zRtb*^)Qc~*ADx~#NpD)-5+~s9i43hIBmD!^^ipeCUcK7WL)HuOX61X)HR?s_MI;&R zMdejwqO0qyEd9XSDZWG*Xx9=anZ?siA! z`*&nMGqp(W$VAa$wp2UJ8Ku^FA@`&uIZ5nEOLDS*Pfmh8IhhrIiu5FJ#2yer+uB4< z8?&CAPP<~;*S0Q(=5vO8e)=%L(i@Qe?D{%)4?@mD??L>}Pvtm$8M~Itjs0*H9qKXZ z^SKd`KM=S~-nYPGQgSxCZ-K|8u!e0mFO;oyBV&OcyKdJmNGoJ875Z=JnUweEX%!$bY{S_rV==pM$n4~ zey>ihu8v2p-9oOdBsV}C$p2J#eWq?z^~dSU#Z%~m9~j1OYT+Bj+1L*6E`-fnwKg|Y zx5+O9!0PRu>oXhkV(j8Tn7vDD_94Vn{5t>o0pFYez>zW1#J5g=|MFSv#5rmk0O5wx~7IYDiYWwg`+C z#pD6l7PIbyONQ03&9&>mwg+Kbv2DY)HL#7oi5-D;G;4Eh(A@-?;EuuhkFO0@``uMi?s9zVky_Mw(45e zHg4%jY3WhKQi)f>+UQeWiLZ^uy|}-PKFcjVCoOG3EG4`Iv-E@E4ya{IBl4c%9%#?bi z%*@+fsn1N-%lgf{3ufMzW}ZOI)beUEGaq`jd}eBUwf$y3;nnl0w6smN^dLMi%bov+ z!TXOdf_F}6x-d}Xf(_i$^^cOuWU4QY9)x(M-{fWO%p?OH2Ji&Y^O+GbmcPq1- zyutiggZx2FZ9|P;$NJEwaC9wuvvnA_DqLtkV!dKNYVT=pv5&JKvmdm#+Pmz>okQ#= zob&8$tZ#i4Iyu_6ekS|Y&spC(2Kvk|Sl`+Q`qnR5-+DW2`-=6gmqI`KHSJriuVLFa zv~RUuhi%`|zSZso+rEQsaeHsr_C0JXvCo8UKfpF(&wy<|!nTC{ENuG;wx#R}*!DAQ zOFCKD_6uyw*nh&dUtwF?p)9*#Th{3b+kS&>rOqv|?RVH#+qnp~{Q=u*(asv{)Blv0 zJ)_i%)xTlb0 zI{C^2ZLxDi`B~WPoshEVme=WrPa%G_RMtD zm*@E1T+#Bf`O2$}l-DhI2!s3Q_~#@*%PW&jW;I+^(Ys*wT##SdC+k$dtfDSNe%~mk zoDE`m9yf!#&A)zO=kN!m;)PwZF7zc1TuC-adeE29J6g;OFIg{leQ2G<-6gHjO)HZP zs%nj=FWuSdj(#f3tWDWJQ{1WjpQ-K?^%=k;MMH&)RHVS zg1zAX;7NnSAAJdjtmM9|!r@6nvazUe>`6m%zvTYnN!}Du;RiAQv*dwL(|#X9=hDpK za9ZFk1l-D!Ew&`9eJSSu2qoUYBX!)+IYvwN9Vlh~4xd{J9WsZ^BhYd3T-cBH03cWH+R|4|dyU>4yQ! zj-M(V!QFMWt-4Ns%X;GuIR3YTpY-}<_of??z2L78{Pk@LuI~qcw|4T#%H*IeiE$Oh zpTQ-ucnOglQvD@Fa#+A$F@8$!S$}c_`mA3lat9!@n7szg)AryjA0{duG7?|CZ-O1z zT$voRCV4bO;N=4I5KPnHh3tE(lmmK<)mGWxTL@#u5w5coCA(!7^SP*lQ@dB#LFc z1hE)n8I!GGXJ*Qsxinxg*{Y(X1k1R@|BjVb4`fVW881UJ($2T4?ZPXt{B8Gg|2u2m zc1zOc@+%N|sUnA=V73n6N~ImJlc%H>-O)A46R37m{ClXuxv~bAGAGsCNwC1Tow~W^ zwols<|Ei*-jzXe6hp%EEdF13A!}DPTy8&75vdrGTa;tcjW%l%K=R6He3@*>?;}cdH zT%Kv@+s+L(G%@MfvMTsf6(t8?8E^1=J;F&8hm1{>HUUq7^Pd|xDmBjAL^E^wO^CdO zBGc%es@4I(hoP6uR#XLk>>KXhbU%9b9rU3 zfx>m*ICJ@WM1HIy&%jHR{GI6rWFVQS=(U~cLr8x#*<7x?q7?0Bin)AK@JxZan`$oK zjGWxl&E*dx@|ouHM-cgJbNQo)e2%$%3nHIuE`JP>&o`HEMdS<3<&S5YR2zdANqL@b z^@(7a&_kXqMZ4`Gw;?CWspj%05&2?s`F2Eph9b{2(w*i{1$Q3K6#6M{)t#JP|Fm-B zz&p8f6uq{acn0Ys&oh@l>-XLk-NXe_p67T!7wj3~cwZz%^myxc`FUhWyyRc#UkH{D zRlek3=wC$im&3PE@Q{jmmrUG{Jk5*w-UqW6`}{Lp>X4c|-S?!>dWAh@#-CIr&!V~E z?;OA4Rn}zkDf~HK$@GQO5ziTafDtpt6(By(EA|mH2LeI-D$B>52O!@CUfh?Dxd-I( z`AdFH$9R!H=c~*)EI%VTANKzezhZ~Z_F4X%ukFq@d{dfS;#a(GYj_lJJT-is<^Y)neAc&ud{C#yLesvySSGh z`lsF0n+pAt?yDgM`X|kiqtLeiG_;AXURMUZx7XDN+|BFe2ZoQ|Q~Ud&f7Z>}L7V-7 zYVLk{>yMoza9dM#lKiYW-th-2N^WD^2YClE?&jV>KJJ6PgE==FHB&8sq!s)rUB)lk ziV2SPzhuVbXICoxm&~ZVImtlTzx?9&*Ab(3cdt9!nKoW`-_9K39pc}a_H4fo^$ulO zJ9>xuvi9(L_>Who;6DGF8LnXq?(?si>3MUjozJhCsd;ny_W4(2pAYj6V>{BtJIuEu zJ-wc6N8&5k1s^ofUS2PjubbD)m#??iyDFco+g zReF~12=54%?-1_@U%tLx->Q7FoZkZF@MZ>u_4E2MBR#x+J|jnZM=~S)iZa#7-`aoI zoc{FOP_I3I&kV_1-|CV1J(GX_5qkRH!FIa8*PrqB^!odF2Y3TGFF%WV!S2mY`2D5xec!PcUhIm7&^2u`k4Ae0_mP5Uv zOyAEN>eCPNhWT;nC&#CB9qtWhSqFK;eOX6%BY0MJxs@6$OZzL(LYa+`-biL+s5jDQ zW0W_FJ4A2B;G>h&REd`LzeIskkN*x=SIHgzeJ^7dVtMvG z4!Pe}bGOOg-08{jk(!%+F^Aix1IJ2U597RXZ0DwX<9s_e-W$($PTckV;lB#9>*yve zxB?E5|3)2hQ^#JMBeBy@T!+1Yz;nib1-moV-1&V%6FVzPR`LBi);pH%-)!$#-~LVT zCgk70y8mkb>T35dNL^Rs$x1t-)tq{wH<3}#@h1AHCwY@{O)kE|Ul$&8$jDc76(wu9 z)ydvuW_7MN*=M!fE0E3i^<{WRj&&&*OhTqJ2{3N?TT59Nb zQxQNjy_w9?MgPa%b-+habn)E{5XdFulF*hGNC<%tdX)%>ib@j@{7#w*3Zj5iL7E^{ zsnVrMl`cI&z=8@E1eB_x*ujn>_Qv<0x!b*&<8E^Yw~)a5e&*Zd-Oiggul(P%oz;5@ zoO*z5>Se*M_wRMrot{(67TX`$X<;50xVAaYvlmT9TUDaj9~f%-tsX}La7;Pi<8 zh{$QBo6~BOQ?egUgY-e1(^`Fyz-h2PSmgAao6|;=MLqtx@ zeF4Q;CZ`lXoQCQ{Ij86Kp#rC2`Y@3b>o9@SizcV?emD)+hjUIZ>%#?3BlHm>r&l#Iip0WKLA6X?{43)yHy9@9Sd)PUG}(A}35#F;3=O zm0HOUr}6rD&gm0x7aOhJ72GjIuZU*STHc88hjmdL=gqVYBTg<$~7R)S=-)_vjpa|_1RuQxH{+P zbNF*b^f|(FbM?92=R`HscQy)GJL}HA;I92?{b|m%nEtfDb)G)YvS}I4z*Fd~X)mR1 zi7f@&Bxc1Xi9$7SS{--J3ph4-X0CC`?^*nIJSj6_yHNdiGGbGBe|fjNar5>0ym6`e ze4%j*^aV!aSl{~9G|y>U^%v?3`QsJ!g~H>D^hNw}_mNvWW2?AN++s!dpc=+lEwOBLvZs%5lKsCICT|QnR&P0IIeYA z{U!Pm&ie*^iNJfQzSQ6?cj@l8ItP=T3?`i#d%v?qK-;j+@SD7O%k*Vjgd6o`f(Xm? zri2(u>a68QYYpu9^IjncB^HUtn2o5k0D#)A|V7 z*cS5By#w-;yey?9K*h4$P)qaQ;MOazZr4`| z3R|VG@+wShNq37u06!3MSpC)dYR<8>zFOe8Mqd+%W0%0X!BT?gl>h?~Ltf{J0~w7tYPVx%9DpJ?GN99~gMy1CL4% z48QP!QJx2I##sFg`i9q)8u|wJ!CUn=vO9KiRsBuujvf6}e=`$D->7fWH={(0Rf=Tl7va1%YL zZxKwiRp071k$VO!c9N;aUOu?zRx^fCvC~BR^tPDpV6%I%cgat)S2_LXn<(!{q4xz{ znCSm93mkW6qHX#%-jM0~HlZPzdZyRcG7G%Vp52}mI}?30X^LSG-=`Ho0&%um9b~cH zAqwK0K;vd-#XgM_0of9phNA$t#4g$ryDTgAS+v<%@Wt3w?IYZuKJW;G4;Ap=5r1vl zw$CH{Rxr}|4IzWy@(RDjZZdv@t?*ku@wY7Fw;lYqNb$E9jNe}7zvUNyd)4@DFaOOc zF@4kc@oo2yCf8UDF=DYEZmwmhqxnIe0rZJ;1jHxVD>VL}bf8n{R?T5|ji#)z2SgrY zocRLsh{d5LvTS35mTe5*J(!(r$KA3OF!Xq#HpcRoWBl`pJtDSbY|yfe4NA5T+_FU( zvN7lX$WZDh?jIe(kI}o0A3t~h7%l#|)A;d}`^OmJ$JnopAHQQi?vDKd|7FFV&5Hd6 za3i*Hs59{7rg!Z`9V)(5hM^qx2JMXf8xSfu&m=y&@~>EI4T>I-S>Pnh|8G|8fAzC; z1Vz{9or<0%0K|5EyNGm7%|yD%;#st8O{HKSgVpo;^PE*4{ds}a4jsY9rv2X(HW{c> z#5Y)@@Kqngl>6-2`a5+f6cXjvcM5EF>AN@^PjA?XQ+9pMpPeQS2ib8+Y!e=^`~@AM z4Sogn7X*GU>MxoQ$hJ35=Fh&Qzr>$)>Mse;zN`ZiSQFSWqoSf`SZ!65@NtI4d)?8u z%RJdp7ygYWp#BUWKVaJpnF5{hX*-=oE>Mp2E+U%&h{5n7X0mD4S<(^7_&pkbP~L7G zt`FrE({~FBc}0K4s}ROVY`|=bv!dCud(2eM`BfdL3eLs#R|U?m>92V?%UlDWBrSJ- zXIm_a$*P`hF;o>)J^KPxPxY$0^aZM(NNHzbxlmw7WcB3pj+gV8<7I|$4BnjO zGYfp;9*jFH$nr0+6h@R_fyFLk#|+4f$v3=3+fwOPG7?%&>UBF+&@{a~=&H-~ppP~2W#9zTYSlv$o%ztK9dB%78ItJfV z@QgHMhKqw^xY(Cr!Dz39HAD%Q1jlfRFT)u0j0_1ShD(EExYU;+cCWE1Nv=u>$CvTf zj{JwV{ISMm7P}gwwsL%mmKLa&S!`MaJo!sV%bbCCF7kww&zq2NLYFtUEOQ2)d2viP z(ot!rE*A>C^O5BicS3SF^UH<$1&nwUGBb}{rg*?Ie9qSN)nz`0GyRI;YHg9{3X9JK zu_+z{64^FrX_{1K5*WVZ6tKd|`tIu3dG*#XzQO`PSc>yZ`eEkVQszDZmFX*Uw}4N| zFuuZKV-kaLtUwjSODQk{Mul=`gYq=RYMXQdBQpkEvFr8mYUhAV?(xYCzl!82Zl z5>Bq-F9Z9JaT$$QSyqi0m%wNhKUpg{zJYh2FlTj23Ro3%_E=?^JxtxN@>Lba6npeN zyyt(NzDMZ!@6|E6fN@8gs)4 zE6?lvaC%dJlXJRBe^cP}mj0H==@!n(d7CNIdOw`@>H9dRX8JyX)7v_xhR`&e_Y~7M z%ZXJ=XG@dK20v`}>-#yIR{DN{%>n&@$mR~WKfPeqseKLA5QP;?{ZF^^>+nMhx9`tr+eL;x|*Ce`{8t0$GjCre)sE#1x`owBb*ao zpY@KfdR#ER+A1EyhB8@f1qPB%RM*Y*@fry?nrt|(mp0@q7m`T)Wd+N;x1@)pT)wV{87Vw z7Q@ddZ`W_1c=8QqW8C!)=Pfy*pWrQdOg|yCKqb2ez%YJ8Cz+@s1{r5X71b!09 zn~4_NiuP{ntiD#rR&anNE_Ue@qI{(iE5aAzs;2UOw2W-r-- z?*z^Noox932-aV?tKhyOc3TcvG+$0=hb(I`J^hdr%@etQe57MC7P0w+{*mAxC-swt ze;A$)J2DB!iV_~S@RovD{Bn+$pANi9wBY|tDG!SWL3uFeWBp?;rK3HGZmp%4?jge=5}Ynf{qrBlZM1y&LzAOX>vz07yR9 zF^diLPS-yd*nFXXA*z?LnT45MT;_@jJ7KXTL=Dyr6vRoDeH@58NYr&Jwz+*3u1;5t6=GuBz z|60)2H~Ke*wqy&QbPf(^7ukX*`3LI!Lz`g1li~qD2sdMC;9LD$F4rpkTS2bxbgZqw zvy7#Ib?z<$n;Sc87X4oTo)>*i|6VBigZ_h56vySVRRvZQr%-wpB+lq(c+su;8KLNp z`j1l4?cSm|m(o-8C;ca0bcgv2MNV6EUSjH@#2fO{fuoGgHpFCd6!}H}h0FJr{)-^rullcC zKDJbHG|Ra^v%udTu>d^3>A&$J2ld~CBERduyNkHLpeqaWmDStTUSa;w|KOb8)&CGU z|Ed4!Bh0^PY@4C;7}}dxT5)#1C#v9E-u?3LrtI7DP1zKR6W=a3UUklLet`0Mj46^2 zBc+{z-}0lc{j(D%F8K1HxzH_(^qtibsMJIGE`Pvaj71l_DYxEV`d?gOC-uJsh5fDn z?Jqeg_OU%`H~7@J3J{5~ae+;o<-2|8TXQ)Bh3F`mg@4 z*AMw~|LOnn=YG}y6P`P-pEsYA)){}cSR0lB_UCLSw_~0{D}MJlE-ubQbLmD@@XyY` zTg~Kr^|P~MKvP6XTN-}0m@>!aq$n(QvExUghV7}ZDtmNQ;Trmr-I+Ry#cwJos;MXJ z*O3k^QO{ZI8|3}Jzr}{0v*`HC{n>LCiCGx;obws?FzL*7+4F9+_P%Vd{vr? z6E!qZW6h6ajn$;)M}QJxG@tcaVxBTPjlW9Us?qbosXgp7%v`5=P!J1sV9HqJk(lNM zC9jvM7uZbJzezisjtKMw*x%Sgi2gf{{b5zI^MVX?G#~yw0F&RHK1{g4`5;t2E-(`> zpCoW3DA)z$9r!ZGmd+?r|Fm!f_BNGtJ`tV{ycJUpu|F+b#*ryH*Pj-HCBbF>ls0go zx5L&8%s}<5&Y7o6$$OIjvGB^c{G1clWq#-jYjZGu6uXmjSpbv*hA!RjFv17fb5XoR zG`o{ZI6!f@OSp$QaRtS8|Le3!L2RS6`0zg#<01F1>ZrV|3xU%&oIi>M|Fam0@IXH+ zKOOi(!|drer~I_Vd;pt6VIaly63sOf&+a4*#efpy)v3%o@K}IJBE#8#7MqC~YGOB? z6VA*!esP8HR|E`r2Mq!igb)R}fW_FIBw#Ekv0eeuRWqaXya-{~E5by|j4I%1wG#!M zoM~}(Cozo!CC=*??!EZcvf@e!?bq^J#U;9Qafz%+a*4p3;iN?z@D@JPhk*lzKZ&TG zkAh?=BCVG5uoW||tdH1{7M(b}P8#JvW!x>8GsJTRC9^w8K?$HFc(v{+Q3%vRyhIAS zlS&i@rLd{eCSt!*D_}8OA6H(~U;&GfGp3ZHVhrJnpt>SllnU%l5~V08MK2JZxmGb= zB8}ZiC3H}9ukhj;r4|*m@KMm@!ouSJPu0 z=HDR1WPZa{`_;3cyyULK5V zBwDMmMIf=A#M~2-P)`zprpDvvPlfTTM@J( z7PBy(j*f1?FazHTys}%_om5#xP%4Vz$2ApgrCW>@r4fy$TaFboqe?)UI4o952ODa| ztj>`rQIB~U6Enq|(*J36f(SR0|Ela8m-Ifu6FPU27* zl*(Rp2^}4+gzw}yJI3+C7MHZ}es%;{jCiP|R?=d4APpq&WZ?HSy_2DMtcW)~@vXaf zi^8+hH_qidqQrOI$nb{xTpecRNmCnYLiCzGU!*_?g zsj7^H?i`q%=Al&$6<6az-OKJIp{j#Y-4se3IcQ}p^vB+&iR&s$VsTauo|cYO1@C(T zPix?5E_V&cR)foZKf9CUt_ey_|8lzzF7Q7i#?SZ>4@uR^TC6+pR(2O#S=MU4l*x@Z z9bSNH(#1ka+-3X=#L_GF<_jiGvPj)Bz$95@FKKQFjSRd4m%kV#ZvE7ftbmIdzK1OD zZI-5tdq{jbnRf>L>w>Ghm;7{P3*MPgW!-TRz1!n4wcr)CxW_!q?j(<4-OJjh$6#KI z`&b=N>+lkN*_~8^b?oYTONfG{SZvRcvucXvI&EfDvZo0FX>loyzOTjH>8q^z~@i^}Nx;*`3sA)<f#RrWR}Hf}&|H*iTtvpY!=*0*bHN+RKLB@2W3N?|>{B4^Y}R#VJU zkE4>sE=TW#n^sB6sBD&i8{kGX?MB}0vFuK2HtR*+WHy@_V7zF6^q>up9*hBQMr}89 zNuFSLk|eD6*~FAYGC+FJ21vK+q`(bi1EdFSfOJa(WJXnDQ8E#KQkS)|mG%(7l|2T! z1x>$&t7S5~lhnfc$+sG6F=OgBP;cWUrm{P!L{m_jdP|sNqG~>G#k-xO8J=#&IX%Vh zBu>piY3}9Zk+ub>EqI9;>`p4dI=U^566Tca?Vw`y3X`<6*qv0O6)3ID5|Y`gTa2LO zxT|h4HW1>Yy3g{vTLY~jL2EAE9Cjy3*9Md}hICB((n0T94XcE`)zo|qX?cKe+`!oO z4)DH%*ZVZPlj>#N{kCGgaZ+!nrj=uP>#gZiFP}AZ&drRf3U}rECo-d|GtZrmzlCDl z*R`7A$D|;>L&k@s+p|~?oR@z_EMJcwTkgNN;TaRwM!D|zTY|r3V%ho@!(qn>Q>pbO zutV&@H0JPOtE6UtviO1Oc4Mz0{nP_%Iq{bP>Z5CVJ z*kU9mj5Zrf@Atx8oGncWW4{&|-e|#40FxUpVA#-P7$+Xbq1_Z5!<&2=a*f{wt=`2o zzLnic8gCCudr{*&raf-m0Y7%&1vA;5RIno`9gTw0vX$1v3eu!bNfV0!oS^t7J|M)- zONisfG(-6|$=I+Bdj$RRg7|v4v>8-uYN1L28=CrK_@YNKY=WQ@SIo=oPEt%~P&#`R z<6C_*3+EM@+{|C~uX?J#8&%xRtKY-!r0VYh+W0GS$g;>C z{)!lbN;b`MFW2pHb|>k!3n*O--2%$7z{mrvE9hN$nfKY9ROUWV?(@2Xd-l|nzMTc~ z3*OMqSK_!6?&-eR*+^PxBJ{W{-iq~ZQA6K>&CA@+h5m@$NkVr6rJE`A(X6;nOo?62 zNH40!U_CO9O^JJRYI|pt@9$Z0Y*285T?~zNM+Mz^$Y%DjFv1wv)y5j-=ZjXhIL(ysy}u)Vv2ldC+X0q}xtD zy7g{Qc?g9b;taoGcM`*%p!9SzWD)P3sW)xbnagct!Xs?AS`}EnR9BLz(v;y|U%!Yc>2U~ToY*AyGV~eIle=2P1~#ENKLG!q z`vm= z50riex0updaR<5L+_@N2*eKt$VMkRKJNvc=$_(7)|oOng0lIt z{!HfhNOmX5JOGpdUJvw?VDn`Id5Hq-PAc&TD32H=E}RnTZ8h|f`X{|D@}T>~G63ly z)HaAq;$U}@B!fX2Y)T>xO?z7`+{#1K-p;@;VlXM>8HwH&-FRQV{{vL}A7Kf-)ZWr4 ziS?uJ$ckoD-@covwLTUbalP+v;4z0cMKBj&3^4`-!(!44AzI(y820tU(1|S%VCZm) zZ)9uzf@9dvmmzo3M`6rIxuIj&on+`CpbRk#&F5G!YI)zID{pTE`^-EY7!ijCSj;_0 z-)+$bSWV1&hdu**)~?+_HWV@r|in+?8A`jCUz#jNQazgLoAh@8Py=oQ&#lFp;k-r zY(E2DDie=V(S~MIOCywFyJ1G5S)+K9i?TbZ$&Z8bxVOmxUPNG3!$+~%oHJw-@CYX4 z44vIc499>n#=}tF^Uj%w4SvjGL*@})TYL#WTiZ>03>UXZvG?ENOPKQKm)-+lRbv&) z{e}dljsQ>M!AIKT7MgTSm-k(;vNBdaZjsdjzexd^;;~05ySFwjK<3dFrv_h8oB6Il zJT~IEtHRkNzRe#KMDqh4{iKbtZoZ$RpHL+5m&4fc9PX1g9UC*?M){t{#^>}H#IUugu^bV~u{#M7#(^@< zjR@@hEA-x_DcA`X?j!VtCP;~ib#^Oc#h2$gj{Vwqs^>|I-lH%t@}xAo<>6U@^NeOQ zSkhd}#Nc>N^vBZ;_fhZo@|+YL&q+Q!c`u(M@p$MKlQKZ!bap4{eF7*GxZXYQAjVgB zj|pO`W=1tOUg?AJ*mB+zoJmb~Coy>vlqV%7b-he(f)#4>gL}9z6Y=as&WA0zPn7sf z0%eln1db+VIC+~g8Ba{+rP=cPWU2HNP^L&yG;&KJZfi5$begZ5vW>(swe#f_LvgxA zfbnoQ*-f|TW5wU-Nr`MFZz`AmW_Bk@KMj;=M%(3mhSM!ZdctPl={^pS<>=2^lvmoj zJR|59%?PGNY(?=Y-XgZb`IOY6>7Y#a-=Z18wP=QKi{fu%Ey^QpC7&5|i)IGXBDUf= zgSUvSo6eA0G!vAW{#!INxE9UyZISa0?scNm&9aL3=^j^N%dE4wm@U|yB<5^TX8RX& zmc{h8;7YT6#f-=N3|vVRb9OLdvh~(ETuinGJ4X_8E+}*Ti#a#p4NlCtzG8B`UI@Mmd7W&zX`xi-B2X5&>*Q0L{B$<Cv2CXB};fK*&O~7sg+AXS!!5NSQ>i94?{L1i;m-k9gro#3Aw}% z&$u&gp4jmxcWRaf$8)J4o^0k4a>e zwc^SJ7~yvwz<7O*#WD93w(VmzmyylBua;z71IijxMrjUsoyB67JXgEUVy4P{G6|ez zEoxfJrC{^vYb7bxfwC@;6gLN+2bSi;*I9g=L~yHh(u^@iG|(7BC}_+Cs`Zd(J(rcu zje(>HR%7df)7W}njm1{;#0`AW z(O(Sh4Z$u#Zi4)qc-z>-^(Lupn?c!ZwoQtg4L)&`)RE-Ra6&XQ!^ z4$5}_vTh4n)@{Mby3LQQtPcPm;9UVG>+_K3c`hrPAAVkvbq6Rr{L7jdw5*xI$(rda zYg}1(05b27zfBCl?H0fy?E=^d`FHZRv8n2vQrmWcvde6n6oA`(1CVE!7f|2@&Wz1+ zy&y4r5tJ9*%-l;5JS5Fn+~M0#b*uuYxZ{!YehKAY;=I{R&Px*SmqB^i6jTbtomOu? zNrAZ2V$YQjh&!dz9*{S;+}7VF~y7R#CU2rWtvbs5nO}9<_37 zzK-W!=RDX9!|M`{H$ZvA+ZfYQxn zi1j8HnGNILltg|Dl()PhqoLBC+E=pM#B8?l6}gS8RBUdJpXzR=yQAs*-v;Gvv6;NYeo*)G5^Qk2Un+3`lmp%pqIvdMoaZc` zS+Pg*VAheG!!&FvZLja|afz0W@scs&=V+Ya3gS`E0*nLoH|2v?(<88mM zW4vXx%*xJzG218~RBM3ZyI}t=ubK@~-<7I91j-?A)$VQfEZH8$4~KanHmp1>6*>Y6 zmOF7Ef%gO67+A;76fL*UV*9zgQGK7qDcrKA_gVCinQ!7F0qjJ%C~3V5Lgn?GC>Oty zAEY0@+B-udiyZ6$Df^_g9h@~3^+Hxm`zqUHJYw ztWw9X^{W0T)O(bxo(+7CN~%8w$}vgxVgvSD>}H7C8nSMJ7;-YD=gFa2+LsPy9j*U=7?H{)6xwywOn1Z@s^W0%);1Qzi|A(zo5Z;q%iM-9G z8dxp9(jB!}nqb`(6mV?tI4myycOMQ7Q3#@$&2@4uJ^eq z*|_k1$&?>}@_}hesS|2-X0WX2qfU$MZg{Dc4Nd)-@^~=PpMZoXxb$qKctVo?Lr^~S zFa2?g6TVE%e1!5Jao%iX_mRZ=Bq%2X@ecf2uB@43mZt?|Mzw+q@`V2}YWtW=!p3bM zOOkv7$|qh)5Z*h*KssTOP|cQp3Lc+w{%pAOsl@*?P(CyHV*x+@C6WJ!7MmL6zW9e6 z=lvrd+fE5TZ~&QjgFi>5pL2oODCBcVAhvV;3%5Y*WD{AKngM6jzkjJr2Yz&uMDZp_eZ}5 z^=n>&b#uR#N_+##H)aXRke^ze86gdEKegJ<3q#7f`_$q?e6qKGYIXd>f1(CIwQ?nx z{7#SZzD0At<*H!)_irUtd45j$Nedbq-*Xh{{Bds~Rc3 zPg@)!Dy!zS#mb$0G|DMw_kb`fY&JOMJ4)o1{tF`i#hb&j&RQ{`OHTY=)QiuK#GUV8)Ir zm*x4S9#= zJ^p=0H267-O%I}iH4W@FUNwMA)1;~+K#B0F8v6p6gKBy3TOM8xprqxI%H;(mue+Rk z#+T3R{Q?<(6J`9xGDUlxK+6Yy`FLH3axI@!S0pHrl8mM+|02zr8#9bt`ur$`@dow; zBG9z_62}6d6fmS`Yv;0Ne+^dZDDa8mb-;ZW5~ujJxsF+imzsW57zMtf?q*aR|4~cf>K?vpu|ctnwtGxT$<*mwK6%lW}PVI ztLP{FV&F%N`fSHX4C^7*$UyeLcAQa ze<7({VNePivN6pjM48R~%V&uq&D_ta6+y8goFy|`5s76{P>Px?h5el79E~t3#5NDb zV>Im9Jmj6n@jjE4P%tyL1a=W(T?mVIL7;F=fQYT6|i-{cewVco@pv5-^`i zIT}v}ekG0d)_WQaDG6aq@`f-wmXsP&3Y1b(L)hZ5*pNKgHN-ts^X-R4h5at)9rG_Mef|(Z2VXpoxk}tc4u!$PCMZ{iHM82RKk}sQv^f4Mz2Evx% z4Pj1JMrue|P|8XT@wG@~b`9}bL~2N6&<%;qrXl?hY$f@`YC>tzR|;5caOC;8hPzN1 zxsb)lhv>2-U|q?W?&bA*XHFvuSbPW~>h;|*Rpp8TAM1r_R^Nx&QQiJ$DEPw$ZbF85 zJn5NPO#r|pr1()yl%;CWLeD|^=uwPzAFtp?RZ-5i0fmafS5{ugo5jD6DljosCu$Z^fT>+zt@n?H*cgq3= zb|`5H4np*_U@h~s_`rvGo)Z}QoVFW%%q<|^Qo2CSIE&dRc7#kqir8I>h_lKM(?wz9 zEY={|Tm?6yT%cJ%J$ScOLjndh#@-9~Ml@c3=FI}n%96107GrA%UZCJkA&L-h5!lka zZA84qdXpe*ywq)E!hR+QEBRtVsKO=$Eo?$?!Y0VVu2N61fHM>8VKW$tNRx!DRTd6b z)MD++?O>7`iaIU4Kyt96799}34p!88doT`GR2t*>I+!GEF^kD089$0yXn_mM@JV#V zViw3MI9M^+X3W9-7)kYE|SoVOyXV4s_ahcVkU!=N=0vDJtfjW#aa+15$du#sYE4EDtSwY>PZYnJ?SW)&Us(Q?j+uo zL8`zFMf+5LbHy1}+p-o~*4DmR096HRYdjjFt;_k^nnQdQU`Z8@ zGmY4tgflEnR5fr0>n&`mE+IpF8#JQ4MYoTqM7*kZw0#6CC-v3JJ8!kNTZRNSon}c3;N#29%h6Q6{0W7>ebc{Q^EMt(HN9odZ5u@PB?{w!FA)i=Ax3 z?N|-A!XyuJ(M{}5vLj30)qU;QK(b?M&~|Jf*)cUcJ2sH)m>RSlQ?s+9ul&K`t;H>nPO}>{!tAVPN^Ywmy-T#$WmIKWim> zc$&qBBpfqvIEu&BYC()zT*RjAP7;xIooahTlu!6ckR!TM&|+1}Uaa^zye{)V8y^*< z^QGNjRFLk>7cdxkkjsrow>%q1^rLj%CHF6aJU@`mKk?)LNj`Lta32j=~lxb z!Mb%|!8+W!&Dot~UDnO5D_A$cSuhb5`Tlxi&~89fJ(RA;Ik#kY5@*)Qy)Jv#mcgfL z&^}Z(dmrK`S|4K6=OVUZcan%1pkxS*Z*PA0Iza}xYC(%tEqk#paBW!y)q{5J>e;!r z+=%KyyLR=UUAuaAt}Q`t^`Kq5dXTPtJuG-Vw{9DDCt0@vC=CSb26F8xeqFmEN;l-3 z+p;@}GwWA1a&tb)j|%0Ub_1w4@Dg{jJE=rtP#PO0*#4@5dEBr3<08#E*0k8pEPZe$ zqNdfh2xCZoBkH}8%j9Brl1!{uag!;NxEl|=+Xn*OCbZ4xTzg*WEns{Lue2k( zlPYBi{#JLT{1^=*o#&O0r(;t$x8c#-IGfJwPGZB7a8pxu$uhMqVxE0a8uO^qk^2#~ zE#80OiezU`h&HNiF`q2wpV}7NM?AfY3r^32Jb{8D@`UHI?<;-)*Ds6zTKo@(t3=a!w1 z>xQx~mwgr2GiA>wX%1IXk)+h5G+a9-^-AjFa3wpEOC~4cdPnko$!Kr#(Bw(UQ*qsy z{C4s|Tu&wcmi(u~Rjy*W`sL8Ra&5|WE!Pd#-&69ZI2^8&q?DQ|b#Oh8&x$2DT;)G0 z|5N#M4p-{z)Rn2wTk3bI|D>LGxGEH>kXixtR_I*e;R^k5T~Q&k!t=PEsc^m`S& zV$+H(a2;K7X2m(U?yq>V;-?N*T9Gt-D-73r)B2?i#C2`juC$kM{iRagO8FhGN)0Qu zs&oggPgI&$X(6tMD}7Pvw8NENGQDzoHC(%=4^AJ7>!$Qq()Zx{d*%F&E#7gSkaWh1VCR4q_7+Tp5NrfT)7wQwC&bwX9x zuUgw`_g8xW*U{BxR)f6NqpO#yUIy15)rV9cj_Y^T|EZ34*GQ;Qu12cERrBVWchR`vd)=0@U!~=WyEI0J6st}Gdg5+ z#`UR;r5P)5{WRlj#xD-n_0iXtx*qj4DAk}!gX*{rZtz5dNe)-jeoaR=1;3`xH+`$= zeut}>)-0h}5nNj|>)h;KTxT|0(QFm2C!3vVhI*Q(Hm}z_1J{1dM>j{g=6jkSYyLj2 zzqN>L5#?~TSlwbrix(WOmi=3fX*u5EYBi(P@>VMyuGaNhH*F1jwO-qLS8Ld#_1QLB zo4gKJn>KB_w&{lJsW!j0f#0-EXj`r=+TV6v+ZWotjO*#PzqkF%;c8d5U5$3NaqZNu zcRToVySeRFw_E3M-C6g}+wN?R>qB=AyYn$z_uP5xPRR4WUtRfJ1stxsTHMw7F0|vW zfp?9&>j{Ue{jBzD+OK!GI?U{_x&z90_`1Wt9TkVG^n-IBM12p{ zf2h?%@Z(;+dOhB2EUwS=+S)72;p$zr_szW_U+*rx2lXD}a6SCLhkHK!Fs@HLyy)R2 z4p*P}KB;{WAAJk-E!`J*(069v)qSCderx-^+z)Zz@1uTa`@vuOm+zm^AGp^4uKvCI zL*M-;_Fvq8nZq@p!hi+?ZoqZPfXo4i$44qW(%=#Ndr-SU4-V?(a1DNa@P~sx#`XN8 zg&r;Ha1ALor1B8N)sVSE)(=5^3_Uk2|1gKcH7s@5^~2EKVIK`UI}G&=Z$JFu;r$%0 z5%os29MKxrCr3OpVkxd)jreB-;$q|jBZrS1iEHM_eItQ`qaGaf*r>;GT`+3XsI3my z<0+5Ve;jx-rr?<5F^J1C2giId=Cs2#F8{bvkL#lGTgGQP zToX4=+%xeFhih``Iy$h~C&B!|=zr!`-{ux7NAiihp zpYhp@FCDI#TV}p7bDzUC>-_9Ov!S2aqi4^Z4SsXR&6z)Ek;65&;@pOF8{@ih?w+}j z>*q+tY}Tc`wd8JnxvpHUIAU1LhBMxE4e$NL-MFYu19d7XW`3c3n7l z;ZTQbQNu-T7s1~aOG+%xl^K|PDdFJ7?t8Ha00?2>XzQgLm& zq{ot;4%gCrOG_**jq4A~v}N$OWr@pbErTB~Ph4JWIlInZzH#{$T;Ey#9~Hr?)PHzT8xl95eH-rIFk}Pt zxM9wQbsIL|`t!!fjj+qcksD`ioQvz`jjwNf%i-D-vngp4;&9VPo6c^6Uv2(!^Pijl zak#d)w)EQ42iJXDKG_2PTbpd{u(dO;Beu@iIvdw-w*I$Gb-1==Y-_!(Ev}2VZQHgT z*Y~!4zYX!5c~jds^Z8;GW0!z>oLr-E(5k zNr!9i|MvFWi+J7p#NI`F5wCl{-23NV$iJ_}zApRVxBCn2ued+m;X3fqfwKqDzJntV z&N_(pzuWoU{_j45>-Kl|zx$5Eb*RIkK8N}{Tu161X?X zB_dMMlt{j-i)AkOLBo^0 zk~K;J-$G(RzdtfrJ(Vhnio;)dkw?G>@_6_}9c7}ZUnntwSc&W`9}+}U6O>pheg@B5 zxgHuSaoIgA7f4hRf+=7WVwMZK08G=DOI2Sf9Bd^=t8k%dW88eeH) z41pLl<_KZTq_kVPDJLk$P>|bw5|t)7MJPisX1N?ClsV$9tK6EClw~N)uw>JmCY&K4 zvuKVK&a~|HO7onkJW+u;RzR_Duu5r}Q}t-*(j6@gl~y@fP+8bSrA+DV=kx5e!4P^VL!1p4{9JqcJRp<@$!0-u0f+CAS+z zG|W}e7}GeOk*V}4_r;gG7M(SXFPbdHO{wz zJ+HD$mgS93#s8{6+{Z>(>F2V4sc_HFbd*Gi*(8$S42YPfIXhg@ro z_f^2KYf%BdN*HmiD#2F~Bd=ve_$uS^Yh4+>3K>HRx!Zh`#yxUttc)Y2xRv7TEfYvF zUd71DX{bC&%8`{LE2yzDi4=69f@CF4P^OTQ{42>&6kGBkCE?vqrVxLB<~E6X$~1B& z|2i`C#@?T04n>Lz;7o?1%t`xp%5-unYo}s5GaQSQm5pODWtq;Etjr|m%HFv|2P1_A z;b4-JwNqx3lLhBwqN9=0f^;;=*%Fnx-{~)KpnRej8rD6@8bK8)o12 z_1}@oI`ZG}`>*K3q+lC-m?_wO#QD)k_YvnxGvzsQWqVv%bZ1hsP40Z5ORG(kjpWky zxpZXoE!cUaXgA{Tyi!2ff-7ju5cFq*4xn00`0)R@-p?zyDqBhCw)&c&b5;-AXy=|D z+O1@g>My724fV50BvQS-2oHzDATwOCU|{BmV3RnWogcR zY+{1dXT$}W;)=!vGlltrVzTlw1xDC|0U9=W1`!xyaF98?suR^1uKOU(k;j}>Vtpz# zzw!!&iRseevW3VeNV|xkLMF)(p~6gJ=94zcYZNFsB~Zj*A+zL|U}0vF^NZuGvX{ap zCxwj>I_|l}hRPdco}6YL*+h+%x5z{}(L}yxdYjCYQ_Uoss-bd#OqG*OC7Y|U@(!6R zr<=>yWQWLPxxr+s{4xaA(=5$^@a4jjb>zW7`rLRjJXn0 z4ObFg{MCvoCn+Wk#n^JSIVO#`bgvpLQa&Mb+G0jyEqKE5xE@v{`; zMjY63%^@+)+GfN#nf#iF^9y7B9L2gVF`k3^>SFthcqg-8Gx6>l^K+G7DdxqP2>X2w z%I`+ojJPM$UsG|<%%6`%yHbmvPJ`3Us_`w^Y0B>e0!DDya?ODtz}jg50h#}r0|I7# zK2Z-aV6SDgQT`+huqF0$Xy;#StAPXL0@oxCFjw%`F~Aq_{w7cm110SDIkaP7w9^0v za)WCY7?>+WF%&5HQ>627WYo0C!mIEfzEM*j9S7ETP;XcMC73Wm#+GXihY8kZ11iWB zu4$-Xu3&uDL`hW66EN5U2+%U;n+t$J1P5}5YaSe!I|wf=t0h!)CFm1(!in!jY=`Tb zOEAKV6Ap3-8{L7qRW5P~91VVy&rtrXx;GZnw=ly648DAFkyjXC(VV-+ZE9Z1AxtH< z{pB*(Fx=z3;vmfkU`$T4cl;$qB;mv3=P?G&BdT10t>kb z0gI6*{aqP~h~VO$E>R1sF@!I+;EG{{T#hdy#*nKJ#u#oL;3}-yD6`a9!WP1o>?fAo zn?fAqF4?Q**OCWO5w>oe)5Wg_$@Ye@rb( z*hAP88uo~t9&#VTpAhkfxsTBK(FuSEfI7ul%=pFJi@%0#zGv$!!#|;d!;shDKe!&==)YI3NXT~4s1=OKu2EzY(T!Y;&@Gry zxrk@X&3vD#l?ccP$ig=(=BrEARdY|k)>bQ%tNE%YtpC1-bEct3V`Ms_EXNR6e}47rv+I1UdL6;wCHa{z?D_ti{Lrr-GMyVxNG=#qMPYGGa>0Q5 zmAix)goR;oIA07j{!NY;&=EyEBsa8F(W>#}x6B+%hD! zh3vxQmLciae2sX7+JpczWN!-=EZKA9nIUjCS--;iq8g>%O4u2a z!-ayIgq7rkf|2IbP^q8F`Sa}vIR+MIwi zC~pfj#~aU+mtM4&GP{U5za_aUx$2c)P`9#yv5rKpdPz}lrDFaYq3R{;*X#qNsI3TC zv-LP5pI^+Cy!Dd3mD$GtS8~^|s579;le>mRzv2S^bhQm(Y(R&*7)m0_dMCNAsG6|f6XJ#qaShuTJzR3#u&dTkF~5@QhF!n- zMj$_-P)$@_1iX^B`F2$=_21;ZVfS8UFK^$Wo7$dSm|QsbbbCcxnQpA4s~yRWMb(7; zo{-oUBsT11bce}}ZB;Yv<+c~;-4ns?-f^_rnK0RiZ(FW}$@YSUr>D$zIFc*dt7>v( zd-V%fHl{6<{^~sh%(eisG2KDlY`Zrz`x%{Pa%X$hP3~;3em$^Z0JPdl?Lq)e0B!G3 z%~t&yiBJG(a%p=(gh1L}{R%E^0JV~;-bX-fE0B;^UpB86d(`CC_NtxS+Ftz%V9ne* zFWa$!{}c^FMjXhvTJ1)-O}Kq^bg&IO8nYqf+V)}#VYj{d^}%lD-uWV9N=GWS*#G00 z$mnVu#QUlb5QrPWZ_AZD{jz&Hv!emzh_v~T-{#%W`pa@)dg%<2dI4r+ry6M zq7lg9ueie-`0hUOsj=FRT%KJ1>K&qrwq@NKx7)8$2awx~stNl&A$^WOdc&?>*H2L& zA=fw5V#}3WKS#Mfv#~LtCD*stH01jB>Q@{~1$l3t`#wS)Ou%mo;2V}8@6U1GFM>b0 zzrE%m_qSKS2F!$b70#tsKT?NK4xk)xZA|z0+Sxk>-l`6xFz{7RSpQAAAV=l`!^UPP zsFl?b6bgn~Y`Ib>NiX&M1)1-*iE&k zI)M_0sF|?eQ*`9I=n#_!g@?W7qwug-zcwTf79RYCx9E!eW#)S7laxcko;O6pQIO=y zAQAHjg^0Z-q!6)Jzakuk`CiN=8R{g;C6r5OnJ|zK7?WBQCidbT#tFOSy+~56!KG z>I25Ohr-8Re5CwiuYPULHG#rP&O!4i2L)18Sm+c)S7i_}-!SqJg^;}_rVz4MzgH*^ zu@I7T(E`dvVaYjx3`&7?)drH7k0^}nH8X{gz4{FVUzdq4=A;MIMU;~$C(#_;rT73F zC%M03+EHCh;dCjg%(g7$rmH$P8TR*v)CcNP3MoS^wp=Nsu8ELhzGKYjQApWqatbMX z^?R8{O2NRbdHm-M>T*g{!D#HFpP{I_W}-?=RuoqDnw`SRUj62vWW~bDNLb1s^;t?- z7oCiPu_{H@H5FN6(xT9^*Yp%x_Ubnnc)QHcxYw=L@pBt~Q&&;$qTEI6R^j#mV}_8z z%U*n?{AI6xFLQ1RHVpGcI@U!hwb=jT1fu9_Jekc^*HAJGR)ZJy97WqT8Ewp0jD$uZ zX0HoSh}o;(9GcKrh=~KUAu95l771_2!2;)M*(lJi*+3KX8HJg>Za`sXuYPlQdJuEk zV)Z%7X}0D}3bt!H*u=a>p=PfuP^j6f-yELTSg47)ZHT&&avS9~T1d0i2M~0|JS2si zz4%M{&0hWHg8b&2Q;xG0-zexP=sdt+&LZih0`$A zC+EN&lml%w8U-N*VSvCj@*stvy)Hr_Xs><)xVNpa8m2nMT=Wh>dZM7anB}HX65zG>#`?EQ%)R!q7DI969 znyo&NO*pc;jXo=dqrK=&+0kD82I1;gI@E%xU!2dGuD(J!G8m;@^fMHc6qG>%hqvqc zi~1UccCbm=v1!qB>hpN}_=@78W{1Dn;r=pox)4DLn0U9|})<^?MPn9~RiP zxnA=tn=93~D1q8)F^W)%(2I;_BZ*Ro+Ur6TqW0?dBHiCsSPqj+dDwU~wmAD-nxp%9 zr43E$+B~62u}ZNTV(cpCl_%7E*=T!tE#io$hfbaN?0?R6mvQG4|pqWjwl z$6=BM5z2Mu)6Gp$4^TR_)qWJK6ssY|uo0`wFO8H+VQQ}%QJC7R-w;ENms?uoaTSB#S#p{Z1r3tRAAYYO4(?UMXHfjAJogjnwKs38jL1gu<1=)#ed;i0*2u zJ#v98zTs+w?0LmO;cBz1Qg)^68X`pa3)hH9M_;y!5L*bfNr6j&8)85Q3S2SYQrOz- zRus1O>NmuYuoa@iB#Twp=41Pue9mrBUs6Ay9eig|5A>MWJi2 zenSikTVXm(vSb&!d04h(r>CvsJB>H1A5!|Y)vgr76vH9Lc6Ko=reF$Rd)ry0BB!?K`p+vHnlqr<$bu|iQd-WS)nAi&2VUi`(P>x{P*t3K@R{erwq~|HPDY!!fpi2pEF>h07+v|E1+V<)<#IOkomah=+OAT#v zgQ)upzyGPF2vo%yfv-8Twz zd)<)2++O{L7(!uzZky{2XPBFN&QsL0l+bOpK1Di3dWgsn&PW%NI)%Euu1KM7uYN-e zBU@oTOtOSK)cNN)uu&bKzEWDL=P0+^>I4+<6!0MeLAV26%aSN-(J_G(6?8=A%>N$Fdrsa><)dO^#7{*H>H1D{em!nFd#&1 zup0x6E`a+D+P~F*2?7WL=nYz1eIN%yfB^&S4O@Z$d$Sbv0_@dqh~br!LOqZym!lWJ z>dwcqzf#LHEf`&m@9yoco~KShAj^b>PKZE=5F%<_E<|AdZM>>WFkr8n5)9a@-w;DA zEYNOqotF~^{C5sCl{yEudJ3Tep+bl#ayd~!=pYaj*gSDYP(VNmtt%MEGlO2C2N&O#-Pe+H8}3$}R; zp#z~qhzNEi(81_1xVI+Vqeaq0qivAD+<{<$U?G>lf&mTKu0*g9ZH8mI#i6vTz- z-o*3b{pw0X17DxOK4^Bhu}gDM-@#UAroIF99YO@xD-950|AFAaUiT$uQlDr@({( z6>Ra7V8Y&PN4*Jq^?MaUNlt=^0E?k<)VZ)VDG_QAYJ`YvISDny4hBJmy{=49VXuBe z3`1MfLYQR9X;2|AmL^c&!d53Dz#zZ~5lC_xV2J$-f(v`ync%`+{e~Edwx)(K$&wS{ zBEVv5Vd`wy>QRIqgdQQHN=`%%qr>4|T)jmrO0Yq&L5r)l`oL8J8wPZ+#a4n1d$S?+ zHtf}Jh#{Gi;31GKIkmUJ_5y*~Vp%Z=(euRi|IT=4#Ot5!U z-J_O1S_y)Wu)sth7a{l{_z2`10q8j$J`4z9qZfh?d)=Gh!(ROc2tiv@g3Wd22KeAZ z81^3g3tB1afY|D7gdl_RE&$gd!oLOKw3Cu`@z2Vy~MMjM%H+5JS|~lo2LbaubXQ9g?!tA+goF2uTP@LPVI{ zgd}38grLM;S0^a3SHB^KsjX=vOtR!QDDiYm{?32*-|F%6N*OJgx+k{!8DR=xN{CpK z+b~7!q7a+S?6_UbppP_;F6gh`g%2q)(JNs5+29TZ!=j1YwoB}Amjjff(4QV3G) zb$Nmmd-WS)xZ0XN!X!&>g%p0kS=3Sf7GEnZmHH~SIvW8C0ZWL0lUspB?5_~4*z5KL zEB5L)#E`W$g@j3#+zcyXpJl98k@_sQIvjxuflG*>lbgXs?6(lK*z5WPE%xd+#IUtB zjf6>-+zu_m!cZmZxY+7(gf4_GA)-!hM;Ed4Lhxd*`xCs_tKSeq*Va@LCRwfqco8RG zT4|N34`Zw25y%k8ga|%Y1IUQ|7=jpkLx3R0Uj2p`zP6^5Fv)VYK#b6r$|t7=+E%uuGL*yb%Gj#8v00&tv+xKLya%o)FimE)zzqHLp_^o9Bw4Y zQRZm1333Q>sE1>#4-n+=w8Oq5#6AK-kYjHare2P{`VBFha}u-!lI3cezY*IQ73t_3 zS@5Sw=i$hxX_19j;XgG}sgJiP*^89wA+0WTe?)zS{hqLhuqZ^lyjrn{#g@?pBG|Dv zEC_b&)o+L)9rm{xe$l$P3c)D5!LM+uJzk3z((s~L}su8{ky(sQ&7f**n( znuNC12MB&HNI}MEjNs=2)r8d*srO^AenSlHu-ew9y07-$53_;ws0@?S4X9gWtFIC+ z5iW&@U)KUIv6wTuMg&3jh6q8Bz4{F?ylqW4VUp!qfgtPd5hkr0QTNDJe=dmrK@mX_O<>#V0|Z5u>Btzd5foXf>5^TPdPVl?H^eZ%WP4tQ`mUv3 z5wnNJc3oqCwK74wi8@BMdMKe0p;3qkcCDcii$9}tL~vwpxDXuKtKSeq-PY6-CRwgU zIEr8$B+tkE4rxuOk7TQ(5-1TUg$P#HA}ERdB!VP+Lxv#9Uj2p`?zX0%Fv)VQLXy~5 z>Y?3AeI;9+m4JzWDMY}!R)NXrFS+*s)YqC4G!Zn>9spZ?fS@U)^khtX6EuaSwvb(z zdQJA~H^i_H*?w1m|Fzs}V)hBNyBo)}v6IN*D z_J$9^lfC*4G4yRsMPZVK;3=DKRpGsg61heF9*WAZ6j2H&6_v-7SCmnzqCBoPQbwyi zlridbWvseW8K-`sjMt*EgR{2sgm$;`q&7jBsBKavX&)$)BQ#}7L?vZvL_1|##0cf7 zh!>RU5q~Q)@-$Fp<{78V%CkqAowu+uCvSIUZr<(6(|OM;^YY!QEXdbaS(tC0vMAsC z$}^EgmBo?&QYTFCQ9;?{ctF|gSgCAroKm(%ms7Sy-=}0oFH^FjKU22H zlu@3KxmVc{vsl>~^Rcq4U@7H=f}NBX3ocMzDtJP9IaXJ8$KIv95<6FUHTJmjnzOL7 z$JtET>wHpq-T9{SMqH%wX56jHTX7SVeQ~cVZ^!3V_Q%&(4#W>t4#w|P-iiN9IT8Q2 zawMURax`HKuFomQ3Z*JX3$;;>7aD{6=alyfeWDyMTtIohaE9_;;cm(Yh3De_73D;c zqRIzF8Y>?b8G!pG%E=-pl#h#+RX!;?S^2c+5#_UDnsTbxlgjC0$Ca=2WaVqUukww) zUHMl3Px-ER6XpBjTa_P5lvd7^n5_I*QdNE`c}6)`x{dNnVm{^9#Fv%d%1l;%FFQ*4 zqwLqppGmEizmoGRee)VP$AYJAFlYT=YW z)S~6zSBs@iRrS;_)#4SLYKaOiwPb~7)Y28cRue1MP|H*tu9mI%x|)>cRFl&>sO8dD zs3~b@)bf?;si~Dls}(BkS1YC$QPa}zRV$^hRnybYs+B8es8uSDR%=v#L#iN{J)jO#7S3jk8tC?Tz zUh6xxM{S4tQ0*FO&pHLwUUlwJd)GOr4yWI3Z zsgKqDR~=bTS4Y*Wqds1*tvb5iUUkfMUDUDH{i}|vUtAquzpgr=emnJv`a{*B^K6C1Z>_E#tU4cYnFsf%tZ zrap7igX-d&cB)HmcBo5l?xZferLel<)@RgbZ~akSdD{)@s-`{FwN1CE>ze+fu5WgW zx}n(=bz`&l)J@IHs+*hlRJS&NNzH80SSyilP(N=sMg5}P zo9gL1?@_d)<; zP|tPfr2f)jlKN|h57gf}worfXI9>g-(=_#;PAAlVJNH-r>AXz+@9vk>^Y#6& zgjQ!@d9CiiPFlTzi?#X#f6y`>DXBFW)Iw`C=xwd>;F8*ngL`W?4Sq>$^5|^swnv}W znhq(TH5>AT)_lkZTFapwwbnx))7lK(tlcs6ORe3oIht#DS*`u>##)ErKWm*vjLp7~6)_Zh<)@StnTHn!`TE8** zv;kvY*9MLquRStO(FTocqYWOnOdB%pV{Pd8o3-KNpU_5(KcGD}p}01DLJe)ygxj>o zCw!!hexjK+=E>ID*e7>s<0ekhMonC8yp?vROZAD`xl6o}GP0TREqZwtCJ!ZOxo>+S<7Z+PbHkXzS+<);7%7w2kwV zv`zDG)3(h2MccYyiMDNF3oUcuAKLasW3(NMj%quf8KLc3{FwH_;{Do7OB!h}FS%9Q zy=1ob%F^N5o@G4s~uZ4PCL3f zT|2gVH?H4m$Jdz_$BRcJqF?$r zBKD=z5zgHuBjR@d6%qf+s)&SFT@giI9T8FVwT=^LRZl8Djk%v3HO1PC!sKC_k$K0e;%}Mpyf+=1GMg-6-d|&+5@2FPxujk zJwS6Ld;r>mphXq_5VVIti$QOpY(h`aqKo_vS})LIi+l!JZ_o-BZ3x=Kpv4uf09qf= zoJG5X))%ydqOC#e2U>j5HK6qet#HwqpbY@6P|>rX4Fs)d(GNj;1hgW>N`W>AG`(0P zXoEp3Rt#-Vcoeh}#ioEZ1hnGCegbVMXr+ps0Bsm(CG`fN4F@ezPX%oRXr=Xupgjg! zS$!aABS9;p9|CO@Xvz96&>jaZsdzEaMuV18Tmx+kXyuCE1KL>7Qj0eQZ5(Lji!*-X zL91At@tXizh2jT5djhmd#kYg@Bxq^H{{U?wXqAh94%#Hp(o56>Z8B(8OC*6d1+*$9 z?gMQqXw^%!1Z^5<)k>@c?J3Y|mUs%Z>7dmp6$9D~&}x_b9kiLC)haawv{|6lErs?a z%m%GaY32uWK)bFq^Mkpd)k`c3+S8zABsxHw2U`8a4?vp_T7$&bKwALX^<`MS3qfmC zhSj?Ww1#DvuATv{aT%to#h~3#c0OoJK)b2zSkRV&c4OIBL0bk|ld|hUTMpXINg8M? zK)bc<_npP|547GDnC{;OtyjespzQ~(PsKW*9RTg&igQ3a2wK02qd|D$L3~9F=&rhdKa`$KpT}_ z4YW@|8w0d{Y&V#n3I()5=0^0KG@U=oJXv=D(f~JA?Y>hb3B0yVF^DfZxfVQgU zjiBWPZDq~1pydN?P0d-LMS`}vRt?bdgSM_#Nze*_wzk$d(4s(lu2vt=9H6bQyHr3v(Bq*=pZgZUqC=rbkTkFK5L<~x7se=qrs32&Wb&w$n#e%ji zBOWv-Xxr=m16mwtSs9%{iwA8-#;u?wfcAXG3eXCHwku;QXoW%Bnehu~ML>Ho<73c@ zg7(7o&|0BlpuK!Ov{pz5?WG3QK`Rd0D-BA3Rsyu$4JLtB613MEJOWxN&|YoIT3H&j zy-isw6G7Y4bU$ciKzpNU7HDNbd%al^(2_uVtC<2?GH7o$yBD-_puOF!8E7e>?Q6CQ zwDO=GXf_?RRM7S}V>+k++B?md4l06nuz3b(X`mfyo&s7W(B5s%WJw3@NOLAjWzY^c ze;>3epdD-eDri+fJK7=&v}&Ne*ZddIs)KgC#S5U-0PTYoD?zIX+WRfXgH{W)4_o#H ztu|;UTCD`F4rnJ^Jq21_&^~GnR4G&sv`<_E~G7K%orKK5dg1 zwCh3pqVMOtw3u8+LvvB)P-&U?W;CG>Oze{JKdIf=Z&C!)0TPX zO`v_<_GQp+2JO4HYd~uP+P7{00__&ierWq8Xt#p)eY@JA-3Ho^?Giz23fh@=$dZMc zfp)eXvSgv=p#9Wt9cV2;JJ;_2joSxQ6z9T+@tFmdvJ1PQ6E$jLi6&7Kdx^b8O=4H< zy@4G;MMT7g6}#B6VFg75L^0kNTkJ+-iHRkq*iuYUW7qHhaBuu_4c|H6J;!I?`OUkt z>^nQVtK=rgn#ukM{z%qbb}zWHtcC1u@K{+(+5O;9Su5F}!K`y@*~4JgxsB{WdtX^w z+2eM1WWlmW9XRLhWKTPA&fCkLbeJmZAbZ{+T-H(ctm9_GnYWW8(lk5HF-qs{Y&0~D zPARf3GLKHPWnE>q&W5a;%&XH6vhFg^&f8=?WZs<C5>WWHU#mGzeSbS*3E zBXe}kBkL>k>()xvPv+mPvMfaA?DmH&ROae-Ue;e0(7my2fGn_kY1u%TyGN*OkStG+ zrn14ZpdL$QLu6Kuv9h7Eygf_E!ese+`pSmMUg_Ch7A`B$vxY1}mcLh3*>G9GUIk?% zWUu!6RW?#qxYrq3q^wZycCt~j*Lzo&Maf?4b4fN@R3RrvgNV{VP3LCS^Y3xlPhHJhw++R zDQh@vpKO(^@v!x>)v`vz?#kB4J{WdEmLzKuUR$y~B$U(9+ zS^JSKW!q%!BCp8OWgR2;$ueXeM&*-b$~s3rmTi}HiYh0|l68&BE88LK617#fQ`S9d zzARhTE!rX5CF?o*SJ}t19?`t6cFTH4^Sb(%tXDMa@`B}E$_B(dmwhH19P_>GkZe$Ftn9FCXl!rU5!sN~ z6SAYSVX@m}$7EsS#>HSxOatSoxsQQ0}!=(r-X^Rk#YPuZ8UF>yTK3$oZap6^$(v6K7AzLt%j z+(dR!Hg58E*(KS;$xCFHWfP_!l3kI-O;3@1BbyY@I$xDdiD#X^l}(Q4I{HpFEuQP> zd)d_Z};0?3QfSEUw=lWpih7{r)7I zGn?1d&$9Wmd0qV?n>Qzq?6xdn_I=r}vITQ?$$pb9nzKUoyKLdyp0Yn=OXj{WyCYjX z&qsDwwruXtvU{?n^Tx>jlqJsVA-gYIKJN$F1KG-Xhh-0CE9M`SJ(8`SpDKGSTb01; z`H3uP0k7w$vNZ`jk7u%V2|SPIvb75n4X0(uHY^-(l(tOS`bG6*Hrb{{C1f75jf=GQ zmZvObk=EYwk|i&WklAHh7Ppsq%Qi25DD#nRU3^*QD@$E+PUa`uwj^8TkfkjhDRas) zmUfc)%hHz>lLg4OFSE;Bvdm>CWp3GyW$Cg&S=RE=vLIRZ@@}#`vYm)g)tj={WCvF{WUtE(tQsgQB0IFIg{-LTv(>N3iph?ww#kai4zJPYg7t>%*lK+) zSS4gf*XT3PDk(d$MxS}so3i6c*juvCld!jCCzH};rDUg*7RpM?P9@!tm64rEIwpHZ z_QkqhvUg?Y)-{rqm7QImEh{Jca($w#yzKl&T_08j*;gBNeOMJ`7dF+GRgzuYR8m%1 z_Vp&#po;ABCf1;;>{9YuvTCw#lAW^mWLJ`>%Bsu0O&%nxA-kGVKvq-seezRTE!lS| zk7TuF*HW&?>d1cBoF=O)yRmtJte))p=I>ngKlB*?nSyfUI>-DRGcrmTm|JL8(Hr_7$&M%GK_n^{%XTjrCwL)J&;$Xq7tEAz|L z_baQP%s*4#udEQ6b9-l5sLZv!j;y~dVEY!?09oMnxw3&WcUGWmkSx#kd$Pf@psWvM zLu6LgJF=m&yjja-VX}N#<7C5Ruk5g7;j#i*4`mUu{5$5#hRX`>7$F-Wdv#|g*+^O8 zowa3=vO?L#Wus)TXM4+{WUpoaEE_E=nte(ZEh}=Mg=~zh_<@SD7+J9c`pmP&%1Rv2 zXPy-+d*i@m**Mvo2lmRw%Ss;9_a(FEo2*H)(g*du$%>PeI>`B* zEPLl5=XZ*%%u#)oSyN?YkLt6`nkIYqcp2GrS^48`*$i2^6TDvHWff2GdYLJ!aFW;G zELr7~y#8j(DxDf3nL00{YzDHOK zWwp-edxW(}R`X1Zm=98_H=`Y6@*4n4r zXBdXNfFqA1uVJ(H>$lWR^e^2Wy7ennu*^rQK1nzn5G9^0@R`n9!Lfqxq}iu`+) z-(-%U|M~gdFbl9>qnlQ`?r}(Ce-FROhTHFk{@gU&?g9Z10v`V7foka=(rudJTdH)< z<7DRkIIsMB(u>FOb(lK3nR9es8%KAT9NE>;)#?8@a@Na79+2}4{+~zovvycJ^?)o+ z9z8*xqIaoX`h~K=akVPeVRf|OG6D>@5vbqs=P|6D-}UF$Z2@f;)NLW%zNXvPbz4-o z#dQ0IZcFI)&79)|>c0&rZIsbH-qlK%)dQ8+Z3W#{(rsnkR@H4a-Bveh8ntx4Iz~OC zzEQwBqWjwnU+buD9op}wKMuYg95W2h`bK5*Gs86ZINmUP96^qJjslKX9R(eQ^`}6N zRddvE)O=wzG0nc|xb?zr$?T3_9KY$$?>YDV_ngPM%z6IbbDSRkoa6NV+Z-L&>Hgcc z9^08em-`fYX-<(`=9GMCPDN+szn+6eIX|=iD*x4gnMOGl(D|Ro3h4g#V>yBXo&-Gm z>wX&L+|O0U_0C_Wk=Dpn-c`v}{(slVQO(uZ)#SyTCfv^vda^Hwt=0)Z*FFKcbu`kav_qgu69=v$m2RyF1$L)COd0|#ARyY?6$;F2LbA8Tce!y4yuxi)z0Oc<%IYdOO|D{LVtoBF>V| zivFttItO$Qc%oNnCA|u}x!2NcbYrPz1zLd z{VcFu;JUzjfe(W`^|Mw9svUINI&K(&vrNNdg0q3Mp~+jV>3r@t&Gh$i1e?w$jBLb9 z@tfoqXF8*OFPi@4{7ahtB^1A@+Tob*h&3Haj?tzgo6#<^o6Y@<4lv~iqoa;vrn9a+ z#q`Vc+ip6?@fdMrDw#p%XtFbby%~zXQ|9j?L5kmVy$SM3WBOZ~=l?2GimY08I{2rQ)vpjATKg(D~GZ?kiJZCRwZ_^p7pT#+lQ5d5LMx*%o#(3KdvxxnX zVHPFDNOAANhFOA9N%AIni@Z%rv9~m%GK}6~^e!n&%8~M<0;xzUF|RVILaJibyc-#2 zby9=WB(+FwQis$f^|(iU(ttE1?~_KPF=;|RVDg9LBhr*KBh5(*(vn#A1jB5_PtcmQ zA#F)8X~&`3GwMJ(l1`*E=|Z}aZlpVtdob!rdXe5Fl=LBeNk0-o`jY`jsz zBh$$Y63>pAWEPoC=8(B$9+^)TFgbxNB#X#mvV<%p%gAyjCz2IpC0RvQlQkrXtYz{# zvYu=p8_6b;Oj5{Z#lCGQ-eKJ@wBFjo66G>jG4O5gd0v6LxS$+wE$&Tbi|CwmhZ8N?*s zihaF(xnWPXrx^BSjMk8|_H+8`r@clB*~+2P$S-XENH&m-WHMPtK4VG}qmzuLFly)P zZ5W4qFB+x?o442z;#~xAb!M0F_M`wmC*x6*T@7m!$>yy z8T*(lVRJ57tC&tkvE(RwkC7v6P9#IgIC7jFlNfzZHj^zRm3+tE@r+I|ievPcXE}q> zEOMV6ix?%6r(`)DI_}vDt}H9_}%K&5rC1!UEas%qTB=2eSDSqlaV;JM36I zna!pbqiN(3KhShWGf5Ml+lFzIyA5J9nl$jauJ}b6#xpjblYL}Ai6o85Nb)`zt9S=_ z=P|r3Z~Z#*Bhr*KBh7tU7(Oi-wIZ!a8`72pv$q|i_KZ3(>PR|~&ZGgKQ6?~&NG6duGMP*vQ^_|Gds4BR5rJgG&Z*}N@qzj z7-f>}B#Z1|$4-(>c9DntVadkhA0*IZwVM7kD0DG5VVOUSxEMTqak@H{>e$mV8IPCqIyDim`(WVi=iE z#&B)@$>^S9pJb0y{6-qa0&SW$cI+ZqWGCsvC&U%*Hj2cM!R%N}z9DzWUGfL{oeUvu zc;|0Rn)CMGLNPx!cN?~RibqG%jr1n{$UqWCBFHEbO~xv=LX5_fNn{F{PG*w@WC>YL zR+IIL?R7>eB$Z^4ERs!jlRab~IYq&Ug3(u~THiliE;L24_uoW1qf)IabWo*$5=qy=e9 zSZ7bx*^_nl3{`CJG8#x&Y|jY7VtcaKp5w?wGL_6E^AuZoMvKT&l1SE&bz~z+A!#Io z>{M)(7=27WA^XW;a*UiJ=g0+enS7_1tf{Rkn>PsS?D-4%jocv*$Wz6OCH7*8y?hi~ zbw&;nKmti#Qh>ZhSYoe|NzS2icGP9F0ck{7Y%dnumb0T3o9zfI z?$w2KC%s5t5=vNhuOW&p=TO7g98Mz1Xc9v>3tn+#D&Z`6<(!2kj1mZE!D}VqEO>Di zypqXQl1{dhoU_o35of`Rv*5Lt93Y3tadMiRC0~(Cimf%HZwM#F>l(R9ej>NY@8mAI zPaY|@U`Cu7yFt8&5Ai2%Vv+o$Fe#$gIx*tR*f}%y(u5bQy*#NzctzN&6HbbqwKsWi zTURz&Q#+@}&gro?Cv8Xv(wX!ieMzWd%h}|l*ux0df_)^3B4Y{fIkw&;=N^L@G1)eP zj3%*!wzWyWD8{t2Az-^MwUl4XOI%Yp^*!SA^8TP04 zXQsEQdl)zP@CxUXDMB#=7!4;Q$X*i6r`1A6m&n(oHTHxwkljzk53k(7qBQP#FIHv;0h$e~Vb{x_HGAf`#Gw!{`J?_1i zI5q(pcU)pSj^h@$ICflEL4F0n4&v~6KW zb5Cm`3{t=dkEA}asi&ng5$)R6-c+CHN_2-IPeA|HmNgn0(=dbu49TeHpsuEPYh1wC zI-Qx;nONJJXzHHUK*bF$>)PYpJ)Mc!0`k^u>rQlu(8PRk;EbrKDG-xOCojzxRpR*4 z!;8aWjVP4GPGxAch{_4m!&S~^k`lvUKuYf7Nwy< zwa%K*c#-E!C=dhl!r_qUUtBq+U|_K<9Z^~(HkD3aS|FB+!bIh!Xo;u_4ayV!q%&WL z{MyoidHsq*#RH1Ri=&H2EX@;>qj}p3wk;hndgSWbp`*97jy$~UsG_p@i>kyRIiPe! z+p3T_pja$zsvTUMw@NfsM%CCc1<{JKYB6$1!P1KTh4YofoE`HPFUboXo_F~40mmNF zy`W@1_K%Ll>+yptqoYdlYBhagLD7!Q1I8S& zdR~3=mZ=SsLg5KJ!V{vQt?^Y;OG*b8IJGTnkbdmAyaCgfw~Z)}wLM3dMwdin!LkL3 zq7y`3M`cAnqHkKIqVW?(Y>DQL*tB@Sk)eX)2R9F_72(B|gSSo{by#TN7-v$)%0oJ$ zd53SJ`eMt=hKs71n-Zs-v|`}c;?N-d`;lEo4eegBzt}G{By}A|)vNF{vu5kY)|RH0 z?y8QqjV-N-#T&a@I@-I+(l4u8<6T{07=W6Xn=cFlamFyrsM{Xr#(2|)__{>dvfB3U zvM`hg7?|->7zU$C>)!?dx#fZ|>NTn6@y{y}qM)e!RW8HPKa8 z*U_<|XJZ(~A%1SsFpL+FZ|Jdmd}~2j=jNu?4eOgbv7HkH6eKUPZ_~+|*RD+<(If%k z&dvHF3yGGTt}Y~+qLJK70mIFbvZOQ7oLE~H?d67A~hpuRmwfb#zuhJ$gj@{tG# zwR9jv9kVvZY8T^zB)1&AS-^lgZ)|X=uyl<7`pD!3jr8m}nrk|8$t@N5H=owpmUe6z zWjcDgQGCj6>5L_?v?!e$>#B}6Mq>+W7RKso7u67fX+mSq#@5741Yem}aAaL-bQZ5U z5m(jO|62*k-LEmeF1Dhhvsr+sMSbqv>~?dT-U|c_t4kj@_h)29T6e7bqIG{p+5zqu zdz3>mP_+UeUH{7Yjj?ETb$x6;N|U;(Ta5MT-|Fk5t76qPOB&~6M^VYV`A8gXm>-*t zO*jU}-z#jWJqA0oA6=Sk|H{TnKe%^g!0E~lK7gnz8>91La~IcDV-u3s25m51(@zz# z+C|khE42_VnJ$>F+o0LpYpN)9U6Jv7MJ)aI85zIN(7*SiYK;U%mCI`Ds$&cR^ZD zb!gg#7*126<#PQQy*+B&1T)V;-LFS9b**>@w>z)4l$x{X zhN?x?u{!Mk6oFaPJV_cFqm8&)nWlSOv>LlD_qvUWQVsV8j!LN`yg@-xmPD%-=z?_J z$0m6N)IzM@yG)@Lm_j{2usKNJ^_#geE!2(2-wdCt<(k^3QhWhR5vv=X@vv;ZWxwv)_>T~*9o>fNcP9`0#JDFuOm@-;-GU;gD$t`GK$jDEgs&R>1&Oqf5N3WwqkL8HLe<(&zl;W&MXg_u5oc=w2l^T965qWUVFVz zR9}NPeT_Kd-CLys65E|a{oTCjibD6w^h9Ir!kYS6UClhiufc7|=}c^F6|mo=+Vq?D z$?2DP&$I^r;ros}Vki?Zb&~%McXn=e1z*6=`a?hXIo8;X?`H5(h^6m=*xXlaBIfKjY)4@h8zlh2fwG*`~iie*FtN$*TlN&&yNxzd@^`|*cFH8>WZB3 zO7s&2*fF9IH@nWwiOq@j*v5EgOZT>>^@*kpsA0|V?znz@EimOeKPa0NTRqS~MX=Rr zzpta`+~Uk4WEf<+X)xZ@b#7kMi9MLW7Up-XU5jrPan>gbk#@KNAAz?Wotr!HwMD{} zaNMM$v*wfUiMax2!km4Oq@Lg_J33ltCwoj^>E~kF<;`Z?PiOUB?9hCmv?0~^saMRYGG=trt4Y7g5D#Y%zJkENon5G0C5f%w_`)v~AEIl5hjY`xRC{`?(}2!Ss}dWPOU7&9W=8QmpC^`QjJ_bKs}~2#MoR z$0tp$RS<ol-=@5upsZ$uT;z+3{x)d_*!Vn47FZ3dEb)4V;!Hx^lvnir=E=D~b|!vzX= zYF(HP)@{Ig1Wd%HmeC?cX$-er{L&CB({BpASJ1Jt#3tOoC#BzCPF^nH*z~e5@dlI7 zTWH#|ln0viwxRX{PLF3Qjkrw)lPgwmmB|fK@O6_LqTp&R zRcM%kYfNs0f@{sMqZC|ca$^)+Z*u!7xWVK~72IfY`zyG~@J>*0vne}3!7U~?S;4I) zH$}m1CU>BM+f8nof;&uZx`I0m$_xc}nX*F^+--7)D!9kw4p(rm$<0=9pW*n5g8NPG zCrn8#$!${bZG+sU;02TGQShS4ZBg)&$!$~c9g{mr!OJFh zvV!lL+^Gs)F}c$fe9s`Cso+(UJ6pkPCU>ra*G=wx1#g(#g$mv@xr-Hi-{dY)@RrG4 zrr>RpyF$S`W<0J^@UAJlTETm!-fI>7z?5CD;C++3QNa&Q?q&ranB1)jJ~X-875vEL z?o{xR$=$8sW0SjA!6znnzk*Lq?i&g|Gr4aH7*87pKC8ytQu~?yR%pH>njIr2QQcxg zr1wS+DfMB{5Kic$%GAe5Z=X7bHn#n9kkflDL%d%fR4EF!5%l45pDp!>a<-I+GFw{4 z35A5oFQZ2GoMfp6Oz8tH^E+y8Q2mCTKCfDlc}?z1M2$utV=d6=8?6NyeY&+G^U&C# z$6ikL9IJ6@-+xVo`tB)Hg$*5k7B(~oaHHVSxL=ENQKo-HHk6YXOnp=7{~lpTok>U2 zq_E+bZVz5zPddY%)a@}FSr4&Con=pYs39%iNB6p)Q~n!bw{Pu`-QKk$cKg?k*zI9E zVz-a&h}~YcBX;|FUjt2_UJkY!JtDQ#Um~^CUm~^CUm~^CUm~^CUm~^CUm|t;m0P}y zrGaOLU^;air|0wnmOeTe5^j^uFk09LVd}HEA?#DQq=|!}|uJ_y)TmFy-J^hkTT6MSlq5_tT0@Q4MWClTb{8-ZMC7{ z?xH*z`j}^^*g;B}eHfyH7&Ys|8pJSg&2SAHjFE^T;|AX)OWKYYN>1nel->=*zUaI= z2yWr>~GLD;7hULsmUCwTXuzyFAX=w`n)WRn?Xa-n?oG=`R&H!iJdiZ||@vb%)Z`o_TJ7)rf1kWtP) zD<(>^)iH$J{BbKLvz2|;o3!lNCtT|0Hb)Qo?11)JHCg@mbSH=Q5@ovT?Z|9DjC4dK>zZIzMQLHQv@IKNi+N#rVno*}$CBa)FR zRb`+3k+FsKDSb-!`V?$myIj)1$_!DTRi*TjT_lp`SO$h2L6;`?uH-Y`GEnTgm}%2x zPnzTA({IH@FAs9ud}fm7xcST^&2jUYNt)y4Gm|vO&1WWQj)x~d={_HxvWas%JY^H- zczDVtcKerIynMP~WX|#Ml#QI@;VGLq$HP-LagK*4KQTQIB(>CELbcRiBDK_C zBDK_CBDK_CBDK_CBF)jSS+;*>T^P&Ui=d$%nFJaFZb>6cK4TqcXt*WKqshIbc~rDz z>J5Th_lby>ePeED=(zj53_9N(EVl&^wQHPcAi2Fs6Qyhx4I#JeW|=Y?Qm*$>7LJC7 zyOT&Wd^BX-D?iU3GB;4tOft7j{_U`@%2HchUs9)REA@DC%MxRPX(ZzYKix9Z&~ZC) z(qPk&a3k)s8jA29_pU?zTrl?%}X!)L-^JjM1) zFUhb>nS57yd7=5dfLm{6I--h#MZJ36wb5n=;>~0omLe` zze$M}4dI20tC!W)pnb=nqy1#j?MOt3(J#`bW3M^V|`*RdI!dl?#M|Dp=Ybp)X_@r%jjS_t*xh< znm=s?a&JsDYi<nGEXJ!6~)FUQw$~+s5C{W%qV{$A`MAejwNii-# zuMDpvQLDr9GE%eJl(|hcS{P!ZPnTB|%FE@IXv)LSm9YFe+9PgBv>&YGHS*X(d9}P2 zm2L~!QDV{crsxJ#Kd(B^qctpVLgh^=hgYlz`<4QEv%D2OTKyt<6iK&N*M}C>eYCv2 z5IOF^n&Vy6%}U;dHNz-*H`>E^HKT2yafa{e=;>@qw6w47nAV`PbuI0v?)UbC0y4qz zsZ&FDXG{CK*|lVhg45-JLV2J32AZ+9v>DfAQiF+p2G?J6vJb;8Qu3SfA=03StwgFt z>B90`XqxVc--kPNSnfuXnq)urL8ZI(kpkH(_h2VcvzlD%+JEe~LQVakHSW^_`HB1t*Ae(}A?ALJ>(`cMTo-<7z`xMfDB91> z^)K9!TNCe8@>ix~f30aypx+vr-({|Thu}tPB>bZi8^tDC-~NpICz50CuV}D`%HS^9 zOMQMGUzXPWUH*d<;GekAHj%+|`i5-`b(Q?L{11xb04fD;c?u0Wt5BCyWznHZhw+_E`AlclD76BvE zw`QN?J&m`c3SgRpu^95n@*g(%k9Q!9)WwA2Xk#Hl_>&N{peALQU;#0kEI z=gV3ClU+hjCAh*mcw;x*S(3KneaSVMk;ba(3vuWgaAEGm#oSqDba*+fg0JX8RP0rz z5S@s_o#=zq*@dg!VC?R!iKLw4oa6B((>VeC&djrb?+&__!ldBccwNheMA%s^;4tQH zD;t!lC*rJ8vQ^}fk~R;_cM=hiCoz&znEs%9SBa^+A<@|qZ*AGGpMabb(fBMSu^UyT zF5b4LIgYVa;%nng-5s6V!Va!kMe){dUzvb&{F3Gf$l?#~;ofih8kEM^h|Vgr*{7H+ zA*tL6HkR!pRN)>-$Z;az^E%dO^N!J zb?fnJf@cq&B4+wlIh&m={hhe8RmrnW7jMVfPAUQ6;Jx^HrsxzbI<*A5A905o5juO? zyIa~4)9PEA*3*m*J7-Yr7XC-QB%D>?oavlRx8UvF<_Qg-A<0_2RypT7=iv>ub3X2? zgxi{0!w;ry4)5Y!WS@eyw0X~a_2hwu-F2aJQ6biGaYXD;@&x1fH)#)fE^A51fD!e-oDg8K+oHOO0$^)1`No~_g8 zWB1=io~g_)y6^8OaBg?*jL3&@{LDi`G{oNs)OL53Oe^V z_fl8ihce!e)6PG8c$SERk7ASf`n=vxcDL5?Z&JHi&xpx(%L*113n3uoSbvMkwqU$Tx*)}ok@xmyWtUMPa%Rl ziVJC59M!AN6Cl-;Y>8MbcA`G+JV83~B)&4p>I84G4LeV3XWTvse2-qqJ>i)`R1SRf zO4p1-JJIV8=>M!GW#eZnl~cteG% zo8ofUU2i)`G#fH(d5XqG#%J{Ob{Nv?R25+dAGHeBbaZrgb>mwl0aq|palyKR>^WHq!P2XzUG52X+S2mj@x8~L43LT`O>i7%n|x43bAjQJ28ir4rSH|-kV<)&TZ8{M>P ze6O2!jjwVwE;_3v%fE`aQK-c7O7cO|DY}g56n(ukJ$gUs6n&p`ik?q8MUN+)qQ8?) z(c4L<=7dNt`3eVTNN z9!)w$ezPSIOQr|7Gs(+)R9KP7#QUP?MeA0?flhmuawKS`(PoupIrP0}fPCg~LYl5~n* zNjgQJB%Pv1l1|YdNvG(Iq*L@o(kXf(>GU-B`gAu%A0%CVrkkSwk-kRnBb}n}kxtR` zNT=v`q*L@d(kc2J=@dPVbc+5)Iz?|IouaRiPSMjyr|4&-)63ixeT?)qdKl^SN;gIC zB7Kd%MLI>#BAudNkxtR8NT=viq*L@L(kc2A=@h+*bc()2Iz>++o!;W6x4J3%5b5&U z-4y+Y^fh`9=@fm3bc&usIz_)Boub!}PSIybr|2=HQ}h?oDS8X(6n%wsik?C`ML!{( zKI~qjkC47b40UQ|#!b-&NS8n7rs)5puhIKQr|A2mQ}q1NDf<2B6uo|QiatL&MUNkyqQ8$$ z(c4F-=o;*7J*iAoiQ}p4{<)2Y1;`q+%bNDfC%%5Os4M_YCAG^v& zP2B^(cnGs0=TrQfk7R(a9zMgr;|vM>5`KkONDMzgDEd7NKgGo~3)@l3zY$5B!5i8EPn!Qs%>7 zP&T1u%M*A(a{ZHs{LlXmiEZPg6fyv$Bl6sar^aW>)IFje6{lp zWwP2LFk(fc%5~7%iIciLiI0SRlp+#aA=JK^rBl5*`6sQ9K&A@*% z@!ui%Z`X0lVY0o2qQ>p! zLO~T!Hy4Vzn4|UJ-T9B;vFrV;!WL89V> zED3gr)eoRZ*_&8~1QAJbnD{j+vO0~m2t zL*VC_6B)o(1K5Fpt^Xw;emr9UyA0rF1nl}R0k?>)uKN4-)7|3uhuIFx*1GMf2PU!2 zn_1hnLZ2jdxZ0P39<^Jnwui5Wk>fEtI>s{S?1I1m>00jXV@{b!eudriY#@2k5 z9rJRw=Bw?PSFp_2+A*(WnXk8FUd1xsXvcgE%Y3sP^RX=Rt#-`EvCOyIF(1#4^PP6g zC$P+S+cC#j=6mg!SF>%t-;Oyh9!RcXA-y-|;db-jH`sk5V`GITmiZw&=4O_8ryX;G zW!`1Syq0C|wPRk#GViftUe7W=X2;yZGCyI*d?Kr1PuVHS29|lR9dj$o{Hz^w8_WE> z9dkR&{DK{G2h04D9rH$(`DHuiO)T>(cFdiulDulCBwZ}?>vqiDEc2Uo%snjgTXxKw zS>|`_n76RZ@7XbLWtrc%W8TIxe_+SFon7>QWTzx2vCJRaG4EiRKeb~%nPvXmj`JFmE`Ys%;&Q;|I?270+#vTcFY%Y%ptI2zKCTG*)dU&=BU+A&|oGAldg%UR|kJLW4`=7=5hl`M0K9rINz^I$vXud~cU?U=9T zretWi9rHCT^GG}9Ygw^J+c96qGLN-mzMf?sXUBX4%RJtW`9_wx%#QgcwzntRG2hJA zJjssv7M8i(j`>!Wd8!@rZ7lOacFec4%m>>s-@!6h*fHP94%JLM=DXOMXW22|%`zWm z$9xaVe1skIy)5&QcFgy&9X7{~`F@u9t9Hx}uwqx*F@J+)uC`--kQIBb9rHKYn&;aw zKg2y6g^sp+G)mA zS>_Y%n4e*pTkV*iWtrRUn4e>rH`*~j&oXz~F@Kv?l5RUCd4Xl#Y{&c}%e>W&`6ZTl zyB+g)Smqse%rCRdr`R!nmt{W9j`n!sH zcFb?E%oo`)zsWLx&5rr|Ec2yy%x|&Gm)kMF%`#tU$NUa^ANF-S=6Bheud!o(kJat# z>~#AFY|S^=)%-roe3KpX4_W40?3h1bnQyaW{*Yz9!;bk!Ec0D<%pbAL_t-Ii%rf6+ z$NUM){D2+vr!4b>cFdo#%nw;HhaTqbm`?fM?U+J4dC1cOKz@sdJR<<)uF!7Ifa`2~ z$b}gOT%lfG%5&`@Gi|~`kMNM^2Y}qeLtYpF@=+f0;sB73@sO7UfP9=E@yqNXvqt<0 zUdk)%A+tvONnXmU>>;zHe2SOyYJ12mDWB#cuMGgXmxsJQ0OT_~RxD`5_PaRshH!@sRHXfc%Jud@lgx$2{cw z0U$r&AwLKJ`6+*E@FRQ3tXqT6c*u_fKz`0cei{Js$2{cc0U&?EL;fTH=6_22W5{|*582Np5}0U-a#LxuuC{)vZl0zm$m zhs+NE`4=9tUjWFz@{olAApgcgssNCG=OK#%K>mY=j0AxECl6T?0Pu@)9&%~`$U+|Spa78ldB}qUKq?-xA^_w7UWsPf zLuM&a5ijK|d&n#$8puN)761~1(I($mdy%O|?G7Cg0J4~eJTd@e2|qk@>>;y;XAlqh z)c}x#`G!~8LuQTm5FWBR0OU}<;dAXFv-)xvFXeoD$gGABXJ6`sj<$zflkp`*j^L%N zvxm%*awHGAC;;Rr9&$+l$k9AxeE`TY{O~l|LuL)nSRQhD0LcA#$dv&g$MKNI1b{5% zA&(0HIi80+Apqq5Jml&CkYzmNngEa!cvWwJe6M4wB0U!_HA=d|hoWw((7yxoI z57`<3vYdx(4*)rZhujzdaw-qm836J?Ue&wpA+y%YgLufz0U)RGkXr*l9?U~-4*)rx zhujeWvVw;^B>?0M9`dvRkTZG6GXg*!!mIjO_K;cY-LaY&pk)+QeI;ZnKgU9 z%FprZ>>;x@iYPDT4fc>(QdaViHwA#K;vsJd09nmL-WC9|hKIZ(0OVXA@~!}o^LWU6 z0zl5^A@2(SS<6E{5CHOM9`eBekPCRohyHg+Iz%}cl+X()ze_I43>jRYL)K>oCB%bv z-4}MgsI0O+lesXP*~A-`OE3%~p6bbfG-iVI&k2HueKH`+GeJ~N5Ih5v0a=*|G9V`i z9@EKy9FqxBloJHc^<+jRE0FWRY>-G)ESK21ZhJWiK0H>Q3e_Dr+a0S z1v8Y0gjnk`Con!aMe!b2zN+q73j6#b3@m>frmiY~M6TN{nx{N>H{?%w?&*h70UQ_x zqbVM=jtV^h2Y9AO(+pRON}gMeCPVWp|%dViakr zaxzu9VYle|a;l7spdue{DAH|~_TVd0&<@*eEj z$K`#i2Fd#)BO{X@kq`FDoqOdjEZ!*}?UhgJ%cpzgb7_R5`zCxb1s6do59NDU^J^(V z-ZX-|%?dKgR*+E<8$m`zG6i`zCCK|mkPlfwM%xN9+DeeoS%Q2-g6x!^N5-KTdYtyk z?Y;6RMyQ|p`g}}eLXJN7AaoD=A%2+#QJE7WsR&~t)A}a-jaQfMM1NhsPpd1|H^hr+ zgmrxr{>Ia#G3h;*x(&eN4{?%@g!5sPD1p)9dKi;h214)1i_Mucn4@Esyk$UM9Eo57 zEdzL#qB}WnhW>iN*?^t@Cs#rKf_Xhg*iOv;ZIn;)(#Vv)CI44>uOsv*I(fZLKd&97 zk%7pQoD->bnDcT z^0LU`eG)n&dz~>@i|(ghr!;*nmQdzv$kt>-wmSVY1_29j5a=wwTn(APHe`ZrLnZ`h z$b|o*At30OWpiJEHx`As3uolZ5j*XecU^*RfBokgDR6h_9UbjMkmDjw@AZrm#`-!1F+3D%zn z>mSJmYuqQ8;=vRHwmbtYGA^r~10o}R4NA6iKxC+|*tB!yzR`+!v?2!W7=uQ=&xkcJ zlVkgCc|1;mOQl}IZ^PuyUT3g3ERje-N?fUTKc28Si|}2Zuq2D{PdwqE$bgKhKjH}o zM^px3Q?IiEn~&uFwhZyt?5s4a%dy#XL$m1qMjh1a#P&Mz$DAI3N1bgUFl*^+Uh&DP zV&5_~EHVRS>*HqRHwgQ#$A5Ys{Ht>Cd!sbW-viFdoz6KZ0#3aPNCCc9{+M$$K(BLS zcKNNk-1NkXoz7j^6u7qUbb5Q8$9kQo_Bwleo#(tt%d)x&yZu-eOh2$R*A#zUzL@-OdBfgGuZMHTK=ki)m#a;CZlXogL0; zh;<9nzv%oN`{Z-%sMYjrCg`zA&=KH*4$~HN`y}W-M362B+QA%LC|4 z4xqC&fX>JOI_m;fQyHB-q0f*2Itv2mOb4K|8Gz1M06HrH=*$D4vkQREAOJc`0O(8r zpxFLEG5UdG?E}Tk2a0_U6vG}U7Clf*d7#+vKr!BdVzmRsT-PU30R>P83WN?6&>Sc* zIZ%Lcpg`ep8s#W(H&6g>pg`I{0kMGsTLT5C1`0F{6fhbn@H0>VXP`jLKmnD3f&>Fa zM+S;=3>2*xDC#g!0AQd%zCZzafdcCS1;_;obPE))7AWv6Pykt=K(IgoU4a6#0tHwF z3X}@B&>sq13KW18D3B;nKv1B-oq)z9)SWi z0tH+I3Y-WO01+sVAy7a!~z z`a^-+fC8`q1yTbFhz1nc3@AVuP@plOfMGy^zkmXG0R`d$3aAAX7z-%C6;Plmpny|A zfun!|Kmi4E0t(0k6j(_gK?xKk2`JhTP}Cxz=tDqJgn*&}0Y&uzip~QRWd|r)4tz|1 zD0&T06dIstGUlK$I_FW)$&5hfQtFc=1s$RX^fC_UWg5`SF#TT2ysV`2wuC+zONW)w zfnap#79DIwhey!?O>_tn9W+FT`OtwmbSMoS{6dGT&;cfNNC+LoL5E$?ff96R109S& zhY!#W|1Z(YSM5}<-Qcz3x^^|!&f(gfTRUKDmucC?5OkIj-k4@>%>E9n!gq>rYOK66U?Fe&L%qNI<1l0Mf-`oJdXlbEEBSduC=v+k1>)ypUC<2hdxnA`lul3Gk`fLkY4rbb7%D_o^)8Apf{pI;3;=P;EPHj z=yXOw#~2Da`ccpsiTa#Ud@q1GIv`Wf`J8lepP(1G0_d&2ek%|3R^9mp?!yx2=l=mL Ca|w1Y!TZYu1qaeHa%rx!g)OE@}xij0N1*vYTPtN13ni zd2SGMe7q7agl16^brlDs$R>w<8b6~BCqlVYPT;b6BiD%<*ZZE|&kvyxic2{~5G#mR z)ck)6^+lb?FJ&t~k#j&PFG3>>0 zO;(>qh6Z2jSBFmR!EEhOXKclJ5r5JrV6TCh!{ts)6%b>Zq}AdJINB$qdX0SA+FGAl zcGd)bv#-asY)h4}z{QQYs*cH= zFgaRVPUb?jLQib0E244{U0IF{-b?etKE8-@&Ulq68A76x9Gs&sk*Xx48Pm+m72{RP z)ISb|;yLb}o+sQ$hW0fNm*)GjFVrWrXo=-2~ zI_0T2!E;X@OPXfAoIBM1_}ZKTny=kc;HIzLSKyYfJyam( zYu{BM?rV7kMtyDJa@g!73XH+H7xpsc;=bir?n65Ncwz_PdOgi;uAB&u5yZ8Ph3(bF z7=qTwM;kmHdkxklScMJpwdGc=qKk0%LL%D`1Fk38+JvVv+1f(5Hr{Z389JZXQ9y@n z8A3n@YWym6r+ngF$rqH62Gw6=L4G2^_ux~6{>EngqOuFjFuM|b2VRkZdY0-C5ndzo ze2d9Q`w|#XM7TAsH?L2fc=^H;egI6F@P`Qaobr1iQI_MHRmZTChgP-f+DTS6#CQXi z4E^wyO3f&j?e_@DW^RH>%{W*!DPY5~Oh z&yHtY1S*O~@7OqT{jYF>pf)U=ud-~NO7MMnC(}TdzWps&zhS`7CFp`5dVZH=(tdow zuLlz0r&N;#R>)nemSe7;9PgXeXU4uwrQNeyqG!O4QMJ7J`J$pbj#*`Gl->g%Z>%gl54OoOs8W{3f-~Ei|!nj5IX9Q460j>?jb-Y^mx63 zv>vYyFiBm2N$LSiQU_p?>YqufekQ5*nWV~RlIosGs(L1==9#35XOfDWWEW7>kf8DB zG)t01lETEFVe*fpaPSKn!)!4DUy!c&0GNVlno)%Xl9R3Ei9qsDAUV}ao(v=p2a+?b zMu8%WmSW1r0JfZ!Dk zelzg_o}9t6zrb_SUVebR4{*?N21jS`*%_4l%`>R}4cxC_@_$2;O-QJXl~fbb&QYcb z>Aum%%$+8tou`kQkanK3O-Nf$^G!@UPY;@qcAg$JA#FY72=m_m1_78Ku#ZsqKQN2F zuOFSkPcD4HpM3=@e`++)Jam&j4l>=wX_$Z~FbOqkp?{#+*KiyD2}!yjGZ=#uE<+mM zLI!^av-kn-;6LCl{)@Pc=8NB)H(vq1B&#>+&mmJ=j)3>8Q|Zs)JwH_FBiT=KfaEV( zBm(@3FO&yTBs$ literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/el2_dec_ib_ctl.class b/target/scala-2.12/classes/dec/el2_dec_ib_ctl.class new file mode 100644 index 0000000000000000000000000000000000000000..bdeb110cf84935c4e79beea2f1c295c2c3837beb GIT binary patch literal 44105 zcmcJ234B!5_5XSAB}@`7kg$jdf{`F$GlbxRpmeej20{W!SOVxcNd_DYNyx(DTD8`? zSFN?yw(eG~D@xQ_x2m?yrUxCwIqr%nrM%940MISap35Vvl9LBuBwVe_dvX_JJwav z5Z@W=IIym#bFeEO0?&cMu0(r9Z>%r2I|TU-Odig1e1Au*E9St=239Ki;yb$H9Rn3D zRNRu-*&Q1g?29jVAiw>E5rHjp6Xbv#3^+rCGy(c*-n1moI<2Yj?rN#LLQ{0kq zCC56amP~8Qcjkrj&n;aRE@D4odDYc($&U>MHF-@bKTb{h;fzW8Q932*$NZEZbHxwu z=qa6ZcOF@_qyB=mb9Zl9GT1i1u(T}f#@CLY;l%1(XZa%c*l@v=3Hj&G>!@~0%BJTR z&aQSQ&hVzi%6hh+Jb3<`!tk7MUgw76W^5U^{ive+X{Stzxy#z?k2m`k9W};{%qb~Z zHP#JHKdo%@gzZ&halcpNOkF%ZKQa4Q=SVkH*)VsJ=T>ejoaa_XTSQ=ZD>0QMahl-CEUg_RRBk zR7^W*S7cM+hJt)|<%K5{?wRAc;ikgU4GSinJm^)HZeG{6{H&E*P5trHt4h6j{`Q)M zQ^##}Oyr2kn|rn{?q55mEHo!PCcd_4;r`uQR`jwx7 z_O}~OuWFB6usqasx>KBi-`922vi@-Xf$*ZEmh5f1V0n4P^yNEN&de*Xa0)YdeY-ur z-J~sxrd6F;-#Gcm*f9R-Cp8^i;?62rICs$*OV8|C(@h||`B{~uVH9fm~6J7D9-ho6%vbtU@r+f#Ph_3_o(%HYYj<&I-X42gavhT;{;+ zEOK_CWuPz7y)(m$Bk>3bnYF`#BW0FZ-xu$U?Cba=-yaYq7z+jNV>Wan+SIo$?m>*4EOrB`}(lq z&^Em*y0fbo6JNa7kWgUwo$nd7Zpv677p|x+aej-B@Au>egtuwzfIC zI^uwX|I;N6O;{uEZ*C5^MQiKUx2{H~sHA>10>Uk;qm>BSicOR(Y>8~GbD)4WMIBq+ zTAi*Sy(Q$dFOz&6Sy#7)>!Yih8fqO#ZwuRG+E2TdL?ey0bz9g8-;4_?`8XKnZ&O9- z?Il_Jmqdr}ugcnA#rq4WTJd0f^~Oj;Z8W^PI@%Cv#bYh<3${d-;&@JNL>t4ckxjTC zi&~=Vn_H;?SA{n=v^r2y8*U9p!!1c zl%0epN~Vu>jp6EsI`mR0MIV(?^inBBKb2DSR4GMYl~VLpDMf#W9qYPq%Ubj{r!2cY z$u7G+sVuuasVuuasVuuasVuuasSN!aUt3eNE?OOKTpO*9w6@?4kQA^!LG-rPy6EOe zEuCdj!hPVE2ti#4Jh>{|(uyZh5C51-3(%4punwxf9`yR1qnqj@LWj^>^0GMaa?%V-|YEKAShxurCZ=a$kuo?D83 zDy8VDQcCl9E(6WuxurCZXO`03N%MGiS$2DpU3Pm?S$2C;S$2C;S$2C;S$2C;8Tr@H z6t0c7HbpnK)J4}fY-~YaLJch&m*6aiHv_1p$4zp>A2-QO9yjA@i^gbUH8J87@bH4v zEKkKPcvB=73f^kXn0ZSYo1!w_Nzw$0UaXJQM3>aG)imJ7INGQVqqlUMhxcZ@&1}32 zr{d`5rsi6lH5ivXHP}w32exZ%Y7IBg#Em^i6shfGD~xZh!<)WVJmdXar9yJsKZnNp zx->yjc3(2E zShO!Q!&U>8u!I=CYM@H?eBHoO(Y|Tm1kv6yaH446HgJ+?-!X8qV83TznUsCsz$sGp zLj%jD?8gRHi1t$hr;7G-1E-1hO9LxK`?Z1hi1u3pVbOkXpj!C;XrM;4KO3kO?XL#v z#P08WG;0j3f_gfde;HUU+J6j0Si?&MtP#yMuvWA@0}Y~$F|baw0t1br6&YyanjB+b zy=dbNY!I#3K(lBQ4YY_h*+8pkM;O>B+K~n}iFTBM&B8pxz!uSF8fX*k7z0~HJJ!H9 z(aH>L7wtF$r;Ao@;0)2`8;J7pI|~h*DcbP{VxlcJ&@S2%10A9*HP9)(oM<2}WhWch zA=)Vhc8a#bz*(Z5W*{NjdkpLnt=hoZqSYGc5^a@%-NL-uK(~}(fAomfV4zpDMgx09 zTW_FGv}ObSqO}?r5N(rzLD9At*ee>2)_tOFH?Uu{GYlLM?Mwsbh}Lf4T+uoWoF`uG zFmS$TXBoIa_Utyc- z4O}nU^#*Q`y&p30Au0Q?fg5F%e8j+qrR<{yZW8Td20kL%Ck)&y+HD3tDu_D_+#=dt z20kX*JqA85+PwxoA=>>0ZWZkT1GkCxkb&Dpd&IyU!hF!couWN%;4aaI4BRc+lLqb) z?b8N6DcWZY+$-9%2JRE>c?0(g^JfiwO0<^@JRsWV3_K{>=M6k0+7}HxEZS=Z9ue(J z1|Ajd%LWb#^H&T!Cfe5wJTBTd3>*^eTLy+id)vShqP=6_NzuM*;3?7GHSlTCeqi8f z>5m^7_>7eO#K1FB@6QZ8D`meh@SJGBGVr`;zcKKFXumV?S<(Jr;6>5?WZ)&y{$k)| z(f(%ObE5sjz$>Et%fRPF`;P<1;!ET*eu-Qb?T-)O%cf`%N9Jw9 zS#*CHUaCh$G&Bj~xRGenuPVfF&IpF-*CR<6wvr38M=_@>tr*W5!76Q`9t6Eg$vo^) zjYd6EV#+?!GR-M8OuefS-$yk|AHaeU*s|a3j84k;{Pe=d$}D-vBe}?I^m@pGjounr zn9<85E3+h<+V%dcCm~Wt+^{!NVppoQu-M^?DzTY6nvMVdYBbB?ueHRoT;b#g;>;%` zG0iJqLnnm|qSBsxiM?r+y{X?~Hol%<&w8T0=}BTb|1iz#Kj8iU82fE&$LzPRow47( zcE)}S+Zp?9Y-jAZvYoNt&WG!0dKg@6*L!4YIey91a{Q91<@hC2%kfL5mgAR9EypjJ z`t7PsU-IrV`C?QW^%!lO^GhOpa1|5nBAxXb^)QC%7jh`g7>(G%^fK&lCaHUs5j!FMvRJsZtFF8k_TM3knReMq zzCMoBij8&`m9(MP3u2{qQu;--7#*(+vk~4;3qU&z|5dBJwiYw3^L?|_+ibDa#$2Xl z@&@8?cF8*kZQ{!0lYZg*;=?$}Z_33J31*5cJ#+Ql1R!!d_GRDp*qn9j) z51mo^nv9bpY?RZ{|LP%L>UPPG9rR9D?DTF<+9d~r-abjI;RmA4@BE%PU8&y1NS8UP zM>O&W1D_%Ka7HHaDP84=?U8i}8`1mp*c;KY!(HW4pM0e0N31G6F4ajQ^?_)V9`tQS zzba*XP7*40Ud;Z=WN$jk&1dF!6?%Cv%FSoCX_dVLquhLEvyO7}nQc1C&1bghC=XAW zriXoa$~7M4;VIX6l!vEWW50dX$tzvJj%mUuJI@jPnpK_JTS_` zQ?{w)_$5}$@k^$ba8hu-o z?{#9MU6hhGqfco`E4pOz1wxzm$%v+X`2Z?*+I?P@UB(@(b_pPB|8uEOYS$(NziPqy8UzSI1iS!5Ok$oEe9a`+BmCsW?J5yk%fn%T) zzsS0uzyKSx8Wz@R;8>VVJ8w5oCfc0_=7@H;f#XE`q=C7j-DjX&v`-nBC)$Gs=8N{Q zfd!&HYG9#gk73+QMzziHj-I|w^2+^q5mr3pz?c<@?!>@p`~ewXw9ZO;I6`1>$o({i zGOTN=-Pll9=0Iuch(@qqZrsnf&lI{(yU$`>6jR$V;J}F)K8*lWf{2mpdH01Pw&tZBE|)3w&d24c(8J<6NLeZ&1SHSC+%-MrjkaWv5p+Y#MS8SOOg zSKZr)>}%*uV#i=Kk=kk8Z^*81I&fUF2U5!-`g;cZI^v1$9X%B-tTrUN<01F00tjKK zTzSK;*xp!0SFC$yg~Ti`kKnf~a{Zkm_igt(_~k!INzue1_j?$)SJu(f)zi(V>4vOh zO110A4n)wG=NOHW z*>ni`rR@0?Mx>J19R|0ZjME2C4j%y0B69--uxm&EWn`ZjmBGql|qSkJo=d#$6prm zFtTidzrM(i{O9VH6nd2&MvfIFcFO{at^;`LW}b^p?YnR>63!6m)6*|9-U;4`xZ=pe zNHL4Eoldrpx6FYflI=14gbR7NKww;F4_%AOIum>Oq&_2kQu7jmRFnKqPwEswbx%(h zj@MJY)9?)UR^ruws*df(;r)MCDIbIJ!d^91T7y?k>M+-<%NSU^;K6wHGJa?g0y;L> z9qT&~Eo;Zw(Sh~pM)}`rhBe+=@~8o?;NlU6rWwC4ush;=jJIA|Y6D){#RP-sQe!^W z*@@@fM)4W1zXgbm_4d+eYA%mNQmr1?U%v&ncx~h_h6qnej;6tZL|4VS!Tpu1aky{C zt6{3rj6FQ)&nWax_o8Sa=LlXxXuTaS7^-N&V-0z@7H_J5u4L_Fct@ev?sek93%qr( zw=2FRd4lyae75N&B6R^Ex$CKMh6W?UpX+#*;A(G+wWqV;I{} zGVDleVgu+Zh6+v^eww_cP>V7j5-DJ zVkDe^rh4bo1atvTK%4PKD&vkQ)z>=`eSHI66+XgyuXj-q9N}H;K!q}phZ(1*K)>G? zdGDhM?owO~=?|}2<>}GIMmdhv^)|{wB*7|zQb zeY*z-V(ne=ip_}bjdwB{r>`5m57P{MlLJfBZCRZd=wF^ofrC5aM$d21%e4SyS+ zbM1b!rv-LEfp-VB8+L0!J9dV22tGQL3l1M07KnCVn!)eC%A`3xONh~fX`{trl`?vm zJ6SvLv1xLO>*L+6eX+gqzJ6+ZJfh3IM~m>}Kj^@;9ODQr|3kBo_qYQK%5&M01{s|o zciTuiRD@H~6X-YH0{IKyI`Pgt+SgCF+}=}oWO!Re4=pq+x5sd{{ft~ip23+RjoK0K z?x6cR?>Rv{pS-QYm2kW&kM@05_PvOs(Z8+YUB-J^c6=^ zfs-vxC9k4!YAT^3o;@B)W%%E_vj(Rg1GzOOoPPR7Wki*kyLTW5r= z)Z~)$R5AKZ{ct+tZSlTdgr0r_L+5IGI^%Sggij@ngS*?~eXZ1;bf?yXE3r4l`VzG5 z7Z$IJ51iH0xt74wC2I?fyD0krbK@NNy6RT zJp(abqlz`Q&_eG>cNbPn&f`hICAjn(N-+vL5AbFh11=}hLpZKRpGeQ!Eb(cZB|dAj z#3yZ*_?*oWpR!rvGd4?n!e)uj*DUernk9yxv&8UnmKa>l5`)TFVn{hl3@B%b;p8ka zn4BeslC#7>a+VlI&Ju&jSz-t|OAH`qiQ(fcF?gIMhK{quz;TusHqH`*##v&>I7;VdyQoF#^Zv&5iqmKYMw5(C0nVmLTU z3a0G0dAK26?l@5O057|_iU!?{^vFgHsKOg4Bcjlf!i!G zY?~zpZL`FXZI&3Y%@V`4Sz@p@%S(NUf!e%{VcIM)NSh^wXtTrsZI&3G%@TvNSz>55 zOAO3riDB6+F({iQhGetEfNYi+j?EH-u~}j$HcJe|W{F|gEHMb1C5B+L!~krT7=FzX zgRfa)=rv0Wyk?1E*DNvUnk9x@v&4XFmKbi$5`(Q--t9{awB~ILvu24w)+{l^nk5EU zv&8UfmKa>k5<{z5Vqi5(469~|LDeiVq?#oLRI|izYL*yG%@RYYSz;hHOAMoCi9ysX zF@%~W22iua@M)G9Jk1hAr&(g)G)oMdW{E-5EHPx7B?e5h#Bgbr7%a{5WnW^TG;d>= zG)oMU25?s5Jh=$I0FL)9EUmyT2W9^J$KllnP;tGt@b4Hdoaeobx)B3-4PM7Byd71+ zZ`gqstKc{7z?JY7#qF=zfveP-zhMVns)D~|2R=aszikITQ3bza2R=y!f7cFtvfA43 z+JTp;HUGd4e2QB0kLAY6gzOM3Z7~Q-l&47*?~8y;OTbY&FUaI+HR0+QNgq9z-=nH)DFB=1<$qv zZ&Sf@?7-Vq@LW6a=_+`h9rz3tyuc0|)h2ysk=-CUQw3MpfnzGT(hl6Nf~)Mn9V++) zJ8-9ZR-I%Aj;l2Q++JOgD@Mb&kpbBoY z1MgMA+w8#mRPgC`;QcB%Y6m``f@5~zb5wAL9r#=o9Jd3Xr=F5K?ZD@&;DjCc0@dxa z?Z6kR;N5oM_p0C?JMcv+c#j?UVinwP2fjpY?Lj;6`_!8E*?})r!3XTX?^nU++JP@q z!ROn7KcIpyv;$wRf-kZIU!iu@C3fH|)tWE017D?rFS7$*t%5JN17D+pue1YSt2Wrx zcHj@H;A`!`*Qst_X9vDs1>ax?zCm^SMmz9_)S7Ry1K+4V8r^L7X!K#V=3DG)zDWgt z+z$K^6@055_+}M+yB+wWYJ=Tr*I>7(;JfX>A5+1fv;%)!1>a`}{)7ttlpXk175tzb z_%;>%upRhz75u0j_zrcDJZ3jY?o`2t?7(-a;3w?BcdOv1?7;V^;HT}tpH#um*n#g= z!Oz)&?^D4q*n#g?2g!?egXB{x_+>lr11k6xJMe=l_zQO6hg9&ZcHoCq@auNqM^x|| zcHl=<@SAqvgX$pps@))YOa*`44*a+Z{-z!HkP3dw4m_lSzikJ8LIr=v4*aAF{+=E9 zDHZ&EJMgDf@DJ_4PpkJ~KehvZMy>g$cHn2!vHf$qvHh%C^Dpgceoh7d+7A4@3jVDf z_yraGdpq!FRq!9}z%Q!cKih#{Qo(<<1HY_-|858VoC^M@9rzU${BJw(=T-23t-vn0 zxU7zYj!waFO_!pK=1)HauRf}N`aVJ|K%$P&)^#y_$??98*7*)@6ocb>I^`PyDD!m6 zHv>@SyJNHi|C&AJlI#Ny>0W-ro-(H`3v|kF1)wa{Dc=r2S)^0G6Mzzb%}MK??*^bW zdXK+rPnpx><8&{7U{9ITFlz#|7d4xVL|71^@GcKp zbCf$hHMx1<@kMvmA^5jFWqtOwXNFGs-vE?HtCWrtfO4iz=>?#irBmhypgcyW3Xa1$C{NHSD+5rTs8d!2pgc*ZJRtz($@)xm zl09Y4X=I_1g$l&9*H;Q*AU>6A4AC|Bx~bpa^f zqf^!gpbYCnJz`IpGt{efFW1^r<_z^3opN0O%37VWDF7w@Hh1djEd5H<*${wol}_0b zfU;hv+!%mzwNAM?0A)m{YzsiSMyK2sfO4%)d3pfK2Awh*fO4Hq84Ez!s8eyz6~d&->2ZG%pk2te7aANbk!lsWTdi%z*a0A;IA*%N?rqfWUe0Ocl~vOfUj zX1&J;?J08x(H7myefE?&J>I5M9tc3WRi`{R0OdBF^85gl+jYtd15lo>Q(hE+@(g_t zU1Cp}Gl-(PmzUa8<_w}Ub;`>EP{wr1%L7oh>y%dppzP4Q=W2V(obKt=DX$Gc8P^Z| zI(y2T9^au;-VlItr+(l!+EeDV9C$*1sdKYEWzL(yUAmXI*i+_sdA3gZ z@c@)vI_0eaD0l0Ww+Eo?*1P9Ud&->d>Cq|g4nWzfQ+_f4uRhctv!~2C$M@-!hXPRU*D0R}KzTr?d@2CtIXdOj0VvPa zDW3^Id7e)BTmZ`Rb;=h4P+p)9^%w0abLPtnb;_3mP`+2Ed?f(oMLOjd0#IJ8Q@$F2 z@)Di$^#GLb(<$EwKzXT7`DOsh_v=IbtM-&R^W|ka<<|pHen6-EW&p~|b;`E_P+p-^ zemel=l{)2j0#IJ1Q+_W1<<&an_XAK~qf`Dc0Ohs%bI*_MDRZ8CKB#;7Q+vvsv*$Yf z9RImJWzL1-dfm%k+EeCud4o>*>j0D=(kXu%fbvG2^7jEKKde*!F#zRFI^~}OP<}+G z{A&Qpn{~>+2cZ0@PWjIOl(*=V{|-R;F`e?i|8q*fABajNB~0amb#HwnYh}iKH6QG; z?rjSAj%;wX3dY~jP{4O(gKJbU{=$X=z9$=8tAg?8B^2y3Y-{FFxUZZvK<*7w6A^+I_9<3HM7w z?pL07UpwU9Iv>f0+^>uH*3<6WZIj$@7cVHD^@RIffeyJplD$6_@r$I~Mm9tj@1?3*N2{vdwyOHWRCRyWQRU?v)oRZaLBu4$b0{gcLh@A zKjXgJ<{|G@Ep2(Yf0B32kayjXcYVwA-iL;~j|_RY40*TmKHq`cGaOi7JjKERB)tH}X^MaBqei8!XxZ5AlA4)(v1MX>LyB+^$I!!Kao z3q&Oj&MOJMlyh#j6<3W+ojK#Q6)zasur}#KTk(;(KD;DH_6oYODx+z)6^}BHr;ch{ z@e#R|f<`ifi^Efn)c>*?t#z0%>3fLBU z2euc)Amgs6pxs|io$tMaUd@MmFzDF?D1;K21d~x7fpRL!X(*3EIRj?GEGYE8LyrOw z^1h35EZ#*G;QzvYDDu9COSAE30iBh6qs6~0!M_lJ$MppO`o#vIUtR$E1qGmALIChIxgRJ4KTsxppp5uHneBlx)B|Oj2g(=^l=&SfgFCh+mQhA^ zpv>q%8P0(+l>=oQ2g)1{lmQ$llQ&RCZlKKCKpC=uGF<~@tOm+F4U|C|C=)bLMrWYR z%s?5IfifinWjqGTTnvlD3c;kMns^@hCmq#fievOWefz${0EoPAIiiBlu-{TGagWeJD^N; zKpE$NGRFaBfCI|phC>#2P-Zot3~4}_&VVwO0c9Qo${+@m2@EKs7f@y{pbT3;nX-T~ zUIAsU0?I%Ilt~IGBNR|(C!h>XK$(_+GA7|~IN_hW=?`Th0?H@^lo<#p!w*oV9-xdn zK$&xZGT;DZvH{9S1C&_?C_@ZTrWc@$EqI>cAIhKtlnDhWqX|%E5}*ttK$${-GJXJM z?f}Zb0hCDtC?f_?W(%MU6+oFLfHFn^WqyE{=?`UM0LrKUlo^43eGTZBA%T984d@39 zfquFY=%*lY5}-fy;7C8P2lSI)z)v>(^zCOyphtVoK)^Wzc$Gh|%jcE!y!M?}tMmGD zUJ=e~xOvqzuaoAL&Ab+wR}b@gUtXchYifBFEU#OgMiueeP+rZ+>o0l5B(HJgRf)Wg zkXQckT0LG}$LryE1skt9<5gn3u8UV<@!Ba~?ZoSoctsJf0pe9Vyv~MK#_(DeUVXyr zMRQBfSn#F0lFE5y+~9FM~hG#vB7Q7Rl)!jT{xyTQ>G93R0E4IG2OQ3L#)pTErW zw{-sc&EKo}3p0Nc=C8O1$Upv4%HKBmYb1X^d`8`0EgV&*3jH z{LO^Fa`1Nv{*u7&{`qY^zhCD!;`|Pq-#YVqVt%vB?_&AwDZlUJH3K|O#bnk RHhwooZ?n920l>=#_wy&+FyTyVT)vOc_ggd&!ZG(jkRNTe;~ZZ zg5=gigW-Kv>OhK>yrtE$XPs=N1va-#u##j((o%!j*5b6xLq2O_zHe*x z^g}8BVt=x2mAAA^wes!pX{oLD3M)BjZkjbIIkmyIGP27Sr;S~hl%Ja)uoliPF3z$x zTj^T@d#z~^KYCq1c0~L*l`LQsi)m|I% zqtErDCE`c+IL8nF%!nU{T|W**{OB6v_|Y;h;>XF_4_~rvTTZ=APs_D5pEWz*cF#M; zH`dbr<);NL2j5!ePjSwZ=F793dRdjd*Vca90e_mlzT&j8$u$<8KQP;$z1ipZ8A!6H zSh`-blf#yC-Fx#}QX_uNi28NNj`)=p^{X=C*Fs;^uL%*q8lrv`r$qdk?AH6(-^yeQ4T$Zz*Fq*v$r z8|V2l{Azw-(@HyY@5at$d27}!OioJ)Sc|N@dPsuslQJA}OchFAXY)>uOwJxi>vS<6+eGTh6As|@_6Cn+RAx?HZRZU= z&iCa8Q#+@W>}%PYmYtbww^f~xR$LRX!oKOj&feUWrw1qD^);9Ha=HFW_N^;BwX$!@ z8hh;Aq`~Uk)qDNPhq7y%*X(R4J7Zqvj-uT=a+ahPS!ug#wjQ38Ra4Z~a-^y=tGV=$ zKY4GgU1#0w2~%3iS9I<0ov4n%26MA^dG! zDQi>bS}897-pcy);@Nr2PhU4LX;AGbS>aD^anGacF{Nwhu^qKva!N^SO-jUmQg2gn zr$5=saju7toqEk_n7ZWPlDyoV4OyzQXUdv0S|%lp_q+aYU(p_zdbne0jWuql-SUzc zf7aJDm9|$#{n5vEy5IGGN#2QrT^rTrp~p$%^8;=@t!noz40bL(t!Q`4nZ6UT{l^6- zO*^n8ucUZgv#MN{SGqHpVwEjzF4@_Tj_q?=aZf|e;q_=YUfad`e|Y^;e|oqoi`!{; zWya>&gRvnpx^*bg~zN5BaLEn^8duH~Ml|9rh^9EOrow0f+_RnIwxwPG>cRX*4 zpU+!%MoM2(Q5df~dYqewpLY#Cw$t-nJoO{rQo0Z6y2j5Ri~S&SKI{irFX5_IW%-=* zRp+kS*|54`TjoscFRowIUz(S9g;Nf>=b`>c{cKFk^3+d|D>B`B*RQXt&qpS5r$mDzms< zCJ~=%E^XU0S^10&=34xFLA%uD-QN|ceQm52KsvXySl@5 z{exY7z55D=9tOHw_U%iB4E$Cf8J7x~7K|6b_>kcu`&-)fv~-3Gwgh_z3sPa61!Lnr zN`)MJJmAk`&gkMg2Ksss+Ss?Pt9@`+Don(ul%Zu7WVW|eQk3DQ@Zy&HArK>2^I?!*yr0TBTw(kAyVSZd{9SHUB84MBM z*shNKA+qWZb@jG~58^4KB^{l+kR+y{b+Dz=f^^5Czil}>;2by(XE=vlZ7m%ZjEgx6 zX%AgCG6;3xL!3*{-WqOeiyn6M?i<9Dr$x?%zUs%kB3(HltezY$DGD(e@l#=zTSyF4 zkl_^cx6zS`94)8Abmx$(NuOazZMYxzhn(7o7U|218Egp+>|?|1Xn9Atw~a3+qijQC$X{MwAKDPKz{0<1Np&683V&W-?{5l~ zS8QtBfE7R`l^YP?Z`csRDz=*OvfaXlU~`29W9U$;8D))S(Gx_EG&y}1OP)#AWsUyI z(E7USa_o?iW6d^!j_IS4P_VYVVmmwGm~lZdUkAgSV>(gvxFqiLlF-o4OXEH-<|)P>S9PrReXFYpwA&RH3&CW%2b%cJcK|W%2b%W%2b%W%2b% zW%2b%W$0g4c_2^|D)ZM?h021B4LJ7b0@lZBy{WMxv@KXpcbP8XHgHNbK}8_onq)9Y zHw|_(K3X4(&>Z?c(dX10wOh0!=!E8|uc!>x)w(V<_{-}fI^WKki2ZP!&n&O;hsw6B zcL#-ZecTWV;!UgE1M2m34z_Iw;cacf8tZ8WD)^U^gcr=+?z)PO^+>m;`#I*K(l z*0G=>ygX`Gr=+g78n4!cGz4nPL)BRSQ3E}wxt=sM`Wtby(wFX{Xf$@N+^se$ie9+e za8yba;Wi4ovdJH);)0=lA1}!*pew}FyN6NMG+oH|2VM>uxb>!w^cC{O<7XP@^m4Y2 z>E)VA*M$a0dOVvtJzneF#`S&^Vr0O5=EJ zDUF>pj>nh9*C*M<*C&<5*C&<5*C&<5*C&<5*C&;cf7NyV@=#-4XiGyyXjAo;2J|Jh zx?xKRj&k@gfLgTQxCc(ZaSyrQWYH1z(b_U%#0kTp1(8vniW~5uNPkiAQDe-=n_XKM z(*2zz4WQ`7reGjc5@-rk<3T1Jl=;zHdd$OTGd^ZE{s@QS(6+kzavU`n=iVA@r-ui& zYpiSZSJS|aEk_iQW49Kv>MQV}uMzKf=TRw*+;;Av3`f^hk?tJnnrIBxRMdy6D=Lw{ z0>6fQ3l;_yoCvGZz=j`KkTi(1t@zDRjnA)%6S8X*tk$MKRhl?wJ~ZIyy9(C6r82CHU*#6T3Er^TI*EsIjwamI7e%H6r8KI9tG!Vtxv({wO4x;oUgTg3cjE} z-LK#RtsPMCMXeoDaG};dt>8;qJ5#|$8v7XqU)E)xRdBJ^KBwR-T02+4C0hHug0E`r z3kojP+7}gkO|yJS!DYJa%L*>n+E)}@p|!6nxKe9hQ*f0=T(011tzD_$8vW_j3cjwj zuPeA#fBFpt-_T{>RB)Z{CErr;OYVBSHw`%Qv1>e)m4=T7#YY!{9U2BgjxI=4?EBLXvDYfme$Z^UsP~hYcDIfTWhZ>xJPTRE4Wu{KTvR=)_$nqey#mj z!2??RiGl|;^E(P2(%QQU9@g4>3Leqg2MQk5+AkD5rnO%wcwB40QSgM;ey89`t^Gm4 zQ@TC=q~K{?_7?@u==1(f!Lz#TLj})i?Vk#s*V;!4UeMaV6}+gm|0;M%YyVU5GHbS_ z;1#X;6uhdnWCgEjEmgtmT1&HFHZHpr@UmM$XkU16Fgy?{;5iFDrx7X$@5OJB1;K?| zaTGmRfZx_5BC6{&V%~7H(b)=ZICmJs=nRSLLNmEAX9RQlvem{jhp~!Uh!;V#DY2J5 zqS1&~N=)%rS|B-vhLPzS?fZyk(F<5S3|su<&d8+v*iUmlMrQ6M59cDY(F~CR8%-P; zn9+Qak(tXTHoY_Zq>02HH)K*u+vQ6&tnF~FO54mI$;O$&8p(3#%$BxXAaL?KaqLTy zHceWvk!}hTM6o$}iMeU1xv5iQCcdsPXFb8(bfq?3G)nb4?|A<&#!lUuF*~(uX6)3j znXyyDX2wn(n;AQ`Y-a4#^JooC?}J5Vtw*MY{g+G)`!AUq_FpnJ?7w7c*ni2?u>X>& zQ?JtSrKdmLxlvuIv!s5`^F;XWs!gOX>A0EHQ4FJVb=q)P=OXj2+D`grjhT+sM$+IK zKTA7`f6-~JQT&U{c8%hne!JI3!`3j(hmB?uc~%*=5u)?OnoIiD)lY&6cN*RM(Dj{IkfAH z(AH$^_OKCdM`z(fdnwzw>m4+$tL@}wcJ18GAlHv;HS|KH@tvoNqbHS{80lhr^{_@x zXW%W4j5v|oyYL}ZOO6Stm&vP zPl?7OygVfukMQ!8XzbLlIC#bM1?}?)FHecgBfLB%8jtYulxRG{%Tuf|y$_7=@)U1s z*nf%Du>X>&VgDsl!~RRAhW(dJ4f`*dj!>@&3zGV_oFP1m;OZf*Q)&ZgNTcONy=13t zq%S4cX5=BwwW6;~{(_LkeKMk9U$22`JLx$u&MxK&R{9DcYiGq&Q%c`WY!qEc)rQir zo3OsBO{Ln4F1ujgwU{1#)pA*2d+f zsanJ3r97?S^3pV|-EP4Ygo>fD3Z}yhqByExrZ&Gz!7QyESCFr@dlbyp+IXT6;;sQmwtBV42ol zQ&6ZqeM3Q!E_+i!vDSX1phRnLDJa$2+X|Lz4OiV)Xbo50PtY2!y06sQ&oO{_ZC7vC z;HP+b8>fM1y3xqPL|>=czs6XLn!55W)fEL6g9BY{ zgBF|+)11Qqg-C7W`lJ1)bmaQ81$hf1rygp$X5m(4|I+?zIwaYD!*dqU^`Wa-3#xH6 z*1N;l=0p1*8IWTC6F+h{r%mCEGUfDpA3rn!GY<@m+8w&hiu%D zh0Pc7u^eNY*F=uuTO#rSn-N8Jy8W>)2SY5}+9C2(-vo@kk#5pd-y~|xU#0sd`=(H^ z$Q$Wk`=(N`2y4?QsD!l{6e7afER08U+3WTXa*O(AQ`AsgDc5{Kns1J8ZaV%$uaW|N z?O}xDfDx|U-_sf%Xl!Zi#*&=sKHMa@wPm1-j-A4BHQ~WseeIiQzhM~b9phtEu(vln zz?%|q10ke0^z9#L3$MrR3l`+o@9!P#>IrY{+Si37{@&ic!4}@rfM?`Ah{4`&JaMqM zqYp!b++B${4%v_d*pqQ3I){cm+#H!hgC0v9@>t@4#}dahmN=rZ#PN(Jj%F-zEMtiy z8A}|;SmG$g62~x>ID)an@rxynUMz9!Vu>RcOB}aY;;6+E$1IjOVzI>WiY1O#EOD%2 zi6a$D9H&^~D8&-TD3&-vvBVH0mKa~e5~GV)VpI`Jj45J?5k)L9o`@wz6S2ftB9<6Q z#1i9(SYi|rON=36i4jCBF@A_8Mh~&X*ddk}Im8mB|Mgy_LSRj@d z3B(fPfLLM_5KD{!Vu=wzEHVCvB}V_S#MmE}82Q5z<9=9T)DKIH`C*9>KP)lchb2b) zugVTqAFEHSQ!B}VnI#F!qI7}3KL z<9S$OG!ILRgaXKt9N{1!J=&-~H9hMlM!xE!&SYm7rON`86iE%kB zF)D{8#^kWXh#Zy}kHZq9aadw34oi&0VTo}#EHMg)CC1>e#0VUg7=ObOqiO8kQJM!xCd@SYjj%ON^soiBU8xF@}aEM$oXt z_!*WMJ;M@XXINt73`>lgVTn;QEHP$=B}UA!#CRE&7%ju{6-Q#E3?E~h3`>lX0kAgX z_U(CRjBKU7+;0+@9Lo;wt1pm_vyio*yWCpGh!T&Y`SBv2P znt^M?cK)9kxK;vNmKnHC1pCauo1|;DlFh)IEgV~&q1SeYUTe(vjE3F@5u9!YZWO`F z47^1IXPJSwir{f(;B6u}#|*q(1WzynH;Ld$X5ePAhfOi-B&Uersb=6)MesB;@D33? z!wh_y2%cpI4vFB|X5gJ7xWEkDB7*0cfm_8+vcRm9w29zFX5e-ayu=I~7QxHRz#SsE z$PC;mf=kT6yF~DEGjNv(KEVvUTO9OHH0vaLMDS`eaJL9vYX_@Ej1iz4_8Gw_8X_^=uHOCtD)8TcZxw$CyHe_1^9*=FF2MesRh;ID|_^UT1P zh~V?hz+V-?7np%B6~Pypfxjj;)kS9D%fvHZYzDqu1YcqXzCr|FY6iYi1Yc$bzDlgH zE6l)Gi{Puwz}JXwUt(StmIzf?qKM-z|b)GXvivg5NL$-z$ROGy~r!f`4QNzF!2t zWd?pg1ix(teo*WrKQ-$l4~gKPnSmb`!S9=a9}&SnHv>N^f`4fSeoO@a+6?@-2>z`Z z_z4mGdo%EpBKVJH;HSjrus@rDpBB&jS2OT4V&DF|S>JwEJo7)y&itGR{+Ai}c@g}v z8TbVe{2w#$iz4_FGw@3i*akE3%Ocn|1HU4Ilgz-cir^G8@M|J?j2ZZK5u9!Wwlgq= znawNTt1*n!rYNIDdB@<*?~6;{leC2sb%eHdCWbFrzQt&rY~jH;u zJy4Fbv!x4v*PQaC_zTaGy?oD{GNCTV%ak8@pqwC6{=x(0M49qe9w;Ztl)v#nIazM; z-hK-80vo z7y0h-fsem*XCAZ0m{ZorfA`FnDbqbrE|4je2g-#qWtIoZMKa|$50r~#${Y`rOJvFk z9w?W}l#@JAE|c$`Ddv<3_jsX9In@JYkxV(w17)#HIl}{GiA*`m110{5Tx8gYKC4@^ zJy0%}DGNMMu8=9`d7wN&?&=H7DHF!al``cb50od$luJBNu97L2d7xY^QxQ!e*Fd6GW$`<30=KL_HwH^WkOf4l_|G-psbTAn>|o&k||I1K)G3_Jk0}Ty-c~& z17(9u+3JC^QKoG7K)FSx?C?OjRi@nKfpVKnx!VKfcA2u<17(v;+3SI_S*GmwKzWKh zxDA+7CJb(;%9Mj1D0j#ge!4kj!gzU_OnJ})Wk{wx!vp0`newm)$`+aOhzH76xy8>i zr%dQXZL*hVn^PvVc)Lt_jt9!HOnIIM$_|JwJdwG*NWrCNd%ak{JpgbT` z-r|AspiFtI2g*Zo^W0`mnb16E$dq?@p!~EIhpb?50vM~luvk|JXfZC$^+$jGUYQK zC_gV#KIeh*e3|kE50qb!yZTG!lnLYI1v2F;9w@&kQ@-Yb@A4%CE>>{io)X3FGA@GUd-aP<~aWeBT4*r84EuJy3p4ru?M` z%FATRUwfduT&Dc32g)mC%HMmSyi%t8qX){X!Q>J*Jyh)}UypIUbxRf|rWm zSL4CcMDQ{Z{CYfix(F^52ZJBPgJ+1~BJs>Wj0evY!Nnr@$MN7-& z@H_F~*&=wk2!1ynJVykt5W(-og9}9P2_pD|c<@{iyix@JA|5aq_jQ8w zq_vBV+J881|K)D`?~CrS|Aj~YJ?ev_zT~9izA?vr>bP&5HaPAZ|A=qmG5eO$O>T{v zBoD)KThwIStYK{KAe;Mrlbi1L<;M6h`IvoY6us!UFAx8wwsrs_@aM$#A|rKDx=1QIlaC;^`Qh;p*?%MMr(}hU+== zx#v6|HA%cCZSrE&MDRx2yc}bb=#92{HENSsH`?TNGKuS5_zCu|A4I_;^)5~G!y(4Q z`Sar;R*Ah!bNwW0HJsP)jBfJo=qB%tZt}tCCNY~}&&FT+0!W1{7z4$S291yo2OtBk z#-Cm|2AS|aWLaZithEfrS(_o-8iX9{au{#j2NSG!U?T3Mo@5umWP1bT+IwKCeG%l@ zM`4=%CQP?Kf*HQ?Fw=K3%<^?WzVAYq?YkZ3_+Eno--j?aX$s6sS_3gZ^pLdH*>aui zTY_Fq#XVyRJsXRDWy5%wfN~Pb$<-O0NfqU4}9PQ<;y!zqEaj?kmLY+E?N;^=2M2 z`PU}+S0jLa{Q>A#9O$Q#0O(g0=!cYmenSa>eysrLR|n|llK|*f0D!Xj17+n0%B~NT zB_Al;Jy6zqpzQNNS>%DT!2@M=hfP#QS=NEFr2}O>2g+U!l!Y89n>bKbaG>noKv}wh zvTXxp%?8ST4V1+iC>u3UR%xK@&_G$9fwDCNWnBi!o(z-)87P}EP*!4~?7~1!4oIt5HfwEizWvc|rIti3L5-1BKP&P-Ptc*a}6@juO0%bb{%327N zeGn*%AW$|yxS0M?c0QmidqCOpfU@2JWv>IuLI;#h4k#-ePVKv}+kvULGv-2%#<1(XE~D4P{fRw|(EQb1XvfU-RSWo-h=z66v- z2`C#9+(G{+I}uQpA)stQKv{o)viAUG;Q`8~1C$j9D7y_%mKvaJGeB8mfU>^;WpM$@ z#sZX81t>cTP?i&*Y$ZThM}V@20A&FI%H{!-l>;cd22hp^pllaFSu23DPXJ|+0Llgd zuh2ir&H$8U0VrDn-i9{=-jDc?Ub6A-#DDY@0`wdM^Z*C+2nh5L3G^5W^mGLDa0c{{ z1@t%$^jHn_gbVbH4D@`DH#Zv7>mbkzCD1D=(EAF|yB5%E573Jf&}#_L>jcp2AJA)@ z&c(pl6FA=iXDHyD0-Oba_wDmed)^z*yV-gFIqw+fJ>I-4oA*)k&S&1M%)5(c(d-28 z;N?BHyi2x#%6KO#?;Yjcp1ePkcU1BoNZz%``wV$!An)bl-FLiSj(526o;2P?#{0f_ zrxx$6;@wcZe~EV-@g5=G)x-O6c;^i7bvc>b;Qb`L1BCZ%lv7y+{o|bsy!U{23vhft zN91!1Jx9HBoH|FAb1XPVZ*z<=2VHZRGzT_wC^AR-a%?U~({lVPN33#;DMy8J94AL! za;)S|a)aX$If9U5{@ST5O#e6%j$_w2+Kl7FIHHSVusCXpuA9bKE>D p&GX1S^UHI!*O41MAIdYBJm+`=mEB1HcqWeK&U{7qedS9A_&@K(;7b4i literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/el2_dec_timer_ctl.class b/target/scala-2.12/classes/dec/el2_dec_timer_ctl.class new file mode 100644 index 0000000000000000000000000000000000000000..f0925932b106358dcf1c50d007452c41dfd833a6 GIT binary patch literal 60165 zcmcIt2YejG)qiulr*ay}C%Fn68`*M`yIipx%&FLxkz6F%#@KMk(%BZ4C0WG{FbORQ zfrJ1Fp@t9wgg^oY3sYlCLV!?0XeK}sY6_i%&_cfVX7+CP?%tgzIldoQGduVG|9La> zW_EUW-pnIk+<7km%y@-+qN~*9d7Au&jumj@RrSOJ(2dA)7mapZFHz`rB9Uj z2Il4p%kK*cmCu2%7MA4Z`ou~R@cV_YDilz}p`&vP{i_5iU|AtCx*7Gi$L2O4frK*! zW$5#jgbHWassP)9NH|i6yu!+mK)zi*rSPsCNaFnaysU8IjT?Mm!ZVl$T3NG;|SnGEc z9Oo)H+j12gs$r56}Sp^M-?2KTb!d5%$=BnO}|H{FQBH^>8j~NuHyNASMkxV;sdN$ z%@!89ic8%Glx?=uC|?w>eev{>NFs~<5mfBlSrzj^Y6=Fr&AwybR{ z%LY!Wo>+eJ>e{A~s^THmK(T22(uoCQ7M*nLnB`WWWmVg-v6aOQlWHc0@|F#37+#at zG=0(GSp#dz8m8A;?278dGBHrG`1M;c<>J|^EpWac`Fe1BS*t}lrNX&m^@rmoQFu!%v zJ2&|PD@P-L%c)z2=ATgBws8K4VWDcoFWS9&YH>yD$~`@E2h@t3;p4{4sU0+F2I^1c zUp}$YH&9eB=vg?YZgFSvyuRjB2M#NVzs?yeayl9tMr@+|8t0GL(Y}6;ZxrgI<&W9a zv37db8ed=r<-d4-Y0jo&XLl~nYL1qpck=Lgts(!)*md3d`M#kse4Jk8F}<)}y?V7I z=!Ms=UMD2$)pBZ*Uc=(`k_mdrUawv)rz*X&u)fCS){ATvVmk~ze$dXzsvUQan-|^nO5ydB>{kZxzx%#%m>sy|nFJ8O) zPL0!7wTr9oqNu(xy@oP+mB;C|Uh9R^qVnies%B?bds|CeZ&l~kuD15b@~+;t&W@ha z_{*yH=ANEx$irzBjEPtq5)EtZTzrU^7k)2MY)$rg~J3W*8G$)7IL%IU9xvD2PuJF!rS8?akBL zn>#k)By)S`mdLdFNbly()+Nmyt?iMX(z?#hEqz_tFdX^Qi)O>&0v#X<}rw4_`DJJJqz%V_%UC|wB zjchCpb$55}2=(-IwxHxh0n+W(2 zp~|`%0issGpt!W~l2Bb!O?6W8iqNV?O3vQe){7JJ8Q2PS(Ke1YKgv;jp_ zh}Y_uj$TZ0VwjeXGSkCbn|oU}hdX-o^$gE-HmS9&2_@x@?l9%rf%4s$Z#3T4(Gu?5 zf|cM&5DSV;OAbBO+| z_|?xd=x3!uQ=O+Az3qxYDN1otR27OYkWweaYPYhpvmI+!mC})jv3d%IZ9)ZeSheO3 z>x>wyL!uI}%Bw?7p>TaoeYmc68CpYu^K{kJ*VP`Gg?Szum$0DD?K{gioP^I`75`hx zQAnNB)VwLYrn9>h2a@jXP6ybk9ti~ut&8tb&d&%#S*I7Kl68JY*?gz>MI{F(u&SW1(V><;c#-Ez!N{npSBS{tseS<$ovD~VDTFG1l@MiU!r zkHd=0p-Uajt8A+Dl1HyJIbC_l^GUk0DYQ7eXn7s>HuqYy4Wi%ar}A*^vg(>+l@gAa zN|>R_pkU55W#nF$C;VO>j{kjT!tXQH?>UsM)}WwrRc&2$IJBe^jiw398gLSht(}Df zdh8;+EYwuH8h^*6#_)=UCaS3m$DaN~Ma3HVUe;B2;yZN{F|8EK)RqN{HDi)DWj6(MMK-#uk{I!M zBR(NE%2RS9PKxx6LR~d^M&80@%fs5#~;X%nYEpH0d(ZG!@M-;K^Xe|^p)ZnDA346RVRmvr` zoj#Q3#C25!oGV=uO||tk4dJ?)#mHZSw;{Vb($y|t)P&mDGk|)+nizkHb0&Pe5cpNZ zPk>SZlP7p*xE1N)QV8M8gDipHU+iA|L_^bV_R$fi$l3IeP!+1fv2?=3xQ7D#@wkMf zFZVgY$r+1xczp2^^pGMT@~Au#m88!{8+tc{d+{M-b92vTeD0qRUwcWF>Fa7i>rMn> zwv*zsO-mz1p(r9H2I3=sB3F20XM3xFA#8f76hkz0s7H4d!!@W z)!g0IyQ^h$qy-=1vs;^coAGHJXI?s8#Rn#fVyP!+s)<TYaPljBI{)dyQ+N_=Y^LOUtuiwbIi1vnw;-oCZD zXA8a^Q}15{%uj17@p>j1j!j%Rh)@e+tF1O=B7(CeQqq zpbd5yeCL3FyL-@rv|c8%4I&X#W7(Q(F8yQ=?0T3W)9j+V|= zES}X$y&H|#O~mfDMt99)W8!!VQsPV8CQN4^%x?)d1_7skNmOq4Wpa(x0rMMmZ6EcC zEGj!yLv~N371v6%#wtV?8{2qQiZ*6w(`A`Z2~wOWnyCSC%77iyZG!L|oDkA58fz&` z!FGx0cG(yc*9t0e!_h)%oYYyIykd0!m0voAjzAs^u{^bV}HtX1>654d^ zatSBt*p(8t=-5>f+BNoS30rmAH4-{>+O-lob=vh3x^(OY3EOn+MhV?I_Hzk6I(D;! zULD&jp-;zdldxU$^-I{HWBVoS)Ui7y?9y^~tI{l$up3UM()?1wDLQtagj03w0SS9_ z>{k*_)3Jvnd{xIDmhd$ldsM>dI`)`^GjvW*NH|l+ekb899s9k6vvuql318Q-=OlbX z#|}#PrjGqV!Z|wjl7w$*=08d}SI7P=;XECCRl>J*>~#s>(XlrroUdblmGE61`@4h- zbnI;j7wYoAE8!v?dtbu$bnHV3-`BB!O1N0Z{w3iDT9;2GT%yxHlW?hy{aeCiI`$t4 zm#dghNVr1BED2ZYm|wyVbu3%LRXUa{;YW(umnY$Bot7`*$2vAZ!ZkWpDB&kMHc-N~ zIyP9sbviaw!u2{b4T>)2QcztFMq5^mO7 zO_Xqpj!lxVSN}Ri!mTUI-|ASigvWHOMZ)765s~nO zj%||gq>i;o_??bzk?@p`ZI$qQ9qW|vw2p0)@QjZ2NO)E=_epq8$970~UdMJxIH+SM zOL#%YPL=Qn9Xn0Ji#ql-2`}l`84_OB%x6jXqmF%D!k={Pn-c!4W8aeSijJKp;Z+^` zj)d2A?7I?P*RcyFyrG%DC*e&UyI8_sbnFrdf7P+eB>YXsu8{C|9s8k#w{+}B65iIa zA4_;g$9^K=UELnnNqA4E{ZzvHI^Um3_&}%KB;i9H`-Oym=-4e1{;6ZPO87{}_DT4c zj@>TdV;#Fg!Y4X*mxNDs>>df9>DVtNe6C~n2^dW`418Q{-s;}Z)CW8LF;Cwy(hAir z*Fx&v$T7KJ=d|G16j{1o1f%z<1(=kAE%9S!dQ$b8o4#=xiA9T?N=3y+UqlVq=tHT27=1rA5{t62 zO^>zg)*NebaUWrIhHCbdXu?_!zs+iy@mwoJWt>m8=_KR7J%o38Rq2W=AtvrMV%Tm(RH>t>l|~@xmvXB5Y_8^)A(r=U z&;8N00J>6-;Ov~BEyCTTHC1foz##ZrE;-#23n50{i>XG@6$ru;Hrc? zAGi;ppZgj25c1E5tQk3U*`rHIv}77xRo7JOEVL*$VRST5 zqPnXXR6=5(=M2svre3cqDovw%d;CPkm>epjKJUotqD0%BGj>N%ErB^?ovX2dR>o*a zuERQfBuNWqv>?}i96?$;a>#sR%WDov=T2_Z)SzcE&LOj=r8geiNn^bv8E-mi+M0x; z7M7+vItzWYmb@I@$KvmId^1PoqQ=12PgE+tAl&$_mPWXDP`-(g&TG{vg&bp0J%qO^ zWYRjhS*EOygj-lj?OoeTso0_Ja^fO~sCj zi3_XDMbk_^y-SJcX#k7Jhq^9PV?}T%$(-oDOotp!&9z)$VCIw5&C3v!{8FcqfZcUTL*Gfh|D zAuRmPRIX$AooS|y;c^#RC>dQAqZTVjm<6*5x>>>;9XmP6)7S-6{J>KNmv0ZseR3heM>p1LU9S*gA6F|hnxaxS7v>vrK1z?EvTU=NY)gBkV z=^b8rI{Ug?B5fTTJEt|OXkA+eR^Q_}kWFh@JZ^)Hz1?jcn<{E)RR>o8?*i5n)>F9n zIZBzr(>dFE8rO@&lujX~O8IQA^^El#wmW6jf=l$~ctU-h=1G29;n>e*1On=q6LXBd$#pHE~skl3_BIwFrl_K*4i0Yryh^3 z53PR$tXHgmCU<4!AX_>E#~0nRK2ECNnK%mR`u$A6aMujti{T6^{|zYxUs*;bw{Y#U?NEx4l1&O+btR5zt;I|sWCF7vW;?Eo$` ztVJIr&vJKVJ8u8hri9G-XdHF{8b`DR*0gn_-?|+n=xK*?3e6O0n`p%_fK%K|4-f29v#5S8iV(5Wg_-iyu0hzcx-I6>e5Z! zk!Bn#)y&GHkJ2?(!LgDh4X1{~hQ6)Z6YVmzb9<6!*q~psZCVgdeXNJ_6|lmsn2fX5 zwQf(drw8n*Huj_=bp>F*nX6;ybX0^U?d%qYzWrT&?L84`)6Zzh^Kj0i1?Qudr7fN9 zogJ#WR=Qe#In9UtZGrt2sv(>dcvYGaRq1H0QV1tsTBRzy{gFKlv1@R-B$cA2r#rj@ zof>efg3eZHFV+b3WDUlCCBK92aJRIV>K}1A)K@fJJ+=&4MP!@)U)sxcldT}f2>hXW zYge4ze#v&?G**M%NVU*}^HZ(YYMkVXE*d9refCO^KT^i#p)J$;&_0fGT!%vrRZ~}U zUr!|5(~Dh0+Q;i!I)Q%c4EJ?3ckc?9_O`XR;%_ISSs@Om-ZQm+xX4~r)P`oP--s^9 zMzXvnTxP4R3^$VN+S(W1xC8xZ+Iu6|Mr}F+oP?Ka5_Gy67A;x0bpyE$wfAcK?2&ed z7Vp&erP8kUK1|%Ev%)3&GCE||_wB?{6uXnVK?WtM0djk;-DjhJg6?%x314onb2|Jk zdpA|j$@pNP8xj{Ad+e~4Ty3zPPSYjA=K?ZtY~|Ah>~?#XB8v@1@qI1+Dq3mN{?~y@ z(MdFiGXq#bXGw9oID-_zRd6A#8m@v{sAJ#4=~oLmbBp9O4OWml(bnFt; zE@}~dXtmR-nsHWdUxrT>u_}$FE8Dmt*S_4o5=Wpo*rK-fcEnxf^|DYn^@9RloooNd z{xQxD;fnyqenQ=2H`)Gm^k*aHxGs6VmTljFHoK#{xvL?v5qFVk#;M+d35;OWkpKr^ z+Hw*18Jf1Wua_Q&rmaDASEN;;E2Mp+eG^UqZG6O<<<@a!TW?Q=GuQM`G)A_0rnj-` zyg7h%fC~kybS2_K!5SUIg@TK83>OMk>liK+q+u2t`!0Ms)iGQsSgT|BSVZG2{(2ui zo$A;F_;jjczrv?e9eW6$PIU~QYhrc3qpf$dG6AgnN4<6L{-Emqv0VGN_TxAtZ0wFi z!nm4W+E1bZqN)83QD~m-W27@t4%)w$)_&^_((IW5S@yF*YmEd1Iuz zt+~Bzw|efh@sVS+%jE2FRM)(9Lu)hcbkw}Dxuv(Wdsnvo5{^1JW_i*Cob8>!r`aCK zyP1pgROZn>4%meLN)@cFBq>?d+}_@ZTRx$E{L%hXz<$~OGZy+40cEKll64I%>g!PE zHXSSaCHu7^0Q>bg({j3KqBK+IMDS(+Tk0>;dR15b-%yLc7l9zo*w9VJnr**>uOGBU zyoawJbnF9s{h(w2kk-F6#Yaf-uOe*5*QNETPWlv+J}UwXlVFXO$7#PBdiAELIPF8f zAL&OYOEeNcws#)Q9Q2+=GbC>QsnYGZ^y$){rPJ~0IoFZjfYP}-6({|%RFsuEHQ&R5 zFDImbfKJExeJoGhG)Vf3bUM!MJ(HjAR&|#^RR`;zaaunxZe+rn+KeTo*?!!RYjFIK zrhlRS;Xf?bU+f=_61tV*M*sxlRDLKsI;i`le^jo2q#vj3Qp2^QWww7bZF8lQlDEoI z`IhEFnSU%k%DNk5N&k5DgzBGww|RVJ)6v)CPQ!QhMmlgHtU{;2^h@ig=xN)oQ6ebeYIlpkL) zp;K~70nfnd+*e=n&kSHY%)%xtZAITlJ+wsh0D{k-bD1F`IsiplwHUG{)eF9-(OPu( zb&!2e)1uXG7TNxJXq+25J9~S2@opjDLMAJ2v$jk|qqwn3?*SL5z4N;}H2LTID+2x_ z{R;+U`M-iU?she@dWs?a3;lD8!1f=FrHSn~j6SbbIJ=pdY2!Z}VsL_@t61lONdp>bbL85NcGQiY7x5;gQc!&;0UxM0 z5a@hSM6a~*-cv*`v{i_&vsH*MvsH-ityPF`tyPHctW}6_tW}8bt5t|^t5t~as#S<@ zs#S>Zsa1$?sa1&Ys8xt>s8xvXr&Wk=r&WmWrd5bPK~ z(^QDAX(~j=G!>#-nhMb=O@-)^rb2W`Qz5#esSusfREVx%znF`VQOoh9g-_hkvU8BR93enw6h3IUiLUc7#Av&6=5Z%mFh)!lIL>Ds^qJxn| zbm~$ex^$@!9lBJA?p!KFXD$_@E0+q#-&1Z;!+{HaH$X-xKxPlTPj57Efu2c zmI~2vON9rW5S_Nv^&gz@MJGgeEtQVWS}H_WEfu1pmI~2LONHp9r9yPkQXx8MsSw?> zREW-5Dn!>T6{2I73ehb~h3J%}LUhSeAv$EK5Z$psdRL|QXx8DsSsVSREUmOD*VI=(dkNEf98arQz&+UfPcdm;PY4EuQg!dfB4u^ zHhl7aVc(2VpT8RaW}z4aZuQq-{0J=~{2~jlP#FG$RQNl62ExJA=U;^JTpuRmtQ3Oy z{F5zmoE)a1Ac^|R_KSR;Uupr(uLoq2izEeNfI~7LhiD&?WR*?YF9z`}L$pLp%PbK@ z-GtH&XXrtt*I=GDc?fDAc_R>M=IMa&vuEHjAdJZTMsv? zYzuJf&nA^^fjEY;B}P$)F)B=fsK*#pXn|OUu`H!jah9k>g_h#KWAI-c{;S7-%anqv zcmrC?E0%0PtEoPs2DDmH9w*j0&AZ-d-heLie$m3y&QdxDV&x5p(fp^cCr3I|avhyv8wt$f)w@k$t#ZL?_O4QMM*sx8-RK+%%r#7dT< zOBOXCPdTv3k7b7V+e9ayTZ$nb)`>1`q$;dC_dao(=)MsXlJ7%tBv^|*qSpaep+02$ z!Lr-L_J{CpcDvY3QBub8FQ&gB@{(?BnlR|Jt`H}SQy9WMn(%8#xaYql#P{C};aQsS z8%TK8e@XaFagJlbo?GHR(RmA7VabMbuKUa*z7_2p=PH{#Pkh@kAeVahKGAJXjdOxH zO4z`*?)gTo3oR`80yA(c3%I{;?T&E1UCA%)lLN&exfNJK3CnY6k9N!9OztZ)3qXnSr}m@Gs23 zJuLVZGjJ~pzSRue$Ab5nfw!~me7hNV2MfN#47`&C-(?2g#g_RVGw^P4Z)^1>bK5K9vPOXa?THf`4rWK8*$c#ti&b7W{}A_-icqw`SneS@7d# z;4@eYd(zBE&Sb$)nSsw@!B3lk&t}2Tnt{L0f}b}7e}e_TUzr%w6Vg^2+1^>+q{9P9OmKpd07W|GG_(FEj zf6vTFE@HtSn1R2?g8yL#{yq!-$P9cj3;x&)`~$XEeQE~2gw6SLGw`J>_zN@eWgOTC zX5hg%5Hs*~EO?k1_<9z6m>Kw|EO>+&_y!g{(hU4F7JP&m_(m2y+6;UX z3obPS|C|MnGXwvE1y3*o-^_N&GBfZkEO@dRcrUB=R5S3cEO@#Z_%;??ZU)}Rf@hh5 z`&sZDGw|(fZO=0U?`LzKZw9`D1urlI-^qfHG6Ubmf{!)>-_3$6&A|7t;A%7Qy=+r0 zG6VmT&3TC#_y7xDY6iZK1=pE@?`Ofw%)k$@6}G|*{2&W%Fa!UJRlCUy{A(7x+6?>< ztM;*G;NP%0A7=)Bn0qwxtv7o#dW6mS1hbqUWx*$!fq%<_H<*DRW5KOv;K$ht+h|r{ zPq5(4X5c4T@JVLi-?89!Gw@R^xWf$mdluYf27a0acbkEqVZps-;AdGQ*=}Ye&#~a0 zX5i;p@NP5kK^A<98TbVjyvGdu2NwKQGw_Qn_;fSyODyf&apS zFEs=Il?7jJ2L2lhzS0c*cNTn=8Tc(0e6<<)Z5Diu8TcI*e61PyU3MOJy&3pDHs>46 z!0)rReWRIef57JabF-X3WWhI^f&amR_nLwK$%1b)1AoMV`^~`rV!`{(z#p^VJI%nK zu;9DRz@M_EiW;rOk5M@dzh!ZECb3%c*-j>pnR04{9y)^zvU@^lmX>qe2f3s zoHD7!ALq6Fi8*Cbi$B3@d7U|Bl9o^MTK?3WGD*wd@svNyfbuDx@}>+Zf6r6?A_K~& zdCFTdpnQg>yfp*LXL-tf8BjjQ+vV-%lu35^JWqK?29yVR%DXb4e1Wgad(0`5de0wx zFUIaY+M_6|Y}7t+z?>4jCgK(k`(ENH@6UkpWuEfE3@HD|Q~o*w%0KaxzsZ2|&phQL z8Bo5$Q~ovs%2#>H$1|XOjqg2Ano}nA@z;6Er!t^?gQt8t1Ijmf%4aj6{0mR{d03D`9=nm@9~s> z$$;{Gp7L)QP=3HuzLf#xhdkvw8BqR%pBlVpPMI_{_$N>KK?al`@s$6_fbw5F{DLL&&?^5TKqGf@{0^8KW8a@cw{c;D9HJ}C29F@p3;{A z0?dZC(S7nP2IA1Eep&k6OG8SdCFi0 zlzyJFCo&n_$o^nXT$@xyq^1sPBd=P8fMfN}&+ zd2|Mphx3$`8BmVoDXTM}9K{>aB6G?lBRYcDa)~)*k`a~gluI+99L-bKWk5NGr(Bi+ zWhvi0E6gdAnrAFe*^mL{IKJRb=9Eb-KAxvsodM+pzTn52Qzq5rL|)6|%qf!!UdF!E z@vS$fOnftFP2#mY!JINl%gH?Di5XB%;VCy{KslACY|Vgj8s9t{%_)^b9DE;wjI}fN~*k>Svo%CXJUz^OWDn zfHK5Wo|6G(B~N*729#Ai<+n4StmY}t&w#Rqr@SBo%0)coMHx^o=1u+k=9Ed}r%bw0H1b;h+?+B=%O;-k<_suT@sxWrpj^#U-j)I7 z8lJL01IlB0%KaHouH`B3%z*MZp7QPtDA)0n_hvx3o~JyJ0p;;L<^BIVC7s?J+mtZ6 zEBtNNiHTbV-==n*Pu!Fck1%AwNlw`NSTG(9$bgfau=`o?OcuN`(H-S>7K{hUF*&y- zmiZ1Aj0ZR};4O*ZJ6SNEz{!A-@d3^-|b=et=j9umrcwf->M8iQxNKFrER*fOjQ=A7;ULz9R!ZIT8FQ3&sN#8Strz z;Kx|-(Jc71MDXJ*7!P%1a{gK(_z4z_XDu?|GZMkivS2(}kO8aRw7vU=KgWXc;6Vob z^~9VHvS2*PkO6-)5&R+x#={R8@V64dFR|doEcm=c@T)8s4_0Jy{!SwJbry_gF*4xq zCW7B!!FYTl1HLd3{5A{56CWAy_Y%SHuwXnSk^x_w2!59Zx5MCoC9`qGZ6A zC4xU=!Fb?11HK{={5cC=VO`09uSx`e!GiI;L`(Fz}F;z^Kw}*p32C8 zuT2C8STLU8&Va8^1n03}JQ|(>-;fBFEEo@mWWYBjg7cHX!4S@dZb}08TfayEJ7@63 z&xVS`4zbe#NAQ3RI)>tivbA;h)1e0s!GN;6VEo#$+pSyoiS3gfxDK)=J@Ct0a2IGB zhQbJx)n_8)gY`KK%?4j}wzbbYZ0ciwbe2arry~@J(^6)MCnQjoC6s0VKC$OZ%ku1$ zS>hQAlw~PpdHX(b)|ZoIu$aztbv&8YK#tltd&*wxKv}xs1k zttSfu{|U~y-TM7r>-m1`#eVBg2dq~TThH59rdW5<2}+KelXYh>i230`rcQomKtScU zR_FJ+-`;EeEt*vx1eak}{nk4NtoJZInkQa<&~JT|tQ!KV((9H794qny@^$eE zlV5&t#v$_ab_2F9@c31}Ec&+d+kAc z?V(tG+5Prm{r1RyyCmAgfjfc&T`lcWw5EMln~TUwM%>9Dwz!Dk5y_Cd7|7Q2kh>X3 zXL`sz3}jn+$h{1tr>v~s9*6%Xc)CL1KyYNr=C>!uOHN2FS-#KeBmPtw2`HTVyQr`V_zcq}+7HEO+9DjW|ePYu}M zWYHRrSe_cOK3;NBYROIUlG9R4Zq{mVi3gmN8W2@`YaF5w&Pxr6*SiqTOf8zA_@>m7 ztF+=>32I!I8nGck^e3rB(F9Iay%9~&b}TVAye#taJRUqeHBHYx>ogn(c4A9cctKAD z$E60Hf_XZ(UJcz753NoE)phbU%cIvnT@^sJ?;!&cT0s z@ZY&!jwgc)QgduV>A326GFYERI-d80)RLzl@AAz@to;LqO-^hFU5axBhkVCb|g9&Jj6&uS;UP*2h$ZHu16mXnvO&V zga747bTD{`k%-ie8;K5@k3=YHIuacW{+A;Wa+{7sD4KpG`Z}4wtiARR_uAJ4M>(T| z8kn3()uMj;TC^$q9_y907Rp|l<+ZREgGZ(AGjR?1qMG2R7$&Yq;<#S>V!Gb&)5Zh# z&-!h=S?`V4CH-jmO=q;M_JUptj!#{Hq|x%WcxX);s5@Hr$Ajjk1|^P`F9nCC7v5)m zi<%Gr(P+6J|J{cF?(}B(a&T5^4jL`vs^jHgnli=RKVJ^6PR*NYw0t>Oh#=>Q%U+1n zew>Zry(4Khc3$#mdAGMzuLqA#oqODD?DgQp)RK5B{tk5jtUa3hea)N28|mh*G*P)X zUV35bY!a$tpY>gYPr!c%ycBN+3sO^Th~07C4CbL&LLENK)ZvB6b%;;i?u_%DU>cR< z>hPUI)ZzD1)#1D844CThL9asZ2Ir-&fTTLS7~ym9->m#hMHHq@6 z`!T%@@hX$%35ABPebyCJU9ZRK^F?q>N`36OJH!|1jOF@$)>T+TPv|l~Rd%QS20*|4 zSC#G6R4jE5^Zfp{{@s2T%MiPJz3(XyzSlV}wQ3vOn@qIpA%JQiq3b=zn9ViGX7iyp z=Rh!&I%luhO?R9&CP z=_7-qQ}V}|O`Z&Lz%i+7*U6zU9+0N1IDkR%fGufqi1jFZ zZ<|~-L-+be?Ddx*T`=n&|CqJbUjI0}U}~x;I4E^4r}X!W`E zl*YBTH$%U-SOX0*oTWJYUXHlR9~3M)q|y@4AGiOW=TbAaI{=OyB|E zqQHZ`w!p)_GXszM-U&QrzaMzqKQHh^R({~gtcL^7X1x}8E_-L-VD8C*7XupuFXdGP zUe5bh;8j@^cwL?nctgG)cr(8u@OJ*{z&rT|0`C^g4!l=zTHup{O9P(`umhhDcsTGy za2$RXIU4Ue{du{;-{l1gEAsM+AWs$@pO;^>Bd?(7?Y!WioV>z8qw|UeU6?m;&~tf% z1`p30JUE;;WbiY2Lx-%&D;%=b``K$qhyDf?4EX2x=Q^Lg2I4z-I`TWa>`s3OfWEr` z9xJ~D|1O1GkWc^vFf4?DFbKmT7!Jj-7{kLb904O?6ke4;7K}!sQVhppI3B|Z7?#0g zm;$-}TIBOVwtp#xd6-V0gmQZz;6DZvP5{6CD&*Kt+t1n0+Xw9z>=*Ih^G@1ZOxnjx z+UMRh|50Eg+gtX>_UHaOEZ%x7=1%%5B`o|LBj|gPu<%2P{vx4{*j7hn108t{baXY) z5!66ONdp}T4Ro|K&=Ji*M=b*#nGAIFG0+jlKt~Y+9VrZSG%)ngA3CZR=*V55qjQ0d zzy&(W7Isk@9WASlhy^<873j!TprcoTj!*?U3Ki%`Q=p?sfsPmjIw}!6#|_*2y}iR z&^dr`3B~AKKA`jUfX>MSI`0nX+&ZB1=YYWh=v*ndmHyB+V>u4|9YT( z=z;c=2ig}NXn%L0ecFNcTL-modbY>`pj9nEYeaxnPyww90$O_kw4MNH(I3#_J)lK+ zKs%-b?R*ZjgE@Rau@C7F?F_! zwKJsJ%TevVsP;=#I~=M#3DquwYTrM#Q=i&fPwj@M_ODYr&Z#}()UIx7A2zjfn%e73 z?M|ll6H_~YsXe$SZXILwfEGgl&1D~QaduKJ(Se0N3>6o+F8h=y?`v*y+`f0 zqjt!#Xipo9cA>HI=nrikW6^dm7H!aC(UvL}?O0;b9wQd*3S!Yd9v1DqVbNY07VU0f z(S8&b?I2;%o)6X#`a}CFShN#@MSB-mhtVI}AHX7yev5qYE%KVT$j{v(&vc7?$u08! zw#Z-GA`feee4;J#Vz$Wd*dkA1i+p=6^2SyEvle;0TI8c@kyoijexMe4Zd&APX_0rN zMgEKyc_3QkGiZ^QpGAIo7J1THd0koLCuNamlSRHr7I_a@ z9p+JklfYz1* zt*-)Ft_ie?5NI7D(2_u)^`Afs<$#vj0j+uiTFMHvzzArG63`+iptZ3;YiNL0;Q+1U zfq%mnAf{2jJXxF~Xwiufr;9U$z_lPk94FR+*eu#WtfCIvN`JIB^H91C1!)@ k5xs)e$Oxc?Z$Qh)fEHN-EgS?|o&~hz$X}0lamx?zf9h4ERR910 literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/el2_dec_timer_ctl_IO.class b/target/scala-2.12/classes/dec/el2_dec_timer_ctl_IO.class new file mode 100644 index 0000000000000000000000000000000000000000..50056f93fb64d50a7d6ae605a1c71621d74d866d GIT binary patch literal 5579 zcma)9Syvm$6~5I{OSHNLZdQra5)vQ}AcSnNk;jaX4I>s4jLl|@5K@ENXaT7;*fYdg zCi|X@vuCoOlh?#2Cgn$a{W5l3UeuBjk3-OWoU5-&gfjRoA^$fB*Mi{{{e8 z;r9eQStS!za-%7+~Yu7#}oLJ=oP5Y>0% z&`EZP%NDm!aXln%3(9o~`z<0klQOw1xCI+&@!8&!yS#in66ZNNktR*V$2Eocov9$< z?LmPw^3E2Hi1Jjg&@g24d3^~Y_#<%_St72M#G$X5B)BF%F4*jgggBDEM!v3xlijkP z+>yP+K|*m}^xu$0ro+ciaaX90B_UzIuj}X)+)GTywA>YP7&_vuhK@l~N5s&fm^ysY zsg87;spFBMBWCJ2G;|zFrjCfAW5?7X*y(zp4zJVDLD~%+QB%i)p`*)gtOu!QI-26W z?c>qO#=-HtC-&rFYxC2bH-7^a*WkY0R(KT6 z?*(Pwp2Ru%6>Y}78RguEvwOoGvB?l0vL{F<>EM%1#CEkj*LpnW-W+SSDV#qpb-Vcd zhrPZje?C>e+2%WI_t}Ep>qFk_+YfIAR)?m^dp_aN7P4_uDZ%GcH?QYyp|f^(k~Ah; zJCAbf6XnUR!M%cKg7JFey)u7ev}GzD7~$nm>uhI?3(|S4jcUsC*xJJ8NO^MXcn0~h z$)63hx!a@V$wo=GZA7z^^_>Gn&xDd_4D=U0QJ*dDTg|VJWcOx|KUjPeQIfOAec`yE z%+(){<+~=fi?h;4%*)eu2)ta zwKtR7RNsDE{nlt@eTr*JC#t@uUGW_{&$0QLBJNLyxMbsd(d*?}+^BbL{E6za+k33|zf7)389?Gk2Sx4sKqn%uEF8oJk^8eS7+e)95Gr=$?fA z*3tPo!s{_@?s>=iVQ*~rbX^V;E1hfkwTVjN-k>uW7b$MKVKcU!9vgf*zj1SW$&sB2 zw@0}lx(=RLe*d{`ZFp;BulPKHl483_T(>~S^~&6=*5=+EQSNjfJBGdEmC1YatHbRR znoUXW)o(@1j~v+}seSbEq+gn;-x{ebj}7)0yJUTTPygTfuBei@Cml%}q?zuov~{g8 zhx^sg9^FwL$J55X8};Fhh+^)$KHj#{D$V5Sz9-qf>*IK0k;$pS_wm2y`t^CR^CES7 zx6>=x@o@Wj9n#im{dpbJ;f0aI{n5@fw?JUj2&;FV9^}-FswIl~12v~C9%yQ@P!62` zOytt#vJ;Tk{l9rm5D7F{kTGZ*%!71hKfR{}ri#T}zzOvP8fu!Ha0z2IYq#J%NES5Y zH6et#RiccpcT2@QqV5-0)vWf|39Xn%&esvB+btMjWSJCnQ|$0q!dymimC=tO$fSVfJ)hPHd{&|#;iIjv8vL!s%%X)5m&~Q_!k81r6I8sE~tnrL3gNT3W*iwXj5ssq-LTN$nn` z9;b7fg8DpaK~qYFbS{^NnmrBfV$D0o}VTK~VRmCFs+=_a*4py;%tcbZ=gQ zknY`;;EL{jMuK;A@16vMx_4iKA>CV%U|9Fo2sm!41y#F6z%~$CA<$#|oo_j-PS_;i zTv)vQVE#^kfX`glx8P$EY(YwZN3e}!Eo&t;qY)UZTF$o+EzULewF4O!_JzCOF<=gT z9vTbb{5D|M1$Nl0y3-{K2NimjRopO>s#h|R`vN?HTor-+DM%<(6ZA?(I1r!+hZq^s z2M4)l5|knA!WuR`bAa1;(qiUUtx~gr!DtsBxKPCr0k^RaY(OVGBhY4|jnKoQ7Sr;P z01a?VJGkmX?>Pa<=zxNp@Odjf3u%o1MFE=OW1OhLGKO%%m+?@&F)|~4Re-O+*9i0u zB+t&5K|CJ<5$}O-xDfwM0%R3`oT@*-2nFF?wN%n_VHQY{@oo5y3)ndlE-Y|Q>0wO zA$PaPm1|cL6umTZgSD=JYg4K?M5Q73J5Cn)}q#20;jp7IaW^vBh zx6V19?X%C}oZ~v@b3UhAuh;fEp2Iqv?ep3G{;yv5bj@g_<@@aK_uCb(U%h%=;nho3 zQ~lY$zVAbZVeBh9u!#|Z6Q+4sL+b9r5xm{;4KDU^dkB1hnO^*-87vd?m;RZdu zt8-{Dax&EGHoU@EpY`Is3**tLs4%wlDxhXQJ~0&^Pt^<&dMGhD9ZfCH$J>NaFm^2! zUl5`1n4)seShS;K(!DjmZeUY^$GcU8ibbclct>T>CB}p=ud>CJS5R=95dLCUXCd(3 zJjR#j0bkGfb- z?%=(q#(&ts2X*{u2Ork)=Nx=O$6s*pUbn_S=HP=m{-T2q>-Z@LpV0BM4&Lk0_@^9v zP{&_&@L?T)!@(zX{JoEI3cOzJ1^F&D@idV^9q)1QVI40Wd_u>sb?{!F#=peD2X%az zgAeQY3J0Ii@wYj6uV3R|<=}%lez$`U>-cI1pV0BO4&Ey@{`(w!P{%hr_^^&Y;NTNF z{w@daE!6lQa_~VNf5gFub$qXbPw4pL4&Ga&@gH{ZK^=eE!H0GHIR~H6@fRGtca6qB z=HP=m{-T2q>-Z@LpV0BM4&J*~v?EUSQ^@7e+9s z<2?>OtmCDFPw4oy4&G~NR??JaiG#N_uELi&_^?i2;ouWG-qO%ms6kspD?U{YebCa} z3cuUIhjo0lgHP!AS_kjdG`G3W!3TA`V*!G?1uz{85Y#Pz=~#fEZUIck0t9snU^*5c zs9ONju>e8c0+@~k2(FdYjJ)GdJNSb(5z0ZhjN1a%8wIu;

K4FsEI?4V0H$LBg1QAT9SacDEr6+6fS^~?+@@mzf>;^KB~Sw7 zSb$(y$2%4vn9%W#1qkXEz;rA?P`3c4V*!G?1uz{85Y#Pz=~#fEZUIck0t9snU^*5c zs9ONju>e8c0+@~k2(FdYjJ)GdJNSb(5z0ZhjN1a%8wIu;

K4FsEI?4V0H$LBg1QAT9SacDEr97*fS_&xOveHQbqioR79glw z0MoGmLEQqFjs*zn7Ql2YKv1^;regtumIVlotj#NM`$%DRbUw0pN8N~~pxs4jMOJr> z@pWFfzV3|*f5=Dln!DNy%L2j8OOZ+Gw`I)1N%zoz3Ymm7+{&T_fy zXg#{`RP=``fWO9ynDHGBzMNfR#vgX@Ejs?FgCEiH{SN+`jvsXJptn=TXg&x2S1|Y&pY^QI)2Q-munubd&0rD z==e(xeniJlJNRole%`^CYaXuqii2;_@z)*vh>pMM;ICDx-uW1fJ+r(Dyko>B+jqT^XVGk+cL=;xMeI^NOGExLa8IQqFo z*UuhDKey=m+2iQv7F|Dk9R1v)r$jvawf1X{vZvL-kLY;T=c-@2KKD5Kyv5SzJZ`Md z6}_d;8PEEh$4ArK9!HNQMI^NO$ExP{qIQqXu*Z&?z|F>BBpWDy+pZRP0+{5}`;dTA*arA$SuKzub{%_Is zzsJ%4ExP{q_|ogBMc4lxNB_6z`rqT|{}x^UdmR1WqU(Q;qyJlU{qJ$~e~YgFJ&yiw z(e=N_(f=*F{`cIjwO`Zo9!LMT==$H|=>Ha7|9c$$-=gb(kE8!vbp7vf^nZ)4|2>ZW zZ_)L?$I<^Sy8ibZ)!MJ=d5@$2TXg;JarA$SuKzub{%_IszsJ%4ExP{qIQqXu*Z&?z z|F`J+-{a{27G3{)E@|!8^t{K>|1G-y_c;2$Mc4lxNB_6z`rqT|{}x^UdmR1WqU(Po zFKqoE()GXB(f=V`|9c(%AF}j+C?WC+ysXc=JjFXgmOc-K;ct6cKX(-Yuj^;8qn|^% ze)ih>x!_>1yT7kC-cxkr@S1aN7rF;yyE;N0iM+zfQ^^sR*tJ8{&7JMI?tWxdizvv; zKU36r(bds0?LL+YMJt>0WBZHi<|@nrcV|WUUXgz=e&>zuW5)~f+&ywGTHbhRvNYDT zD=#nqQqi8WsHVgB;Y zlGA$zTCa~5m7GkDHY|cJEXMLccW?vf-0rO-ZnOV_jb{5 z=9)9L6LTHCyJ|KzT$u6Uz4>ipr%G>3>^;|bA%1iFhF!w5*txfQsrOvdrS_vY4(}-} zcHOc5P;>Hh^FsU1RQF8Tfw7UDRZG32wej(Sy1~Lo{e{TU8>RgfZ5NVeY(vqR+J*Lj z%-&X9r?M=07WAbRrwg|fyLJu8roCrs(eE3FYtA-J#1CJm zad8E6<16#}xIWr-Ri1C0?m3#Ds@~MRm^Y9cA1as&)r{<)Xdmo7wRdCd1=K5x^8E4p zZuU$Tp&gs}eW}RCs;i1$3-g=2b6@w&Y4q3StK)ubI+dhnGs=96s+Wj9R8ZWZ-cx$$ zh@!u_=}1j^>!RJ>o-yXz-d|PLx4Eq{uO;YSdtUW>qHnZeVzE@tZQFQok>zFILQm=N z=|YTuy52^tuZ?$3Ku$_RT@BX~)NagsytH~(?HS0G@D1dt@xR07yMN93x`l+2PpRay z+U9?{b+Pj(#*gIZ1ot!j9&X=-@KIlM1%A8SVNt5)7wg4pM=D{K9GNL=*q1l50>6IO zI#IB1Y;ut0{%mP)Q{ia+VqRC>hUDfpnwP89=Nc|`cT{vk?rs~}l3MB?ZMcwbSD^ZI zvaD^ky}sh~47I;Lwn2m&FOJ?>qSmd|j`-!$8;57k)g~uT-k|Xey zTOp5Onuo=q{nfi_`qX@y(-lqeyn)>KP(eBIc34_ zT(z+t?LD_7&o^HPmzJEGIa50w2|!OOJvcDfSB`e$5J_4gJ|WT6Z4>p2R&ySdo4uDp73dZgjP5bA~U_DzhEemG0*3JqBO z*;x}Ru-gxO%Rq7jateCU*${(VFDX7dV%>Ys>>DF|sIxISQhH!R;W^?{H-L4q*nU*b zRaUf4BuKBrZgdw-q5TbWojXgePHk=*OTa!&kHT&gRh*hGYn_Oiy}Q)986-Oex!qBG z5$o^5P{(!Xe{-R)qXK%Yob-4oZ%fma_MQ2usk3b&o7yJ2XdKM8Z?ak0mz#&z9DfAv-9msr{!klqRTXdRv;dzWeFyo4_;>VsXyyv&rfj?I|f zj_FazYsYm(4|`RXgkIj-Sk(l1jXG`XJf!t%&etwnZoN^O9NAx) zcThbiI_s-=98Xr1iLUy&%htM|9F*NP=P`a;rcTY2?Yr7O7{kAfV%I)~q=rY~c!A-5Ng!B3KB8)+RCZ-?9`j`l7k&onHwZ|g-nFuw;y*`D*Q6YZskJT;qIC*W_D ziGr4~%l%l-8xPJ69j)$$ej)n_f4z2b{{F7auoG#nv+LZYW|03E1xxN&xM|;bguVdZJ zxz4p>T~|S`)Q*i#+?asel@4vhx;@vJnpFNu8|;HBpUfKxPws(y4fR*p`lPgIW79EH%j=e#jZUAC1ulR_Q9|1+<Rpig zOOUry(-jTi$9{QDaYfUy(c08d>j4^vRH8H=a??E11Njv0hS=oM8~bZEHD4SlCI6ve zu03#L5_FST&mDU<)?cyhKeYq?lWT|7K3d<9n~8DgJ@`juC_i0u26iaG?bq5T4naR% zE`=RJT+?;(z*6%;R-HNwJYkn|jORiV*6jvIKW-;EW4%k`X10)i zSmvM6ctgJZ-RvJuM+bY$qMh}#@zNV7_f)hjE+8(+YS+Y`P0+t)c}L&Kz6;KLHf-pJ z-i2PX=6~I;nN5g$;3pTJZ%eg9&xTB%$KLYRDa2=xo4Uoz{jk6A2kvOhZ%$hC%zmXG zcC2=0(ySXSg8kLk2iXnqBYQp_MP7jCf8FV0=itBWWqW=Wc3SfK*;%ut8Gg$-w&z_5 z_sj^7i)R1VDSGA4Qapn7t@wM1zpAh7*%@k&SG9-iXSzPxzrDBwazl2C`-S+fsYdvm zc~;}lpzI3k1=U`}16AF>rN$u|o5Q~tZ~MJvxWl020~JDM(8^ICAWG{1RIC~vx_qXK@3cRJh;KYgS&87Vq< z3Vs928OEa%@;!a7ev0e_t&{cTH+IdyZ&rR%$efHEt$@BGxyxS{6%BLUW@QNeD(qSU z{=8aWC-)+cFxro}8UB9fV0H7%>2x~+q^G7vS{K^SlYMNxfbrW9Dxf^lCer^`ds@+7 ziZ>9~cbqxktamdS)%_^)&k-MtCCCr#Y*KPLgZ6rr9u;P|sA4zd**rVA?{soRxYfVq z#V&Vaue(O%O%HXT{T=nG_|3fLqVxVd|0D7{D#z|}n~lZMVqxYLy1jFio0?-IC*kMi z<+(lO1(ngRhGhKi?Y3XBKZ!Uay0;4NxA?#>ZY-|Ge3L(Z*lQsrfCZt7lhPDqN=IqyPx%BS*|T)yr| z;hDO{PT0Sw8fT85+mBXtQ=YAzS8K=iG7F#CjzO7{qixB_Qi|{Dlc#PzVzOpq>%vf=dIREM z{Tce9fbwCxkVly8GY9Hwwl>F*U!CZKeJxMxlTBsE&()U}l#jadT%DqDAsh;gt;wJA zRaPd>H7D~Lm42YS)_$t5@=%oD;=B#<-+(+6`MWCL)ZX8FaT;>7$%(gBy}Xw4tNj}} zj-MJmIMWSz-F~(<7KOi=_R~*O-c^QjZgh`l-;8ITWN{PTgA3d{@K5sLA<+x;nCJ z6o+g&GK0JU;+i9n|C!EujDM&w%@=;>E|u@5JT%3dN3mX1+~3f>_k3#tarhGIvHb}7 zDekfS#POwRywCQt8xYS~{12!7DbDXq^!15NEBbRc8z{e6sPe<`>w5;Pn+ng1qKs$g zzs+I930N25QurD0Yr^I=$Ihnhyoc<(%Cm<{V;lBF8sd4O^tyz9)ikz1{($glbQV9v z1JMHHuX(*%?V$Vv^tr;1HzB@CM$9VYw+_y!_`xLlWq9!Sr~M6Ud>0ow;IE$%rK9_M zk;gz>)?am%@|}r^eE3b|_oV#`w6krZ8+iiwJ!wAaej^{ZedYD)s$1rNu0woLtMdIj zLWP>2$$8E6I;D8MdzE!gaiCs)wE80DnZ|Fjo`4^-;yPFTJE;6R%`Zg!)kW{q{YQ+$ zfE5?|N}7w1r?c`e$P29Ck5ju2FKf5*pZA1xznc52{AcL(UD`NNyhQm!imQXU@yHKk z`?YAlii@+_zo`1j`Aznt)A|nn8riQ6q1E(hNA;0u@+;?bKCM?qF;3PzF0oyc1G?T$ z=fTLXAU{d=6Z#H*k;=o4$M@zV{#5%?$}gfg)QVqNPf{Mx@yF9~8~J;crjrLJd68!u zl417i*XZ#K<)JW6S#|>R13y5$Z?qwGc|X)Ca$W?G)|HrD(lPj6#3e@ioU?G+v^#hxXBse^&WsXFd@3db^9xHD7HHIPnho zT{A~}yKByfjg*(2hCWKixA6O5Zx)6I$^Y;WUhU&5{}p+@ttxIJ|4g-a0)FN|ht*#z z&MOP$w@yr+oH~_6KKc}oUn%*M$kSdL-4X-7b+M=8#^Gc|^91%)ny5XKh~o>92bsis z(ZAVI@@I18EwCQ@&o#~*Gs7K8@`L+(C#NZY(~tZe^4ynOQ;2J^zF3Z9XW@6zduV=H zjyIhuf`6v+3Y0G%$eovno55|!D_-e={<7lIlbmmXo;0BkPF7R?UC-}xf1G zTAI!?oJXE+wC>8JwJ(5iu>E4>pKE#FR^@$M$ZL{+Z{;iOxX>)_*mI`-f|aLm;>v@S zyKBzwyV!G-^3x5m%Y)TezikUcTMl4f2YKnzic`q{z>cTs4wE07*O>VnDoBLvd?Lq( zGU~GPPnf?5?E8+8C!LS%X_dP$f3QPoJ}3Lm)s2rRJ5+QDdC{htO~_XbI{B?6`WfFz zc6#-4te0}iZ&F0X@{4L;9rL!` zmZwtqBbOrN*J58L7asGpk>X^;Z??Q8hA2+h*mObVWkeCh&&Ut!dCX0xF&|_f5qA|{ zYA?!%Kd16Cjy*Zua;39g7FXqr4{ns!U_TV`Y+(8{?Pn-EMS7k6b~Rs+pPQTP{g5oa z*f+4^2uGjNd4^((pW{EMedU4h(o}iN736U(zhL`@Nwv=t%zi)eioEX@)%Ib@kL|VN za?5YrBi5|852Es)o7ZFioyWzj+0ul4qHAg&lo_-DD*nz_0QDD+Hnp8{=D+X;Ww|R@@mAjk)t=vnN8T&3{+8E?Ycwp z<@dV}@f`YBg&L(drUI*^Z{0Of&bKdv%2p7zt#K0RB|5|tYT#HRd`-u&}tMe=t9rRQw&d*qST{!%vJ4ugaK4U*t#d~Vs zkoR%L8XgDiNAf-}^tjp&q5VeWA)(hAGoL9wC%p;%x%1e@W?E-BCqn%|`^s7;Ro;v8 zp##{jIgR!e-8hB3;9`5xR5$XW6bI3MW-PLQiuNO7XOXuO9lH)y7WoG%hqn#5$xqJ} z*B#wAiF})@ZbwDa9_ZVi4(K=d=bS%P@1=dN^f|FEI`6{sOZp`zp7cxFe)>v0lh%0; zr(RJ;J=m?W_~4C`*w3Ejb3&es@?ILRP}=V9?8CW*q|$rdOQW#+@MmJ}{m4gEG~>LI ztlYk-8T%8+bC1-faK3F4euS;3G5*!=HKbqDa=>~T@^`9mv(lUP`6kS(spf0XNLvc~ zBW2|F1;#{P^qO8BXX(BJ`4twLt@-$9}<3JdBb(mo9S~K_CDljb82#D3HFhoH`94B$^1HQG|h}Q!md+1 z;_Q2l^S-Bbj)Ts7Wt@AW{jKgjTOnu8eyG}i8>vV9CwvaQ$_q3lRX(|(p7N{e91_kU zoL;jTda0|poARra52rlW<&LUm$VE{Sd2x#W!|;;|w=}vok5GJ#{B;0%h4Qup)}ZEc?D}9 zHELGj9Guz*OkspymKGptT-451+ zJsrxA$U5iX*5tQs_nuJZGvrW0-&pdFa^Z%*V72Fb3E~QG+HYdNhU~4k!}hy){~C4y z`@!zQirqD)%ez*cpX~CkD-M;@`N=iFV_!Xa*{rNX{D6E_Ipx1e{*YhmDpKb(w|jiv z%De04HoD5xIZxWR4$yjrA4>6v%FD{)F^VH-onZd%D5Q1WxHxoY3UOG|_4b|Cc@?v+ zp7g-bVDA#`n~h9BFIF^795Z8-S5G>m6(}csLFiooQf_ixQ#W!*s^*(w*lVka#Y5J6n4$o==kO6WW4G`csf<( zHcEuCCacJ8l;R!=RW6K@tir03@o`iu7e+oo!niG)U}AnI3Esmqy$M{e5yhPw6~b7X zjn(>MwgCevehv56JQPcq~3q6`G%)Sqd#I%+T1@3Pb9pZlfMIjA$gn za3yBIqBqA4Cz0?m+#=#lree`lv@VhoMq#f#Lzpm>ZPuR;q#tO$+wMg9+S%yhLOc?` zI*WTwVv(gWOhYCWc&4J43u24$NNOfBwXjGnoSK3d z>C7N7YA|u(O(Zrp8MzppvPNnFqm(&pk&81^F&(Rh&B7KXFD_yF7iS~$@o4NCq`_{1 z^(=YV?BXJORBgnX^tjuY^7t6)UZ&>9;$w@Gk>pG)Zplz-?lMe%Vj4pd9Umw0DRLen z^U)>rJuyC>j4X`Bx!ju=kIX_8iPk$2pQa`f?6J55bjNWS&y*raOikfRAO)Mr`FM(u zC5qvEd^VbxrvXl30bu03^Aoe$((tS2Jlvx|}W#c7PxoDv+rjes5}-Hl>3lhmR`v`3~filBHl ziKVurE


IHt8cEeBSiVn9QVw(2N)C-u>0qUZ>Trmb7Xn6T^9a^y%%CSEN|B}s}) zYCbVJ2@P;Dk&484)~VaI5xoFoxF%C80kht|*GX$J^=_k$X9Yt`B!(GdMI}`iXjx3t z>x^OBKL%q=S`6g!Op$VzO!pMse zRZ-HK3%fR^eLO;vx`Z_|PnsFt2sDZ@*LNG~}m^D~hN%#gGNfp8eR zw4c;5GeeCjax5KF6sBzrcJ4&5Wl4!dSQ>>;A zqccV3BHh4FGBRkPB$>tBjxm8JzRwmpUwoe|Gl1(aAOr-*8cGP1IJE`;+ zy@*t`S|IQk)YW?=vSoG&FB83}WSOI=B-=4yX~n{8rXC%#*B7l!%z*w#>urraW$6{D zmZ`p)NjI*u*KT?}6Sl<0IxAY40vqkC!oZ&JQ z(qgo^Ty%q>k0G`rQQm!3s_DMmdVXys1c0Nw2j^*0c2Lw2+&$i=~tqn_;+EG$WSuQ7{8L zgh-qEo4Y`1$Py}JN?6`VG!|1;)+w|WiEyYySPZEOMIba;L>9&-Q2dqIAo^sKno?+2 zv7)hbG5l2&vzv_&X~ObSR004D$7hmB%+q)h%R9fX^LQss2gPj}VV0_fSy#pxKKR%? z+L|5(9g8uWPdR04^s+0|666!Cm~kOKJ~N#bM06#ta&LBw1|NsIH_j`Pnq_+*9N5gx zEG#6jq(~w%ORON+slX9Wt_oaYRiIRd<+z|52tdhi86z`It|t16ED97%Av`N`Cu2|! zsf!rfbfJatlGIz{T$F}YNCJI9hzW#4BoW~6*jM64BeX6XrRF<8gOQowp)pZ1lR0oK z9?sZN{>TqPj(zEAt-Zq4s#o-R(6H#5a_UIgq7Cp(JOt9{kmrc0OnZ%hDL-HrgLFMj zW`Yz3M($d~ir-;B__d0?zi((bG<;$x(iiR$h6tmyFMK@G*Vz?54(p=e@NoDz@^8)q zmb^!mC?Wm}q2GQU>gt7b*iWI(;qbA3jlA^ixzhNkA=^2hrMo!JlLsr(zUm?F3Sy$*$+~^EJ?aj>F zTE(aaS~#tg>s^V~Dh6$#mE*N3*Jp8631HCqJB|!TLWd3wMvg$noTuJnc%Ld891M*_ z4s{(L#()47QxcxbBO;D?P8+m1+l{v6zV-74@nFEV<=D?z* zIk0GJ4lG)m1B>=%^tCTE)PvS8!)1>r(PfV(;j+h*aM|NYxa{#HT=sYp4(%&C)Y;h= z=?L}rL^{I5LrNzSLcwdeaAdeEaw>d?RvBZ|99S4;(AC*#lR)2s0Z$FYOg$aeYcLO9 zC;T4f(SL#)f<`cp!LDwlYoVsWaVT_X&~6^fJTp@5uo_%=s4o=hIC0ok3O;@wiiDw7 zrTsusPw!B)LlG=%sJ6qQp<%4m;jY2K6UT=mgI$NhgI%3i6@}r>Q0I}ZNIeY_+P2nu z*2b(zN0GA1K+sAq)+wx!(-{p#PT}exW1UUb71C5+nvk$OVm3cDu9gNYu_`MZ53l}e zeF}UQDW=Wopgm;)t1xrYETpC0&_`)udix_oP_=d=)hwc+7^yWD1)RtiKn% zwW|zu_8*G$V*DKfo?yErmcPMWw`-9$wt8+48=;(z+Y?7v8VGw*(3In$&K`xxlznu` zM$jzc_4cE~)yqh=KF}Qy*yF}e+(XrQlyN;v$`!RH<;;r5f-9#bUXfZ7&#*FJzVP7_ zkZ((#?FUPq?MEfg#o>O)bEYm|h9kX`O-Fhs8`qEnM|vllj`U78j`U78j`X;WbM*Lf zEa~y(SkmLmv1n%wELxfaOL}}c2h!uqv82a!Ea^_tP7HNLj`yAzLR;LuLnrE?<=_kea^%K-u;j*mRB}^9Pb8!L z9mEk~StcT_LF%Th8iI{8s$VZ+OJ-5yYqPZ54!L8Q~g5l$@N;M_iAT%huiD^h?ya1Bb7Ag!_g# zQ6QmJKo!uc>fO4t-L`slDgGk<>NiaBH*}uDkI}ERsSvgY+8${4LIeT>4OGGQ^PRsyNQJh0H(U^B!}w5&E1tFo7_O|A+Wn9VS(^H<_munRUD#oqq4@r!ZV zf_JM;CVIV>@krNTO)n=!ueUL)?dWwz`D7ebinCFuu#<<0k0FiCV+7;qp*b@#p^m)x z=C4>t(B8q!tKs6zUzx`dskqg`+jbvawqUfCP!9!g=fjXV=Y-VGR(izLlZw9`SJ$IJ zW%u(r&4kF=X%A*&Pv&eqOzWfzH-XR$z=RadU!e>#PJ1Pzsd221)>TC%vz^NXC!cuH zuBA$zn<_Vri9k|7e+e4go!Q_t+Z@uFE>lC3^-AeF=(>}-(hdosWr4KSL}GGrp0e|i z$t7W2cKX9xbZLH?!cOCIOvY+uS*^i>Q9E{d^9v~mH#XjoOI(=8Hj}lr2qaD$O)ib6 z=8;IBnNnhpNpO(7r|n_H6hyNmN3Sq|S63Lo|L=X2uCVJUjX3<~lJwYUW2sh)%BxRP zQjxa7Y5hWE9V8alabAc6X>!g%3gd_~VXH|)jAdJWSgIGC>HOE`iW00ea7P5;} zkx6~0VQ?=X(Hx^xv^5~yZOrJ26=pOC+tpr~H3-r*;yOdqbyh7yX9^egbTN>88((L{pwLmWB(lzdiQ71{-cGD+F>O{TQaJeo@ z(ZJ9pDfTfmB}F4c(^BkbXjY0QhUTScW+){^3qx0=Xl3ZC6m1M$m*N0JH>5bo&`l}s zWav>+v@`S=DehwEaZ-dBdV<7l8LE9xlA@ELr$}*#p{GgF#n3aPILy$qr08boIZ_;9 z=pKoTyW;XZiR7GuULZveLoX6W6^6RX`i5Q=w(e52zgwl{>?2jQMV7xi96X6}yIO@v zVO#Dxc2=KjY+sp3rTcG*s*b5DSLTHcf`_HS&cVadU`v5%R_YwK4zOj>#Y8K0&f0gV zy(OxL=^Srctln_m&v;lGY;-Wqj+_Ij_BE^>5T?s573uvCn_ccgFjOQ(ilMbqEHV_3;tE40QY`VCN~O5UP?;3h7{W!c*BPpi;t>pO zk>UnJw@Gm~L))ad$xxLPk7Uj}rFaxWyQO$EL$^!u7>25)cq~JErFa}ewNgBup?WEv zz|cM^p2+>*FU6A>YL?>347Ez}6ow8+@l=NHl;UaJmb;{QI^#N|cm_jNabXtlRG6dOs5ku#s zcriogrFaQL7o>P8Lt|3BjG>qmFK1{%idQgnQHobGbV-U=F*GH`s~MV>;x*i=St(x2 z(7Y6{niT)WxMxW54u+m3#XA{#juh`==pHHF%{87U#d{cfffVoM z(ichbK89W*#rwJRWm0^Aaj%f#gDfSllHx;*dyNzyX6SWN+{@7GrT7R#Zxv482E+&oJ~pDL%`bKOn{D82XSDpJ(V^ zDZaqaN2U08hCVLE7a97b6klTK(^7nyq0dV373TbTDZa|kzf18ohQ1`l*BSbX6#v1{ z*QEFcL;oSgHyQe-6yIX#+fsa+Isc~=-(l#xQv4@F-;?4#hQ2SwcNzMj6!$aqBPqVe z&`+dzfT5pB@qLE=ONt-xeEd?1A2ROOQas4-{f!hqV%+bf_%TEOEyYh5`lA#-W$1sT z_!&e0E5*+l`l}TGrJ#I6ieE6~lH!*P(=g z*s4Um$CyZ&!(5!40}f2MV}(~bhbovZfA<-(W!qOFr~EtTjVj-cEUnawTd)LREum{CrN%Q(lvbd|YAnCWo5g=u!JMq{0NS&cIDFbq@f&cRdp zk@~(WljiR}N{ixFYFJU?t&rB=dOI3!ozxn!TWLV!t#jRf>!eLgdi%o+ueB}we?x4I z?X7XPM)%f;t?|7zVrztNjo2FFTO+nc`PPW7aelaorY&SE!Er03w3>eu?xJ<(EjUam}T^_{5ZboQg&Fwp?;fn>)05&Lp{vG_y({zIqr!=Xehj zuGqNrQ7xv+Wm(mmdG#?-F6F9-v@=2v(>~`U%)_)VeL&`6+Q$b1m}tcjrXw^DN0Rnc zR-6Rqh#B+BWp(*9nRW7v$#R)3`_Ng~N*3kvqHG#El)#*FPLgwcjfwW=5VI9dwXNI@ z>wp#?c4M+!lW&ow&%iNdE}7FQZ3p7v=xh%nmp0P*@w})!*~2taov-6Y=2D$DQ|;K@ z!}r}jjmMO$v{fxlbyST>b2*Gw3lu3Ym0K8u>@}ZD;4H?p>eWEyl3kld9aLwsU=Crj z$Zl!PTeRF2d){*iyG5u@5%Q~7nM$P_=x`xZ=31~8Kcb{FhfJ7j{aA!_{O)1jn?9lV zuen;GGQyW-jTf(8}@Kk zE`3mxr)b4jIdYj(Nu-aJGMk(;Xwj^0m9#^s%qpi|%s!iX>!hnVpZaM`N*=7@d}fob z;(TV4uHt-Vldj@?W|OYsd}fob5)J|&GXL!XhxQHDMzjUI-+ zAdOyzz9@}8hQ2I~eulm(jbjXbT^h$3`i3+H82Xkp1{wN}G=>y4`W#~`R7-Q(q(imsxFVcuH^fzh56;vRkF~LxtG$t7;kj6!Z+|o!e}ol;}~j}#^V_ZN#h9&bxPxj40TE4Nep#Mu#*)UHj5k9U6+BBC|H`$V!^fC1^kVuRENQ&Ocq`FAR~m0) z`sYjI?F_w88vn-7i>2`nhF&U-cQW*HX}pV}S7s_jb=X`Q?>62;j9(*-_cHW4X}pi2 z*GuF5482hrA7JQB()b`l|0<0SG4xja*g?~_dX(%+S}R@fC)?A&svx^et(8jiK*Il`inGv#L(ZQ@nZ#Oq!gPNN=Q+`&}At$GnABK3qvzfY-I?iId5ZV zL5fO-7Nyw6&=Njm&d@a}su+5N6gwEYTZ)|wJyMEY3_V(k-3&ceiXcOem*RGYp2*%F zDL=XehVF}z;to*_{b4?Z)v2x+0cTtoBgG!EmuR0ZMGbR&rWCadJv&o7soze5`$OV4 zI7n*VBaDEiy9U8|KGp^|H2<|!{Cpe=J&>4Ar0yi;sh?hL3!aqb3(Xh#jJ@WIaT?UQ z{A3u{9xu@^fZXOwea3F{#XjQ>B3WM*oyPBj7N@JyR|753sdu_E%<+Y7o$oeZ1^zfq zYQEZh4Tiv}yzD}ySRJw1u{)Pjt^LMRdBOM%W)=UabFJ-L55_0^@nfMXxA_M8f#tD7 zCwjZ^^N2v&`L+q#r1>xAo4n>5%{RkQ%YPFM&|5GrHY5AyZ@2k2y}FH7)&I?FzTJEW zwKnW{_clfPdT{Hmam>RO^IbmFcsG7OdP17-rI&6tajbZ!{Ze&b60QlVS(sVG<(G-+ ziJ6)q1;PSj3O?X5{B)pKyNhNBHx^A#wuSM_1De7Q`^*oT_hN``mMh%i?ly7ixH3H| zD^RIke%xz*%=`pohh9bZ7Vg(%Y=w%Q8F$93OX9)nQ|70A;Dy7<1<~2rDcrs~<@~JZ z5Ps;^mTpky)5_x##t=ERGGD8Si()6u&zYb1Va9RbS=!@6g5);8h+mGSg>pK+R8e2R9 zSEIVXA8WDF{2i@D{4N}4!i`Ezr0urV;4ZTH)KraC!~BE!N1t(<`6pr2I8vLN*wF?Y zt()&P|4eKBf8iJBY6x-G17_0aGbxTqI@;O3I#j<=l-Rz2X`zao8FjASRF?_bKhtF9U*!r# zx==b5GVbbB?fww=usB-+nh>Qis}g;Th?RCxC^hlfOtwMqPOdviiT3GCyHTfgTBo|B zt|TSeXD}^(aP5q!LraZFlRlG4Z_DmPGr~$P!f&meiO#z1lwS5vOtv$dLkj{eE(*fe zaAubD7Vgm40L7th6V1A1T)L}K%e>#cXIU-0?EB0S^|LtQ=PK&XM0MXd?jo1&24=Hw z87W;Bl30FAxpX&ijpk)FpcWtG7q=}dgYNhdm%(AlY7}(AlesLktPD~@S`bU*(6TZN z{uM661w~mrF|0r4GF;G=Syo?*V1~>3gmGJX$nBBNxFD635Z~4eW$Bbi_i=E*@DB*1 zB7;N5RjO2b4e`~m(ml*oPb_N~X6|R)u+z)RV5xr2WoMU_!BqW(%g!$=!^Ebqeq|Z5 zZhw{TD7Ry5SshH)cbQ*Y@7}U&N=dH&!i4yVyrXM!-Catwe`VUs%U%cxNOBo|3!F7u zkbr3}n_E@}37FzCxH3D_^I$7-WsU7C#MvlOGiJHU(lT}snmI1Jo?X@ep_$>byO))L zC(S8@^ij*oFmFR#_Sj`*n73DP*%Ng2OB#LoMXYR`MFCfM@-k-o5cF}`({xot%(N?2 z(SPA(vS%)1fq6g6Wk~vDPD2BxD9mNgT~>xE>gBQ*EGxql^>G;@jVzuR@1tDyGF=Bd zehFU|YW2K|Nne@GW*?>|#AS#_9CvJmTSI9CHZb`=FJpzWom_@Yz2lbX*NjsAGNySm z96LwooV!PfWG$1t71?Bl-j3h4^KBT`$9UZCci{cjPwXrlIqB|qdEM`HBe3u#lKj;s z@~(I4fr537Wl)P9ER=M=*Zn>p>c9V%LJ@Mg-R=*fAGQyj>2|x_A4VHvGm*vV==`-v zRVpz>cNZMb;WRA^b8gX{XRpfr5%))Z=9kvB304ktT4_yuTfO#h{9gr%VVqm zyO(~#{YiT1r-ZR7J&)P9#$qy!C7#01E|V9m?hY?vNa@m)3qmMiSnFT*Z z1XfPL?f$CI{WXfF*QJ|5Mf13mmS{H-E&XDXObPzia|~|xH+=4IQr%6?Xk|_!X9V2e z_8Ix^@8J3vY!}z4AH2BT_aPKS)SpOIp^2PEP$g8weZTvAe)o6X4*)V>gnImhicJGji>>Pia13mYDxqm^b z6(K~SEu^RL$*3x~``5I7GH`1B{Ko5k$o*T$bh^xrP9gA-ttJrti$M5hwhqm(`KAY$0&aI!uS*Beca#iIC3Tyz3= z#m~%Nb9=U8QQ?yU8crBb(DnE#-I1F_X_tqnG))8HZ^Gu?>LC@_zOeC`FZ{g`e$;IYgMvHYtSx&_4YHJ; zTKcwvra^Tow+A7ShrX;vUloy_eFbm#c^W*8&`_R6P2;G&Be$p7=V>AF^J1*1jiNiz)}G$^k7KMf+H8PrJ`^m!}B3c332!>L5YufO15G_+s&xx~?oHJzY$3nD`vl zD3<6tE*JypAqNaRjuHjJnlz84`4|#zL_w|=DEf(_pO!YP2yVW|h}25Y02dBolds^J z)Q({+WzS#+S)KHpVzSdzh&aS+@fZUK@(#iN92K3@icpI(Y$&=wMHe)d(<#&=7YKzh zDvV_mq9)~(PLjT_13Lkl4bjFSZroBHWys&L}d^o=0M7q@_EJS9;~qUeBXE zkAc5prFEp|am@7b7@713d8FrwjC~U1%>F8m^ici~?|&*ZL}pkeJ(R*g(K8@@8G)4a zP`(5u&xTrZ@)UN8MtUg4fZ}`56Q|fp$Vd<6k5Kx2bSQ_eaC=?|(S@kZ4#p?&4ag{5 z&I7x15ZY=2ihc@LsY6lJBo|Yp7i&(T_G~<+YGc8^*z*!NqMnxuW1nLRIufacHcQiJ zEQaE9T87t3w0+L=avz5H73v~4du}ovLEh4JT!wVbS7H0Z^J;7{qt}?-*9v1mGv0r< zn&Lfb=%jxpd-Qtg`nc;8^d3YF1>^KB1?hPcGzl=+k$5M!0Xq`PwH=FMp}tLdo*o1R zUec@!#PA>MS6fYdhv%Kt#COqjrUjXYE&ZrSGoPFxWm>WY@4=>#=e@AK6jM4M{g_AS z4?V0q4VeTf2*dk5A0X-bV3v20MdN^EwhS&MQWw>*KtaHvcJ6y~3Y3Pp&+GY5&v&KyI`f}N6ut*j`vBrX{0w%bEwNlC z_=f>Qcpl7HMb`XfQ|It+Xx@J8Loh}R7pHSas)E|NRQ@|)7)P0QlU!eXHFib-IK%uA!?`G875-_A^mo)#% ztSW)p7BC9Y9^(s4wF5B~g|LgW(z{D7bMJ2H7EFIT=QTu^v3K+%oqD%auRyaT^y_1}skB=wlW4h{VHEK72lDpmm+=GMIUC2eq zdjX1)_IxnVci}_|Gw*=k;>L3N3O{z?fC@w1IHkx?7-#<&>Vd7I7tj}9R2!t1JP|O2 z6GDSAGe0Q3$CWDb4&a0fR~y0!7lvT+KdANzyr*#7kZ~i@UC4bui@u)=7y%lNwTy}Y zbs=D^Bh)%ZjRO@67$t-%Wz-~47X!w6LX|V>GEh?iqm)n;jG6&zHehTZ)K*3<0F??D zWrW(ss3oAT28?n-?O@a+fVvSdHj*5r6ZK?z$tL4ML;9wm^gfbD;!)DQo2xwr)gBu# zHi47zHSX&ZaE6DWC*ed6Lr<~nIPZzscHH|kX7_aI{s_j?bC>s-IQ7Fdo{cj+4E+<% z`Y`ld9JpiX`8Ynu&&Wol08;c;`=XCXVE>pRzkHh>Mub3HDKJ9p_U=b^vPP9WS>y&@VTV>psL}6QSiYiR1%ZB807)V z8!)yJs*_O?sKS7;olu7vwHB!2fKf%LFr!L$ zUFoA7rFp-v6KCj{6zcpJ3_+crWC-eygF?Lwz`U&CoF%Hf9L=dy%0b&3sx1 z3FzzE^o_!K={o@;ycD;7WNkoM-(r_OVhxHBZ77%xYzT2U%jJkPRj5m{KW=>lQTonv zVFafJ0io5=EV7AcVoLhPnJk7Q`1!9VvI(dh{ZxUS5Az}R%=aZUpNL`=w-3kB!fHkO zl0M%Qe0_0^OPT-<6cUSKwW~ zm;11}G`KtLWO@JY`Qd=n6ZE|rQJ4=_^EccnSWR{+eXyDjF%E~Bf6ovOGygY3xUB3j z&nR}1{=m4m<4CG_3gh=@E`{-XKSMBnA7JQ1(mlzV=w4`|j|31U zLpfby)W?DPM8K#a7HfkuYmfi0i%wn3z^zFWFdXz!GQQ1(!IdM-vaTs14cbH?+T;t1M0g0qk&M@ z81(>9-wzo32z7%|4+8b0fYC^(M>6WCK>aLW>?hP?7zIn`gQaT%%J>@(+(S5p%g}Fe zw4Nc{89?i!;?nnLl%o&0*({$aakH7BAisg+Px9gt zz6Xz}z)!C+@Au=tGwDdf)#U0+D=y!5-7-Xq2Yqz0EEF-?9xBPHHL8z91vs|_Pq$jnr4@NGVEYWXT+K4Eb?NU((#l1K-qbm8s{=( zi}RME*QB4O6(!@yFgcAu3AH7TGV}|^8=sqx#ik&Z7nvLu8=n-g2ayY@24#Ox16eg` zjWNEZLE=1(0^iI1GcZj05Gm1C!&v3^&tXAk46{~B*3iG;_0Rip=)~+&-_ex*E7Y2K zKMtU`D~;^GhAwyEi!i;?PXPtWZU8eCpNvjPKZOox!y_>fefV{x^go(OD4jfkd*|bk zg!Gdy52DATgLDupVSkfX4Yl-BAc77eue_0q>3g=Z2;E$7FUWa}18183$Ta6C#-kI` z|8!=H{IZ)6k%>C#e-`5qKz@St#6L;*vso!T7fRuI0pkEEg|t9gZKQFgj2fEpB4|ZB znWQ|2zQwC`M=+Ey!t2wyMXf{)VrG=ZvX2rBvvi!Tm^E{GfSa`g&E%e^}pV0)cN0lUnipRkl}y9VGD;u`roY1 zQ2759tEeiOm|k3{n#5i_E{d;hnK^M zALf_813$!M2u7SXfzTiraZ&?-V8o9wgd0aCLm$HLHW_O;v(WM=<`p)cb*1iX}xnI-=Wqw#foUx0DQ%#wZr z?pyep0pk!nw=i@czE{A|{rLI-Ll59<1`Ofm=YtGAh;JA$^kaMpfg#-dT+WH zaPxB`L%+f=R=D&b{79D}-26r$B$SX2jaz6&bPKeU(t&|+r%NG1-iAiv7{bdXxJ*y6hS~+k!04-%Zv%CvG zcVemz{8p5qL-<`NLx=I3QihJ;r-@2)%cIi$3YL{#$Vy+p2$AN_N(}J9Iw{%2%K_gzRBL zeTGp;$Vwz+y9xDqMj;_9k&rz?s4p@K30aARY?x4AF`rBWiiE68tu>zU&0n3C@*bvmE>S!eJ;%4H;1s~>Yb*8zO!Gpb zd7(uU%c6M+)4Y^uusgd>MIQ96cbyR_Tr}sEL3Tnt(N3lO0LbhqU^1Nl znVd%6ReqSs?j^E&Ef1esiK(9*-zVkAxcuW(j{V9tX*T0Hi?IN0NihwYgC9`cFFy?i z8UemlFXiXB{PR@)dBn;XBz0DVL=UQg0&7(N5*2?5koWu6M5_U%$zH;Dt@5>+SE*#BYeE8wN5-uHKA_HNz1%Urrs>LL=NgmiaHNq47|A_giF zf`F8ulnBUI$$LQ%L@_AokPwgtNee~9|9fW6ot<;$J!i+?{`GVBWoMt~ec#hL=aBMi zm?eupaN#D5GR@c2?BmZK-QXHUnwRKkKB;a}Jrex-L{WWM#FgUxNsU5Djg8Hb>3f)o z9wRq2g}HA~)Ql)<#_Tg88&9oX)c>{LM7CxowS@WeBv=x#28n~7hd43g&0GseYD4VX z7X<7Z>meb?ssp&$fxtT0G6d`i?A?RxBugT*2Y~2I5b&~#m1QUbbaXQj-3g+*6Hzvt zWX>fd^(F|IP~deu8BQW|&|sxMLG-unP=M-!lv!BffT7dyez5y5X^;sWOrYc=0G*EL zo&u;KSom-gK7znU*pQ@BVv%G*0el!uknpOCrIXZbERw8J0`f(Iv|BeT7`wA%+5wO+ z6C}(%SUWF_9s;ZMH4{34Kw-JSGT#sCB^LRniJVA~6KQmkk;d?zM)wBFzBvVvG=(5w z9wKLYc^KMnXs>~=`+RU8C>O>^G@N8E8zfC9Sn@&Mu(Eo`gNAqOL57VTW+J;oZw7i{>9!Y`u!RH$(-!0sHly5*fRygl zyEkligWD-bkd=LlLb~4&ql*b;u`Qa6dXG%SSjc52@7F!3u1exScWULJKjk=Y51TVpde-HWin0)=3e9VQ9z$jA7 zjw1gWL;f`e&Q$>}D2KU*&y2-^B$#X1Vm^ZfgDvJWSS|m;=p85R02+LN=9xsxK==rf zE^^`%>7Nnw+_i{N$<$N~89lK}fykdLWwM1~1DKp^DTFzH_VNjfJMhr=VxUyqSr zkC7j~hhr|xXD7+CljPYaa7=^w>__tKN5cITJ_4j85m*BUJD9&-B)`H)KhgYpg?xo8 zPKudduamFWiM~Yg5g;FH;Ulpe`FNUqB}0N>CGr7lq-K{a={G|AjXY})AAuv}1Lh=r zrqT!G*9YX+qvYc-`PdI1e$ui8x08R(CjWXL&X+J45ic;{1D-7)ABzYC)?Cf*QY1uv z4Z$A6v-BYWVP7BmW{bZ{l-sR*lKX zO&Gc1z#8*wKJqmmK+G+{5%^b_^cTYQ35_I0xHf$F--Hj}J@U0Kd?b<-gdNS$1^=CV zkjxb%{)KbHN8%y!tasRd+&7h|e=>tFQcm|x&FE=FMsOv~r!aG0&^Cf2g#!M_@X4q` zppQyNbdgH%no9&)XHsxPFT;>m>LXP`k*a~Zx-orGMfwnOmA#EKPfM(9z zhu&>COc2BKk>>MJ)bJp9&5b-BV?Ks?a}(aT+wkYfb257lYR09*dyeSU4Wf*+W$+l{ z)^MNp2qUkWk6(js7hE(rg1)!W%X~Wnrku*#XKm?Q5$SJt^y+ECU>?&kvkRMC&3R8) zvLu_kOPSExQ4{{io6sxnK4i%AFsSSX@i7ZA1@D6N9n!NtTr3TZ)MJf@3>h$TXgsfa zFfW3bY8mex?|nqxicAVcCPpShafG%wd7$yyiY*x7$lH-OGI|YQVCfBmPL9s9XY6bui>q`!K5%0n8rhTbQ{jst2lpCooF~!?eh!(3qrgrC(3zv98Ov?@jpzqTYYZMd1lFKo+8uy8 z%mbQHY}$ZF8Crn{-5Lyr&O|Tr1|Sq8;|aL$Dd15(UXSMSdEkvFHL0vcWo;_!P+6DCdQ{e@vH_J1 zscb}LV=9|a*_6s=R5quw1(hwSY(-^jDxamY4V7)FY)55#Dmzg59F-lZ>_lZ}D!WkG zmC9~ZcBirjl|8BKMP+X)`%u}J%6?S#r*Z(51F0NDzx2T*%)<#a0Fp>hV5GpU?K<-1hQrg9FIbE%w1<$NmNqjCY23#ojc$`7bqMCD>C zmr%Ks%4Jl3Nab=WKcaF4l`E-SMdim-uBH->AT-M1r}R4E{(BQ%OfrfL+B9)h@yiDa4Dz8#`jmqm(-k|blDsNJGi^|(n-l6g? zmG`Lph00&4{Ef=rsr-Y=`&7bteMWlxMZZ6w5>Dzfo;Y`l$3%8K5#qWr)f|D#KJJQ5m5!1C=_J$y8>fG82`VsmwxURw}bmnVrfU zROX~I7nQlG%tK{fD)Uj9pUMJM7NoKem5)(bn93ql7NxQnmBpz{p%Tu`GvXD_#4}_m z`n)uia67&69PXw!B-~7INVu2YkZ>!#A>mGXL&A;phJ^d*4GFi=8xroKH)Lfh;U0S9 zdsQl{QCXeJ8dSpV^9By?o;M`iJa0(2cixb7sjNq3eJUGJ*^o-OYu>=at<&lK3#BRK zr)I|Un&e7p_`N2%0ossob+dton~e<#_Zk}#ZZ$R}+-7V@xXaj(9jSzSjE(P|sq8`} z++b`x??%7F?Zw7-xVzYpaC5OC;of3H_NKBAm3^t~MOkZ>chA>lq^L&9yuhJ?F_4GA|98xrmzHYD6a zY)H6+*pP4ou_599VMD_0!-j;rhYblg4;vEh9X2G~I&4U|bJ&n?QaO=^<1H#DQ8}4P zxM$eFPo?(Wrr)PgIh{(lUD&|E-NJ^1n}rPt_X-;lZWT5p+$n5GxKY@UaG$Ut;WlAI z!d=3Kgqws73HJyaav_y)hp_Se11c9$xtPi&RKm@{1`h5GHYD5{Y)H5>*pMI5=PRgO zN#!akKc*7y2{!O>ORyn7q4HBI*H8(!0~^1?-N1%~n}H1p_W~OdZUr_Z+zD(*xDnWp za38QC;Wl7H!d<|Igqwg33HJaS@^ktf?f^EvZ>QhCpmGP5Us4G-{~9>B_t%hc>#rf< z&R;`*OC{X*YkY^>ehmqC{Tgx))dTnZ8sFiTUqgOR<$fv;PzksD8o$HczJ`RGeGLis z`Wg~$^))2i>1#;1(btf0pRXa|HeW-+UA~5dn|uuk_xKv}43%()ukrmXmFK8DPvuWk z!p*$~4({zWB;49-NVv1tkXPvUt5ja2@;a3_sDyiZ4Lsb^Ysgzv-lp;nm2f*R45fC$ zu?cX7Db##30A0!hhw_0;NZG9XLAr5jWF~z2jE6TOv*6!h6QJBz{)BIe@|W@eP=s6u zhHb`S3@N!D->e$FKqo!F388d2xk33G{2(^{3Tf%p$h+|GpsM`s(cyvaQB*}$sRf&R zs^5is=4esXKuJJ_gIx_bE5Z0t1slGU5}VZst|hC9u)OrCq*tIAz9lH|h4_^LSD7&m zm6>prS>sTdP0j8|pAwKhd2yYN^ifH_#7G~N^i0yz2OjJ2fW$*SfrZE1S|B|b(*o(k zSQhewg#w0!!g#b4!wonS&oGdlc%T-XE-rAoB(BpLEk=eQ*;XYTCd+Ba8t{NvctS1Z z(Tp6|!jl01L#|HAmnVmJvsxavkpdGR0J1V&P%Q&RpF~VhEenN&l&zrpBv|v(j9uCY zQ3!C!sD!KQcyYKc4M z%s|aZe57ZfW`@dEYHOOj9l;mkO~?%MX0;=(ww#Hw!W&ZC&}a^+!~lurklGghMZz0W z+rhumQ~FuMc(NBLI)HV6=>Q=4tP>u#uDBDf!q!dgj%T3WxKcCc+A~mk$O2Z#0%pk4 zGmtGD(1^ghSB@5FquTF(5D(5Kgl~ahJ1IgQ;EoKtND=ZNcVyUPijar6Bg1Z4ggne0 z8MekEhST^2In*uEbTo6o<-HxXPE~Q28;g^3^z0 zuEtePh(qQ7aFuVwq4E=4<-|Bteu}G{6o<++xXLMUs9cMyd^--6>u{CR<50OCS2-gN zl^bxCv*J*>5mz}o4wb36%DHiqk3;1qT;+oQyGo@oG6lI&{R|%sGvm|f6r|D& z?eA=L*WZD2FKJJ!7EFGCZ8T9Q7~_-)7b}w9D#@^ z{LWOk2NZty9~JIZ_aO@Rn+gws!u|hI;bHX%oneV~@k*qspSe#*Ye7#vnm&1BcJ+?o zS!Anw)qL+!X@jQj30JABv_;7$-I3d&>QSudchB-*iXrj#|F$j@$#aeAgYhCrbXs9k~}u z{>>e^H%k7)9k~xm{?i?~FG_ykj@%C=KXga#kEZh@cjN&WS@XCf4@AkTJMthb%$nC7 zd9apX)nTg9HYTKO)cj;yTI|{5p(r`%jywz{C%PjKN6AU<$Rkj426yD=QF5|7@<^1N z$sKtVO3vbrJQ~em+1zE4F(^5QJMvhRoXZ{g1(ck}9r;C+oX;J397-N^aqfJR8jrk@Gtsd*qq;k2{V*_g0qU0~!k@unGFWr&9N69C%7ZuLCJo1WH^k>Iq&HWx*~f+_&%l+|Mz`N z-b7sG$v9Moah0dzP?_Y7VB7!Cx>wl&Vx7Ed46pMVd&0aKa4*lhSIO@Q^Xj<&`*8X2Mloi$i5*JjHLgSLsOcEV!39-K%t@cvjrY+wN65yv&AsdDp#4 zhnLxLmA}NHG6$~mw>VVh#8v(ghss>I%0J^!nHyL6AP$vzaFq|^P?;Ccmyg`5bmYr? zs7lQfhsyl8N;M9Z1<<(EyzW&xN>4#=A*<~%PrUG@xC_FU#R7 zi^rkzDO_cVI8;83t1KCZ%JR6%QgNuPfU7JMhsuh$$|vJcSqWG9R2(Xw!Mg_K-K%tT z4JzX*E5@O+3a;{*I8;`}RaS{ZWi?!7wK!B($7`Y*?o~RPvl_UUwcM+8q&Hi|=KU0h|8I8@fdRW^%5Wqn*_i#Sv^z_WTQ_bMG(y&>-9 zv+h+ovU($2W!pGZHpW%9k3(e>T;+3dsBDU>>=cK}X1K~Oaj0yLtLzqs$`-iF9&xB_ ziL2}thssvC%06+ZY>lhz7l+Dcag_t&P}v4oIVcX5ZE=-D;!xQRuiS>YSLvwS+T$um z#G$eS9`KRwRXXa+=Wvyy<51ZVS2;Egm7Q>vFUFy=Gp;g@(`CF}@D%?Kr^`4pQCHl{ zI8K-GcEeT1ak`ARJFYU0(`CFpaFr9|Nb#Py%1Lpk?1ihG5{Js(cqV$=y-G(W>VtbZ z-Mvajwb2(>IU^30{cx4D;!xQiS2;Tll>_kPnd@GqBY6hmD(Ai2 zhsu$-%GGhG9EB&(C+<}`l4mroa!nj6$KWd0#i4R6u5v>hDqp}=rpBT2MO@{kI8=_q zRelzS%9n7JTjNkU9?$CA+^cky@t1LxU&NvE6F{y^uJV2yD)Cd>wZGy}`9ALD-*Kq?09W}>94hg%<+Xp~P>G){ z?^WVZxdaWkSBpa>ezv?fAr6)J+45e094hg%<-NiGyGqYf-sQ-99V_@FgMY>@Rr8eh zuB2N`OVQJQ;Y364YMy-4NjA?L_O1e2B{)8jzt(M|_fuZUEvFJ7S4PQedGc+PTm>bs z=gD_aa#fVPktg3p$<izCas!mShbRAy zk{hDreLVRgN^XRbjZ?Ml$DICyk{hGsgS_QOD7gtrKFpKEC7!KLCHNaa)O5^XGO`qP_oLCv!UePDA~)Cv!mobDA~u8bD-qDC^^8BbE4#a zC^^KFbD`w^C^^iNbED(|C^^ED^PuE`C|T#pc~SBpl$?<#=R?VZQF3OUoF63*LCO4m z#YzE`JQO8o=PegR$-_``PM%x{B@ai*xq0$qD0u`*=I>Kh3ZvxbQF4CXauJj~5+xVp z$wg7}D3r`!(ySCi$)izn5#DlflspC{^S3uEDJXd?N>1S|mq5ucpybDS^5ZD^MU?ym zPcDg)$D!oXJoyQf{1Qqo%aco?c8z{LZPcDy=-$co^d2$7mJP{?=<;fLM z@>?jmK2NTMk|&|$hCKNhlsp+FH|EKeQSua&+>|F*LCI55a&w+s6(zrol3ViRYAAUc zN^Z@QtE1%UD7g(!u7Q%@LCNiSa!r&x10{Fh$+b}OOqAS_C)Y;Fvruwpo?Hhdzl)N) z^5nWGc{WP!&Xen*h zm!RYqcyeo$yc8vmbFnL722bvS zl0QW)&*I5lQSzFEcM;2TcybSvybiTIk0(EmlGmf;_jvM1l)M2YFXYLiQ1V8U`~goM zjgnJQ@?xGm7A2>l8Y=<`;C8mr?Q-l)Q?!{0d6mijw)& zVC7Ym{5eYggtz<}O5TQ&*YM;CD0w?dUdNMPN6B9#tVfb+BTt@)lD|aBX*~HYl>8N% z!#4Be$tZazO5Vbgr=aAoQS#?Jc`8c&1`YFeo;(dDe~XfL@Z{+z`8(9@uXyqdl)MWi zf6bHUpyb^s`CFbm7bWjO$-8*+Je0f_CGX+M@1f*O|Q#^SSN-r^W?)Q`7BDl%ahNc|Xd=(|D zJUIa+Uqi`Wp6o}-*HN;MC*!+1ZlL4AMc{%%sd&NeY}T~v+`to4)7P0oSi4*GjYG7|V~C^;`r#%HDeK*{-eGCr|%A0-#$$@uinpV*+x_ZUycCt3bNEf?X*J<+`V z03{dW$$e1r->BOuJh?wgeu!FroF@-P$^W3_CwTHCl>7)Km*&a%Sn^+#T$U%J!$HM^ zlFRYrw=uUB1tmYtlhF~KqN3yqJb6B9SwqQ{crrS!QoJa+GEYWFH%bCZuF8`?LEZMD z^X=$$*ku@?>;qr06KQHBUxIH%c-}Zo`ugps}41CAZ_r z=m15@gpxb(7K+j&v)P@Y^4 zCFeuQ!+A2gQl{ib$ z?xRu|C6DLHLr}{_Q1UB0c@#=6ijrUB$>@5OQVb=(&XZq6Ef+`0Z}Q}EC^-cszr~YZ zLdhk3lbp*hz9~EzU2;-N`lce}X&l*$?i*4{p>Ds!lN+LLmqy7mc``B()oUpCe6t*G zr}<`cWDhbcrPuI0<(q?$=eZzzGO9b&FDbc~$LmqyR;v6d?HhlUvQhowJ5Pp`t)3^^ zr)=^qOjW-qv2%sTS7IleO9MT8Pc~0(z-p1%@R{V%9(uC*J!);g?*se$V0M4=EBBQ@ z0i03YB{cb+X|fnGxhPfr?*E&~145JgO_L>v$;GMa{{KHF^&Gw>*4li2BU(M4z*gU~ z_M3dhny(U>331_rsPrg30R53~1%2zbttUX9dP=VMeVUTyTf5$us-^ihr}?&S^=)gP z$+taOnW5L;ANl*ry0#NKSZL5%Rtj%Dvh z%U%bXUrL+#WnP-^0%CGb%q%msz5FUTVANqOz}sW??IaHAH@D*y*U!U_KI^cRAi3?LGpVLl}k{SwiZjV zuU%t7@+%^H8e;Fmm`P@z5JK&FMfQA%z2z~BfPIA=wdWVv3nKPDifQko7+J(064?tQ z_EyA<&lR!kC5h~1KFD_gPGP_URqeUM7*fEQq}=F&p+RvEnnU z$X<5D-qx7q&%P}H4POqCyz#NM`;_UtokX!!Dp>=i)lZI2nB z_WcT|y@Dcpk0JKHh?!r$h!vlOMfQp!_IAV!pMBC14PP;ly%faWmob~XFJpzTgk{gs zG4ns+G^r-XGN~rpOky1~e<{l(+e`A7LF{FWm4s?Wn>{p2%UbrFL3|1^nJHHHTFqoL zi3ag$iOGtH$;>fhHFGSJl`NB7tX4+sWr-Q9S#0*uSgj(mR}Hb3HD>s-#xkIP7c+eMV%h5?veyN%mp`Vx{ITqHwd^@_O%KFmftV%>*i52H*wZq} zC1G#GUcs12Xg>l1O~O7Rd;Ji5Q)BgLRQsL^)Lws)y@80mw`284Rr@v!)ZQSGy&;Ib zX))8!{uTggZ>Y%LaKzs9nCWMKaR9Y9LS%0wV(*=p_TI7OPt@Khk-ag9y%~B+S zW1+^H>h+Hm*?SSOH#27X#hU8%j}zG&kJy_P(_XBpUjNG?d#@t)-i?7b(lw-B*cDW<(jvFyDsvbPAa_e@NC&&0B~SY&T0VsA)Hd-h!kX!%PJ`9I&`-ww~|0y6o! zBhCLciySFN?n1&bPVW{YU)Xnwyn(DQ`gdF5;QH-*5qmGi%op~}C1}3iC$hI6u{U1t z5F>o!W2Mspk-bBRy_aL!dpVZ9!`42U=mrG;(e|7C$H;C0+d7)|s-DAt+}b!}L;nEi zlN{Px)1&z2r|+kD5cXsxdG2l=m`^495jOeHq-^$IfWJ%dcNP9_q@-;1odZojr}^*f z@SRKbu1Hz$|82ehzJI;{0fb!-rTL6yK>x$^tm?U`SBu8C|6kklXQIyo>W+Xn)w|kM zM^wSOBCqL|{xrkz9ZdCpDrMZ(t2!8KrHng>jrCH-U4*ew%D9Ix(xi-EkZ^34GJZvD zY>_g4Lu`C5W&Ez!b;Ry=DdP{s#ttdtKEn7)%J>sud@W`Cg)qLAG9Dly-z8=Ijo8>D zWjsU}`=pG25XOEf;}Mce4oVsSB8K>4xF%&JB8(eSMi^n-lroYK z#%(Dhf|T>SQbqNmMjgXX)8?h0VGV&lcB2q?PB(8KR zBOl^bMkymd!pJOT6hOj}Rmv#ntlJZ^OBsa_uX0Kmk0FfQQbu7U9C@XTA_ybDlu;C6 z6qGWGA&keQjN%BRh?J3n#8ojVqXc3jMap;_VLUEnltjFGLdtjoVU(6KN+DjAl`={r zjB-*&8HDk)lu;H5M+GV4NyJ7aDWe?1s4QhXg)pj08BZgO>QY8|q-LloWmG_H)RrvNN}dea|q+DC_~qsl#U2xvWZRBycu<8Zp&dZxPi7O;;1*vJGnwt#&Fz-A_}xdrSe0JZ`L zpG^z2v4H&r!1gAvg9RKQ0CqBgoh{%%CT=po&t`0QGlAVL;2;68mkI1`0S60A_cMY0 zE#MFVaDWj#{%EL&G8sAQ;~>yD*itu4fEo&@VHRpQhk_r?0FOvbm`6&6`E6us!h6wg zqf-+WM!$_sP51!5k<2(QHDNLNVf^~Q1oO$8kiT``Hs8Van)zT-tM*>=UsGGPPcZ*A zy;Xak`LCI++WXCa&2H5`VE$`fs~v&&(gGi(1(ui(%BKZBOifry41J{g>;-7NUQ1kn zR;4B^H#h-1k7?f28g>mfF7?jlpCBbgxwHTC742suI znV^fSA`+H021T<|UXMXpM<}b|58h%9)P}#ccJ@TQoH+9Ai`L3Sy|x&+$xPR(X@M=N z2^(z|NuJuC7T5`Pzp>kW%h-=4NVE;Smv8B9#I_kP-_m=Fk&KsV;3e^HS8Bp$^3RiC zbdTM|DS95Ustu_LTL|k8u=d$mQ;j-695DZK7A=WX5)J!VbUTShfIi5ghe*->LoE7b zDLQbNK_`1=Na4OC9Q;EmJaCkQua&}m$2s^HQh0!e@0Y`w5;8T}b6x^ZP59iF-2*>B zDlqhEdI<^J9*k+S>VnC-+j)zp?0Tl_rCeH^E-QqL#U0SwIcJua@+n&aS3I7yz;!t9 zAT{AD2=Gzn$6Mx)fjd?cHPg{99%mT8IvBGYZSx+6ao@psmuL9yFpR$)jM-en$-w_L z;Ut7#Ghq*E(O=m@%qukEt#T^Lq}5 zx&>T_Nc|Sf4cwbnQY;c$+!D+mHMoy;<+125`$;teeQr-mTCj*c+buPApyCx@u(;*G zQZ6qrK`I%wbCC6*O!Sw->@QD6e>uwjQZf3=aVRmtXYB5-)^iF=iT`UezN?y|2Fq(I zqmg0<`>KGBN`O)%TTluz`+LSzuy`e0pFFBvW4DHMRl zCRiW-8nTR@LI&?icQJAotFy5XX?W4tjP+&=DcQ3~$O^XPpi_lVKj+HxLS$frm1RHC zGXpGIO4Iy7616ms*ln-T;q)3o4Ev!@aK`W&y_c9_-wLR#(R+xIOt9AI;w;Lh+8SM) z7KXpA`5dt(*)v`22J3LL=WQ{R^LUgHX-kMTdKQ4$6CxZ<2&R;-m6km$A=c`Bgf6g= zwpNy8Ot97(FB#zlj@KzkGLmuH@CmUt*)!9v!^xg^#8A%TF+!v*A=c_y0TxXNaIPKv zb+DI(O~%eaH-X=zR}mTw)=o`0#a8Q`qt*Hu_LuI_U(P}^mB_R$z0DtO-I6V`rl@~Z z*Ll{;;OH+G*k6W6f4Ri|GAjDZ74R&`c=m$%qs_A~WS)(W>bhpuL9a6E-f*b~Is*XCcW8 zCZ^a;?2^>Jq-7!f7Sbb{#*#V-Gau{MF8w(%k|{;IjF)5Z5D-WF8Ceg+yTOC+ut?c% zaI3xA<)|jJLsZR#zjxtpj$Pw!iKlGncN;G-;$dQ2)!lkAVLXx0lQDB}9_xj8?8$ra zl2AAA1=$EM^4E&1-Hx{XF;YIk!}s8Cq20^9k{oPwTs~mYWTQH6-#{;B(IX^i7Afvx z7_kc(d>KPX_RJFMW@C4+Y*g=$&V=uEWU!(TShv zNGsrPl|A{7NM{2e$<6?SDUC;D9Ze<$juVLgg%k51?>O5%$Rop^X?>n)xz7R79-N*ZC9jSjB0qVp6VodiOL zq;o9DX$+DEkX07s33obH32W)R z>n_-NX&20jkMquMI(W+Fq>IMpd%Sdz_5|%TU6fV5OcilaZ|gEMT{QL|i5+39fQ!1g z!DI{8MSYmW14uk()N;}N_!gkD@oj3tFJ#hbn?2`Ujxp)vhmIOsfn3(>h`ncG`m$u@ zjEv>|&_?^Su-=Fl2-rBhtPc`7~U;lKc6#W6E*rYA)|&{evo+KMNkp=P z><5z4YReT5j4lwHD15$HqNc%8|$4<&2nq!aNly%S*{2;3{-P|J919?-2~vF?NONc!0<=QBXy+!#(vpdY1lh=) zq&i=u)dan@(64~KNCQ&R`5?M4vp!3THRp3zp$AYJd@3moSaqcOb#X_T_1$m003eEe z&_56U?DM9eTU^yKl?@;zYj8~F^Bc!O;a)&#@OdS9ASkJ*NG$n)>#q-gv+Su5mdwu> zZNyb0*9C8|r2gUrVUjMa_Z1_VpoevF-A#fXAc+jl1aI0siAcsbhWku`jL51b#_x!9 ze4pwIfg`(_<*B>;@)R7sY=4D;tW15!Fn)6|GIHxs7hnE8TXBs$Dwp3j8C{wrUYSxOBRivAKIIh~;uby&&E zSs~@Z9$O_GjC_t1na40nIT-mJjPDu7lMY4!2V*P4DDPktOsr*aJRw1nA zLU5Ff7i~fEA2O4(jXiP78k5y{a+2X-h)K$_F}m=fhQMgd>L=IO;QC35hU~+AwXEJn zj&9EC7SAyoy6rpE)UwH**W}7uGs`UsNF4*GsiC6W#>=jRej(BAZ@eGKTra zIUd(!fW^!{5f{z~U0{2N06is!lCJX?jK|5I-^6g%X`s$D}bchX={|hPzSK@7|N70PCLf+vcrLLl7R!A zqYJ?XSAQ3KvXqnb^O;2gwOovmlF{}ss$EZ~spaI;X;$o&Gv=wd*z07)Uf?L?=i_!C zo|3L)vB;<0q~BBAoQctFbcHI*dYMhFpl5R?F}%s zI^$r3Jr3&sU*dq%@Soy<S#E1W^n2hIuWY3>MiX;4Ygitg5(Q1+lze1*C1-XX{ zzv34|A^cpG%7tGMPnwI;UlA{r^Wj&-Te{5fN2_{1{DZ}T;=?a#QeLq)3t3^xE%X}v zy>8DyP31%1&?G-Frlf`5W=Z0D07j?=iS*vyG5a607;duX6=C248yuFz8)Vr|SX0TK z3;)-tzU(CUv-#k+kgeR)kc8%PPPK5o{Ln%#C=4rcDknGv5e2XJ`f{h3@fMm7*35W& zKP|M>r`aQ~jbuwl$o|f*+D7uqH0kn&RvNL04XlFLvh^L;+08vC z+2+VLZqG@U41-XtLTeIO#gZ4wnY4ROZzs%=p>eEE@uV2rzVg0|5n7PPj%?=$A3fr| z_-6F536|{nSmF~C>SWJCA(W4xabhHGde?Y4<>H3jjGJhaz~-D}&q1*<~j~!@P!bMDYkIF=9s{FF(5JFg%wm=`fhF!zGy_ z-rL2;jv^kh^0A|M#SSygc0tl^ZLsH}&XOiO*fQ1k7!0UkRymYv^%A>sTaF#$L#A8Q zQ#v*x)mwC6xykNWPsuh6w*2;#?44li7F$)yIVoO>G`em!BI{(bXQDXjnUd5~A1g-2 z=v((p_IxNNlfJcWl7M$mTz8k@Jg(dpV z2*B8xFSCfU3qONpn--WU)xnYu2#JlyNo>Ft3epF!Vh`pdS%feqCP|zzUfQ>BNLMEr z7p&m*FFQ9rNpB{MXyW=4#C6yjNp%C2P+fy@LqE0n#p?|WL>`IV9eqfp_Yt|n-0di+`%Ggq1Ft; zx$R>%$AE&{4|3=)_AE4Cvg?M;6!VSv5|k6j{S`>={|)~Bu0N_3uG^~F-3lX>@5yvch3UJE_Slk$F6TJ&^wDu0_Q+w%NE>_S|X_|jHsYD>%n6_w%Yz`}`ZHcaffA$;zy|tht zCYMU)_t}_SD%sUSQi(Lid-#M}YFs)dC@@ScEtSkU80zoX6Kkn1p8Vw99w<&HroxgP z`(!IxOZg>e|41wF{(*KUmq}h3Pmi%5ppbE-wl4i$CfN#Y^LLq^fyoHq?449!Wz*+> zAct93p{dLH)!D$ll(a+zT!hn|*j*(nCDWUvb`LSTN>)my&5uT?ERj^Bu7u_Q*J9N15Y;Lq_bKbdMuC(aC~NBrc_@| z>l-;t6P~j>jn~QcVKA|=PO=l23=?2GT4-*%<-ksBrenP$%mkL0#a?zd$WAVUB=pXqH`~6 zD%W3*Vr?5)8yecuqc*XiJ?1vaVvdQ6P12Su)z?^JWpfN}QE^WY9ikCP4b z4(Y&!O?P>*@xD{K zcg`M@J7aW9*!bBg-MweE7dw$tKN3rVuj?$x*Zjs{5>K=aNi1deaJQ@*%~X%Ojha}{ zcZNI!>e0pX-I7;c*yZrulGq{z%ef(Nx9r__l78$=7{EUe=PEX|vNbh!47^)1`ZMwn z(>8+-lHM5a!v?Xtq^4oU!=QPu7|v_nC5A>vZM*d(fZ0ZEzO|4H$HDTGW`Z*_s{5oZ zhCSEqlZ+ME$lWK&pSFJEKCVo_P07jruhM*Q1$e3+rZ;x@{z>(cl ziD-C*KCwK@5RZ$DjQ0(TmTd`vY|3Pj!@Bt8HnUPw8UoSzgk+2 z?8j!T`@24dWU7t8IEpd!J1ujVH&qVST92vf_gzCbCFb-v7D#Qz}r|mAtiV9TZ z@uL~oC?Z;n`z2Ib9y1l@++ll45 z18yC1>(obe((`Va%Besmn3`c*nnM%QMs}??UF88t70n3OR^-3_75-d5f=Q0%V!hp(RTU2 z=CjNHDW6@IIn4y`@_))_mtE(x%kJ{oWj@-B*L%&{^-U!ATs3NA@z9ISJy#`Ln%LZP zRdU#abN275-c^$6?dg0~+IU)}=qkS^$1+VBVw;hS6J*(bzQbIz!9<6rOhQ%BLMUjaUw)(yz6|_hNc)%OFBl= zjeoHnog1<_+Njza{3>1|^wf!JwgUfIx;Nh*Q9tYXCBcE5&2+GSmh9GGv%$}@1=?tM zes&&FW>#-AQ+@D$rQaMB33`*aC8Y=LP2QFa+ML~y+p^saOs2Rk$(Kg`=;%$}mK|Zn zY-+zPEy{et-*$a^stVAzB}s49zPI_+)!<@taphfDh?(bDT)WFpDU$kR0c6q|md1PB zBn))59rGrSB#Y3_xs6AXN{67?$=pYhQ8hucW$BS@7MIBek0ja4Xj8~(Aojxd$n`O0 zk0g_$WWWx0Mkg*_pST>f>yd4VE81)86F-J85cK~+G1_mls`-r#8-iYfJwSjYudNG^ z_Hh~@#nnh)Q(EF0GQXB+&a(xqX|}Y)4e%D9j=E3x6t4dzQ^-5(?r*vCc5@C(T%6MQBMPwr?B~$jnl9!NttXK)-$>+ zP+`g0oK~FXMLmW4Txr=S!&27OB$)$@t4T%&#!d1k$*$?7WgqU(M)Ij1O@5enU_FgU zhtBpA6)|=Sx$!h2$x>FN;O@HWdv94xto&FhQ(a#kA3@*|iF6hQ0HJG0Kq*Z15 zZ<;PiRHId88VX%6BF?Q$X3?eRS=bXxmkd$UlK`*O^pF)U*h8OaKMY1omR0|Zr^(VL zjZfKR$s#dZTPEv;#Bs%>Y_g=MpB@8v`=cjXF#tP|*ciy@x&g{4%dIdpV)J%J*LfhL z>pWl{9*7s%^ca8^4a?hMD+U~SAk%+J*-SETnH-Zz(qUkhw6si;(NTK1bV24$vI6Ev z+RXnc!7{tfvYB0H*~~7otd8bcdUQ+Tw>uJdr6ukq7uNbo()!h$qcNa+Yx2?C9|-+?v|1$gHWEbCq;hA z?ijYt&M$l6$(p0k@;j0OmdG7RQQ$u%MFBTSVL!)FE8sdQ3b;v%v-T(};5sP^NZ%x} za&G}glr=}9tl)o&vVv}+%>F8eR?u~n6?7A27wl11&~=m*bQfg>9Z}X4iLyffDas1D ziLy)fU=?y5Wrf^C*%el|x1ZJ-$76FzYeJY zuS_~qxwPw4E*&eC;UzbC$<~%`rTi7c%$;$>nOkzWeU~zU{~>{=`i4Rc^U%9k3qw|zZ-0j@?6{dgtH3X! z`I+PV!(M(JO{>T=f{hs)0SBX!gVBLufLrW+3EDF}!#@XVw1z2Z;fTFft1Q`D&5o!l zOZWa;&0A$|0Wi(Kh_q8iJlbm0s**h#Mqabua-vn0?9ni?T4Q^ds>=HB8!S(&8gnnv zl5Z@h1EhGaM(iE~25-nov?sQCbwvf%6GRe?F z`kpVi-P9hrEu?$vIK|^7@db*TbBbq);jCi$3#Fi$so}t7aR--8Vt_6aJK@Na0C)NR zp9av4Qq64b3Gi>la6UG^7DJ;wkQRKqn+V%E$ncvT9=D8@FPRCZmj5+hwsf5@TgJ$j z&LY(^*)vxd6YOveppq@3O#HT#Yy%|C9$P*@@p_>TY-R)KS}~MpW(o+vzY)V(mt{w= z8a^`x1T>#;uUR~tGc+@mHfX-)Uh@?()KP7N=0C-7J~qUIL|X@=C0{^-aN1%3W_h7< z4NkMyRjni&KWqwHaT{l0*&ce|u&nB5CIec#?t!(A(F0>{71LVFX8&Q|ws8q1%#nn1 z*~8XacIFMU0?<0ffdLS0>}*PF>1kC=ngMjOIC&vAFt=xF0C!u(15{SUvr!>#@Y(95 zb*!UTm?;!+;VW_A_;}bMhLU(-rq)68Nim$)EGhIvvwc@NiQ$XxHUA`rf@bE?aa+xVv2@0XM>ZP?# zLTBsf`{SwFvpnB+0W{(EA-URZNKpubhnVB?T6t~TLoT|t&M3uCKWnK2D9Pf(A1Q`BfOJjKZyGXw?$Pa$w^<~Ct!;c8`50D zykme>n=8qDu+Q5HvXvk6mKyEGYtPU$V1gafaOh*ICkI zCtg^m%P_9He)&r4EbUn{bz*0Igd{>zeWM`KNu)4`RBBx$GtQ(3>bD zyA2!Q;$wAetJ>Z8>2lw7Qj8&NOwX*lB-a|nj_DzGmrR}-A**JOi0-k9G}Dvou0Jb} zhB>Tm@l|oQSm@5TtE3@fdyqXP>rMgM5Iq%rLn^?$Ar-bC*rWB5?6x&F@tVzACHCDV zXcx_)vm6guUt?}Sl1It|5AnCJq{e6c?Q7h6jax|1Bee`?trv1gJHgic{bVoCK<(_f z?OW?7TU1~MU;QNgLAHz0PtqWg+KcVv^pka6I1j`dxJk>;RucX6;ld2ScAom_L&Zp@ z$I?%BH@f-y0J-|qF`xk6Y@rF)9b6;zt#_Q*Zhn8=Cp17V24`EN{*rf$*tG0#Y(K!W zC9P`1bqvRBue31(kO8qgWBLFCTzfV^^43ebXZ1|aq9um$Y+ww}*zU$a*PacOOz5S1 z)_{1%y?J48cY8`+*rQ{TappZ8;YKN2!p+QmBjnW$IE|l7Sl)o1TPbVYJIol-M#sGb zLTOg>6)E7VB_3D6+HW$fho5F@hNI5z2w;f~mfVmm|=2JXIuy-}8y&JHav2(@&N zYI)t3>b;|n-?TmMAAS55l>CNKn}Z!T$8+awrv(m2;lmy9mpSJrU1Cn0EXVTG|sXE!?5Rq=I}HFm{`{WzH0{t zqS*|#36PZ8VgY9hfUu8;0JmGfIRYSD#zTN#S-`mh;5R1lTMIZ(0NiZ?_gKLB0^s*1 zaK8n7PXL4+{=~<_7I1+8c*Fn(FIvEb0^o5|;|UA+z5sa21fI5l9|(YFP2f2TXkKoU zUP(oh@PY}9`nXu2@rnt&YH3^|0A4eJ*1;^=QUMTV9UvY9(e?gi0w8n@0GJp}nGXfP zpH0(QEgzQ)fVWNH9Siu800?{WNm~DE0aplsuv>-z?_0o?0^kD^__qaIB>+A$f!2j2 z+Q&FBNi~2;nx%2I00`^2;A4{C0{%|`44J@03;2lu7%_nvEa0aCU`7*|$pWqs05coF zV6-h}R#Hwl2nOw+|J;AR0ZB_%DXgoXM{fO;HIB`wqz z9!0WMX)AupSir3qu$%>q2IF%KSiu5Tv@~wRfR!y^6$`i>1H#F}W*e6j%{O0Qz}gnD zj-_!225evf8(P3G?ZBiaW=g=ePine_1^mi5j*3>wN#s%ih{v`Tu$=|mX$O)FMu5** zK5yagO-0rz6SF&1#F1>A=Lqo!Z7fZt<4G973P7Ls1Ifcr5Z^!zFC4GVYx z1H!0?0w-C(gBWnC1$^5A9>Rd{Sil(;@Gt`Ox3qvWE#MIZm^9l0&ar?;G2na)_?`tk zh5_HVfFD@E;}~#>1&j{;Phi017VslW;|~~cl?D9R0-nTxpIE?8E#N60NYl>I6rHx$ z6t;%L(G;Dr17%Iok9MFG*99n;Ob*VoP&WjqjnLd$ zmyv5f3s5jlOWI`Vx+y@xAT4RDg}Nm`!3Zts3k!8yfP&#!Qgm!~he1`c3IbUWjt=YZ zGAL{0k@Pi8Q4O{%-V>nAabB>Q1^h(-{Fa$L{8fPZ4x)dzrRz5V3dW2{(E;P{0u)U2 z1rA!e{t%#GH-LY+g}N_5!Qj)s(n9?yK13P zQo<0{|Bi)v$e^N0c@*M4#X|ifK*1-S; zYJ%r2i-iOzsMCFCEYxEHln&l^v`~cwsANF3w@^g{s7w&X%oeJs00px>iB^ZmTTFn; z3aHO4UBv~cY=E*lMBWqu3i|4a|Fd+J5TJ5Ed|kItj|))HosZtV<1Hyb!30zE?j7$F z0#qJ|ue_GUQUX+dKozi1r3EM$6a=2NP-X0>NFnGSR88;FMIN(IPa1EM*vpVL1rjN2 zp~~?na(=DqPP(Sv?qU zMIJ>?PNKR>Sh_0lD00^sMLlkzp5alUKP;3rqvoybK#jIgPguID@F;Sj5Vcs!LRIBa zVaPKSRoX&T<5A=UDvBy&p{nz!P#+6b)5D7FlDBlxNmhy)`9gjFC0gA5wkO zAk$V%i&RdFR5NC{0?`(@7Vk&kSqog<@}ssMf>m*vH%ShLX|hNylF8E|b&YS#sRiD; z#^4d(DnhpB06jd#wonWN@fIy+hYlcX2^Z+2Z$tnrN7Q3Fu@`{?MLcedcwEobISbx; zoLWO+ptmXd+e6e)as>z3&H#=CIDp0^|> zV4oZ0m8N8CUZe$MDA}__$YYZeOe_*ZVI%ZAFnK`|t)(d-cam@I?B*It-ZQ7+iaf^> z#INXPPv#dGg8ZsZ(}ew^IByf#J)r3}>^G$m zhI|J-3C0A@tDVAFWb;T9y|oa@?AG!&k-comBw`c&8HrA-p=-jP=&}xuhSDOl;WL9r z{deU9kK*^J9?##({m4v@Czz%Vf}d`9a(aIDJnp&adC_yr^NHuS=bYz`lI*#w)biX@ zMtXixR(O6@PI`V*6FtAHRXl&FLp=A@C7wUkBc8vs1kVGlyytJNpXZ^r!1Isxz2}kk zujgNH8O7u6p(x(jit7DN(Y${t-h>iLLP96SmoQ!NCw!>{5`I;JzQRh#*H%gNO;WAccpBksPbf_y;3eR zMR_W+O?f(UM=777fKnktE2UzFHUEWZ9!Um*t_- zF>5KMQ`YWE=d81pE?KuLU9;X*x@YUF^vJeE>6vYh(kt7qO7HABls?&ODt)sLQ2J$m zSLvU9n=&B#6=h(K49cJ!6_mj_x+z0)Ojd^G*q{u{aZ(wc<6q_ZoJq>aoVk=yIg2Z! zbCy@e=B%l_lykK*KIb{*T-}6)dSNE?8GtQn0JCwBV=8hXr>j%L`smJ}UT7Sy3pfvbxa6$|r@p zDQk+{P}UY3p=>I4MA=;ImhxF~zp|xxMP+O8R?6qa2PxZ%PgJ%SU!r_b{D`un_$}qj zl!D4PDX%Htrd&{VJ)W%WE?Gs{`^0c%U#SMlfl^;8hfCd8j+EZ394|9cIZ<|ra;ogt z%IUIKl`~IZi)XY7teb z_NS^=|3KAhsA@vZ+^WBpPYu?psfKGSYNYmbHM#beYR1~Xs+sB(Rx{UWt7fS)NzGbk zyPB=eT{U~%f@+Sst<{`$-&Awe-K^%Wdsxj=_okY+US2g{z2<8EdatPk>TOgD*1Mt> zt{+s3)PGzpTECfEto{(SM1$38$p-t?(hZlWWt$dM%Qfq&KGp1kTA_J@TCsV1wQh?} zYQ2`-)cUP@sg2q`uQqKrLT%ALptf$GNo~_1Np06*rTSc#5^Cozoz$*fUQ&B@&#d<8 z-a_r)eVsb6`@ibo9$nN)J#(s)dui&_UU}5FdzDwG^%|&7@3lmIr`K_HMz6c-%-#jn zS-o4U@AiIEo!xu0I=@eL^}Rk#)dhVUs|))zR2TJsq%IlISY0}Bxw>r7v+9R~I;qPC zy`ruhGD7`i$awYBA#2q&L-wd^hy0+f8(KqMKeUg!VOSG&h7_sx^L`i^}yI~)x$3?RF91-tsWouwR&QF zL_IaWyn1?kC-uzu3F?pISE*;mA6Cze|69HA$`u+UNZ@e`?{dv+e>di?F)Z3HKs&}U(srROoRv%3rsrjZ(()@2fsRiEtQ43CcQwvQm zttC!BrG?*lLra=bN{h@mrDd4;x~9+Ss3pJKS<5)PtCnd_MJ@B(yqa%r0WIIW)>{7g z=d=O~GHL}E*3k;RKSnF^{u!<42i3J=AAG14UlhnDtuH(tN78gTBVOBYR`Q1nO1p48LjGy(^|EauV~d*9@T2Be4y1@m7>*N)j_MX zYO+>$)fTPZsvBDUk8^4bK5nQr{P+c}(Z|1NO;>-VHT&NUt@)?@wHE7Ct>wl-TC0uE zYppjQ(b{hDYaO>7*E)S(M(e!oBdyE!kk)nkT&>%V_FDHZyJ$VXT&?x`@{ZQ~tA$#h zuQO?Vzuuws`*yq5|GReDfbV|M2JV`z_1yK1He}ZsZP>1Vwc)!9Y9n^n(MIhlrH$Fs zSsS}&r1rv|549KfY}Ll?Ijp_3=ejn2Z+7kFz4f$L_r9XNws(~_Veet>^}T;&==aOL)WyA4<~D@ z4_DIucesc4$>FKmr-xIuHHXh?YmfM~bw^5T>yNb8HXM0P+jwNPmU`rr z+UBFpw9k%?)V3U5plv<+wf6bZTiUi`S+(uQs%c*w>#OZJHbeXJ*cR=pV;8lZ$HUs! z$Dh)^Io?_O_V`5YyW?xMUB^#qyHBXvo)aasy(e00`%b*1eShL3ZU2b_+JO^)Y6pKP zpdI?5p?3I(5!#U-7HUU-_(nVS!)@*O$!yw*lhw5!PWIDIo}8(jI=NLlee#la=2VjQ z^}Zfn2%m`(fj$12)yKladm|8bJ`$B%2Y`#&Dj z{`~Q8?XR;1vO0U*SzW|GhVS{#S3(PdU7ipQ?E?{M5^<|1`y$ z{L?yb#-C1jGyU|BH}i!;-Ygdyc(Yy@;>~tpwm18Qt==3Le)8tL==bKjSkjyOVk>W+ zi(|ccFD~-tyZDVa|HYrZ1ui9f3tlSkEp(}q_pwV8yoE2V@)o&tz+3dv@7`jUb9swj zuHj9&+{atu^4s3WFK_UcynNF8#N~gzrLGkAmcG)+Tjt6z?~_;Nddpqe=6&kQMeoyB zgWmF2OL;3?eb!s?>Wkh=SC@F7x%!>A^3_}3D%Uc3t6r<c<$y=?kj(KJbEbd zdgKj{5}5(NWP)GdH;+GMOJusovljm8@%SQh;IpMCX) zBF~B-&r*>Tp!BJ%7i^6Y2i+5cl z3-k;6ujd!!mnK5>ZhzP3kEv zR;(3oC0fbWcx#&V#CmT1X8mcsvQ68z{p><^QM;sF#x7@9va8uO?AmsaeZ)R)pR=#n zH|;z2J^Q}>(0<}^JpP`No-&>c&nxFO$J_BZVNO>k+=*}^ohT>9iFM+gMEyFmgz!Bt zg*xJWNk4J$zGkLL?~3Mn>0JUkLkGx%b+8qpVTi`0XXbdNOYktfZUr2F(%!70j2l`mvB z6)j|U=mFvCmXN(jy&(enKws#`Xe6mWX#i;;M8P14hQSa6Lzp)dhCwX7;reNnjDvU> z0SPb?5+Mmjal~jyhA}V}#=&@)027%!2`0l7m$02unKZuHRQq?mRbwzDA&UV z*a(|oGoyK=4`B;zg>A4Mb}(fpX&3BJaHLd;`t zC>(?1Z~{)kDLBpKGjJBp!Fjj_ zT_|Ye2_?DG^(h-bFuVtipdr+OAgBw0P!HaPcc2Bdgl5nN+CeL54b7n~+~fRxL;9B2 z{2l2&d=Eds19%9J;4%CNPv9wZ(1>sJTaaZmqC4!StVx;;!yy)iX=HUXRfs&Vc|yKz zrU`M_Yljd&Fq#G_@Fk?fLbw5o;9Hmg8{s}of$w1kT!%Su8}7hVmgAULSjzTW1hr2LVBa1<0%4eW=dHsumSFRAhk$#3z z@H@RX=EW%AIOIB&=CeeZ-~&yqNFY` zlClJpr0fidly5*OC{1sxSGo|hNLg?O-iJ7i{`o@lCS?<71c9dhPdd<8E7T?Z1Bkk+ zFT7!c2ORJ<{De_}6J6e3!?&5 zgi25usz6n!2Gu#@EqEJhKuxFx??7#+!{oXU2tlBqfe51>)Q4brk3Zf38bTvz3?Z!2 zgwzz8L33yUEuj^(hBi!YOKJz9&>r50Fz5gsp%Zk5F3=UaL3ilEQsK~(vKRD*2~nf^;WAu-n{bd_4 ze7FV+U?6OOweYU7L5NMH^RNUiz+RTRM9P5AU@2UL6|fRULokehc=!MkH1ZGmLWqZy z)8PS3gG@LJ=ioaSq)}Db<&UakQk_)!Qk_v}rMf`sthxvlsrm~Q#`Yfp0Zgt)s;BA; zRYTPj>VP^Z)hGH0SzfOv%R)Jp?*aRGTOp)9&>A{H8wiE=5C&gE3uq2ap#e05R?rf{ w;bT^AOggQR6`&&c(~E{b;0gQ$KSB~r*2rq4r|^QZ76fSgmgxtN`kRmV2X@J$&j0`b literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/el2_dec_tlu_ctl_IO.class b/target/scala-2.12/classes/dec/el2_dec_tlu_ctl_IO.class new file mode 100644 index 0000000000000000000000000000000000000000..c575bb61cea6c8b69334c8340a74a6d2b8e78971 GIT binary patch literal 75823 zcmcJ234B~t_5Zzj^Ro43ZJVV{Tc%C-G;7TZ~-?2k+O<_2#6aZq5>iU0wSWKqPQUcbMAdJ@8!)&=KX&2`+xfBJ!j54 z-*fkK?|tv&z3>0`&TliuHtHui%jpmFl>~;$eL#Jop-Ep~XvpVnO=6t0^uA-kiNH`r zN$uoF|4<-_X`Ia)3ie8Uph-;UY@rQYJkjSL@^iMdNirqlfq|hwU#O%V#qGht5r1fM zJW$P<-a8!%Oz?H1iF{$R-=9#sR$raq&JudEKA7Oi;+ic`r}ZvVzH)t|cb$ zd07dy=YxD}ibbAk%Dcky6wuF6^4+MPs`S07AYZQJEg;XIXOXWmJ52esRbFe#^V2N$cbW37R{0)N-er}ynDS|>yv>y7 zr(5hFH04{Z@@`Y!WtAT><FnDQ>G{H!UTw#Z!xT#iE+Kl%CGwC_qZ(t@6{R+-sGeG37xe&z}ZA=}N|NTU+aa_V*h8 zP}&!f@thyD$}>%Qip5X57MSw2R(YN&_gduzraWkspJ&QbEPm2eXv)`G~B z`I(xoOFvm#dtuf}x7APTrk`|M{iJUCNw?Kc>ZYG`Tm7VN`boFpC*5lkAYbM8>ICF# z^?SPM_uN*$r<;DyZS{M)>G#}Lzo(mi&u#d6tncpdK2!FuvCl+#c%Lcd>871&tDS69o^SDoy0I^n_O0?orhb=IzSNXYTjk45 zxpg0_oBLR|bswvn`&hSiAFG@DShsZ_tDE~+w{;(@oBLR|bswvn`&hSiAFG@DShsZ_ ztJhk}Z}Eq^xsP>Q_p!RUk9Ax3vAVgBbzAqby19>aTlcZLxsP>Q_p!RUk9Ax3vAVgB zbzAqbdatGY7JsOl`&hSiAFG@DShsZ_tDE~+w{;(@oBLR|bswvn`&hSiAFG@DShsZ_ ztDE~+w{;&2!#uo?U2FBviKc&EYxU2Grhi^*_0Nf>e_m_#&xv9Gtb5lsv^19n8q?40 z$~atow4tqks;GU}(S&l3dl_Gxl9j)TC-ye9H4T<@m-crR7cKYr&&x_lnOu>z z5AsQxKfTMN?d;l7P+9D;>bd4~ZR12^&Y8_=hc_q8&tK5FLE>HW-E~#{bya5$jucc3 ztWU|BPSG{(NJ{-eD9;|fCfnoLw`Hs=abMYJSJ`OI<}(c&7G))5cnfxq=4~5jIGE)w zTLAT`&JM2AH0`|9i&pU3^?Q2S1h4I>nyl$As!a3prnOlt0v9mR)AkN32> z=KB-2jI^Jv=p63iDR{lgRL!2EV$@Z$k(?d(Dd%Mcd^=~dZU394Y=)P5j$tyi6$D0qHNi2c!S%0wLbkU-!3Ev*!j@Zj9 zKQ(xE{p!}9EfXG{XNU1kUDctQ)S@jVKAs&ZC;T2o{Q(#+^_vDyoYgvukCgVEXgRa1 zb;D?6pt;^jp++}Ug74UmY zpfMZlC&K(u_HlkSz<3M=6Z;#AJ@fFltdC(wwI{UO`mCbLVcBllnv(9S3wqMeY|c1R zHr$n1R=c6QT(*l?QZ+C*5Q28Cnyf4DPg==E#GZc#jN6{=qYcJ*(0U@}OB{v%o`LhE z;y3~OTP7N3jGuX=Jk!hPdpCiX! zQ^=o?>WPx)SNC@0gmT@x>~_i~>xzp8p&v6EdyR3t$`ukl<(staYm-Wi`HsGJC@bhx^9z6D_=WcN$aycvRo4#D zFx*)&9n4v9tT?~&=%GYUq&(vAmR|4K^+}mWDkgdo!QaEUD~s4$ynD2uYM>@(=kAh4 zRiky~XATy7(r`RTyMt|KR)b$2IN4UTy7h3`aLs1$ud+YoJPI_V523&6^(JQ3Za7?~ z#;Y7J;Meji+?vfFE{8$+LuoL`Q8n%B^_oj)O#KMN=9-cnq zH~L@M9vhDb48NjnmHj{1zUNH1U$Ryl!g;U)>l3OE>v_Gh{5VgBmXsfD+_T_x3)owR z_Bv%hgCE^I34VOJ-=CJ1l6IiHYEu5y>{+g)3rH_P=I z+h65-R@;((q;yjA$np#X_MBM_<6sEZ4d{Q_Pn$~?Z#mYLbLJq-N0omMtViiEzLIcU zFV1T@ym7ek?0P6?sltQ5Z`cFtl}q}oJn(x1avnp!xRpQZJ<(DG^{MU+=0JZZqn*Z% zbnrtBs|q?{oZNB7Hd-M-lc{<8e|Ak)C?tSfy1*zbWoZ0{_&ZehFSSB1y(gyyy2jroM_Q?hU;_zh?WSod)L1=_;n zdww2oz;zDGQ9gn5wHfS7f74S6`=FFIY-i|)Rn0x6Q+4G{4a0d=2`er3!uzd08t_#W!9GXM zn_bOa8;>?@E}UxWE<4_p2!0>toi}wG%!|qZ?1yvHVLe+J8CNS-4|kUa>&n6Jck>02 zaf|uoZR+i6llxn9JZBfJ-f(2&(L>O$8!Y45o3o%}w7Yb~myP8x$2s_=&Jw6^UHai% zzZ@4UcEf&hqOql@v$(sox1kvPswodNrWTzx_HDUpe<<~>@+4Tt(Lcy})LdS)CF4-( zsBceE!-mCGFn>IzZO-V8eD#6UtI`$W=cSGeA#V?E_fc{~aI zmdtO&5BpEp7fd#0pE=c1PzioC%I>b>?y{kp9U&OsRS6XlyA=(^N2-D~IlZoet$RB^Bpyb!%nraDT&igLBiSnZM!u<-ze2?l*bGk;^ga-6Q(c)F;oe6qc}C|9kw zD{@vY>;*fr;OM2PL&(4`i#2#o(&Y6i#$-IC=iLufsuLUN}EB(DSMSHL&oN zbnzTKnBhUsm|zp&0B~V)Ye9JsI}QW3qq(d4Sk0b7IC#KD{%l2F+Tl$BZFuhM9z8!Y zQKbD<0wz3cln!QjPHHK7(h0YBxu)p_X+6u~z%U%RXmRdv{-%K%!vXk<3r~w9mI1s9 z4uIX8dRwt$mS!d7dYW*g8=6h6%PW z{m?czpcEySc=VBdM?__DclAk+zHeXgqV0IYptHH4A|ZEEQBo;P1b@I=r1x-XzyBaC zXiK+9N9WnOMc%Mz>Zu;BNi3*@gVh3GxS#L_iahAbd>4{(Z~z%;XYe3#+?(hr8^#02 zszP+E=$LkGSX6$h)p~=ZzVc*b9H@FtH12|pm{lIWF_M_oh8vCWcsc6&|C|7y8WK?g1mEGyu^8>vTM05&R84jUvFZfL_Bz~Uyj(NroAZp~e#r|T2^o8fHyRMPc81-C9YY<( z;4fjLk>`;cVYzU^hG_9r@!`tjaKo(w{Xkh{A%l%k=jP*ZqwQ2{&!)-7RNMfjMHVR7 zh;1&04X1H1?ZXX~+F(n&gIzEmNAfDiMsuJadU&pV;q2P6trPqJZ0y{TavB?e#FG5# zAZ*0;MK;cP8;4J{?1URuWt02l23&2N@CI*lPN)**=W)q63c~DT*+W-fa z4aUY1>wjpE0Dru3&|1%??ky7yIch^>spkOrrT#|chr$Ocb&yz8HP}#9n7mpYsN_b? z4>wwd^TBU(V?(RP`9NS%!C?B4e9Ju1I-8el><54D3U6q!Tw#0wZ)6zlFM28_n$trK zt!Y+25XKiQu=s(Kje9m$HWyTHv~J{ZqutmG{!JawPPKOBTQ+hyUMr@dedKxy<1#nN zYhCXm2Rn&VHzvaewQap!s|u%vmX?>#oHtwGhPY@bUR-f>G*v9H&YPaLGsb}s<{{L_ zw6{KKtgEzNjwhIheU|xKv}ijVh(*UJ9Q?ta3vL8Q=F_3JjZkjz2fHleqqA~y82pkd z*U3mbf}P36J)vCCANDTVwi^y?aHDPk9Q62kk#T6=@YyJ5kCcd? z3bkh!bu=&9IMC1r`zg4g3LEHMaD$__9yY`tXL_*CnSP;R2aNyDihdmbDnDWIf8}^X zMc&Bqt}4iD&aWJ>ws%wh)`=PUn|`VfZoI&O&!{K(>FS7|s$K(reXOp$sB*Mx(`c)i zuix~$+xlwK&p>-sgc@LneAyUH|$&BLD-CPL&$1*IIgw+^w?0aFBqyD z9Ucn~1zN{K!O@Y4!kItohWrx~No*d3w9bu7VriVsC%_OS8%B=#`%d@=1BJDtqeF#B zER(Yg+odFy4Y9HEI)OXj9SH$$A*e`}vjsMM;lV&3XykF`Le5g^aNYysqr+fk|7b_B zKXfdKErv+nnKH=O66RYteyVTi#IgQyFuRnql*mu0?M$`)fq?)hxj9Q3KP7)eS(=z0 z>6@4UB`6tKGJbNF>hB8$PX&CC6SPTFxKedPqkShJSU7oLJYZZ*fwNAcuTsh(hD1N1!Mexos8&enHbfQ5J;d zN)j$hM15>LfUO^vV<5ORGd4OAvR;^vO)wD}9SgyfH`j0{3W(xfw|A_$9CEX$(>)QzR#mupC*et5PIaU!^i?n@~^+LD_tuobwxna@J zsK4JAI2{U%kNAgt!<^-Xuc+EuFJ)nMu^h6>;{iW3uxx^eY-&6hf)SY+R)k>!LkRUE zSQHs*0<#(j!pQ0Ghn`Lg|1^r7B^wb%OrQFkCrX(i9x&8=CA7sDS(# zmvO}BBVr;rGB_0Q1^W67Fkk?iA-mf@IU#!$OTGlPgTpW^`XK7xP*yD=hkOBbUAE!u zm$7zgykud1eG}upslbSDa^$2>HjE*|hB0KBVZHHw2?n*aun3_zEh4|irOHfEKa3^R zlEWV}RI?W6@4x_d8sv$8=MXIQor0m$kGd&1>_*1=ke3Z(C@6`{4<+b81G44wX0&{0 z+&?D!1RGsy2Zx3NgD|MjI~XP*Kgb%UCe}O?GXx3D2lx{UX)?1lN4;A_Hmh6BN4 ze(*^mTr=hR)A6TK1-Ujz-;VAZhg2xw3qp$mN=^%GTsaM-)&Afqs5P!<(D|Y9;NW0j zTn-Sak``ICV1b4av(EZ^n{8y7*iiKjP|n6R0hSRpM&zjAY>^UzGad(z1~WZ8>Bq79 z20}GFDBui*U&lzGhB`m!KIrRtqgIIXZmdz+zpTF-I3}y*OhlGQF-WUM-hWtSo zZ=5CKuQ3=q$-~E{z`;*KBc~1rPDAsL1x7$2B@`TnmXY0&JTW>DQf$_!xhi8*PvU}U zk;ws-rUbZBs{uA@lm&MNvWF0s)Q9U97A6}9k}9pa+-I4>$dWH*(C;|Y!`lEmSmtO0 zOBsxPY|h^C6Jv6}Aj=+cATZMUCdaWwq))>!38FD*+K5jZ@0Sh?3M?HFIxyu*Kq7r8 zf_leGeR4oSH_qr2@F&ty8QqZ|9^&8?PJmBRt52_Qs#pEtmsB>U(dZQP1YVFE1hfL6 zzR}^~UN=TV4@H98zyd1 z`1)9IEHD%tnQ<}F9=OptIXdAR8xMhlkBnOIwdhU2y`KVi2j#Z3F4~>t68gJYE}^fU zW9zP!Bu+&ZcWz2HiB{;1||hXtOF$g`hXDcK3dtXXnl~-)<<4`KzfF@{`xv+B{vp zo%MV7?}mm#NyBbX_O$Qz!FcE0u=0k5?cQ!^%w+tj*m<@4Ypuq^zm#$OWi_6L+O_*V z4ZdBiO*^3qk>ASN0{o4a%6;CJo%Nlv5Qdr*l*u|snDHAkGJlubu9y2}UazoSuaMW1 zFhM?2C5u8xuhp)x6-+K_QgQ(rNw{1T*;4aUBrv2b_ z<=FGo)z!DR%eDb0$M+C&Xx%7XaCK2K@O4o#C{2`X6CvA7$SPsmVJ%~Qi>J1!9!g0_ zp^StSN=ZneoP-ogN=TusgcM3kNTIwl>e}pSZ-mlD$?WZkdiM52nY}$xW^YfF+1nFk z_Vz>>lrMc}U0t)U*3;7HtM%@0hYgn!NIpaHUHj{O9p0Td%ala+fgw=_>g(#lD)S^r zbqx$N`D>RIp=_vmqViNWS`Mg!Kp~Wkw)zHdYfHFD?Vg=&5nfJbWk{C8D16$^W{yT87z?ZDpszP9?E-nRNWm=$T> zI#1p1dS5v<5|k~|_-$#kbgKxR)eHg7zrVW!c8XnVn_`m0hf(FeFVazIJf6;X=w@gn~j# zWf&SLg6d(v8j{wQCa7%~(q7lH)7J#;Zz?FK7A{Hqe$RgJR;ub@6nbN$=HX^Tky-I@ z$DtG(BHSrZl)aw1Mk$y%_Mu8)0oD+*5C1f+rYNDDA5a}o2)CR1rD`ZE57!jV80Avi zGRl=H)fNgI8Szrp81agiBRi~>zV?2j{7~?toQ;z2>Zwrj)nZ7(qhJMGchknN{ zs~}|PckFuTckD9sJ9ZiRajVSqoWz(H78;M@!L*VO9S>D%AxJJ4S5+uL-Y9mfHTKU_=hoW!awD>NylF}Mq6spBAiHO3L;(}#qF>uQVWIrwcp}-vszkxYP=&w z2MVRw>#g&Z*LBr3!H+cjQR{)y;ua6~X7abRCNF_2_I0$j?F6qOap9>U^^|*%diz`V zdz#R3L(id!$nS6~q_@?>rf)yYcw?)Sg2guG&^&`zO_6H+QZ2FH+g#t~YpQPm`}MF4 zxyA!{E?>6B8@U@JpBt>5`4jd`a75;C|3pVXA!kKvtUKK5x#5Bj^O1Qhk&i--A-MGi zCz>WW$`Eg0fjx2(o)qdlP2fz|tetT(fQ#KW(f{pX!N?ivw`6AhY~>LA6raKhorXf< zn_zlFy}l5fG>-Wvj=`~X&CKSDYR!Z(cvO>R2ST>%XJ$L68Nn~&XR#1(gIf`HTfTwO zp??-1Q;Jb)rj1r!=W>3_Vf(L*@{t|g;E{B=0BZkVhNE^wAgfoB1qcJY@ zYCTSttXz&=*yZ5LtmT2;*f6s-hE917Ct2$@r;Z|HKLZ2;A;~hl89|%{f$N zmNRPLxX>1O5p_2=5r1Jvz**gz2^6?tW`QGSiP0IkCL79@rQkR6o3JY1fDwYzqHlC$ zAUHTVjyITmgHxQHFzch9+eYkp@Mc0A-czlP$cWCE>zb`JFk#@P1Elc47X~-n_JX@- z6XWm*Cveh-xq%SwtqxB0g~o^APS=ne_RtBYFf=_Dz`j)M)1iJv_?P9Ul_8c5GL1m)I_{Lq7EyLRNrlO*j%H5 zxMo`hID6;+ktuVs61hg&fVhSeIRR%tBGw(7A!!2dRN`YQqd`=)p+^tQ(xZggxw5o1 zg5W>kKg90(k(`ER7x*^A{tuldK7*A#1rq3&pQwI$G@`BwDAgVM23g{$sbS<5G55dp z0+eyVALl>EO8!Ey``LF>SOR|n#@(8=Ucnw@58>}$!K4b`U4*;2uuq|HEDH8L_Aml| z1Iu<8P&jnKG(2?}n+ydCVV4Vr4+{Pqe?ArTek%>DdySOg@Lfp3zR!MuhJPmw8)BKr9 z<>@?p+t*i!fhJjNW^U-#9^~xMOxge8jt;GBr?ElZWC6qXhE>^IYrN3v?8mA*|0UQX ziu;dXKT+I&WzTdA_9*))v`hjQ>@j&IK@;p}iqi#qTyaT){akS=g8f2q^91{)!lnuK zgpy?l_N0{Z2W z7VM9T+alPXR8Ccb{aJC_1ba~D(ODcI|Z+a=iF6}MZke<;gP z(l->>B-lR{*CN=z6t`Efe=Dv{u>UA-zhG}F4*K=Kit7~YEmi++!I|O?3(ggHMDPT~ z9fg>D#q|pAQf28ETvxII!4nmCOz%xxjD(Qj zY0B~`!PAxOwBQ+vyFlsUvZZSzDRK&5`3}ZJ|cL5D%D2?U!u5=3BFWa{kY)E6nC}Y=PB+Q!QG1c zwBXAX_gQ!;g~EPL@Ioc~g5WC^cb(v?6!#^;S1ax-g0E5B*92dyxUUPoPFcB0@byY| zv*1OFyG8H~in~?tV#VDqc!@&XDR`;kz9o2>y83Ow%N2L8;1%lXcLd+4WZxBhlNu!t z3cgv%z9)F4;=V8V7RCKg@U4pbvEWq-@e{$T757uYw<+#tf^S#c&jsJ1xL*ohqqrvp zKVNab65OM>U&E_Ul;z(DUZ=R{1mCH+-wIx@xEBTArMTY--k`YO3%*-%e-PZOxIYTM zM_K-};Ejs=i{MR)`*`$NkX{IHTO5WGj`tK|rOM9K05 z_bG0X;71i#Ah=&~OW_4}iaSs6KE*8;ykBvJf(I10O7H>2tr2`saq9#>rnn-`mg9l} zheQ9cxt_`AbL!chS~2ESYHC$Va&4q6nGfTX;>uaFG#>zl*Qst?*jCJ;j`xz3^0Ha9 zObxOU_^>c$O~Xoa))bZOH7%qH1&WcUk*e%-s+kp7KZ`Z{v&*@S%DdY5NYjy8xXQB? zQCh&C>w3-%(BtC&XkSzb*5~zurp<&jh!hQt?W$MXy;fRjgLD@o%J57Ivl^K>Tvv` zs>AV%st(65syZCMsOoV1qN>rZl>1Uo_rs6=RimCqjdQ%?i4S^|3bjbv0tI3ynvbHD z;;e;>gcT?~YWcN98z?2px!QveVwBH(Y8#_`k%zf4%BLP)DWzFk7;i7fQi<$UX6*zs z975TmR$UeOU_?nMHEP)k2S|8#DjjMU71qHAXiAIhBs0`PDHRjLY?cTN1)!>71QV#h z45dbOzM&S0*HD_&n6qkzHxRM(!aE4caarxDevvnJViZ!wf~Z1Lt}|@Z5+V}2?%~K0 zr8!4g<AmN=fVCIyQ5?qq{k*7ak0B`-HV-R)q5J zG7`dELFq0AbJkHktCBGo& zNhc8rfl_A39yE03UX?5XQ_2+S7wvIW&Q<5wd|D%i@aDlBn@_vy9Gg$O>KvO-yXqX9 zPrK?In@_vy9ET^XYRtn^wDKH>r)cFl4o}g_M*EV^%Q6;Jnddk>MO&WZ@D!~)$Kfej zd5*)ART<9%a~z)Rst(65T6H*nQPtu2MOBC67gZgOUsQECeo=Lfc8!X+Q+{D7u@`~h zI1Iy63Y1I3=s6XUrgSKm7S@^DrG>R{$&?EO<@Zqu-M$L(Q+m`s&!%VD!BR^AY8wH8 z$|SWmNhLE*P$^PwH!6HksZy}8r6X{q$G;8A-x zo<)Vx2)3H7fk%Pb_XS(4xF15$ghfxr3kkN4tw*&-W?qz`3W61}4S4aVf)y+7XM&X| z?&pG)D(;tpl_~B?!O9i)E5Rxh_iMp6D(*LeZBpEGf^AmZZw0GV+>3&3QQYqY+p4(V z3s$ALKL}Q>xIYTEO>ut~Y`fzABG?Ya{Y|hM#r<8d^A-1oU>?Q6qxV|H!K3#&#r;>X zosx4g!Ri&4AlNR&xddxaT%usR6_+fSS8=I=?NOW%tWk04f;A~FQ?O>mWee7#xCMf> zDlSK`y^4cZi!6hFnd{vHg6(5%&=W3rEZ?qB@K}Do;^49T0mZ>%`Gbms$MPMDgU9ln zid!vMm*Un6)~&epf*n%a2Eh(1u0*gN#gz$mL~#{@`4qQFu%n8r6wI%rW^Sp4Ja3 z4xZKzD-NF4k0=hF){iO|NvQr}y=bOn?!Q?V>@0g5 zHfKn%cPOK$1be6AP7C%f#a$rSyA^i^qEu|X6aBa5g1v{m7p=Zcu=gq4I|O^b;@&0L z#fp26V3#QFeS&>JaTg2rLB)MQuuBzpsbH5W?sCB{SKNmM`;g+U5bVQ>yHc=^DDEo3 zu29@31pBDsJ}KChiu;scA5+|C1iMOc*9!J=#eH6|Pblt-f?ch+>jnFy;=asTfvx^C z{;pfFYuKl->R%J=(+cu+!9Jt7n*{r;;%*k~TE*QW*yj{?t6-m3-0gyWL2-8q_C>{g zOR(z{_ie$hSKPgVeMxcO5$wx~`>tSLQQU)qeN}Pa6YOh>`@Uc|DDH=XeO+-s7VJjF z{Y0>v6!%lXzM;6E33jvMelFNI757WQZc*Hmf}K;`uLQeQalaPqHpTr$u-g^)oM3k- z?ze*7skj#fyGwDu6YN`x`@LXyEA9`1eOqyV6zm?w{aLVk755jx?o-^~1pAKS{tn?{ z+u*O5LpyN!vBtGkL&TKp4c9+Y*-F>HAWqEu%iaCQ#z&_xMmEXypH#NY^{-U6995Qu z7rvSukhOuNHcO4lkYMx(iC#4zHc)gUHy;mZ>@mka%Mi z*hhnP<+#22yi2W-}(icJtN2;uZvWB@SdX5fDPy`_N4**zX1t_5e z6r|{j^(F8J8~(Aep=qHn(;iL*$$8i|kqmaiJ2A}AWP3Gds(}f8c`B4*1w@vHi!C!u z(pTc&QHN#W7jEIsrBIe-bqdSU*I*fboC@4Jh{z2qqf(L%0Tydc0@zAC8% z-di*t8Y+>m4}%=G>Q$+%K!^C-60<>RWix-ZVS|?3o(ffh=@e-Qypp7!594l*9Y&H~ z3)2n4F7-NnC;WAXRn=U|lk{DwdIKs%-m=y@8B*g5{sb^9(i(ILHsB zLJbeY-))9V4;Pa3Bk(st)+$G>%}M&vRNar&&a>)S*RUkLFIDeHm6XUQq2X^C>SR=U z1~x^@iE{0kHB2)wW& zfNchG$5H}iWp0+hT;Q1#A(Ac|4=wN|?QA@>sLB|XVyLfpW_VAKU7&K(iNrRp;=#)WE z8+6*B7Z~(HgTj7Y+JoJ?q_EXyTps{FfxR8ZHY`5ig*lbG*TWv{U6D=uhp(TY4 zw4|_omJ~M6lET(m(hnLGw$1YQWd^<6pdT_QY?tMA*epwWg+V`R&?^o4F@s)Z(2pDR z69&E7pr14-?0ls?*!M~byIx6Q&nxM*28I2u{0+NZNnx)mDeQD5g?+B1u*;Pc_PCP5 z4p&mx-%1L*TS>oWP}teZ-(NTAjRw8Rps=Hr*I_>^={F5}i$TvB^j3r3X3*OWdWS*p zH0WIhg}tk^2Rm0uVc#k#>{=y-J*%Ym85H)b@;Cg6Mr1rZ0OR37_7KQx@h>kVg+G*# z6#l|N>O;)Gq!95hDa89r3UU6DLX^Lx5aTZ?MEFYz@%@rQbibq!+b=0Z_Dc$J{gOgd zzoZb;FDXRyOA7J)l0r1Uq!7z5DMa#13UU0BLKMHG5W_F&3&wSb+?T&0ZeLP}+Lsh! z_9cageMuo+Us8zHmlR_4C51?RNg+;OQi#%*6k_xxg$R8~AwFMHh|ZT3V)G@1$b3m5 zE?-iJ%9j*k@+F0cd`Tf5Us8z1mlR_0C51?Qq!@=UDMaB*3NiSSLIl2~5PvVJZv0L( zC`8^%ImF#d3Q_lxLd?CS5OFUl#M?^>(e{!;ti7ZVX)h_n*-Hvh_L4%3y`&IfFDb;= zOA68Tl0s~~q!3vzDa6%F3Q_fvLQK7+5K%8F#M4U((e#o+EWM-8+4aJA@W_yA?{sLhS=Bp-)gpv+HzDZHM< zagk5Zj{~2Q0OCv#WI_%TJY?ih0*a`rue+U3)Aof@Q1;aV%_qUg1^hz8NEIyE31B2~ z-TK@4+i5E~N+VLrM2;H(F3YBK_<`km2W|4*ahQA$ZSwtbn7o)@VpQDhpY_l`AEIqW z_YZDKRR7?nB+@@0fd2WQY=;lelEcTG=75_Ql>=^HA~}2na=1d~a24GwSJN3pcb?23 z+<9OYI`5OS6#6r?&FE&4140hlYpjKap~0__IeeC1%QQJL_Awp)!KhxhY)QWBcK&5L zk9Ba~0j0>GOW~h~*^3R6!oL8M1m{)?$DNhS7}%eabxPAfF%wiW;Ud=UEBvcQm+u9W zXf%1<`l1cD^PA_&I60DWGRJKgW}M7%BZjjjnSULQTv|B8uR&%v!2hqy48O^5F)|FX zB>2ZzI%b&f%GdJ~Z|C2pv)!#UBiW|&b8x!DY}5Iz{2rNYI=>CGm9pD`!^))dJAlIm zP3Lz4mnaLBp9t_wek=UH4gTK_|L=hRcgh0ZOAny$(glkiK)BtD%>MhN$p`p@M)w{z zx;ItT`F8$e+U!PI=F~`iQ~CFdW=`dp0XB0ge;7EdZz}&jaN(YQNY*jS1&~Zo&IGkg zP{7`Pgs$69=|VWF+hhD^^Z@!LZB&h1>i`PZEICrMWL2~90J78ry8M2^#oxl8q;s3a z#j^+bQ_xAc5}E77E&S=PfgpMvnqdT5@z?wr16&8?!9ke0?iT*+L$I3N#$P}d3!~{L zFlBsR)WW6=W*WWA`HLLpt}Kn!;LFP3AHd+t|3ibX@;?#=|EvuD6%79Qe`xS;{B>gl zTb9Ig{K;>S4Hi9c{$ZYZ_}^u}!qWH;IVRuW|1<`WX?pQF{l zcT2+h8yvZz-;v-A&cH8|;1Xxx-;>}nXW&;zaD_AQA4u>fXW&;!aHTWwA4%|5XW&1P zBdprlAo(*1-tG+i8VRm(2L1~P_BaFol?2y01OJT#*E<8hPJ$bpf&Wf|z0Sb@AO}gK zvqAC(32t@<{wE1;bq4+y3Et-n{BIK6?hO1N5`4fJ_)QYr;SBs=65Qns{1)l-4>=kn za0VhyQwcrJz?=m8oPiTau-_S2Bf)*nz%FuD1)PC(GUq{O;6xG}bOuf$!6%%7lS%Nf zGjIwC9(4vzCBY}1f#;Fn31?tIf+wAU)5t+G$H#h^YCBZj31Fs{y>Ko3$ z>&cwI=?q*%g3mbvZy>?9IRh7y;5(dwOUMSh%Ne+o1mEopTt*iA9%tZk5`3RCa0OZH z`<;O|k~u%%47`av8a?FbXv8;@IX~uiwJk>KZ@ zfp?PN7o36XN$^X~z`IEB%g(?JB={9);N2wnRcBx?3I3Ba@E&rIyyk3>G?L)IIs-S6 z;Mbjjn@R9LoPk?N@IRe_TS@T0oq_j~;5VIt_mSYYoPpb@L85VIgQT4VYtF#?NwDq= ze1HTeIRhUg!70wb9VB?3GjJyfPICtCBEcEXz}+M`%Nh6(wGY$gI|Cmkb6)5S+(V6R zE!WxDK0@Z4?<{8@30~|Be3S$)aR&C2;APIhy(HM}4BSV8S2zRrli-!kzyT7x+8KC& z1g~`l9wfo*oq>;$;0=zzS}`5R^zZ+D9FtZ;n|w14lcluDx8g8arj-)`TnP!zP5#st zz@=4?rA%-+H))Rv(>Bs36XP(si8h%Whsn*f$<#PZR?;R#945EWJ)Z8|WK@rDrAwLV z++ZE{f@CTnPu1#y@>pEkKP z4wD|*&lJ86@v;xJiHx8)k=CZlH0F0CQ5_Nc!oN?f<> zT*7+iCSS6zJ-ca>8{#nOrA?N^VR8>`vMdghjkL*%I7~LtCO5@lvY9qn8HdRh+T_+a zOt#Xqr`oy6s5!ouHn}|xlly3sHF211qfL6^FxgI`L(WY`Z4HjnCVS#A>8DNl;xO4uoAk$FvX3^|7l+Ay+7ktwn~Yk{0(2<{ zotup6@d4UoFb)T ziNoY1ZSs9_m^?+Byf_Y%Q?$ts#9{I@ZSvAMOit4#FOS3I1+>Wz$6@k9+T;~+m^?!} zw=12SjB;)l(I&5o!{k}I;-7GCGRj}RjW+qoI845sHuahSZAHhE(l zCNH4}(Kno%j2c8ApiB8p=O&{D(FbXh=i)GVDQ)t$I80tfo4g|qlb6%obC+|IQQh+) z+T`7FnEWtZ@q3(`jOy`^&?fJT!{ilo#qW1+GO8^5jwX{j|vyahUurZE|HCCLf?pu8zaxgS5%DahQCFHn~0y zli#CFZusAuWUIA@BQGTkzZL!#?FaT(1{cY<&fDLOx|Rh0$PV5>g4bz3CUX9X9b7_! zi?l}x@MCsx83`^X!H?U)JGhzzZzRF;Jq+nq68yRy zyo&_akl=sV!3`w%d=mUmJ9sw<_K@Iz+reHETuXxAw1fAM;5rigmL1$kf_GA27q^3( zNN_y~*6iSB61vnJp32q?4Np^563EoYDQ|#cqB-l%W=h?ygNbnvKoMs2Nk>ExW zoM8vIli(&2oMi{^C&A4mc)lHcfCRUY;DvT@2MKN^!MS#DCkft5g7fX*ZW6rDwJ3T| z;wrF%50l__GUugsa1ROIPlC_0gMB3U00~}h2OlND2T5?D9qcE;9VB>_9o$QTJ4x^w zJGh?&cah+Ac5r|Mcaz{EJ9vNuA0olUcJMJ0e3%56+QC5*+(UxP?cn1i_y`H!Xa^6G zU>^zIYzL2!;G-mXiyb^hg8d}8$_^eU!M(0(Vm@!TgF_^^pUk<&4n9SK10>jE2TzgU z0TNti2VX#f2T5?f9eg1PK1PBY?BFvbI7ouMcJM_c_&5n}w1dx*;1eXc*$#di2_7QB zt#NbN(U;em@D0`f}xUB=}+y{9b#`*OTB&NO05#F278I zKR|*nvFH3168u3D{6RbTt0eeR5`38*d;a_+upa zvv%+uB={;4{5d=LP7?fa68r@__%0Is2@-sr9sDg4d^HLFk{x_E3H~Gr{)!#^Z4!Kq z>#KzKyul8>hXj9`%=tz;_+AqH84~;rJNP~l{8Kk{@I7|$_ek*dB=|l%_+b+KB@%qU z9sGR~{ACjSfF1k;68ses{E!{|LlXQ|68x|o{38X=6B2wQ34YWLev|~?M1mi)gMUhbzd?c@w}T%e!8eoOU)aGvBf;M!!B5!1kCWhA zNbpm3@GnX5ITHM|9sC3dzLf+&V+TJ;f^Q?i&)UIHk>J}&@bh-?uSoD6B=`k8_-PV+ zCkcMZ4*oR>zKaCEYzIF}g1<$AU$KLqBf)o*;8*S7=SlFlN${WS;NOzqdr0tWcJK=% z_+AqHS3CGc5_}&Ce%%g!i3ER#1pmVh{v83%=FjoxjonZHK9UYE17_!~>uUOr`i6DJ zy1UrQu64J${(X)=yZ-*`S>pQp;SZ`fXy&p4&{f~HPGj1CSZ)$a*qP*d)B3o7oh8fj zj4xbg^WV>!C4A)?vs{K*{`VYz`Ts1-*JjNUzJrZfF2^k2I>-O~f0QM6y%Ekb=VF!$ zO~w{vth-s)Z`D(7)5W^m^=$aR03`W0>q~Fd-Rjb8X1nksbz$wT`g#ju z!#V9I>O$$w`liffx9VGN)wkWM*I0Bs=d{O69oH->kDDs9n)!vPGn<(wVyiqATjlB4 zD$m4Lc{aAn^RZQ4h^_KcY?YT|tGp6h<<;0Ke~PX0T5OfS##VVfw#q+ZtNb&z%D-c) zyct{Nt(Yn<9$Q6=t)j?5Q0Fea0S3!% z%V23Z=h|*T&sLWjQ)jlicubYq#&n&jGMkn9*eVUNRlKoP8e^+8$5v?tmFC%8M$5gr z=bUR_Y?b!dDhFb#bi`Kaimh@ewn|TI6<=%>e{7Y$*eU^2B@?!gHdm^8U=Va-`1N3H zl@qa5hGVOY##T8ADln$@CEcaB!))DumwvEozTT0=uFfpEP45m*-kvZ6mGqr+O~y7n z6RnL4wz-N$3ATpe5G z8c>PRFrSXC^4ZubpEFgW2aNIoUx=-8ovA`>RFs)7nL5#Jr&PXzDtGDqF!lqMvEP5r zbwh0Mjj>g}5nJV(u~p8+R=LeoA$A_BvUiv|v$e-vu~qJlt#VInmHT3=+;6JP+Qko; zIJW9*eE=xTzBDxYcO*g{d-Y^FCqf%+|b5#a4Mb zw#qZHRi2Hl@_cNS7fhAJD3_}m<|R{Qwo1PoTjiD5DzC;?`BQ9_*J7*uHMYv@u~p2M zFeDsgSHiv2bu60|vGr^N+sR5;4=ZEuVCC!!tb%=yZDg;oO+1}d@-kM<_p@z$if!jt zvmN|yR>Ob8&QH*oCt($t_rr#b&$2Wrdhk|8n)kc4?Ey`j&l?4(Hvw_67vtx;$XTijW*zv?y*iceB8%Zi-V@U_t zc+xZrC0)TzCEdoRlAdH2B)!QlOg@jDNv>lTB@eK($rrP?C4Y^*EBO)j-sHcq_oXak z?@!snE>1bbE=f7dK9F)PyENsy?6Q=X*yX9I>_e#=*hfyj=CpUQb7|MHThkt9x264o-JYJo?np0Zccvd;ccq_Z z-%9@^yF2|J_U-iN*gfh0VfSV%WA|m$vhQRB*!>yrXWz~EDtjQ~5%yrlU)V#L3)%NF zx3GsZ53%oOo@GDC{2cpX<^$|UnZIK{&YH&_$tq?)$=b&r%?h!fW?jV|%es^OEbD3Z zcs6Ih%r0b4WH+!UvyZcc=jZ#_Z|A?0y)gfa z?8W)tV=v8rh5c?pI(ko^vmmofQ0Ca+-f2TWeYPwK-C2rOR)g%Ws#i%Wp%=Z#>IyHOp@<%Wo&kZy?KW8Ov`H%Wn(I zZv@w4`Q$fy<+pd`H+1E+V{J^68P+|Zt z$PX9F4-n#q1lfa_;Gdo|jE-X%E6gzFmtpu8>%s(snpig`7#qd#4^Y@4Oz;nX82%*; z!@p=@7(>r67M@}BEyF*ZVED%u4F4#KRbx_x$#zV(VS>-E8HPPEe8S1_SvbRZc!rN1 z83wmAj5BBW*p}Ui35EzTd|J;i1czZnHk-l(1G^ap1ThR|Vi;l0FvN#pzzo9(5{7|F z3_~LrMjbJXm|}iRe3)R^1H%AThL0^7KI~-}&A{-AF4(H{(>I2b;FW%$6HVF(SwARKlQ6AT<+_!ypHND!;R>|gKNMm3rzY$KCdVqEhF|H^@Vj~%ehE**Z`Wz~wK)yH z52xW5-8B3Ln|3}X_?jM4BbFB*QAMZ+(t zX!z|D4Zl{R;rB%}{33{U6chaFhKAqC(D2I^8h(pH3m`Xu34WnL!*42R_!R^VzgwW; zmj*QaHh_k2^VjhG`x?G6U&D9YYxq`r4c~pP;mhPTeEqwIFKpNF73&(l!Cb?4j%)aq za1GzK%vHGFrrhHtyp@cq;pzEN6xJ0|$nW)0u7tl^uHHGG$`_8v^$iwVBrSHpMm zYWNmi4c~jK;hSnTe7CHIZ-dqF{jD0laaF^2q-yw9Qw`rks^ObMHGJ2nhHvN8@O_#Z zz5!FicUEfnmPrlY3#s9o95sCRqK0ov)bRa=8orTG!*>X3_|`uS-_xhzoAoq&7oPS- zOs>NO-w>zaJKZ#V3!8@TP1EpAXBxhnOvAT{Y54vx4d2+M;XAf8d@GiQ@3GSG%~Kk_ zD@wz+J8AenCJo=9q~SY{G<-{uhVK>9@J&D(zPm@mx9w>7ejE+oXrtYW3BEN(!}q*s z_+}Oj-=(5GfXRcH;2TCXd?yHORu?f=jOzJV&iOFtE zyqL6OvICP#Fu53$D>3;9CLhD(3QRtVNiilRm|TX*rI=if$%im`A13dIga`R?#)^>p zB)X9o`AZx_9youM|B-WuMBw}Z{vhLz@t-k%FZ!29F!?YlUxnOPI0UGEmS4;GulX|^ cBQ!X}cZaYKVjdsB1pjnGAA`M#rZe{c0IFZxod5s; literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/el2_dec_trigger$$anon$1.class b/target/scala-2.12/classes/dec/el2_dec_trigger$$anon$1.class new file mode 100644 index 0000000000000000000000000000000000000000..1789f05fffc35280fe0de3d5c196a90bd2e2f0e6 GIT binary patch literal 2383 zcmaJ@YggMw5WNcq#XP zR1(GnhJ3xi#V%!fSJwzj3dFosTS}qhL&vVt_qM%fl-(l<6XdLOk0D#kmTJdtNwuB| zoG*rf=r%8qZI_pdhNYQ}vhLqZJJ!uF(|E+YEaPUWrj^Q!7D2r;P@QV2Lts^PwIk1R zEHfY324Syv?z}m$f+<{zV*u}v4VPshaJksy%ES6$Dv37#es&6`@wVsVJq4G8)%ya& zONM2*%K}rGUJmo~yR=E$4Oe#rWtu7d9ImxsQEb!42f%_y9K< zWs9t7uE3qP%=-X)6drvo;+9NbxB0d*QRq%no=3qv?#PJYqqZkjuxbsjNIxNQ5j5EJ zlg!8QDVBJ_kuoeouQq*?g6-}mk;Qgue^>%lkkTs)9T?YDedArbT~VT(tN zT!vixox&%3Cyr6DG!r2xG?DPVK=L)D5BedFef%hJHB&fyjUlkJanODeKg+a#C?NJ& z%WXv&v3F=Vj%()p_Cv%kP-UcWAdowwqqp%{{MOqnqAc@Bx_}ZM$GDdi{$&O_N} znEi{30XQ6o{Y@Elu9d(5@8T+@!0in8rjh2@?PRXo$w&_;Y`;ChnQpMs6FiS>EB#lH zxdQ&oo?xMg4^QziSD&5W3+V+`_D`|?0{8ZlUnS&3`V`*;Monyni|<}y`#EL<%-#up zO3XA-YC>z`u+8S^Ip%0nJ%MKboM46HC=$59d7Se_&MD55oV~+?n#UYtkU0Lv-vE(M XI8X6!P>lUL>))2ox_|isPpQTK3?^Lf literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/el2_dec_trigger.class b/target/scala-2.12/classes/dec/el2_dec_trigger.class new file mode 100644 index 0000000000000000000000000000000000000000..17c66a5dc26987f8694af24737a9624325cef11f GIT binary patch literal 52072 zcmcg#2Vh*q(Vo41(n;sFEXfVwf^B4wWgAy8w!v^JwuM!UBx4Mi<1C$IVKu9`&@fQjviwnd5Vr7@(jp@FW>&cr|%gn$`s+q(u6-OCnr^$sNldgI-T z>Jy#uw!IB~?Ze%PFh~L8y1TY%S)^ge6EHag=!n6#cz0aD%zB+zG?3`%PP7dzYN70w zuFl^0(C|QFwSc^BdxsK(BBb)gRT6jdOiHq2QWUmKzJ*gG-q ztR8HcdCca9t&4YVZa!y9!)eD(k(1K{?mT(LwyvU@-RhJj!_}dX zfTf-d*6!)=?rQ5As_yIQ@9Iu8^$&IR^$wP&UsiX=2M5D24(%y_TvPCjD_Jme54dwK&!jA8OkkYmX1%_#K~QJ1NnIJTv^) z$0RQ{)%6aoUW31nuZgxsV-2+pvHH5kS^-7w_-`HV?@la7hLh7Wj;T+zRMWOIafVp^ z-g2nyg4TFvY;)g0y8uxqV8XyIx5_tbu0>T9qH$!mi)iLU9VrLnrkn%a}K z5-yw0SfcBo#oTKuD0RIw8UO>ef4T`EZ)z#O;qU)>Ba9Xjh5jW%H zy5(rB$%|NHw6*Re{2jAeVjG)VsR7qUH`TYI{c78bR#(@ywCJ{3jIDSAlaH;N#k-Wr zTgK#}Hd(SqGI>Wac`I-f`*o~sj8@gxqLxf9>d54xmP{_{$>gG@OfKrmLuJYq+8lthPO>4bLA!4puVs$uZm3Ki_Pi1J{mKY9e zoZ8k#TUv4Ow$?T`Z`#-zYp$)SYp$)vQ8B)*I$FKHHnx-+33V%WuYGM+O^bPWx?SBFaFQ#m^Gx&li}rUx#AU^9OI6V8 zvQqcQ^iFd#4KCGDxjFTXu@;3;!s=1Kx;aga^;la^q@}vCCRUH_ zpJFhRnx{!iYqS+-D^qn(ie_WC=3cW=R;uD&$5Addgx4vk%EoB*2Az>^`&cC}gKCJy zdzUHHLX)Y-2UZ6eymm8JriQxm_?wY)tz2{atlU7EwlKm;i`T5K#hbLY_(JDGVjkNfjd^LTbH z&Ewg*G>>QJqMl4HYRcr&Jf4j}^LTbH&Ex)DnmcJ8&&YJi9vDT*8rk2{+#`;Yys7tuMWz$leTK>b&s9u>sQm$3Vs4VCEb38FsTPI_i6eU*iW5_A=C8&j`#PI+X2~-{)Wvk z9tFRGUq|4V@Ecq@6TO4D{}XL%OAHQnZR<`5ILeQoA(|8QckufNHpL&%IOFZ@0@nF~ zOhtV)|4)$r1b?R1`3v4GU|VkQYp;&CZBL;6&&lkaEHlTU5;ii(e(>n!)6AFA@+f*x zDl1*zF#MYv$T&&+HN;D|a7<+ndz`+D+kfa$_o+d!>LWZX+^)sVpL%8k3vH<=v*0l8&QwS1aTF$JwB+{8DH&P+-3=PIWSZa7YwOLd zt{zIv6O~lG`7~AF7WKBFZLuNT-Ps-=+>YC873p=#YTHnM8xE{YK`eHDda*fSlvpg5 zkPEHokQ>@I@6glCQI9^1lVXA20>`LrP^u}<#=^EOL zvt!#191XO07^C6~O};K4dBjg!qsqA#R*vh%q+Ot0+-^rSu+MpYV)(IudjP` zvc>e3-j1Y)EAtFgpp*I$$e}LB+eg1X*c*5s=G&WGJ%)BlY!RnW51fkQdtg^jd~gSD zLhJcSz^a_4lCEc#;W&habBfvh&8I8s2;3j_7lI1oD(hD`3Cmb#@;BWy8AU3b2ivGB zIGR#ZmFC1dVj3rHeZ3uBop=w4w+XS%-2!%`Dp=j!*R~_o_EFl=ZBC#er1F^FNmnTS zDnmUSLAdjae+LH9xJnayhVZ4>;6Ph!Ah9z>rD0}IqN{Uv+t5IFtiP{Yj{~eqDsu?$ z!0`FNWOQTSPhx6?ExKov7X5#(qY{0hpSoZtc672eOtJI;ZI-6y9g>10U=hK6 z5~o73MG`<#N+Chr9W$C$j&DLB^Ty`*4`Nqt_yaVGTz1;?Az z7ZpTJ>NN#bCiS|4YLoh^f*OPOH3hXM^`?TgCiP7P>kQwwbZt&hupa8DHs4Wjf=PW( z!3LB1fr5II`jLVLllqB*Mw9xPf+mytg@TPH^(zG@nv#B_pxLB;r=Z28{-B`Mr2eE} zlS%zW!AU0dHwBwb>K_VDHmQFp*kX|1QE-Y*g+RfnCKXa}nn^hdwwhGFg40c^Ktar; zA_~qhDWxE;>mMpou+5~36||XDse*Qsnxr6MQd1OkXk9`FDd;qL2P@caQqvW5nbb@L zXPVS31v^Y?j)HEJDp$~BQimz%HK_^(eFnKwLBGjcpkSv-9id>rq!ud}G^wQuhD>U? zf?<<7O2ICZI$FVQlUk)n;U1n7lm-ZZuYMmV%p1-Z=_xHmUOze8i+KP;iS$y-&eMjl}yEe9WXS zQE;nCU8dkRllp*ykDJs772Ix8A5!oMle${L9VT_Hf=?Rc>lNH-Qa32L%cO2naJNZ) zM8Q2K^-%@)n$)cdK4nrLS8$(6eL}(g2Kkc;9x$o96nxsG?osfdNqtJeLnd{oET>^G^;D0sr8o>B0mNgYt|lu13W z;4>!mSp`p<{&-QrGbZn41<#stUr}(tE(}^&JJTnAG=hdyN(hy@Q!r$+W|Sai%aUMoy;sCc~sx zMGh(D9bp*fj#6aGBg0##PN603&>ZZvxo?;c8HFpw!B&F2H^!`~$CR_C#FSana!x5^ zOuB~{-E*>~DlmT(v`lA`+@Sh3Bl(zEv3XS#hLC&V>l}qOnp1)e<^ENor)7^P}oQ; zu?Jsj&$`T>)on2wU5~U!J<6VSg<-86qj}wT%Kr(o+qQPdZtL1HyX|Yo?6$BSv)jgY z%x){&F}v+NR!7tO=f!rtN353iORSdmORSdmORSdmORSdmORSdmORR3Ya?_V_xAJ_k zOry@??3}hk>CL-g;TGu(&#f^SQ@%llaa84!eqM%;TYi1+UxtaBTr<7G#?UY2Ml*(f zN$;95^fTTBhH2CmroHE}Sd#ZDqjo~dKh0oqtFCcHbKTYq8@FtE9&2?qhKIY0@_5Lj z!Emvil=6KuOh+(gHi}D}5#XxfdUq|=o^pnb>wK3j=|E>VIh*rydN&Yb@p*R;+{ER_ zGyS5sbjDCpJLefCxvA3w_4(|LU3brw&v53@RS!+==Vn;B8%9?H&1!~fzhp>lM(S#J&7JjR$@D4$cI;pN7S%WJCQ^*d+mE~9oqG;le(QqK+KnK)*_#7Pc| zhLIaTZo%ZcH)gp>_r@{dQa86bTF~bVIcCx1`slNr9M(&m>86vTuZh{SupGnDb+j~E z@_e+8rLT84ovgWw?%w)}R^O?z-_@NukxXw>^Pd9wrN}TjsH!NI_ z`<&TFZ;6zT`{+8QyS^K~Qhdqod9t`iUKPxO+4%NVo`;SQzMsue*F(~CGW%tG-j6N;{?fCO7c`iB+$cyn!|1n*?T|;Zo7bD&O17CT|OXXz|m?H0u&$8c{sx>%&2)g`Be!zx%O(7II3wPyu7ot7J? zQPG7yk*Q)mXX83N-`p0blh&>A0rUZ{-P4xP2d~5OI`nNyZ^p1hH;Mw}(!SPM4Q#g* zr0Z!eZ1z#Y4_>jB>TO-A0&JctpI!@#phkWg!n8~o(?{V&)JMfq0W+{1_ z{CEW3k+-{=#fJycNs0U{Qi#bmUajOE@{#Cmpv7e@fimDh6mabUA-NBi&}KD zzN;5a=kWpvQ>}fKb{+TY$lC%<@5zYVFP}oM$*vxA%$^Q9pcSQBDOV#uEuV>?O3zxU zREOGy<#Xs7?WsS?(f+V}0ewc2O+E^jZjsLw%FoIdv6-n_)XYcunt2pK=I}onz{~RU z5yW~0U3xwB(iy|@RrF%>exoi7%P-+rXzz;+_r?eI#>%&$f2n|tDR;EdyNB8$<)N5f z5T3vBO3|*1N`6_s9+9s}e7*Xv89HyEi&E9eqc?rpkz81QlN@pTV&(B3 zJY{owN-b@E$ua^f>00x*)!lfq5OgTkrE+O%b)mb)HO61mOoP>Y<|PDDfvU>qqWANB4VY;tEdPiK@5VDt&54faz(5>F(lHebqvyQW*4IsQ15UDwdWMH+ zvR||rrTY`@S{jY=&+;!czWyp;d8+%Wuqjr%vx5(!C9%_IIi^!^p89(P+w>o#_S<;e z0GEh`zOg;#bdR2mc{_*W-6%(1@H&lD;JFZ;Mk>(5P^XbjI5pX`T7j^Wk0T_xWc4O? z(;_9#R3f6g(TONA-lP=f_b8`G_oXvIiDHvqf~%~&0PV?{sKi8*HyJzMoW)SiR3mT@ zdV^Ev4^met=U_czoM}pI6{nN%41_<@RTQZ>%1t8`E8H|vfk#1fWss)pjkU(3Z}@jw zswXnXEzPLTya+f>C8~q>?Thql+px0$6)vYc0Ca~eGIs#_7xcYT&JoU{an3?#F{b2Y z=-=pIAqVm6xSq3}FHEPdPLoPbEFRm?r(S0C@$)*iErC*u2czJ7-X5o}Ae>+#MgnJD@mDi>9?w+(`on zJWtYnvvN*yHb>BSPF7-y8Lt$`#Opt@%CK`9F0)3B0r#M2b64B;x?Zx$)6x809W#H_ zmU%{@6LaEp%hEe!=F0&*uYfmcb;@aT+Ht~ku%g9;+g*X@sy$%G_cIE6aCfki2(^HDJiw%94sgQ3SPs(r)F>zwBcHtV#OK_ zJG&wXvRioPbJ>D*{lgBfTe62v=GJ>^`fSCnME`BJcT4V^?VJ;F&T`Jh{>?(cmZ|UB zkqA5I<4wx|o+ug^Oep6<_|iD%0tcV3++)Q)wOa?`#6^R>skaR!-!I^3|G0I_^$pSF z{F54TZdS2#iE<7X$I>)(8TRSr#o}1=AY(N38epj&S|2P0>0F6(I^M4jx1q7k&1|Tp zX@{tjEpU}{^*HBZ=Neoc%CRaKS&AI8PfV>Sdd?0!9&u5*&bdC~Y;``2OB&AndPzfi zu&IqVtg8CP_A9q|bwy&i_OCRIe(xl6!x z4E%q45@*(iG>zTgQ!_ez#knWq-0j?pM)oPZLGIQIl23Kz-0$33inkCCpphiwD>TiEXF%5b9CQeV%CHfI>=tv z+l{)=lMUX8>l{=cW$Dlo+EjEI9^x4Jp8SS zj%jF$rz$kX;}A$o>6n0~ct}7~JR+be9u3eG4+dz8#{x9PLjjuNkpNBcK!B!r96(b% z44^3<1<(`^0%(fI05ruz0Gi?v08OJVMfZPwjn4m?qU*n===iTGy8Uaq&ix&o{q;4v z`fG}g{+gnjzozKquPM6tYl;s3nxcEZrs&+SDZ2J+ijMu7qFcYF=+v(%y7X&`4*i;< zJHMvr%&#fB@@tBY{FblcYyo%S_F zmwin;-RtcxMQ44TkFNTfqNBd1=%%kJI_YbQF8Z3HgTAKdp06o7=WB|t`I@3*zNYAw zuPHj^Yl<%UnxaF#rs$5ZDLUh8imv#Yq9eYh=!UN;I^k=IF8G?F1HPu{ey{0;E=AXS zeT|Oynxfmirs#C9DZ1QidZ|kwI*z*AyM?HAOdjP0`6-Q*^P{6dmj}MfZA5 z(YanzbgkDE9qTnkw|Y&{sa{ibsn--8>NQ1odQH)pUQ=|X*AyM;HAOdiP0@*7Q*@!% z6dmX_MfZ74(Rp4|be-1}9p^Pgw|Py`XKv;Zt$9-6TGJA05%Ly1^a%X0vh>IEN8slp z@Y@miW5fK)1taj+%?n6QIuGODaUlK;rD1qI4DUFPU`9Spx&TGaqxg3g0_VZu@HhB7 z=8nTj_#g03oO-b^_#*rZz*trQ77DG`k(29O1e4;kwYii6LNJr$8D#Dd^=4P zPSA#`5P7arb40$Y)Iz4AXfx`6*H8t?hAPa}P`I=5|Ebdizd?y{Nu5+Kop6umf2UJX zQl|;Ibi)0m|D8@HNu5e_>4f`R|2v%~C3TvdODBAWcXb+b;2rc{2Ar!Cko=Fo%~^38t*Npr>RB5|lF$KNS611DgbAH!m%n2QoL z{}qNXyI9P`IZ%kh#NloUi;$66^D6fXJ>h2KE5l&$&26oyK#+yv2p41(&bBn)=2y<& zFP8CIPB9!wE%U`9)J?c^vsk3{TFyhR2mpCB54k!3P!P@IgVIj&)E(sddyk+B$Aip9ygWZYq2u|?ucF{Fzv5<93^LKNMYqRJGB9!yb#7KvU=v`a&tCC+xc_cYXnw27EH?-v*Gu*(fs zvc3^(^ zaXD{5SMs37a{UJ6)vO>{vjS5y&wzaOz$Tc>O!1G2tN7AJnc|^BT#cPXGO2aqF>&qv zm@&pWf%Cz2;(AxO8ug)0DXx4>-0%{FD<2mhp(FvD8+-|3T-L&7v?_LcSBP80M;X9d z4dCqvc5184lisCwGd(eeU4U zk1aynFCK6WC@57-H>Vq5!pJK@!A$)B|oK87v%MLXeR z*^*zj6JEm#zhWnR94q{)o$&Fj@R#g_qpa|k?S!jX;jh>USF^%z*a_FL{rq)1;aXPs z8+O8LS>d@k;6KSh6GM~T-f8S1c11tPPJK=g( z_{Vm_4Xp4_?Svay;h)2 zwiDjO3jftk_#{^N?{>nQS>b=$37^ag|JzP@3u`3r+8N0yoNx&5_qdzJ%*o(XR#@5z zpT-L3*$HoDg~N8jr?bL^cET}Mc$}T^8LaSlJK;Dt>4zrR8Ob(QxWrDljTN3~C)~~o zPqq_Iu)(D?Hau zxQ7)!+)lWc6`p4&+{YTpd^_QOw&aC&!aG^vMRvjitnd;$;Xzh-nVs+uD}1D#@GvX9 z!cKS>E4>6D}1b-@LpER> z*dbYGCwx9DyunWR0#@w?JK+mi;U+ub_p!n!+6iC83b)t^zn>M}WG8$v+uED$gfC%B z-eM@K!tF%UR)=o$v=(;kcdf6|8WZo$v?Qu1eSmU&)r-X(#+4R=CSf z_$pR-hn?`%tZY{}=^m3%WRe7>FVM_Azt?SyY(g)g!b{wUjE7uz-1$5`P@?SyY-g)g@g zzKs>W!cO?(tnig~!nd=+SJ?@Ff)&2TPWTR1_&Ph`PqIexVLKzalNG+vPWUcX_+~rd zyIJ8|?1b-Og+FE|d@n0}o1O5dSmE34gzsa8@30fTpEZ&@?Tq9BR`_l^;ZL)|_u2_R z$O_+QC;SjA{D7VC!>sUwcEXRa!VlXCKgtR}YA5^{Yb5*ZjAS1x{J5R)2rK-Ao$%wV z@Kbie`&r?q?S!9Tg`c$(ev%b_&QAC#R`>-w;m@$bpR*Hwn!OKu$xiqgw&c&-2|vr) z_807I`v6<=7wt-Zjun2*PWX9N_;ows7g*u1+6jM_75O9WQD(JC;SpC z{4G1-ms#Pr?Swzi3V+v5_!U<8`&PoCAMk!m559LlrqB<0$cFST@Q_~$0QpBA z^2-4r|HMOnB>?1~dB`^cK>mfd%dgu*X4&OmdB|@BfczT|`BnhPzw>SRZF|V9(esZG z9*ER_+^f(No_yi+D-YQxzH1Lzm$~-*i--Jv0LXvykUtCn`3?{H;{cHF@{m7$?~oFB z$e#y*6g=cF13-p&$X^G5l>F%VtvzJs7?%zY`TGEnc|7DF13>2Ukbe#U8RjAX8UV6@ zhx~g0$U+|Sp8+5vJmkLvK#t>0{at&=%=uC(7BVCPK#u1jWdO(`9x^Wg6Edd~p;vqK$ zfLy`%_-1>^EF(Ia*K&(JWLA%_jFTY#GCqu?IE+q_+}pR#sH8f^N=?OfZW1E-Vy-v6dv+p0U%H1 zA#V!+c^VISdjQC-Jmeh#AW!E_{Z4zxtobs=L*5+#@(dpG-T;ts9`e2bklT332LeF0 z@sJM&fNbX>9}WPS;2|Fk0NKHt`aXNetogE&hkQH$pAG=I zgNJ-J0Ax20`CI_V9vrFWEz8J@@qUT7KRhGHdkg>;xj ziUD5BFWN(9X*tM4z7_y-h=+VV0OT+a`PBfByLia21%TYmL%taRat{yr%>a;ldB|@C zfIN$bd^-T-**xTT13;d`Lw^6gL(&(WlR*i+sPK=;^CAINu zqO!`YxXtF19cjWQ^0vINIEos)KTCrVc}b=QChmP22$YH&#Z9ho0)tvp=;v9LTk4;u zK*KM=gvzI2=9bFGBwv15RV7>FPIvJP)%5V&|hhP zk+a;0#HHf4QH#W=#8l)#ROFTW#I66eBJUctNQ_%dMNXq4KeSKW`QItBxQxDpX1Z27 zFaaX?ZI^Q@i)U40$22S$kssMFKe|9ZEpHu>pST+e8yAkqyPiED@4^43jmY~B$OlH` z!;i?3r{w-E6Xg@dMa9QGE^BdqhZd~J)=0tICS zTHu#u1wMhovO-3q-$=hoCGha*I{l&Lfc(>l{M(59r(dS{{Lw}J^$?nD0UGVW@_L9t zKMW#00I$OvNPjOvA`huC=I=nkyKpc_G-nZ(Hvs;*{$0pRWw^iG`Ytp>p2wBq45w#0 zhU2ZUM1hkb>=*D0W&I$-^0l!1ftIU)!b~~8Ec;`DC;#)Xd^GHY@jtA}t-g5SW>)Dy z+dLTZ<-g@S=;I!S>GECt7*GfXp#VQV^bXd1E6jHA>)Y6ZZ(v>s^TO~9=1I)U$M514 zI1c6&!f!Dz5AzDh*5x%qe^>)k*V2bIbP(;rki4e27&D5C%jjExW`KmA!tW2!0BK5% z4rkn0qvIQXqj$!SZS+pD&sruFx8$&vO2553rM$hTjn&^&jyRLd$bHRk`_7cHZQm*L zD^>zu8&xxB`iL{r&s^LyDzDTwp9hm*D%$oksDX7zuflKc-GTH~cpJYS@7etGWb;!~ zCQdeAt1WL{x;2}@kYVB>ZE0xUE&r)`1B#;D^7mlgc~mIL;~>HR{B~_VA47IM6~D=F zh_-77raQB=U9UrXovrP93EJx%ZP#~T-l5vAuf)7^vTOJr=FQc1{R-wC=Gt{r(yp7F z!VJ5{46*Mf712&d`XnXT&-0fOEkU&P4(aI2S+Zd& zxI0ywsTmvQU7VLfy5G&rOjfF=v?(}I;nzX%Ly(2|>Bu5D4Hw-nU>ADdIvYe&xDmTE z1ZSWr+=Sgp-&T0l+>~Ii;RmPPUc=tgy;g|d<#BG^?|eM7m(09rda2N}2Pl-+I=3f# zNnQiqJcr)}9tHW1Ok{um`;eW&3}kI-5$BW6o$kHcM63^=C*RP8v}3Tc9YmK+$M{qRIkAhlNf`QM6W|sH;HHQ-PwO0!1?gib@I; zT@)xvC{VOdps1Zd(KmsjXaYrs1PX}>6xtFfL?uwDNua2YK+zk4qA&tQQv`~N2o&8A zC`utvv_ZI#{!sKkpeTMo(fEL(>H$T^gG=cTMXLjfItLUz4k!v7P&7B7sBA#d)qtX; z0Yy6lidqH~eGDjy7*I4Ypr~Fz(Yb)4Yym~f0*ZPC6uk;43KdW^DWIrOK+&CmqBH?T zTLOxj1Qh)UD2fqKG$Np=LO{`h;BNXu(RzTQ?f^y40g8eH6wL-GDh*I{8K5XJK+#@+ zqP74xx3 z&(R+W0Ra@s0VpH`P-q395DD-S{h^QrK%obKLI~)u%K$w$3i?QwpwDXQkBSNU449xV zAn8X^^HfNmRxuwz(GdjnnSXssU!SYjC*k$kb$!}gpAXk3y7d`seQH{tbJi!5^;u$l z`d6RV)hBHAnOJ>_Ri8W6Cq?zy&cD-hwmv_pPdw@~iuzO`odeV-_avRQlXN;x(s?$0 z0!`AHF-fPqB%RBWbdpNa*(XV-l_Z@n(kFr>o#Bym>PFHz8A&HwB%MW(bb3V6c@KR; zL(-WFNv9wrom-G}(m<9`ioE$H`QJY-+LFAhCHX^3@?e(a zb1ccTRg$Z)B)HMx@hkT;kl|1C)#SCV|BBzZMS@e@XA@CB0df^e$Y|+iOYhn6BaO54}y4^!`uM8#_tw*d)Cblk^@-(wiqq?}{Y7-I4S@M$#J;N$)%)y`_-! zUP02E07-lIl6KoA?T<^^(U!CaEos+S(mt=GomokHsgia-CGA&A+F_KmCn#wbPtv}d zq@6Okm;TUhl%)M5NjpCJi>mq)ruv(q`Wu~sK8h*mE0lsh`zYu;i-JCEs6QmAKg&j+ zP7<_RAq0N&NC@0S5Q09pCFr|Ug1+S?=%ZJHK0GJr+i-%ucqZtBYxEr_L7OYWc@o!5 Ii8m+z2jXpFCjbBd literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/gpr_gen$.class b/target/scala-2.12/classes/dec/gpr_gen$.class new file mode 100644 index 0000000000000000000000000000000000000000..345ac715ca519525622e6bad3c7526e8018f9369 GIT binary patch literal 3875 zcmbtX33n4!7`?AsXb7~l6etwg1_>z;pkRR@LR*kx3TS8*QR_5$2?NuaFqyEah#RYU8GF*FObSMs{4rw^6OF|-QwNOR{TRVS5d-Zi&*uLU;N zlnv{meO{)=qKe9{b9KiR5XYE=7mvnpHEmLNN)>_bGufKQoa-1?iQ3%}LBlfKVY?uiM%GU^ zZ<{6&pzZW@)zzm=na&y&cc@w{N{8CHM&$MfLPx5WXKH)6;8ZQwn3m}w`?4&I(|P6q zzXA*P=S>yM0fFY^<_W^E-+N481(wIrgkFIbKdGMN=DKOMs@FtoZ8C69Br^3x6IhMM z7NQMnXwyAw5U7O(Y3f&GVU!^VEDbHpgr89%rROa_Dl4VYGG!O8cm+3OodK>1?Wh3f z0!2>=JdRB&zNEmCdGBd;S~o0#Wyv})D6g&RJ^ieU`l&0Mz*cNip3)xd#}ep3XFJi} znZOcssb9MYN2Ff7!kX0N1u9(Db_i@rZVp2HufiE`OftlD2K#WKe(5C8jioBkeJtTx zppzBpy3%2!vpU6(yd`-|;gqT)UR%<_*6?+bA()R&Q`wzpNHk2V!911W#hPx}RV?=e*>Y&^YTZOW1SguBCEo4M)>LL$@^hrk+WRVp4 zv_MC&%fVVEfkhZ?$5D`Zdh=`|X%3_-u3nPqVeg5|RFW}d;}}yemon5E7x^rk>BNZy z4q-?&__G~tc&-ye&7|p=x{#N<{5W#bF?7?os>mpY=LOc+ECrOC)u*QlI*C^=>Ur08 zuEcPffa#_iSrfRCj0Eurw$4Pv0^W?Q%u+mcr7Sbi?h0~?rW+^>>!z7ATuJcG;H5ZT z#LGv!Y%#U5&k3K%at{EM8Y) zKwt$e7Y)a8&GaG5vR&O99`XXsmvB}o8)U(Vd4c0$8P{TorWwLg*J?ERKrn&xFqNZe zjxlI%Zvq2HrnZ3ne4Lqsm9TQURAe? z6<-kUHm}FkfDKdiMh4x#hSwD>z9G;T9len$p($#Q;Z0I>a`t#u1ik`q#c>^PC$JtH zRCT}0&E<|&f=ZTtz0&ny6o8;k!g`klUn~=aGXRNW#BYv}7Jbo?nu{3T=NV?ow%v;B z=w*RhbzIdus{EhgpVb=v320XI^E=@~d=$qA_?VsW3BfeIMvkPBz-PGG1%c0*P0lD; zx?6Qv=`H@Tr6X%t@_2Q6N;;<$Kae!CHqUJnIyr;S!D4(wmK~YbU0L8s$iH+~P_%@9GnKh^Bj3`J4B^>PKH7 zkmg;9w5IN3?XUdNgs*sQ^A`8uYyM970$AtyCtqR%<5AZ4QMySvobzkdzmj0 zXe-Mbk)lOEUJc(+3;33Qt(>_`O`soJs5H;P_C_77A}}H}xym!yK`ebl(lqTSd>aG5 z(Cz$?=O(~1uf!DuprUNYU-6W~+Zz1zq%5|5AiULvp`PtJhc z3^gL#QfuyDpz*lWRt5W};#z_Oc#4MR?Ask=8aG)h{0C`}n|1OHhc{#VQrGLxT-j=(t?!u{s zs(2RIi%kSub-^y$iDM-yaOtm;JvYcYZbA?HvC2Ja=DRp9;u3p<{(^dMQvY!Tkq>*l z4D_b%;d1H^TxH8$OFhK((_DDxF5as-pWlAHzQN8oZs2BMP&KzI>_-} zYn(6Iv5>vni|_f|gcyF{y^p^pu>dEL!zqko0x$6I6h5VV4nI=jpdf!KygBBv+@lJi i0QLHwTm=qKHYmvzeFCg*&LZ&i$a)g00t9}d75oD-&zHsk literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/gpr_gen$delayedInit$body.class b/target/scala-2.12/classes/dec/gpr_gen$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..74d9c66a4e06978f5d7164a16a80ffe394c3cec8 GIT binary patch literal 736 zcmZ`%Yira{5IwVx#NBT9QTwpkhmR=R7K{}IVMS1}u#jzqZToe(Nv_+}>`lnti2JWp ze1IR?kAgo+oTMvs3x2tC=Va#0nUnkb&(AXePw;@S=1b2W#>rqPmF3G&Or+mcfwtVp zpO_%R=GYUVu#;5jU?lCA?pPCL;Juzm7ea@V8E=PfGVMOxzR+8us+rKM)`3RD9yS( z>)#H$gkpQ^xQ03!Rm`JFSef16RrFE%N4%qn1w!d)zk5hnb^cStS{AWX!F4Qiu|5gL zgpHYHuDZs{8P5aee?e%qXG%Mnu$!5%64sdRb>vGz!wHn!Pe-my4uu;svfxB)`msoY zET4k&eJ#BAJrPgyW>4yq$bTymF_LUD3#;~{H1XtKkdZX{*^le0klDNHUBsT}2zJ@n z5^Io=eECM?mJpvu<|J{+T_#7KRv^3zSl0wa09EH5pQ;j zsWOTl#{$RZ&M%bde0%2`v;DyOXU2-Slb>YZD&g`))0B|gbA5!n+)!p)87Sf&Ck2#Y Sajf$LEo5^k(@(h182$n$`kzPu literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/gpr_gen.class b/target/scala-2.12/classes/dec/gpr_gen.class new file mode 100644 index 0000000000000000000000000000000000000000..ad8ca31b62bcd82ed5c7dee95598968218b1f8cb GIT binary patch literal 780 zcmZuv-*3`T6h60IDP$8mu}NJ@V6!>97y&zVk!y5Ach|8!$|nIU6OaZ| z&c*~jN61O3Ly4#enL1DI>aG8b9BBY#-G~L|oF}4o?K4D-}(z6G_UH zv&;Eoe5Zkp{i?Tg$;zM-kX!9~uX&gy z5O3aoEUOTQI|?j8LInk4F}y9$gmvkZSuZ??6G-O%2-TQ)CU<;qZ&u9s$NltL(22*L zAv3D}C9`^%qx@{%-oUq}DkLEl*13z#uuEo6!jxT311u%x@-J0bhkGGm0|%=47Q?-^ z7(er^*EFC*##w+fDmRNF5UaC`fSHst3yrQn2~5^-TntRVnYVK6jGckYDxT+a8rH)M z(d&If0R&jUp9(-k5l{xSH_=KW62#~?&~~n1 xK}Wm^U7LmCl$bpXT|r9t$ynzKGGAtf2-}DPNbmr05vdV8@))9!MTaN>{06+uqx%2= literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/ib_gen$.class b/target/scala-2.12/classes/dec/ib_gen$.class new file mode 100644 index 0000000000000000000000000000000000000000..39749129f8725a71c3de5f1165be474b0c4387a9 GIT binary patch literal 3867 zcmbtX33n4!7`?As+7M`IX`xVM3lhQ-RzZRYZ9$4DplPd!T3?cvFfg47lL?!OxPkkI z`|=Y!$A#^{IsO2Dl*jvKCe4&a%sD0}v%LA%`@ZjflfVAH_a}gz_*q~L^^spGjbD(^e3oKFQ_GxNPQ#0?HTfC*~6O zb>2R!Qsc@!Z5NJ6t6-{1JY(ButF+K5@aV%uBZvxg`0@gg@#CYDnL}}bg_%&iX@N!R zqRLlY!?v=nbX);(lqq=O#2IWnJ}sS6MWF9grtUE7I)+uEc3())unc#^E+}S^@srKl zrpf$iJ2g{vWzJNoj8SoitHq*nsGV&_ZeJjDxN3Q(w$>J$s^uCpDm83hRE0@8Pw(ef zpmSf|)UoUrXiaRGA`1Jw+ZdK%X%sCO6ln94>Q8KFm{z-fOt4lZ0_Q{`-AFWsNATzZ zbYLZIx~B~S#d2;AqIh1u}aI;7OR<%eaZHQI7^;gUcsWSs%7tl7~4J_r;& z#qb!`>-Z7^i|0M3)fs760t1N#Flevs`Z@iq4*O{+8^dO7(VkKs>_=nhMo$;f-X6nZ z^y;gfgdnz(^>3m3yn)BhCVFOf$n7q*8`od zDA!dEBc0PJe&lV5qZ+4V1M&J&yw)1NPND|p!_(AurTkVY4J7^F@(s-TKw$EO9l zgDno$(lIQ=SQn0fv@@7z2Ptz$s^ZF$N{x7Tq@|LIAQQ#7_PB&`)``d`*mMt$$8ZqC zy1Sq4?!a?B7;Ys&NA!V|-0R1YRgNJ|BTN0Fg6|$Fj)y&<^_(`YPcRtIL#U?4XuWg4+LX43sXCq;aDqk z4!I^7tUtUVHRMQtHp)>J7dfWmTveL7(pO~uwai%Vq@wNKk;x7;PBn@)4C=aFq{)JC zcX$m>np{*%)teV|{~BJ`ocIR4hQ@A~!!@l(@Fr(&V$NjN+`SBMMR65x$FK%#b#1@P zt>u1If;v`ywbJqKaQZ=&)T&(-e6e&G%m5-z5Wh8QjOdGw)?GyKK3`sPw(VA2N0tR{ zG;r1ECFTE={+!0}-+kspKfez?#79wlfREV+pK#QfUK@wfh~YC_?}fnU%qDA;Ea_Gq z)_I%%#?qZJEOo3plT*%wW(QJ6#^wv#lq6&DK3I&7sBt$y0m0cH z8?!9sjF_@gQM`?2?W&VkhYfA8XSjx;ugB-zS^Z8pX7P1JjafyT4eYI88~3`6!FKT2 zr3;O1+p7mE&n!(Z?2C$SXH@MQrv;IWxqvZ~k|K{DW3NaK2q49 zq(wj8IKH74@GXDs9JfqOU?VnBX`X|v%{o{?U_{O23eRLWvGfs1(X^lNP3-uEZs&*m zV8V+Vpmh_wnnUaHM#1QFPWCuc!!g&vR{ z$(6UTqxrb>Rt5W}<64aUc#4J|*tc6qH*d0D$Ol5Edj|DT5B6^rqt>6!`xrfW2Zwal zB2=?E26A||{;!~&Um)>2p1F^4Djdt)Lmn94cpJrIJe=9vwsF(u!M5A5Zo{dEs(F?} z66@i2!A{zVVmT^s>92=9x0`j`f`0a6m3!36XHlHT1@;F01@+#d|7--2k9fTd3?}d3 zV)74MX3Jek-pAFGTzKa;-m5#G-+qI>!Ja6t;d)?DH@7b3Nb(-O05lTpB7DbVln=VF zfW142?|I*X2!7ysh*#6-#0g|Efk{l^1^y=RDdn^HkrD?4`Ag%?A&;dVRR|5J*Z1Tq caCEXkNv;?YV0CjAVUJf&LRWymPqc!60Btvw+yDRo literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/ib_gen$delayedInit$body.class b/target/scala-2.12/classes/dec/ib_gen$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..ca55871213568cb2247dfc9d07a1db268fdd6de8 GIT binary patch literal 729 zcmZ`%T~8B16g{_}_KOxo5CQR{ngWRxqfa#^Y9wjWB1wVQW_EXk#qDgfof`YE)cBG3 zppPd0DC5~>A1aA2bLXDD_uO;O?C(F{e*k!l`+{{(yKUbYo@&$dbf9M1>l)uSozR;V zA%d-ms{+-IrpEds-G1&&Y@}SfKQ*rPL-VkRtYBFOkA`F#lF7BfgQQ1LXogd(BSEb< zH>M-VtMNFPv9Gn=J6G>iJ5c7d{n|O#uI*G&K(T}j%7V&VUlCQoMk?}^@y4NVEJeG` zRR5kJ+uA;n|5g7Ye6PJ@?pMT;Aa{JwJrb<-{!>IH%eYp;Rjjbsp7|5O z=KLm?4dZ5or#|VQ2^y{W%1$inMl36b4U)YKJuRsBe4`JhBS%L^$_a=p^+MWxq9Q-e zQ?NF$%6;2c<1}ydwLJ^HLlvo!roWU`9)#1#)%$)-QXj-m)-?gyyXH+uzY_#|G&RSU z=bYGM-ZEIk6_kkFWcGwn7%MxUQTd9+Pgwns6m9V=B%c&+@m{?s!*#4N6K=Lns+gkB zm|<+}{z6`U?(BXc+c#`}B$mbP|h4`or_Sj8-}}L=rK77c%6Vcm`Xk- z@Ht9O9(Nd_x{|j#MT)l8=#VB+m7dOu>)UVJS-DkeP(?HDJsJ^Ws71X~B9ta8nNRNN zLw_r&TP0Pexo5&CMxshyty%twHQKvvkj;pq(I>6y-L)M#w_d z0#dN3LlV*n&>(56GXDuby%cleNipK)u7A#* z9_FY&pEoz~ooNCxuoBj}K_JD?xjhL}b|nk26s^`?CSVQLL%>ZOXU}&yKItKs?|tVL z3)qND9^i!f)g$2#*ykStZZpXpbh`c|uz6FsNX#A0TR9eEF>raq^L)v|dYB=0`PN{8 z0ulU902D<)8PG4FmqAO=#=e1Z=K_|$h7h=gTSbi^jZmBgss91z=HLwkE0BdE+AHen rjEYlY_K>=akZ@^O=K}IyW)6iCS_M?tM7w~{C~j>GF(_kzssO(MRh6A8 literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/tlu_gen$.class b/target/scala-2.12/classes/dec/tlu_gen$.class new file mode 100644 index 0000000000000000000000000000000000000000..23d95e1fc5c2c34f333b9285301d6b5aaeaff6f6 GIT binary patch literal 3876 zcmbtX33n4!7`?As+BDG8QlL;|8zc=&*oy=a+JY2QKm%1ot<&Ts3`}RjWWuH*Zs5M* zzWfBwabY`fjz7R3jQu_GRIhl83Xcp+GW(WQjxq@^9*yB@+NAE3DgwP{vNex6*D4{odkNZSOvP5C0q-1 zvLanqI*fEyr}&Y#B#$bbQgy^@OIp|(zD_a(^U-N4yAutGhG{jJr!w57>6UF3tCnWT zOWGc8%~E2jxM2=8sV1+p9K%Q)qpFow2K9c(DwJ)*ay3e8AtiEG7kD_NPdKt5i{!|s z={(rxU@eot0*rOw2uMBsd3KRBccd$>UXtk%?}^k@k}+iC7*{SAGt?Rx`81p9#_6=!nO86BdDnKX z#BiEKshe(OP2ff{62v3uIuj8Kh%>S>OY!8Dvdl!=E66RHZlExtn`X{%CBZv`m*RL4 zFEi;^*s^-LY?3K@XL@9&EGYXb^d90drDo8y;Z6_@(=Kt(ih9*_H8yJj0}5u+cwLDd z0?TN*XgH2*rVm<{?dsn2kQZpagtJQ7APq*$3mgmUxE4z^%@CHlR-?%Wf(e|5sT@sn zwv{=HT$3!;7u}E$IZ~jFa-79Qo@u*K)lEe;E3*GuW-NHp(RT03WS7)WHI5eatGZpN z2!n99c}=b+Y?!JyGwA*`ysmih4S|8^?2U{GO>uh+Z<3>vv*)v7@MU-_j_Y_kfwfqt zs{37TE_bXFRI>Ezm9B@P00eas*1IhDVwotM0Z5!9eshGp=!=flT*UA`4>41=?N(ez zFALnN+N1v7) z6FdPV#w<%ZBc@)dNIu4McGbzt!-g{0Jse`_>+yY8PMrMyKFV}p36^r@7FT+CSD)BJG~L7U-@FG_KL!GU zH1A5JHFY1Wf8~!Re8p>Y#NSyBb4lcfDlpU{|Bb3`LU}@{;Mro&cZP?%hVFk$8O6pCAJJ@Z=20 z%}^tD&# zUgLbxfll^rKfdR46Jq#*_W}NzL_1C(hm$yk3B16+llYYKIs8b81A_de@aCAuQjaQx h0@Uk!auql{*`Op>3<$8gIg7Bzt0$oj*Kr^TwQi{7UOMz-av;2jk@;@SrXPtU zH2D;q>uce^?}^bgulJ-riG#Ny5ktu)v#@eMP7`15hK8ipH$SeUB4+QXcQJdOA=qJK z3;Y0hj`5jqIW%w$B}Udcd&-eGR<^#P@*RyYSpJk1ZSZNCPnBo5&3EUb3^%aC8S!Sf zn95M}IOaIkw|}8X=Udy~nC%DFJ~Nibo$MqFR|%Icnx=%@p6Mgp<%S~L%0M3XILV<1 Smt&P5XwJ+fqn~h}G5iJN)1R&Y literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/tlu_gen.class b/target/scala-2.12/classes/dec/tlu_gen.class new file mode 100644 index 0000000000000000000000000000000000000000..3f7c5cc4ffd715caab5faf8faf6a00858729d317 GIT binary patch literal 780 zcmZuv-*3`T6h60IDPW6y^A_#$o@AnOp{uK#Do#9#VTD)#OfbY*L33$Tp`J!)GTBa*a;t?$`sD^1x>m0#bj% z*_goR2sz$vQz9xtrqtFq=A_J|~Ol@ZB4Ie(sgG&rb}h`6A?9UcorSE`!cAd-~P z_lqAkPhahNLax$m{@Jp@IUj7~U4A!a8)qtQW4$2_*A>gxU*SliQxVIW1=V<9>Q2Xy9^t z$c&nI!K^Oks63ms*Y~W63Q0(Xb#7xb?1Gs=n6ksEkEO(X;iU?9;BH7*#ewRc#c;1J z#!o%#HTCI`apvQU%JrfM#2W0Q&rHghg+|8N2q**ES+tUf1Tp#zw2ezx`WjMT4UdExK?12b3x@uOoSu>IAz6TB x&=IdgSEivjC1wvpmyi;EGS<0-%$KPl!aAY=65L0eM`{F*JccOb&>>0yzX4(hquBre literal 0 HcmV?d00001