From 2527f455ca335c2542d07800f7166bbd0a00f07e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Wed, 30 Dec 2020 09:50:45 +0500 Subject: [PATCH] bus_rst updated --- lsu_bus_buffer.fir | 56 +- lsu_bus_buffer.v | 786 +++++----- lsu_bus_intf.fir | 1274 +++++++++-------- lsu_bus_intf.v | 786 +++++----- src/main/scala/lsu/lsu_bus_buffer.scala | 2 +- .../classes/lsu/lsu_bus_buffer.class | Bin 573872 -> 574411 bytes 6 files changed, 1440 insertions(+), 1464 deletions(-) diff --git a/lsu_bus_buffer.fir b/lsu_bus_buffer.fir index e2bbc3ef..4d3cb9ac 100644 --- a/lsu_bus_buffer.fir +++ b/lsu_bus_buffer.fir @@ -5855,34 +5855,34 @@ circuit lsu_bus_buffer : buf_data[1] <= _T_4384 @[lsu_bus_buffer.scala 522:12] buf_data[2] <= _T_4385 @[lsu_bus_buffer.scala 522:12] buf_data[3] <= _T_4386 @[lsu_bus_buffer.scala 522:12] - node _T_4387 = bits(buf_rst[0], 0, 0) @[lsu_bus_buffer.scala 523:93] - node _T_4388 = eq(_T_4387, UInt<1>("h00")) @[lsu_bus_buffer.scala 523:81] - node _T_4389 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 523:140] - node _T_4390 = mux(buf_error_en[0], UInt<1>("h01"), _T_4389) @[lsu_bus_buffer.scala 523:105] - node _T_4391 = and(_T_4388, _T_4390) @[lsu_bus_buffer.scala 523:100] - reg _T_4392 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:80] - _T_4392 <= _T_4391 @[lsu_bus_buffer.scala 523:80] - node _T_4393 = bits(buf_rst[1], 0, 0) @[lsu_bus_buffer.scala 523:93] - node _T_4394 = eq(_T_4393, UInt<1>("h00")) @[lsu_bus_buffer.scala 523:81] - node _T_4395 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 523:140] - node _T_4396 = mux(buf_error_en[1], UInt<1>("h01"), _T_4395) @[lsu_bus_buffer.scala 523:105] - node _T_4397 = and(_T_4394, _T_4396) @[lsu_bus_buffer.scala 523:100] - reg _T_4398 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:80] - _T_4398 <= _T_4397 @[lsu_bus_buffer.scala 523:80] - node _T_4399 = bits(buf_rst[2], 0, 0) @[lsu_bus_buffer.scala 523:93] - node _T_4400 = eq(_T_4399, UInt<1>("h00")) @[lsu_bus_buffer.scala 523:81] - node _T_4401 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 523:140] - node _T_4402 = mux(buf_error_en[2], UInt<1>("h01"), _T_4401) @[lsu_bus_buffer.scala 523:105] - node _T_4403 = and(_T_4400, _T_4402) @[lsu_bus_buffer.scala 523:100] - reg _T_4404 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:80] - _T_4404 <= _T_4403 @[lsu_bus_buffer.scala 523:80] - node _T_4405 = bits(buf_rst[3], 0, 0) @[lsu_bus_buffer.scala 523:93] - node _T_4406 = eq(_T_4405, UInt<1>("h00")) @[lsu_bus_buffer.scala 523:81] - node _T_4407 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 523:140] - node _T_4408 = mux(buf_error_en[3], UInt<1>("h01"), _T_4407) @[lsu_bus_buffer.scala 523:105] - node _T_4409 = and(_T_4406, _T_4408) @[lsu_bus_buffer.scala 523:100] - reg _T_4410 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:80] - _T_4410 <= _T_4409 @[lsu_bus_buffer.scala 523:80] + node _T_4387 = bits(buf_rst[0], 0, 0) @[lsu_bus_buffer.scala 523:91] + node _T_4388 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:107] + node _T_4389 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 523:159] + node _T_4390 = mux(buf_error_en[0], UInt<1>("h01"), _T_4389) @[lsu_bus_buffer.scala 523:124] + node _T_4391 = and(_T_4388, _T_4390) @[lsu_bus_buffer.scala 523:119] + reg _T_4392 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (_T_4387, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:106] + _T_4392 <= _T_4391 @[lsu_bus_buffer.scala 523:106] + node _T_4393 = bits(buf_rst[1], 0, 0) @[lsu_bus_buffer.scala 523:91] + node _T_4394 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:107] + node _T_4395 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 523:159] + node _T_4396 = mux(buf_error_en[1], UInt<1>("h01"), _T_4395) @[lsu_bus_buffer.scala 523:124] + node _T_4397 = and(_T_4394, _T_4396) @[lsu_bus_buffer.scala 523:119] + reg _T_4398 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (_T_4393, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:106] + _T_4398 <= _T_4397 @[lsu_bus_buffer.scala 523:106] + node _T_4399 = bits(buf_rst[2], 0, 0) @[lsu_bus_buffer.scala 523:91] + node _T_4400 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:107] + node _T_4401 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 523:159] + node _T_4402 = mux(buf_error_en[2], UInt<1>("h01"), _T_4401) @[lsu_bus_buffer.scala 523:124] + node _T_4403 = and(_T_4400, _T_4402) @[lsu_bus_buffer.scala 523:119] + reg _T_4404 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (_T_4399, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:106] + _T_4404 <= _T_4403 @[lsu_bus_buffer.scala 523:106] + node _T_4405 = bits(buf_rst[3], 0, 0) @[lsu_bus_buffer.scala 523:91] + node _T_4406 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:107] + node _T_4407 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 523:159] + node _T_4408 = mux(buf_error_en[3], UInt<1>("h01"), _T_4407) @[lsu_bus_buffer.scala 523:124] + node _T_4409 = and(_T_4406, _T_4408) @[lsu_bus_buffer.scala 523:119] + reg _T_4410 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (_T_4405, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:106] + _T_4410 <= _T_4409 @[lsu_bus_buffer.scala 523:106] node _T_4411 = cat(_T_4410, _T_4404) @[Cat.scala 29:58] node _T_4412 = cat(_T_4411, _T_4398) @[Cat.scala 29:58] node _T_4413 = cat(_T_4412, _T_4392) @[Cat.scala 29:58] diff --git a/lsu_bus_buffer.v b/lsu_bus_buffer.v index 72b9ff9f..d4c55064 100644 --- a/lsu_bus_buffer.v +++ b/lsu_bus_buffer.v @@ -1010,33 +1010,33 @@ module lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4441 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 525:64] - wire _T_4442 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 525:91] - wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 525:89] - wire _T_4436 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 525:64] - wire _T_4437 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 525:91] - wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 525:89] - wire [1:0] _T_4444 = _T_4443 + _T_4438; // @[lsu_bus_buffer.scala 525:142] - wire _T_4431 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 525:64] - wire _T_4432 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 525:91] - wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 525:89] - wire [1:0] _GEN_380 = {{1'd0}, _T_4433}; // @[lsu_bus_buffer.scala 525:142] - wire [2:0] _T_4445 = _T_4444 + _GEN_380; // @[lsu_bus_buffer.scala 525:142] - wire _T_4426 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 525:64] - wire _T_4427 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 525:91] - wire _T_4428 = _T_4426 & _T_4427; // @[lsu_bus_buffer.scala 525:89] - wire [2:0] _GEN_381 = {{2'd0}, _T_4428}; // @[lsu_bus_buffer.scala 525:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4445 + _GEN_381; // @[lsu_bus_buffer.scala 525:142] + wire _T_4445 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 525:64] + wire _T_4446 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 525:91] + wire _T_4447 = _T_4445 & _T_4446; // @[lsu_bus_buffer.scala 525:89] + wire _T_4440 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 525:64] + wire _T_4441 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 525:91] + wire _T_4442 = _T_4440 & _T_4441; // @[lsu_bus_buffer.scala 525:89] + wire [1:0] _T_4448 = _T_4447 + _T_4442; // @[lsu_bus_buffer.scala 525:142] + wire _T_4435 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 525:64] + wire _T_4436 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 525:91] + wire _T_4437 = _T_4435 & _T_4436; // @[lsu_bus_buffer.scala 525:89] + wire [1:0] _GEN_380 = {{1'd0}, _T_4437}; // @[lsu_bus_buffer.scala 525:142] + wire [2:0] _T_4449 = _T_4448 + _GEN_380; // @[lsu_bus_buffer.scala 525:142] + wire _T_4430 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 525:64] + wire _T_4431 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 525:91] + wire _T_4432 = _T_4430 & _T_4431; // @[lsu_bus_buffer.scala 525:89] + wire [2:0] _GEN_381 = {{2'd0}, _T_4432}; // @[lsu_bus_buffer.scala 525:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4449 + _GEN_381; // @[lsu_bus_buffer.scala 525:142] wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:43] - wire _T_4458 = _T_2590 & _T_4442; // @[lsu_bus_buffer.scala 526:73] - wire _T_4455 = _T_2583 & _T_4437; // @[lsu_bus_buffer.scala 526:73] - wire [1:0] _T_4459 = _T_4458 + _T_4455; // @[lsu_bus_buffer.scala 526:126] - wire _T_4452 = _T_2576 & _T_4432; // @[lsu_bus_buffer.scala 526:73] - wire [1:0] _GEN_382 = {{1'd0}, _T_4452}; // @[lsu_bus_buffer.scala 526:126] - wire [2:0] _T_4460 = _T_4459 + _GEN_382; // @[lsu_bus_buffer.scala 526:126] - wire _T_4449 = _T_2569 & _T_4427; // @[lsu_bus_buffer.scala 526:73] - wire [2:0] _GEN_383 = {{2'd0}, _T_4449}; // @[lsu_bus_buffer.scala 526:126] - wire [3:0] buf_numvld_cmd_any = _T_4460 + _GEN_383; // @[lsu_bus_buffer.scala 526:126] + wire _T_4462 = _T_2590 & _T_4446; // @[lsu_bus_buffer.scala 526:73] + wire _T_4459 = _T_2583 & _T_4441; // @[lsu_bus_buffer.scala 526:73] + wire [1:0] _T_4463 = _T_4462 + _T_4459; // @[lsu_bus_buffer.scala 526:126] + wire _T_4456 = _T_2576 & _T_4436; // @[lsu_bus_buffer.scala 526:73] + wire [1:0] _GEN_382 = {{1'd0}, _T_4456}; // @[lsu_bus_buffer.scala 526:126] + wire [2:0] _T_4464 = _T_4463 + _GEN_382; // @[lsu_bus_buffer.scala 526:126] + wire _T_4453 = _T_2569 & _T_4431; // @[lsu_bus_buffer.scala 526:73] + wire [2:0] _GEN_383 = {{2'd0}, _T_4453}; // @[lsu_bus_buffer.scala 526:126] + wire [3:0] buf_numvld_cmd_any = _T_4464 + _GEN_383; // @[lsu_bus_buffer.scala 526:126] wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:72] wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 266:51] reg _T_1791; // @[Reg.scala 27:20] @@ -1047,19 +1047,19 @@ module lsu_bus_buffer( wire _T_1918 = |buf_age_3; // @[lsu_bus_buffer.scala 381:58] wire _T_1919 = ~_T_1918; // @[lsu_bus_buffer.scala 381:45] wire _T_1921 = _T_1919 & _T_2590; // @[lsu_bus_buffer.scala 381:63] - wire _T_1923 = _T_1921 & _T_4442; // @[lsu_bus_buffer.scala 381:88] + wire _T_1923 = _T_1921 & _T_4446; // @[lsu_bus_buffer.scala 381:88] wire _T_1912 = |buf_age_2; // @[lsu_bus_buffer.scala 381:58] wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 381:45] wire _T_1915 = _T_1913 & _T_2583; // @[lsu_bus_buffer.scala 381:63] - wire _T_1917 = _T_1915 & _T_4437; // @[lsu_bus_buffer.scala 381:88] + wire _T_1917 = _T_1915 & _T_4441; // @[lsu_bus_buffer.scala 381:88] wire _T_1906 = |buf_age_1; // @[lsu_bus_buffer.scala 381:58] wire _T_1907 = ~_T_1906; // @[lsu_bus_buffer.scala 381:45] wire _T_1909 = _T_1907 & _T_2576; // @[lsu_bus_buffer.scala 381:63] - wire _T_1911 = _T_1909 & _T_4432; // @[lsu_bus_buffer.scala 381:88] + wire _T_1911 = _T_1909 & _T_4436; // @[lsu_bus_buffer.scala 381:88] wire _T_1900 = |buf_age_0; // @[lsu_bus_buffer.scala 381:58] wire _T_1901 = ~_T_1900; // @[lsu_bus_buffer.scala 381:45] wire _T_1903 = _T_1901 & _T_2569; // @[lsu_bus_buffer.scala 381:63] - wire _T_1905 = _T_1903 & _T_4427; // @[lsu_bus_buffer.scala 381:88] + wire _T_1905 = _T_1903 & _T_4431; // @[lsu_bus_buffer.scala 381:88] wire [3:0] CmdPtr0Dec = {_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] wire [7:0] _T_1993 = {4'h0,_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] wire _T_1996 = _T_1993[4] | _T_1993[5]; // @[lsu_bus_buffer.scala 389:42] @@ -1117,44 +1117,44 @@ module lsu_bus_buffer( wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 270:101] wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 268:119] wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 268:117] - wire _T_4477 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4481 = _T_4477 | _T_4458; // @[lsu_bus_buffer.scala 527:74] - wire _T_4472 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4476 = _T_4472 | _T_4455; // @[lsu_bus_buffer.scala 527:74] - wire [1:0] _T_4482 = _T_4481 + _T_4476; // @[lsu_bus_buffer.scala 527:154] - wire _T_4467 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4471 = _T_4467 | _T_4452; // @[lsu_bus_buffer.scala 527:74] - wire [1:0] _GEN_384 = {{1'd0}, _T_4471}; // @[lsu_bus_buffer.scala 527:154] - wire [2:0] _T_4483 = _T_4482 + _GEN_384; // @[lsu_bus_buffer.scala 527:154] - wire _T_4462 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4466 = _T_4462 | _T_4449; // @[lsu_bus_buffer.scala 527:74] - wire [2:0] _GEN_385 = {{2'd0}, _T_4466}; // @[lsu_bus_buffer.scala 527:154] - wire [3:0] buf_numvld_pend_any = _T_4483 + _GEN_385; // @[lsu_bus_buffer.scala 527:154] + wire _T_4481 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 527:63] + wire _T_4485 = _T_4481 | _T_4462; // @[lsu_bus_buffer.scala 527:74] + wire _T_4476 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 527:63] + wire _T_4480 = _T_4476 | _T_4459; // @[lsu_bus_buffer.scala 527:74] + wire [1:0] _T_4486 = _T_4485 + _T_4480; // @[lsu_bus_buffer.scala 527:154] + wire _T_4471 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 527:63] + wire _T_4475 = _T_4471 | _T_4456; // @[lsu_bus_buffer.scala 527:74] + wire [1:0] _GEN_384 = {{1'd0}, _T_4475}; // @[lsu_bus_buffer.scala 527:154] + wire [2:0] _T_4487 = _T_4486 + _GEN_384; // @[lsu_bus_buffer.scala 527:154] + wire _T_4466 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 527:63] + wire _T_4470 = _T_4466 | _T_4453; // @[lsu_bus_buffer.scala 527:74] + wire [2:0] _GEN_385 = {{2'd0}, _T_4470}; // @[lsu_bus_buffer.scala 527:154] + wire [3:0] buf_numvld_pend_any = _T_4487 + _GEN_385; // @[lsu_bus_buffer.scala 527:154] wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 272:53] wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 272:31] wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 272:64] wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 272:89] wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 272:61] wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 288:32] - wire _T_4751 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4753 = _T_4751 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 555:73] - wire _T_4754 = _T_4753 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4755 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4757 = _T_4755 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 555:73] + wire _T_4755 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 555:62] + wire _T_4757 = _T_4755 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 555:73] wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4767 = _T_4754 | _T_4758; // @[lsu_bus_buffer.scala 555:153] - wire _T_4759 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4761 = _T_4759 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 555:73] + wire _T_4759 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 555:62] + wire _T_4761 = _T_4759 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 555:73] wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4768 = _T_4767 | _T_4762; // @[lsu_bus_buffer.scala 555:153] - wire _T_4763 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4765 = _T_4763 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 555:73] + wire _T_4771 = _T_4758 | _T_4762; // @[lsu_bus_buffer.scala 555:153] + wire _T_4763 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 555:62] + wire _T_4765 = _T_4763 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 555:73] wire _T_4766 = _T_4765 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4769 = _T_4768 | _T_4766; // @[lsu_bus_buffer.scala 555:153] + wire _T_4772 = _T_4771 | _T_4766; // @[lsu_bus_buffer.scala 555:153] + wire _T_4767 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 555:62] + wire _T_4769 = _T_4767 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 555:73] + wire _T_4770 = _T_4769 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] + wire _T_4773 = _T_4772 | _T_4770; // @[lsu_bus_buffer.scala 555:153] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_4770 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 555:171] - wire _T_4771 = _T_4770 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:189] - wire bus_sideeffect_pend = _T_4769 | _T_4771; // @[lsu_bus_buffer.scala 555:157] + wire _T_4774 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 555:171] + wire _T_4775 = _T_4774 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:189] + wire bus_sideeffect_pend = _T_4773 | _T_4775; // @[lsu_bus_buffer.scala 555:157] wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 288:74] wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 288:52] wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 288:50] @@ -1223,28 +1223,28 @@ module lsu_bus_buffer( wire _T_1964 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 382:83] wire _T_1965 = _T_1962 & _T_1964; // @[lsu_bus_buffer.scala 382:81] wire _T_1967 = _T_1965 & _T_2590; // @[lsu_bus_buffer.scala 382:98] - wire _T_1969 = _T_1967 & _T_4442; // @[lsu_bus_buffer.scala 382:123] + wire _T_1969 = _T_1967 & _T_4446; // @[lsu_bus_buffer.scala 382:123] wire [3:0] _T_1949 = buf_age_2 & _T_1959; // @[lsu_bus_buffer.scala 382:59] wire _T_1950 = |_T_1949; // @[lsu_bus_buffer.scala 382:76] wire _T_1951 = ~_T_1950; // @[lsu_bus_buffer.scala 382:45] wire _T_1953 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 382:83] wire _T_1954 = _T_1951 & _T_1953; // @[lsu_bus_buffer.scala 382:81] wire _T_1956 = _T_1954 & _T_2583; // @[lsu_bus_buffer.scala 382:98] - wire _T_1958 = _T_1956 & _T_4437; // @[lsu_bus_buffer.scala 382:123] + wire _T_1958 = _T_1956 & _T_4441; // @[lsu_bus_buffer.scala 382:123] wire [3:0] _T_1938 = buf_age_1 & _T_1959; // @[lsu_bus_buffer.scala 382:59] wire _T_1939 = |_T_1938; // @[lsu_bus_buffer.scala 382:76] wire _T_1940 = ~_T_1939; // @[lsu_bus_buffer.scala 382:45] wire _T_1942 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 382:83] wire _T_1943 = _T_1940 & _T_1942; // @[lsu_bus_buffer.scala 382:81] wire _T_1945 = _T_1943 & _T_2576; // @[lsu_bus_buffer.scala 382:98] - wire _T_1947 = _T_1945 & _T_4432; // @[lsu_bus_buffer.scala 382:123] + wire _T_1947 = _T_1945 & _T_4436; // @[lsu_bus_buffer.scala 382:123] wire [3:0] _T_1927 = buf_age_0 & _T_1959; // @[lsu_bus_buffer.scala 382:59] wire _T_1928 = |_T_1927; // @[lsu_bus_buffer.scala 382:76] wire _T_1929 = ~_T_1928; // @[lsu_bus_buffer.scala 382:45] wire _T_1931 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 382:83] wire _T_1932 = _T_1929 & _T_1931; // @[lsu_bus_buffer.scala 382:81] wire _T_1934 = _T_1932 & _T_2569; // @[lsu_bus_buffer.scala 382:98] - wire _T_1936 = _T_1934 & _T_4427; // @[lsu_bus_buffer.scala 382:123] + wire _T_1936 = _T_1934 & _T_4431; // @[lsu_bus_buffer.scala 382:123] wire [3:0] CmdPtr1Dec = {_T_1969,_T_1958,_T_1947,_T_1936}; // @[Cat.scala 29:58] wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 387:31] wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 291:181] @@ -1263,11 +1263,11 @@ module lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[Reg.scala 27:20] reg obuf_data_done; // @[Reg.scala 27:20] - wire _T_4825 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 559:54] - wire _T_4826 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 559:75] - wire _T_4827 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 559:153] - wire _T_4828 = _T_4825 ? _T_4826 : _T_4827; // @[lsu_bus_buffer.scala 559:39] - wire bus_cmd_ready = obuf_write ? _T_4828 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 559:23] + wire _T_4829 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 559:54] + wire _T_4830 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 559:75] + wire _T_4831 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 559:153] + wire _T_4832 = _T_4829 ? _T_4830 : _T_4831; // @[lsu_bus_buffer.scala 559:39] + wire bus_cmd_ready = obuf_write ? _T_4832 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 559:23] wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 292:48] wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 292:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1276,52 +1276,52 @@ module lsu_bus_buffer( wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 292:77] wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 292:75] reg [31:0] obuf_addr; // @[Reg.scala 27:20] - wire _T_4776 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4777 = obuf_valid & _T_4776; // @[lsu_bus_buffer.scala 557:19] - wire _T_4779 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 557:107] - wire _T_4780 = obuf_merge & _T_4779; // @[lsu_bus_buffer.scala 557:95] - wire _T_4781 = _T_3565 | _T_4780; // @[lsu_bus_buffer.scala 557:81] - wire _T_4782 = ~_T_4781; // @[lsu_bus_buffer.scala 557:61] - wire _T_4783 = _T_4777 & _T_4782; // @[lsu_bus_buffer.scala 557:59] - wire _T_4817 = _T_4751 & _T_4783; // @[Mux.scala 27:72] - wire _T_4787 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 557:19] - wire _T_4790 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 557:107] - wire _T_4791 = obuf_merge & _T_4790; // @[lsu_bus_buffer.scala 557:95] - wire _T_4792 = _T_3756 | _T_4791; // @[lsu_bus_buffer.scala 557:81] - wire _T_4793 = ~_T_4792; // @[lsu_bus_buffer.scala 557:61] - wire _T_4794 = _T_4788 & _T_4793; // @[lsu_bus_buffer.scala 557:59] - wire _T_4818 = _T_4755 & _T_4794; // @[Mux.scala 27:72] - wire _T_4821 = _T_4817 | _T_4818; // @[Mux.scala 27:72] - wire _T_4798 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 557:19] - wire _T_4801 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 557:107] - wire _T_4802 = obuf_merge & _T_4801; // @[lsu_bus_buffer.scala 557:95] - wire _T_4803 = _T_3947 | _T_4802; // @[lsu_bus_buffer.scala 557:81] - wire _T_4804 = ~_T_4803; // @[lsu_bus_buffer.scala 557:61] - wire _T_4805 = _T_4799 & _T_4804; // @[lsu_bus_buffer.scala 557:59] - wire _T_4819 = _T_4759 & _T_4805; // @[Mux.scala 27:72] - wire _T_4822 = _T_4821 | _T_4819; // @[Mux.scala 27:72] - wire _T_4809 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4810 = obuf_valid & _T_4809; // @[lsu_bus_buffer.scala 557:19] - wire _T_4812 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 557:107] - wire _T_4813 = obuf_merge & _T_4812; // @[lsu_bus_buffer.scala 557:95] - wire _T_4814 = _T_4138 | _T_4813; // @[lsu_bus_buffer.scala 557:81] - wire _T_4815 = ~_T_4814; // @[lsu_bus_buffer.scala 557:61] - wire _T_4816 = _T_4810 & _T_4815; // @[lsu_bus_buffer.scala 557:59] - wire _T_4820 = _T_4763 & _T_4816; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4822 | _T_4820; // @[Mux.scala 27:72] + wire _T_4780 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 557:37] + wire _T_4781 = obuf_valid & _T_4780; // @[lsu_bus_buffer.scala 557:19] + wire _T_4783 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 557:107] + wire _T_4784 = obuf_merge & _T_4783; // @[lsu_bus_buffer.scala 557:95] + wire _T_4785 = _T_3565 | _T_4784; // @[lsu_bus_buffer.scala 557:81] + wire _T_4786 = ~_T_4785; // @[lsu_bus_buffer.scala 557:61] + wire _T_4787 = _T_4781 & _T_4786; // @[lsu_bus_buffer.scala 557:59] + wire _T_4821 = _T_4755 & _T_4787; // @[Mux.scala 27:72] + wire _T_4791 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 557:37] + wire _T_4792 = obuf_valid & _T_4791; // @[lsu_bus_buffer.scala 557:19] + wire _T_4794 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 557:107] + wire _T_4795 = obuf_merge & _T_4794; // @[lsu_bus_buffer.scala 557:95] + wire _T_4796 = _T_3756 | _T_4795; // @[lsu_bus_buffer.scala 557:81] + wire _T_4797 = ~_T_4796; // @[lsu_bus_buffer.scala 557:61] + wire _T_4798 = _T_4792 & _T_4797; // @[lsu_bus_buffer.scala 557:59] + wire _T_4822 = _T_4759 & _T_4798; // @[Mux.scala 27:72] + wire _T_4825 = _T_4821 | _T_4822; // @[Mux.scala 27:72] + wire _T_4802 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 557:37] + wire _T_4803 = obuf_valid & _T_4802; // @[lsu_bus_buffer.scala 557:19] + wire _T_4805 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 557:107] + wire _T_4806 = obuf_merge & _T_4805; // @[lsu_bus_buffer.scala 557:95] + wire _T_4807 = _T_3947 | _T_4806; // @[lsu_bus_buffer.scala 557:81] + wire _T_4808 = ~_T_4807; // @[lsu_bus_buffer.scala 557:61] + wire _T_4809 = _T_4803 & _T_4808; // @[lsu_bus_buffer.scala 557:59] + wire _T_4823 = _T_4763 & _T_4809; // @[Mux.scala 27:72] + wire _T_4826 = _T_4825 | _T_4823; // @[Mux.scala 27:72] + wire _T_4813 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 557:37] + wire _T_4814 = obuf_valid & _T_4813; // @[lsu_bus_buffer.scala 557:19] + wire _T_4816 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 557:107] + wire _T_4817 = obuf_merge & _T_4816; // @[lsu_bus_buffer.scala 557:95] + wire _T_4818 = _T_4138 | _T_4817; // @[lsu_bus_buffer.scala 557:81] + wire _T_4819 = ~_T_4818; // @[lsu_bus_buffer.scala 557:61] + wire _T_4820 = _T_4814 & _T_4819; // @[lsu_bus_buffer.scala 557:59] + wire _T_4824 = _T_4767 & _T_4820; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4826 | _T_4824; // @[Mux.scala 27:72] wire _T_1237 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 292:94] wire _T_1238 = _T_1236 & _T_1237; // @[lsu_bus_buffer.scala 292:92] wire obuf_wr_en = _T_1238 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 292:118] wire _T_1240 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 295:47] wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 560:40] - wire _T_4832 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 562:35] + wire _T_4836 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 562:35] wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 561:40] - wire _T_4833 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 562:70] - wire _T_4834 = _T_4832 & _T_4833; // @[lsu_bus_buffer.scala 562:52] - wire _T_4835 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 562:112] - wire bus_cmd_sent = _T_4834 | _T_4835; // @[lsu_bus_buffer.scala 562:89] + wire _T_4837 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 562:70] + wire _T_4838 = _T_4836 & _T_4837; // @[lsu_bus_buffer.scala 562:52] + wire _T_4839 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 562:112] + wire bus_cmd_sent = _T_4838 | _T_4839; // @[lsu_bus_buffer.scala 562:89] wire _T_1241 = bus_cmd_sent | _T_1240; // @[lsu_bus_buffer.scala 295:33] wire _T_1242 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 295:65] wire _T_1243 = _T_1241 & _T_1242; // @[lsu_bus_buffer.scala 295:63] @@ -1362,8 +1362,8 @@ module lsu_bus_buffer( wire [1:0] CmdPtr1 = _T_2041[1:0]; // @[lsu_bus_buffer.scala 396:11] wire _T_1302 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 309:39] wire _T_1303 = ~_T_1302; // @[lsu_bus_buffer.scala 309:26] - wire obuf_cmd_done_in = _T_1303 & _T_4832; // @[lsu_bus_buffer.scala 309:51] - wire obuf_data_done_in = _T_1303 & _T_4833; // @[lsu_bus_buffer.scala 312:52] + wire obuf_cmd_done_in = _T_1303 & _T_4836; // @[lsu_bus_buffer.scala 309:51] + wire obuf_data_done_in = _T_1303 & _T_4837; // @[lsu_bus_buffer.scala 312:52] wire _T_1309 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 313:72] wire _T_1312 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 313:98] wire _T_1313 = obuf_sz_in[0] & _T_1312; // @[lsu_bus_buffer.scala 313:96] @@ -1724,7 +1724,7 @@ module lsu_bus_buffer( wire _T_2076 = _T_2074 & _T_3535; // @[lsu_bus_buffer.scala 411:41] wire _T_2078 = _T_2076 & _T_1795; // @[lsu_bus_buffer.scala 411:71] wire _T_2080 = _T_2078 & _T_1793; // @[lsu_bus_buffer.scala 411:92] - wire _T_2081 = _T_4466 | _T_2080; // @[lsu_bus_buffer.scala 410:86] + wire _T_2081 = _T_4470 | _T_2080; // @[lsu_bus_buffer.scala 410:86] wire _T_2082 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 412:17] wire _T_2083 = _T_2082 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 412:35] wire _T_2085 = _T_2083 & _T_1796; // @[lsu_bus_buffer.scala 412:52] @@ -1733,19 +1733,19 @@ module lsu_bus_buffer( wire _T_2089 = _T_2068 & _T_2088; // @[lsu_bus_buffer.scala 409:113] wire _T_2091 = _T_2089 | buf_age_0[0]; // @[lsu_bus_buffer.scala 412:97] wire _T_2105 = _T_2078 & _T_1804; // @[lsu_bus_buffer.scala 411:92] - wire _T_2106 = _T_4471 | _T_2105; // @[lsu_bus_buffer.scala 410:86] + wire _T_2106 = _T_4475 | _T_2105; // @[lsu_bus_buffer.scala 410:86] wire _T_2112 = _T_2085 & _T_1806; // @[lsu_bus_buffer.scala 412:73] wire _T_2113 = _T_2106 | _T_2112; // @[lsu_bus_buffer.scala 411:114] wire _T_2114 = _T_2068 & _T_2113; // @[lsu_bus_buffer.scala 409:113] wire _T_2116 = _T_2114 | buf_age_0[1]; // @[lsu_bus_buffer.scala 412:97] wire _T_2130 = _T_2078 & _T_1815; // @[lsu_bus_buffer.scala 411:92] - wire _T_2131 = _T_4476 | _T_2130; // @[lsu_bus_buffer.scala 410:86] + wire _T_2131 = _T_4480 | _T_2130; // @[lsu_bus_buffer.scala 410:86] wire _T_2137 = _T_2085 & _T_1817; // @[lsu_bus_buffer.scala 412:73] wire _T_2138 = _T_2131 | _T_2137; // @[lsu_bus_buffer.scala 411:114] wire _T_2139 = _T_2068 & _T_2138; // @[lsu_bus_buffer.scala 409:113] wire _T_2141 = _T_2139 | buf_age_0[2]; // @[lsu_bus_buffer.scala 412:97] wire _T_2155 = _T_2078 & _T_1826; // @[lsu_bus_buffer.scala 411:92] - wire _T_2156 = _T_4481 | _T_2155; // @[lsu_bus_buffer.scala 410:86] + wire _T_2156 = _T_4485 | _T_2155; // @[lsu_bus_buffer.scala 410:86] wire _T_2162 = _T_2085 & _T_1828; // @[lsu_bus_buffer.scala 412:73] wire _T_2163 = _T_2156 | _T_2162; // @[lsu_bus_buffer.scala 411:114] wire _T_2164 = _T_2068 & _T_2163; // @[lsu_bus_buffer.scala 409:113] @@ -1812,26 +1812,26 @@ module lsu_bus_buffer( wire _T_2170 = _T_1803 & buf_state_en_1; // @[lsu_bus_buffer.scala 409:94] wire _T_2180 = _T_2076 & _T_1806; // @[lsu_bus_buffer.scala 411:71] wire _T_2182 = _T_2180 & _T_1793; // @[lsu_bus_buffer.scala 411:92] - wire _T_2183 = _T_4466 | _T_2182; // @[lsu_bus_buffer.scala 410:86] + wire _T_2183 = _T_4470 | _T_2182; // @[lsu_bus_buffer.scala 410:86] wire _T_2187 = _T_2083 & _T_1807; // @[lsu_bus_buffer.scala 412:52] wire _T_2189 = _T_2187 & _T_1795; // @[lsu_bus_buffer.scala 412:73] wire _T_2190 = _T_2183 | _T_2189; // @[lsu_bus_buffer.scala 411:114] wire _T_2191 = _T_2170 & _T_2190; // @[lsu_bus_buffer.scala 409:113] wire _T_2193 = _T_2191 | buf_age_1[0]; // @[lsu_bus_buffer.scala 412:97] wire _T_2207 = _T_2180 & _T_1804; // @[lsu_bus_buffer.scala 411:92] - wire _T_2208 = _T_4471 | _T_2207; // @[lsu_bus_buffer.scala 410:86] + wire _T_2208 = _T_4475 | _T_2207; // @[lsu_bus_buffer.scala 410:86] wire _T_2214 = _T_2187 & _T_1806; // @[lsu_bus_buffer.scala 412:73] wire _T_2215 = _T_2208 | _T_2214; // @[lsu_bus_buffer.scala 411:114] wire _T_2216 = _T_2170 & _T_2215; // @[lsu_bus_buffer.scala 409:113] wire _T_2218 = _T_2216 | buf_age_1[1]; // @[lsu_bus_buffer.scala 412:97] wire _T_2232 = _T_2180 & _T_1815; // @[lsu_bus_buffer.scala 411:92] - wire _T_2233 = _T_4476 | _T_2232; // @[lsu_bus_buffer.scala 410:86] + wire _T_2233 = _T_4480 | _T_2232; // @[lsu_bus_buffer.scala 410:86] wire _T_2239 = _T_2187 & _T_1817; // @[lsu_bus_buffer.scala 412:73] wire _T_2240 = _T_2233 | _T_2239; // @[lsu_bus_buffer.scala 411:114] wire _T_2241 = _T_2170 & _T_2240; // @[lsu_bus_buffer.scala 409:113] wire _T_2243 = _T_2241 | buf_age_1[2]; // @[lsu_bus_buffer.scala 412:97] wire _T_2257 = _T_2180 & _T_1826; // @[lsu_bus_buffer.scala 411:92] - wire _T_2258 = _T_4481 | _T_2257; // @[lsu_bus_buffer.scala 410:86] + wire _T_2258 = _T_4485 | _T_2257; // @[lsu_bus_buffer.scala 410:86] wire _T_2264 = _T_2187 & _T_1828; // @[lsu_bus_buffer.scala 412:73] wire _T_2265 = _T_2258 | _T_2264; // @[lsu_bus_buffer.scala 411:114] wire _T_2266 = _T_2170 & _T_2265; // @[lsu_bus_buffer.scala 409:113] @@ -1898,26 +1898,26 @@ module lsu_bus_buffer( wire _T_2272 = _T_1814 & buf_state_en_2; // @[lsu_bus_buffer.scala 409:94] wire _T_2282 = _T_2076 & _T_1817; // @[lsu_bus_buffer.scala 411:71] wire _T_2284 = _T_2282 & _T_1793; // @[lsu_bus_buffer.scala 411:92] - wire _T_2285 = _T_4466 | _T_2284; // @[lsu_bus_buffer.scala 410:86] + wire _T_2285 = _T_4470 | _T_2284; // @[lsu_bus_buffer.scala 410:86] wire _T_2289 = _T_2083 & _T_1818; // @[lsu_bus_buffer.scala 412:52] wire _T_2291 = _T_2289 & _T_1795; // @[lsu_bus_buffer.scala 412:73] wire _T_2292 = _T_2285 | _T_2291; // @[lsu_bus_buffer.scala 411:114] wire _T_2293 = _T_2272 & _T_2292; // @[lsu_bus_buffer.scala 409:113] wire _T_2295 = _T_2293 | buf_age_2[0]; // @[lsu_bus_buffer.scala 412:97] wire _T_2309 = _T_2282 & _T_1804; // @[lsu_bus_buffer.scala 411:92] - wire _T_2310 = _T_4471 | _T_2309; // @[lsu_bus_buffer.scala 410:86] + wire _T_2310 = _T_4475 | _T_2309; // @[lsu_bus_buffer.scala 410:86] wire _T_2316 = _T_2289 & _T_1806; // @[lsu_bus_buffer.scala 412:73] wire _T_2317 = _T_2310 | _T_2316; // @[lsu_bus_buffer.scala 411:114] wire _T_2318 = _T_2272 & _T_2317; // @[lsu_bus_buffer.scala 409:113] wire _T_2320 = _T_2318 | buf_age_2[1]; // @[lsu_bus_buffer.scala 412:97] wire _T_2334 = _T_2282 & _T_1815; // @[lsu_bus_buffer.scala 411:92] - wire _T_2335 = _T_4476 | _T_2334; // @[lsu_bus_buffer.scala 410:86] + wire _T_2335 = _T_4480 | _T_2334; // @[lsu_bus_buffer.scala 410:86] wire _T_2341 = _T_2289 & _T_1817; // @[lsu_bus_buffer.scala 412:73] wire _T_2342 = _T_2335 | _T_2341; // @[lsu_bus_buffer.scala 411:114] wire _T_2343 = _T_2272 & _T_2342; // @[lsu_bus_buffer.scala 409:113] wire _T_2345 = _T_2343 | buf_age_2[2]; // @[lsu_bus_buffer.scala 412:97] wire _T_2359 = _T_2282 & _T_1826; // @[lsu_bus_buffer.scala 411:92] - wire _T_2360 = _T_4481 | _T_2359; // @[lsu_bus_buffer.scala 410:86] + wire _T_2360 = _T_4485 | _T_2359; // @[lsu_bus_buffer.scala 410:86] wire _T_2366 = _T_2289 & _T_1828; // @[lsu_bus_buffer.scala 412:73] wire _T_2367 = _T_2360 | _T_2366; // @[lsu_bus_buffer.scala 411:114] wire _T_2368 = _T_2272 & _T_2367; // @[lsu_bus_buffer.scala 409:113] @@ -1984,26 +1984,26 @@ module lsu_bus_buffer( wire _T_2374 = _T_1825 & buf_state_en_3; // @[lsu_bus_buffer.scala 409:94] wire _T_2384 = _T_2076 & _T_1828; // @[lsu_bus_buffer.scala 411:71] wire _T_2386 = _T_2384 & _T_1793; // @[lsu_bus_buffer.scala 411:92] - wire _T_2387 = _T_4466 | _T_2386; // @[lsu_bus_buffer.scala 410:86] + wire _T_2387 = _T_4470 | _T_2386; // @[lsu_bus_buffer.scala 410:86] wire _T_2391 = _T_2083 & _T_1829; // @[lsu_bus_buffer.scala 412:52] wire _T_2393 = _T_2391 & _T_1795; // @[lsu_bus_buffer.scala 412:73] wire _T_2394 = _T_2387 | _T_2393; // @[lsu_bus_buffer.scala 411:114] wire _T_2395 = _T_2374 & _T_2394; // @[lsu_bus_buffer.scala 409:113] wire _T_2397 = _T_2395 | buf_age_3[0]; // @[lsu_bus_buffer.scala 412:97] wire _T_2411 = _T_2384 & _T_1804; // @[lsu_bus_buffer.scala 411:92] - wire _T_2412 = _T_4471 | _T_2411; // @[lsu_bus_buffer.scala 410:86] + wire _T_2412 = _T_4475 | _T_2411; // @[lsu_bus_buffer.scala 410:86] wire _T_2418 = _T_2391 & _T_1806; // @[lsu_bus_buffer.scala 412:73] wire _T_2419 = _T_2412 | _T_2418; // @[lsu_bus_buffer.scala 411:114] wire _T_2420 = _T_2374 & _T_2419; // @[lsu_bus_buffer.scala 409:113] wire _T_2422 = _T_2420 | buf_age_3[1]; // @[lsu_bus_buffer.scala 412:97] wire _T_2436 = _T_2384 & _T_1815; // @[lsu_bus_buffer.scala 411:92] - wire _T_2437 = _T_4476 | _T_2436; // @[lsu_bus_buffer.scala 410:86] + wire _T_2437 = _T_4480 | _T_2436; // @[lsu_bus_buffer.scala 410:86] wire _T_2443 = _T_2391 & _T_1817; // @[lsu_bus_buffer.scala 412:73] wire _T_2444 = _T_2437 | _T_2443; // @[lsu_bus_buffer.scala 411:114] wire _T_2445 = _T_2374 & _T_2444; // @[lsu_bus_buffer.scala 409:113] wire _T_2447 = _T_2445 | buf_age_3[2]; // @[lsu_bus_buffer.scala 412:97] wire _T_2461 = _T_2384 & _T_1826; // @[lsu_bus_buffer.scala 411:92] - wire _T_2462 = _T_4481 | _T_2461; // @[lsu_bus_buffer.scala 410:86] + wire _T_2462 = _T_4485 | _T_2461; // @[lsu_bus_buffer.scala 410:86] wire _T_2468 = _T_2391 & _T_1828; // @[lsu_bus_buffer.scala 412:73] wire _T_2469 = _T_2462 | _T_2468; // @[lsu_bus_buffer.scala 411:114] wire _T_2470 = _T_2374 & _T_2469; // @[lsu_bus_buffer.scala 409:113] @@ -2189,15 +2189,15 @@ module lsu_bus_buffer( wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 460:74] wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] - wire _T_4841 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 568:64] - wire bus_rsp_read_error = bus_rsp_read & _T_4841; // @[lsu_bus_buffer.scala 568:38] + wire _T_4845 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 568:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4845; // @[lsu_bus_buffer.scala 568:38] wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] wire _T_3659 = bus_rsp_read_error & _T_3638; // @[lsu_bus_buffer.scala 477:91] wire _T_3661 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 478:31] wire _T_3663 = _T_3661 & _T_3640; // @[lsu_bus_buffer.scala 478:46] wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 477:143] - wire _T_4839 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 567:66] - wire bus_rsp_write_error = bus_rsp_write & _T_4839; // @[lsu_bus_buffer.scala 567:40] + wire _T_4843 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 567:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4843; // @[lsu_bus_buffer.scala 567:40] wire _T_3666 = bus_rsp_write_error & _T_3636; // @[lsu_bus_buffer.scala 479:33] wire _T_3667 = _T_3664 | _T_3666; // @[lsu_bus_buffer.scala 478:88] wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 477:68] @@ -2216,9 +2216,9 @@ module lsu_bus_buffer( wire [2:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_30; // @[lsu_bus_buffer.scala 468:90] wire _T_3603 = _GEN_31 != 3'h4; // @[lsu_bus_buffer.scala 468:90] wire _T_3604 = _T_3602 & _T_3603; // @[lsu_bus_buffer.scala 468:61] - wire _T_4489 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 528:93] - wire _T_4490 = _T_4489 | _T_2711; // @[lsu_bus_buffer.scala 528:93] - wire any_done_wait_state = _T_4490 | _T_2708; // @[lsu_bus_buffer.scala 528:93] + wire _T_4493 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 528:93] + wire _T_4494 = _T_4493 | _T_2711; // @[lsu_bus_buffer.scala 528:93] + wire any_done_wait_state = _T_4494 | _T_2708; // @[lsu_bus_buffer.scala 528:93] wire _T_3606 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] wire _T_3612 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3614 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 60:118] @@ -2469,215 +2469,219 @@ module lsu_bus_buffer( reg _T_4337; // @[Reg.scala 27:20] reg _T_4340; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4340,_T_4337,_T_4334,_T_4331}; // @[Cat.scala 29:58] - wire _T_4387 = ~buf_rst_0; // @[lsu_bus_buffer.scala 523:81] - reg _T_4406; // @[lsu_bus_buffer.scala 523:80] - reg _T_4401; // @[lsu_bus_buffer.scala 523:80] - reg _T_4396; // @[lsu_bus_buffer.scala 523:80] - reg _T_4391; // @[lsu_bus_buffer.scala 523:80] - wire [3:0] buf_error = {_T_4406,_T_4401,_T_4396,_T_4391}; // @[Cat.scala 29:58] - wire _T_4389 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 523:98] - wire _T_4392 = ~buf_rst_1; // @[lsu_bus_buffer.scala 523:81] - wire _T_4394 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 523:98] - wire _T_4397 = ~buf_rst_2; // @[lsu_bus_buffer.scala 523:81] - wire _T_4399 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 523:98] - wire _T_4402 = ~buf_rst_3; // @[lsu_bus_buffer.scala 523:81] - wire _T_4404 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 523:98] - wire [1:0] _T_4410 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4411 = io_ldst_dual_m ? _T_4410 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 524:28] - wire [1:0] _T_4412 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4413 = io_ldst_dual_r ? _T_4412 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 524:94] - wire [2:0] _T_4414 = _T_4411 + _T_4413; // @[lsu_bus_buffer.scala 524:88] + wire _T_4388 = ~buf_rst_0; // @[lsu_bus_buffer.scala 523:107] + reg _T_4410; // @[lsu_bus_buffer.scala 523:106] + reg _T_4404; // @[lsu_bus_buffer.scala 523:106] + reg _T_4398; // @[lsu_bus_buffer.scala 523:106] + reg _T_4392; // @[lsu_bus_buffer.scala 523:106] + wire [3:0] buf_error = {_T_4410,_T_4404,_T_4398,_T_4392}; // @[Cat.scala 29:58] + wire _T_4390 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 523:124] + wire _T_4391 = _T_4388 & _T_4390; // @[lsu_bus_buffer.scala 523:119] + wire _T_4394 = ~buf_rst_1; // @[lsu_bus_buffer.scala 523:107] + wire _T_4396 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 523:124] + wire _T_4397 = _T_4394 & _T_4396; // @[lsu_bus_buffer.scala 523:119] + wire _T_4400 = ~buf_rst_2; // @[lsu_bus_buffer.scala 523:107] + wire _T_4402 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 523:124] + wire _T_4403 = _T_4400 & _T_4402; // @[lsu_bus_buffer.scala 523:119] + wire _T_4406 = ~buf_rst_3; // @[lsu_bus_buffer.scala 523:107] + wire _T_4408 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 523:124] + wire _T_4409 = _T_4406 & _T_4408; // @[lsu_bus_buffer.scala 523:119] + wire [1:0] _T_4414 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4415 = io_ldst_dual_m ? _T_4414 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 524:28] + wire [1:0] _T_4416 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4417 = io_ldst_dual_r ? _T_4416 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 524:94] + wire [2:0] _T_4418 = _T_4415 + _T_4417; // @[lsu_bus_buffer.scala 524:88] wire [2:0] _GEN_406 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 524:154] - wire [3:0] _T_4415 = _T_4414 + _GEN_406; // @[lsu_bus_buffer.scala 524:154] - wire [1:0] _T_4420 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 524:217] + wire [3:0] _T_4419 = _T_4418 + _GEN_406; // @[lsu_bus_buffer.scala 524:154] + wire [1:0] _T_4424 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 524:217] wire [1:0] _GEN_407 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 524:217] - wire [2:0] _T_4421 = _T_4420 + _GEN_407; // @[lsu_bus_buffer.scala 524:217] + wire [2:0] _T_4425 = _T_4424 + _GEN_407; // @[lsu_bus_buffer.scala 524:217] wire [2:0] _GEN_408 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 524:217] - wire [3:0] _T_4422 = _T_4421 + _GEN_408; // @[lsu_bus_buffer.scala 524:217] - wire [3:0] buf_numvld_any = _T_4415 + _T_4422; // @[lsu_bus_buffer.scala 524:169] - wire _T_4493 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 530:52] - wire _T_4494 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 530:92] - wire _T_4495 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 530:121] - wire _T_4497 = |buf_state_0; // @[lsu_bus_buffer.scala 531:52] - wire _T_4498 = |buf_state_1; // @[lsu_bus_buffer.scala 531:52] - wire _T_4499 = |buf_state_2; // @[lsu_bus_buffer.scala 531:52] - wire _T_4500 = |buf_state_3; // @[lsu_bus_buffer.scala 531:52] - wire _T_4501 = _T_4497 | _T_4498; // @[lsu_bus_buffer.scala 531:65] - wire _T_4502 = _T_4501 | _T_4499; // @[lsu_bus_buffer.scala 531:65] - wire _T_4503 = _T_4502 | _T_4500; // @[lsu_bus_buffer.scala 531:65] - wire _T_4504 = ~_T_4503; // @[lsu_bus_buffer.scala 531:34] - wire _T_4506 = _T_4504 & _T_852; // @[lsu_bus_buffer.scala 531:70] - wire _T_4509 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 533:64] - wire _T_4510 = _T_4509 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 533:85] - wire _T_4511 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 533:112] - wire _T_4512 = _T_4510 & _T_4511; // @[lsu_bus_buffer.scala 533:110] - wire _T_4513 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 533:129] - wire _T_4515 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 536:74] + wire [3:0] _T_4426 = _T_4425 + _GEN_408; // @[lsu_bus_buffer.scala 524:217] + wire [3:0] buf_numvld_any = _T_4419 + _T_4426; // @[lsu_bus_buffer.scala 524:169] + wire _T_4497 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 530:52] + wire _T_4498 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 530:92] + wire _T_4499 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 530:121] + wire _T_4501 = |buf_state_0; // @[lsu_bus_buffer.scala 531:52] + wire _T_4502 = |buf_state_1; // @[lsu_bus_buffer.scala 531:52] + wire _T_4503 = |buf_state_2; // @[lsu_bus_buffer.scala 531:52] + wire _T_4504 = |buf_state_3; // @[lsu_bus_buffer.scala 531:52] + wire _T_4505 = _T_4501 | _T_4502; // @[lsu_bus_buffer.scala 531:65] + wire _T_4506 = _T_4505 | _T_4503; // @[lsu_bus_buffer.scala 531:65] + wire _T_4507 = _T_4506 | _T_4504; // @[lsu_bus_buffer.scala 531:65] + wire _T_4508 = ~_T_4507; // @[lsu_bus_buffer.scala 531:34] + wire _T_4510 = _T_4508 & _T_852; // @[lsu_bus_buffer.scala 531:70] + wire _T_4513 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 533:64] + wire _T_4514 = _T_4513 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 533:85] + wire _T_4515 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 533:112] + wire _T_4516 = _T_4514 & _T_4515; // @[lsu_bus_buffer.scala 533:110] + wire _T_4517 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 533:129] + wire _T_4519 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 536:74] reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 621:66] - wire _T_4529 = _T_2770 & _T_3645; // @[Mux.scala 27:72] - wire _T_4530 = _T_2792 & _T_3836; // @[Mux.scala 27:72] - wire _T_4531 = _T_2814 & _T_4027; // @[Mux.scala 27:72] - wire _T_4532 = _T_2836 & _T_4218; // @[Mux.scala 27:72] - wire _T_4533 = _T_4529 | _T_4530; // @[Mux.scala 27:72] - wire _T_4534 = _T_4533 | _T_4531; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4534 | _T_4532; // @[Mux.scala 27:72] - wire _T_4540 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 539:121] - wire _T_4545 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 539:121] - wire _T_4550 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 539:121] - wire _T_4555 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 539:121] - wire _T_4556 = _T_2770 & _T_4540; // @[Mux.scala 27:72] - wire _T_4557 = _T_2792 & _T_4545; // @[Mux.scala 27:72] - wire _T_4558 = _T_2814 & _T_4550; // @[Mux.scala 27:72] - wire _T_4559 = _T_2836 & _T_4555; // @[Mux.scala 27:72] - wire _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] - wire _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] - wire _T_4568 = ~buf_dual_0; // @[lsu_bus_buffer.scala 540:121] - wire _T_4569 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 540:136] - wire _T_4570 = _T_4568 | _T_4569; // @[lsu_bus_buffer.scala 540:134] - wire _T_4571 = _T_4529 & _T_4570; // @[lsu_bus_buffer.scala 540:118] - wire _T_4576 = ~buf_dual_1; // @[lsu_bus_buffer.scala 540:121] - wire _T_4577 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 540:136] - wire _T_4578 = _T_4576 | _T_4577; // @[lsu_bus_buffer.scala 540:134] - wire _T_4579 = _T_4530 & _T_4578; // @[lsu_bus_buffer.scala 540:118] - wire _T_4584 = ~buf_dual_2; // @[lsu_bus_buffer.scala 540:121] - wire _T_4585 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 540:136] - wire _T_4586 = _T_4584 | _T_4585; // @[lsu_bus_buffer.scala 540:134] - wire _T_4587 = _T_4531 & _T_4586; // @[lsu_bus_buffer.scala 540:118] - wire _T_4592 = ~buf_dual_3; // @[lsu_bus_buffer.scala 540:121] - wire _T_4593 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 540:136] - wire _T_4594 = _T_4592 | _T_4593; // @[lsu_bus_buffer.scala 540:134] - wire _T_4595 = _T_4532 & _T_4594; // @[lsu_bus_buffer.scala 540:118] - wire [1:0] _T_4598 = _T_4587 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4599 = _T_4595 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_409 = {{1'd0}, _T_4579}; // @[Mux.scala 27:72] - wire [1:0] _T_4601 = _GEN_409 | _T_4598; // @[Mux.scala 27:72] - wire [31:0] _T_4636 = _T_4571 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4637 = _T_4579 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4638 = _T_4587 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4639 = _T_4595 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4640 = _T_4636 | _T_4637; // @[Mux.scala 27:72] - wire [31:0] _T_4641 = _T_4640 | _T_4638; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4641 | _T_4639; // @[Mux.scala 27:72] - wire _T_4648 = _T_4529 & _T_3643; // @[lsu_bus_buffer.scala 542:105] - wire _T_4654 = _T_4530 & _T_3834; // @[lsu_bus_buffer.scala 542:105] - wire _T_4660 = _T_4531 & _T_4025; // @[lsu_bus_buffer.scala 542:105] - wire _T_4666 = _T_4532 & _T_4216; // @[lsu_bus_buffer.scala 542:105] - wire [31:0] _T_4667 = _T_4648 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4668 = _T_4654 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4669 = _T_4660 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4670 = _T_4666 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4671 = _T_4667 | _T_4668; // @[Mux.scala 27:72] - wire [31:0] _T_4672 = _T_4671 | _T_4669; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4672 | _T_4670; // @[Mux.scala 27:72] - wire _T_4674 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] - wire _T_4675 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] - wire _T_4676 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] - wire _T_4677 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] - wire [31:0] _T_4678 = _T_4674 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4679 = _T_4675 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4680 = _T_4676 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4681 = _T_4677 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4682 = _T_4678 | _T_4679; // @[Mux.scala 27:72] - wire [31:0] _T_4683 = _T_4682 | _T_4680; // @[Mux.scala 27:72] - wire [31:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[lsu_bus_buffer.scala 543:96] - wire [1:0] _T_4690 = _T_4674 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4691 = _T_4675 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4692 = _T_4676 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4693 = _T_4677 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4694 = _T_4690 | _T_4691; // @[Mux.scala 27:72] - wire [1:0] _T_4695 = _T_4694 | _T_4692; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4695 | _T_4693; // @[Mux.scala 27:72] - wire _T_4705 = _T_4674 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4706 = _T_4675 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4707 = _T_4676 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4708 = _T_4677 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4709 = _T_4705 | _T_4706; // @[Mux.scala 27:72] - wire _T_4710 = _T_4709 | _T_4707; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4710 | _T_4708; // @[Mux.scala 27:72] - wire [63:0] _T_4712 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire _T_4533 = _T_2770 & _T_3645; // @[Mux.scala 27:72] + wire _T_4534 = _T_2792 & _T_3836; // @[Mux.scala 27:72] + wire _T_4535 = _T_2814 & _T_4027; // @[Mux.scala 27:72] + wire _T_4536 = _T_2836 & _T_4218; // @[Mux.scala 27:72] + wire _T_4537 = _T_4533 | _T_4534; // @[Mux.scala 27:72] + wire _T_4538 = _T_4537 | _T_4535; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4538 | _T_4536; // @[Mux.scala 27:72] + wire _T_4544 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 539:121] + wire _T_4549 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 539:121] + wire _T_4554 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 539:121] + wire _T_4559 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 539:121] + wire _T_4560 = _T_2770 & _T_4544; // @[Mux.scala 27:72] + wire _T_4561 = _T_2792 & _T_4549; // @[Mux.scala 27:72] + wire _T_4562 = _T_2814 & _T_4554; // @[Mux.scala 27:72] + wire _T_4563 = _T_2836 & _T_4559; // @[Mux.scala 27:72] + wire _T_4564 = _T_4560 | _T_4561; // @[Mux.scala 27:72] + wire _T_4565 = _T_4564 | _T_4562; // @[Mux.scala 27:72] + wire _T_4572 = ~buf_dual_0; // @[lsu_bus_buffer.scala 540:121] + wire _T_4573 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 540:136] + wire _T_4574 = _T_4572 | _T_4573; // @[lsu_bus_buffer.scala 540:134] + wire _T_4575 = _T_4533 & _T_4574; // @[lsu_bus_buffer.scala 540:118] + wire _T_4580 = ~buf_dual_1; // @[lsu_bus_buffer.scala 540:121] + wire _T_4581 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 540:136] + wire _T_4582 = _T_4580 | _T_4581; // @[lsu_bus_buffer.scala 540:134] + wire _T_4583 = _T_4534 & _T_4582; // @[lsu_bus_buffer.scala 540:118] + wire _T_4588 = ~buf_dual_2; // @[lsu_bus_buffer.scala 540:121] + wire _T_4589 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 540:136] + wire _T_4590 = _T_4588 | _T_4589; // @[lsu_bus_buffer.scala 540:134] + wire _T_4591 = _T_4535 & _T_4590; // @[lsu_bus_buffer.scala 540:118] + wire _T_4596 = ~buf_dual_3; // @[lsu_bus_buffer.scala 540:121] + wire _T_4597 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 540:136] + wire _T_4598 = _T_4596 | _T_4597; // @[lsu_bus_buffer.scala 540:134] + wire _T_4599 = _T_4536 & _T_4598; // @[lsu_bus_buffer.scala 540:118] + wire [1:0] _T_4602 = _T_4591 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4603 = _T_4599 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_409 = {{1'd0}, _T_4583}; // @[Mux.scala 27:72] + wire [1:0] _T_4605 = _GEN_409 | _T_4602; // @[Mux.scala 27:72] + wire [31:0] _T_4640 = _T_4575 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4641 = _T_4583 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4642 = _T_4591 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4643 = _T_4599 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4644 = _T_4640 | _T_4641; // @[Mux.scala 27:72] + wire [31:0] _T_4645 = _T_4644 | _T_4642; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4645 | _T_4643; // @[Mux.scala 27:72] + wire _T_4652 = _T_4533 & _T_3643; // @[lsu_bus_buffer.scala 542:105] + wire _T_4658 = _T_4534 & _T_3834; // @[lsu_bus_buffer.scala 542:105] + wire _T_4664 = _T_4535 & _T_4025; // @[lsu_bus_buffer.scala 542:105] + wire _T_4670 = _T_4536 & _T_4216; // @[lsu_bus_buffer.scala 542:105] + wire [31:0] _T_4671 = _T_4652 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4672 = _T_4658 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4673 = _T_4664 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4674 = _T_4670 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4675 = _T_4671 | _T_4672; // @[Mux.scala 27:72] + wire [31:0] _T_4676 = _T_4675 | _T_4673; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4676 | _T_4674; // @[Mux.scala 27:72] + wire _T_4678 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] + wire _T_4679 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] + wire _T_4680 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] + wire _T_4681 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] + wire [31:0] _T_4682 = _T_4678 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4683 = _T_4679 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4684 = _T_4680 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4685 = _T_4681 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4686 = _T_4682 | _T_4683; // @[Mux.scala 27:72] + wire [31:0] _T_4687 = _T_4686 | _T_4684; // @[Mux.scala 27:72] + wire [31:0] _T_4688 = _T_4687 | _T_4685; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4688[1:0]; // @[lsu_bus_buffer.scala 543:96] + wire [1:0] _T_4694 = _T_4678 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4695 = _T_4679 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4696 = _T_4680 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4697 = _T_4681 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4698 = _T_4694 | _T_4695; // @[Mux.scala 27:72] + wire [1:0] _T_4699 = _T_4698 | _T_4696; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4699 | _T_4697; // @[Mux.scala 27:72] + wire _T_4709 = _T_4678 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4710 = _T_4679 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4711 = _T_4680 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4712 = _T_4681 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4713 = _T_4709 | _T_4710; // @[Mux.scala 27:72] + wire _T_4714 = _T_4713 | _T_4711; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4714 | _T_4712; // @[Mux.scala 27:72] + wire [63:0] _T_4716 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] wire [3:0] _GEN_410 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 547:121] - wire [5:0] _T_4713 = _GEN_410 * 4'h8; // @[lsu_bus_buffer.scala 547:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4712 >> _T_4713; // @[lsu_bus_buffer.scala 547:92] - wire _T_4714 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 549:82] - wire _T_4716 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 550:94] - wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 550:76] - wire [31:0] _T_4719 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4720 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 551:45] - wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 551:26] - wire [31:0] _T_4723 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4724 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 552:6] - wire _T_4726 = _T_4724 & _T_4716; // @[lsu_bus_buffer.scala 552:27] - wire [23:0] _T_4729 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4731 = {_T_4729,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4734 = _T_4724 & _T_4720; // @[lsu_bus_buffer.scala 553:27] - wire [15:0] _T_4737 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4739 = {_T_4737,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4740 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 554:21] - wire [31:0] _T_4741 = _T_4717 ? _T_4719 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4742 = _T_4721 ? _T_4723 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4743 = _T_4726 ? _T_4731 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4744 = _T_4734 ? _T_4739 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4745 = _T_4740 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4746 = _T_4741 | _T_4742; // @[Mux.scala 27:72] - wire [31:0] _T_4747 = _T_4746 | _T_4743; // @[Mux.scala 27:72] - wire [31:0] _T_4748 = _T_4747 | _T_4744; // @[Mux.scala 27:72] - wire [63:0] _GEN_411 = {{32'd0}, _T_4748}; // @[Mux.scala 27:72] - wire [63:0] _T_4749 = _GEN_411 | _T_4745; // @[Mux.scala 27:72] - wire _T_4843 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 572:37] - wire _T_4844 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 572:52] - wire _T_4845 = _T_4843 & _T_4844; // @[lsu_bus_buffer.scala 572:50] - wire [31:0] _T_4849 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4851 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4856 = ~obuf_data_done; // @[lsu_bus_buffer.scala 584:51] - wire _T_4857 = _T_4843 & _T_4856; // @[lsu_bus_buffer.scala 584:49] - wire [7:0] _T_4861 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4864 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 589:37] - wire _T_4866 = _T_4864 & _T_1347; // @[lsu_bus_buffer.scala 589:51] - wire _T_4878 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4880 = _T_4878 & buf_write[0]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4883 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4885 = _T_4883 & buf_write[1]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4888 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4890 = _T_4888 & buf_write[2]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4893 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4895 = _T_4893 & buf_write[3]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4896 = _T_2770 & _T_4880; // @[Mux.scala 27:72] - wire _T_4897 = _T_2792 & _T_4885; // @[Mux.scala 27:72] - wire _T_4898 = _T_2814 & _T_4890; // @[Mux.scala 27:72] - wire _T_4899 = _T_2836 & _T_4895; // @[Mux.scala 27:72] - wire _T_4900 = _T_4896 | _T_4897; // @[Mux.scala 27:72] - wire _T_4901 = _T_4900 | _T_4898; // @[Mux.scala 27:72] - wire _T_4911 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 603:93] - wire _T_4913 = _T_4911 & buf_write[1]; // @[lsu_bus_buffer.scala 603:108] - wire _T_4916 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 603:93] - wire _T_4918 = _T_4916 & buf_write[2]; // @[lsu_bus_buffer.scala 603:108] - wire _T_4921 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 603:93] - wire _T_4923 = _T_4921 & buf_write[3]; // @[lsu_bus_buffer.scala 603:108] - wire [1:0] _T_4926 = _T_4918 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4927 = _T_4923 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_412 = {{1'd0}, _T_4913}; // @[Mux.scala 27:72] - wire [1:0] _T_4929 = _GEN_412 | _T_4926; // @[Mux.scala 27:72] - wire [1:0] lsu_imprecise_error_store_tag = _T_4929 | _T_4927; // @[Mux.scala 27:72] - wire _T_4931 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 605:97] + wire [5:0] _T_4717 = _GEN_410 * 4'h8; // @[lsu_bus_buffer.scala 547:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4716 >> _T_4717; // @[lsu_bus_buffer.scala 547:92] + wire _T_4718 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 549:82] + wire _T_4720 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 550:94] + wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 550:76] + wire [31:0] _T_4723 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4724 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 551:45] + wire _T_4725 = lsu_nonblock_unsign & _T_4724; // @[lsu_bus_buffer.scala 551:26] + wire [31:0] _T_4727 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4728 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 552:6] + wire _T_4730 = _T_4728 & _T_4720; // @[lsu_bus_buffer.scala 552:27] + wire [23:0] _T_4733 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4735 = {_T_4733,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4738 = _T_4728 & _T_4724; // @[lsu_bus_buffer.scala 553:27] + wire [15:0] _T_4741 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4743 = {_T_4741,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4744 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 554:21] + wire [31:0] _T_4745 = _T_4721 ? _T_4723 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4746 = _T_4725 ? _T_4727 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4747 = _T_4730 ? _T_4735 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4748 = _T_4738 ? _T_4743 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4749 = _T_4744 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4750 = _T_4745 | _T_4746; // @[Mux.scala 27:72] + wire [31:0] _T_4751 = _T_4750 | _T_4747; // @[Mux.scala 27:72] + wire [31:0] _T_4752 = _T_4751 | _T_4748; // @[Mux.scala 27:72] + wire [63:0] _GEN_411 = {{32'd0}, _T_4752}; // @[Mux.scala 27:72] + wire [63:0] _T_4753 = _GEN_411 | _T_4749; // @[Mux.scala 27:72] + wire _T_4847 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 572:37] + wire _T_4848 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 572:52] + wire _T_4849 = _T_4847 & _T_4848; // @[lsu_bus_buffer.scala 572:50] + wire [31:0] _T_4853 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4855 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4860 = ~obuf_data_done; // @[lsu_bus_buffer.scala 584:51] + wire _T_4861 = _T_4847 & _T_4860; // @[lsu_bus_buffer.scala 584:49] + wire [7:0] _T_4865 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4868 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 589:37] + wire _T_4870 = _T_4868 & _T_1347; // @[lsu_bus_buffer.scala 589:51] + wire _T_4882 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 602:126] + wire _T_4884 = _T_4882 & buf_write[0]; // @[lsu_bus_buffer.scala 602:141] + wire _T_4887 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 602:126] + wire _T_4889 = _T_4887 & buf_write[1]; // @[lsu_bus_buffer.scala 602:141] + wire _T_4892 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 602:126] + wire _T_4894 = _T_4892 & buf_write[2]; // @[lsu_bus_buffer.scala 602:141] + wire _T_4897 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 602:126] + wire _T_4899 = _T_4897 & buf_write[3]; // @[lsu_bus_buffer.scala 602:141] + wire _T_4900 = _T_2770 & _T_4884; // @[Mux.scala 27:72] + wire _T_4901 = _T_2792 & _T_4889; // @[Mux.scala 27:72] + wire _T_4902 = _T_2814 & _T_4894; // @[Mux.scala 27:72] + wire _T_4903 = _T_2836 & _T_4899; // @[Mux.scala 27:72] + wire _T_4904 = _T_4900 | _T_4901; // @[Mux.scala 27:72] + wire _T_4905 = _T_4904 | _T_4902; // @[Mux.scala 27:72] + wire _T_4915 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 603:93] + wire _T_4917 = _T_4915 & buf_write[1]; // @[lsu_bus_buffer.scala 603:108] + wire _T_4920 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 603:93] + wire _T_4922 = _T_4920 & buf_write[2]; // @[lsu_bus_buffer.scala 603:108] + wire _T_4925 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 603:93] + wire _T_4927 = _T_4925 & buf_write[3]; // @[lsu_bus_buffer.scala 603:108] + wire [1:0] _T_4930 = _T_4922 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4931 = _T_4927 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_412 = {{1'd0}, _T_4917}; // @[Mux.scala 27:72] + wire [1:0] _T_4933 = _GEN_412 | _T_4930; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4933 | _T_4931; // @[Mux.scala 27:72] + wire _T_4935 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 605:97] wire [31:0] _GEN_369 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 606:53] wire [31:0] _GEN_370 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_369; // @[lsu_bus_buffer.scala 606:53] wire [31:0] _GEN_371 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_370; // @[lsu_bus_buffer.scala 606:53] wire [31:0] _GEN_373 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 606:53] wire [31:0] _GEN_374 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_373; // @[lsu_bus_buffer.scala 606:53] wire [31:0] _GEN_375 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_374; // @[lsu_bus_buffer.scala 606:53] - wire _T_4936 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 612:82] - wire _T_4939 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 613:60] - wire _T_4942 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 616:61] - wire _T_4943 = io_lsu_axi_aw_valid & _T_4942; // @[lsu_bus_buffer.scala 616:59] - wire _T_4944 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 616:107] - wire _T_4945 = io_lsu_axi_w_valid & _T_4944; // @[lsu_bus_buffer.scala 616:105] - wire _T_4946 = _T_4943 | _T_4945; // @[lsu_bus_buffer.scala 616:83] - wire _T_4947 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 616:153] - wire _T_4948 = io_lsu_axi_ar_valid & _T_4947; // @[lsu_bus_buffer.scala 616:151] - wire _T_4952 = ~io_flush_r; // @[lsu_bus_buffer.scala 620:75] - wire _T_4953 = io_lsu_busreq_m & _T_4952; // @[lsu_bus_buffer.scala 620:73] - reg _T_4956; // @[lsu_bus_buffer.scala 620:56] + wire _T_4940 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 612:82] + wire _T_4943 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 613:60] + wire _T_4946 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 616:61] + wire _T_4947 = io_lsu_axi_aw_valid & _T_4946; // @[lsu_bus_buffer.scala 616:59] + wire _T_4948 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 616:107] + wire _T_4949 = io_lsu_axi_w_valid & _T_4948; // @[lsu_bus_buffer.scala 616:105] + wire _T_4950 = _T_4947 | _T_4949; // @[lsu_bus_buffer.scala 616:83] + wire _T_4951 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 616:153] + wire _T_4952 = io_lsu_axi_ar_valid & _T_4951; // @[lsu_bus_buffer.scala 616:151] + wire _T_4956 = ~io_flush_r; // @[lsu_bus_buffer.scala 620:75] + wire _T_4957 = io_lsu_busreq_m & _T_4956; // @[lsu_bus_buffer.scala 620:73] + reg _T_4960; // @[lsu_bus_buffer.scala 620:56] rvclkhdr rvclkhdr ( // @[lib.scala 390:23] .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en) @@ -2726,53 +2730,53 @@ module lsu_bus_buffer( .io_clk(rvclkhdr_11_io_clk), .io_en(rvclkhdr_11_io_en) ); - assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4936 | _T_4835; // @[lsu_bus_buffer.scala 612:35] - assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4939 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 613:41] + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4940 | _T_4839; // @[lsu_bus_buffer.scala 612:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4943 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 613:41] assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 614:36] - assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4946 | _T_4948; // @[lsu_bus_buffer.scala 616:35] - assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4931; // @[lsu_bus_buffer.scala 605:47] - assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4901 | _T_4899; // @[lsu_bus_buffer.scala 602:48] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4950 | _T_4952; // @[lsu_bus_buffer.scala 616:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4935; // @[lsu_bus_buffer.scala 605:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4905 | _T_4903; // @[lsu_bus_buffer.scala 602:48] assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_371 : _GEN_375; // @[lsu_bus_buffer.scala 606:47] - assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4512 & _T_4513; // @[lsu_bus_buffer.scala 533:45] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4516 & _T_4517; // @[lsu_bus_buffer.scala 533:45] assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 534:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4515; // @[lsu_bus_buffer.scala 536:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4519; // @[lsu_bus_buffer.scala 536:43] assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 537:47] - assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4714; // @[lsu_bus_buffer.scala 549:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[lsu_bus_buffer.scala 539:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[lsu_bus_buffer.scala 540:45] - assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4749[31:0]; // @[lsu_bus_buffer.scala 550:42] - assign io_lsu_axi_aw_valid = _T_4845 & _T_1237; // @[lsu_bus_buffer.scala 572:23] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4718; // @[lsu_bus_buffer.scala 549:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4565 | _T_4563; // @[lsu_bus_buffer.scala 539:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4605 | _T_4603; // @[lsu_bus_buffer.scala 540:45] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4753[31:0]; // @[lsu_bus_buffer.scala 550:42] + assign io_lsu_axi_aw_valid = _T_4849 & _T_1237; // @[lsu_bus_buffer.scala 572:23] assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 573:25] - assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 574:27] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4853; // @[lsu_bus_buffer.scala 574:27] assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 578:29] assign io_lsu_axi_aw_bits_len = 8'h0; // @[lsu_bus_buffer.scala 579:26] - assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 575:27] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4855 : 3'h3; // @[lsu_bus_buffer.scala 575:27] assign io_lsu_axi_aw_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 580:28] assign io_lsu_axi_aw_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 582:27] assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 577:28] assign io_lsu_axi_aw_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 576:27] assign io_lsu_axi_aw_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 581:26] - assign io_lsu_axi_w_valid = _T_4857 & _T_1237; // @[lsu_bus_buffer.scala 584:22] + assign io_lsu_axi_w_valid = _T_4861 & _T_1237; // @[lsu_bus_buffer.scala 584:22] assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 586:26] - assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4861; // @[lsu_bus_buffer.scala 585:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4865; // @[lsu_bus_buffer.scala 585:26] assign io_lsu_axi_w_bits_last = 1'h1; // @[lsu_bus_buffer.scala 587:26] assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 600:22] - assign io_lsu_axi_ar_valid = _T_4866 & _T_1237; // @[lsu_bus_buffer.scala 589:23] + assign io_lsu_axi_ar_valid = _T_4870 & _T_1237; // @[lsu_bus_buffer.scala 589:23] assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 590:25] - assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 591:27] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4853; // @[lsu_bus_buffer.scala 591:27] assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 595:29] assign io_lsu_axi_ar_bits_len = 8'h0; // @[lsu_bus_buffer.scala 596:26] - assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 592:27] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4855 : 3'h3; // @[lsu_bus_buffer.scala 592:27] assign io_lsu_axi_ar_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 597:28] assign io_lsu_axi_ar_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 599:27] assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 594:28] assign io_lsu_axi_ar_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 593:27] assign io_lsu_axi_ar_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 598:26] assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 601:22] - assign io_lsu_busreq_r = _T_4956; // @[lsu_bus_buffer.scala 620:19] + assign io_lsu_busreq_r = _T_4960; // @[lsu_bus_buffer.scala 620:19] assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 529:30] - assign io_lsu_bus_buffer_full_any = _T_4493 ? _T_4494 : _T_4495; // @[lsu_bus_buffer.scala 530:30] - assign io_lsu_bus_buffer_empty_any = _T_4506 & _T_1231; // @[lsu_bus_buffer.scala 531:31] + assign io_lsu_bus_buffer_full_any = _T_4497 ? _T_4498 : _T_4499; // @[lsu_bus_buffer.scala 530:30] + assign io_lsu_bus_buffer_empty_any = _T_4510 & _T_1231; // @[lsu_bus_buffer.scala 531:31] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 141:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 142:25] assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 168:24] @@ -3039,17 +3043,17 @@ initial begin _RAND_100 = {1{`RANDOM}}; _T_4340 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4406 = _RAND_101[0:0]; + _T_4410 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4401 = _RAND_102[0:0]; + _T_4404 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4396 = _RAND_103[0:0]; + _T_4398 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4391 = _RAND_104[0:0]; + _T_4392 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4956 = _RAND_106[0:0]; + _T_4960 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; @@ -3354,23 +3358,11 @@ initial begin if (reset) begin _T_4340 = 1'h0; end - if (reset) begin - _T_4406 = 1'h0; - end - if (reset) begin - _T_4401 = 1'h0; - end - if (reset) begin - _T_4396 = 1'h0; - end - if (reset) begin - _T_4391 = 1'h0; - end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4956 = 1'h0; + _T_4960 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3378,6 +3370,28 @@ end // initial `FIRRTL_AFTER_INITIAL `endif `endif // SYNTHESIS + always @(posedge io_lsu_bus_buf_c1_clk) begin + if (buf_rst_3) begin + _T_4410 <= io_dec_tlu_force_halt; + end else begin + _T_4410 <= _T_4409; + end + if (buf_rst_2) begin + _T_4404 <= io_dec_tlu_force_halt; + end else begin + _T_4404 <= _T_4403; + end + if (buf_rst_1) begin + _T_4398 <= io_dec_tlu_force_halt; + end else begin + _T_4398 <= _T_4397; + end + if (buf_rst_0) begin + _T_4392 <= io_dec_tlu_force_halt; + end else begin + _T_4392 <= _T_4391; + end + end always @(posedge clock or posedge reset) begin if (reset) begin buf_addr_0 <= 32'h0; @@ -4581,34 +4595,6 @@ end // initial _T_4340 <= buf_unsign_in[3]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4406 <= 1'h0; - end else begin - _T_4406 <= _T_4402 & _T_4404; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4401 <= 1'h0; - end else begin - _T_4401 <= _T_4397 & _T_4399; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4396 <= 1'h0; - end else begin - _T_4396 <= _T_4392 & _T_4394; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4391 <= 1'h0; - end else begin - _T_4391 <= _T_4387 & _T_4389; - end - end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin lsu_nonblock_load_valid_r <= 1'h0; @@ -4618,9 +4604,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4956 <= 1'h0; + _T_4960 <= 1'h0; end else begin - _T_4956 <= _T_4953 & _T_4513; + _T_4960 <= _T_4957 & _T_4517; end end endmodule diff --git a/lsu_bus_intf.fir b/lsu_bus_intf.fir index 96b40793..3dc4735e 100644 --- a/lsu_bus_intf.fir +++ b/lsu_bus_intf.fir @@ -5855,676 +5855,680 @@ circuit lsu_bus_intf : buf_data[1] <= _T_4384 @[lsu_bus_buffer.scala 522:12] buf_data[2] <= _T_4385 @[lsu_bus_buffer.scala 522:12] buf_data[3] <= _T_4386 @[lsu_bus_buffer.scala 522:12] - node _T_4387 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:81] - node _T_4388 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 523:133] - node _T_4389 = mux(buf_error_en[0], UInt<1>("h01"), _T_4388) @[lsu_bus_buffer.scala 523:98] - node _T_4390 = and(_T_4387, _T_4389) @[lsu_bus_buffer.scala 523:93] - reg _T_4391 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 523:80] - _T_4391 <= _T_4390 @[lsu_bus_buffer.scala 523:80] - node _T_4392 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:81] - node _T_4393 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 523:133] - node _T_4394 = mux(buf_error_en[1], UInt<1>("h01"), _T_4393) @[lsu_bus_buffer.scala 523:98] - node _T_4395 = and(_T_4392, _T_4394) @[lsu_bus_buffer.scala 523:93] - reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 523:80] - _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 523:80] - node _T_4397 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:81] - node _T_4398 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 523:133] - node _T_4399 = mux(buf_error_en[2], UInt<1>("h01"), _T_4398) @[lsu_bus_buffer.scala 523:98] - node _T_4400 = and(_T_4397, _T_4399) @[lsu_bus_buffer.scala 523:93] - reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 523:80] - _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 523:80] - node _T_4402 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:81] - node _T_4403 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 523:133] - node _T_4404 = mux(buf_error_en[3], UInt<1>("h01"), _T_4403) @[lsu_bus_buffer.scala 523:98] - node _T_4405 = and(_T_4402, _T_4404) @[lsu_bus_buffer.scala 523:93] - reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 523:80] - _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 523:80] - node _T_4407 = cat(_T_4406, _T_4401) @[Cat.scala 29:58] - node _T_4408 = cat(_T_4407, _T_4396) @[Cat.scala 29:58] - node _T_4409 = cat(_T_4408, _T_4391) @[Cat.scala 29:58] - buf_error <= _T_4409 @[lsu_bus_buffer.scala 523:13] - node _T_4410 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4411 = mux(io.ldst_dual_m, _T_4410, io.lsu_busreq_m) @[lsu_bus_buffer.scala 524:28] - node _T_4412 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4413 = mux(io.ldst_dual_r, _T_4412, io.lsu_busreq_r) @[lsu_bus_buffer.scala 524:94] - node _T_4414 = add(_T_4411, _T_4413) @[lsu_bus_buffer.scala 524:88] - node _T_4415 = add(_T_4414, ibuf_valid) @[lsu_bus_buffer.scala 524:154] - node _T_4416 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] - node _T_4417 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] - node _T_4418 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] - node _T_4419 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] - node _T_4420 = add(_T_4416, _T_4417) @[lsu_bus_buffer.scala 524:217] - node _T_4421 = add(_T_4420, _T_4418) @[lsu_bus_buffer.scala 524:217] - node _T_4422 = add(_T_4421, _T_4419) @[lsu_bus_buffer.scala 524:217] - node _T_4423 = add(_T_4415, _T_4422) @[lsu_bus_buffer.scala 524:169] - node buf_numvld_any = tail(_T_4423, 1) @[lsu_bus_buffer.scala 524:169] - node _T_4424 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 525:60] - node _T_4425 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] - node _T_4426 = and(_T_4424, _T_4425) @[lsu_bus_buffer.scala 525:64] - node _T_4427 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] - node _T_4428 = and(_T_4426, _T_4427) @[lsu_bus_buffer.scala 525:89] - node _T_4429 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 525:60] - node _T_4430 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] - node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 525:64] - node _T_4432 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] - node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 525:89] - node _T_4434 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 525:60] - node _T_4435 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] - node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 525:64] - node _T_4437 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] - node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 525:89] - node _T_4439 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 525:60] - node _T_4440 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] - node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 525:64] - node _T_4442 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] - node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 525:89] - node _T_4444 = add(_T_4443, _T_4438) @[lsu_bus_buffer.scala 525:142] - node _T_4445 = add(_T_4444, _T_4433) @[lsu_bus_buffer.scala 525:142] - node _T_4446 = add(_T_4445, _T_4428) @[lsu_bus_buffer.scala 525:142] - buf_numvld_wrcmd_any <= _T_4446 @[lsu_bus_buffer.scala 525:24] - node _T_4447 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] - node _T_4448 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] - node _T_4449 = and(_T_4447, _T_4448) @[lsu_bus_buffer.scala 526:73] - node _T_4450 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] - node _T_4451 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] - node _T_4452 = and(_T_4450, _T_4451) @[lsu_bus_buffer.scala 526:73] - node _T_4453 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] - node _T_4454 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] - node _T_4455 = and(_T_4453, _T_4454) @[lsu_bus_buffer.scala 526:73] - node _T_4456 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] - node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] - node _T_4458 = and(_T_4456, _T_4457) @[lsu_bus_buffer.scala 526:73] - node _T_4459 = add(_T_4458, _T_4455) @[lsu_bus_buffer.scala 526:126] - node _T_4460 = add(_T_4459, _T_4452) @[lsu_bus_buffer.scala 526:126] - node _T_4461 = add(_T_4460, _T_4449) @[lsu_bus_buffer.scala 526:126] - buf_numvld_cmd_any <= _T_4461 @[lsu_bus_buffer.scala 526:22] - node _T_4462 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] - node _T_4463 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] - node _T_4464 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] - node _T_4465 = and(_T_4463, _T_4464) @[lsu_bus_buffer.scala 527:100] - node _T_4466 = or(_T_4462, _T_4465) @[lsu_bus_buffer.scala 527:74] - node _T_4467 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] - node _T_4468 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] - node _T_4469 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] - node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 527:100] - node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 527:74] - node _T_4472 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] - node _T_4473 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] - node _T_4474 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] - node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 527:100] - node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 527:74] - node _T_4477 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] - node _T_4478 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] - node _T_4479 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] - node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 527:100] - node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 527:74] - node _T_4482 = add(_T_4481, _T_4476) @[lsu_bus_buffer.scala 527:154] - node _T_4483 = add(_T_4482, _T_4471) @[lsu_bus_buffer.scala 527:154] - node _T_4484 = add(_T_4483, _T_4466) @[lsu_bus_buffer.scala 527:154] - buf_numvld_pend_any <= _T_4484 @[lsu_bus_buffer.scala 527:23] - node _T_4485 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] - node _T_4486 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] - node _T_4487 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] - node _T_4488 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] - node _T_4489 = or(_T_4488, _T_4487) @[lsu_bus_buffer.scala 528:93] - node _T_4490 = or(_T_4489, _T_4486) @[lsu_bus_buffer.scala 528:93] - node _T_4491 = or(_T_4490, _T_4485) @[lsu_bus_buffer.scala 528:93] - any_done_wait_state <= _T_4491 @[lsu_bus_buffer.scala 528:23] - node _T_4492 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 529:53] - io.lsu_bus_buffer_pend_any <= _T_4492 @[lsu_bus_buffer.scala 529:30] - node _T_4493 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 530:52] - node _T_4494 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 530:92] - node _T_4495 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 530:121] - node _T_4496 = mux(_T_4493, _T_4494, _T_4495) @[lsu_bus_buffer.scala 530:36] - io.lsu_bus_buffer_full_any <= _T_4496 @[lsu_bus_buffer.scala 530:30] - node _T_4497 = orr(buf_state[0]) @[lsu_bus_buffer.scala 531:52] - node _T_4498 = orr(buf_state[1]) @[lsu_bus_buffer.scala 531:52] - node _T_4499 = orr(buf_state[2]) @[lsu_bus_buffer.scala 531:52] - node _T_4500 = orr(buf_state[3]) @[lsu_bus_buffer.scala 531:52] - node _T_4501 = or(_T_4497, _T_4498) @[lsu_bus_buffer.scala 531:65] - node _T_4502 = or(_T_4501, _T_4499) @[lsu_bus_buffer.scala 531:65] - node _T_4503 = or(_T_4502, _T_4500) @[lsu_bus_buffer.scala 531:65] - node _T_4504 = eq(_T_4503, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:34] - node _T_4505 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:72] - node _T_4506 = and(_T_4504, _T_4505) @[lsu_bus_buffer.scala 531:70] - node _T_4507 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:86] - node _T_4508 = and(_T_4506, _T_4507) @[lsu_bus_buffer.scala 531:84] - io.lsu_bus_buffer_empty_any <= _T_4508 @[lsu_bus_buffer.scala 531:31] - node _T_4509 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 533:64] - node _T_4510 = and(_T_4509, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 533:85] - node _T_4511 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:112] - node _T_4512 = and(_T_4510, _T_4511) @[lsu_bus_buffer.scala 533:110] - node _T_4513 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:129] - node _T_4514 = and(_T_4512, _T_4513) @[lsu_bus_buffer.scala 533:127] - io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4514 @[lsu_bus_buffer.scala 533:45] + node _T_4387 = bits(buf_rst[0], 0, 0) @[lsu_bus_buffer.scala 523:91] + node _T_4388 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:107] + node _T_4389 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 523:159] + node _T_4390 = mux(buf_error_en[0], UInt<1>("h01"), _T_4389) @[lsu_bus_buffer.scala 523:124] + node _T_4391 = and(_T_4388, _T_4390) @[lsu_bus_buffer.scala 523:119] + reg _T_4392 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (_T_4387, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:106] + _T_4392 <= _T_4391 @[lsu_bus_buffer.scala 523:106] + node _T_4393 = bits(buf_rst[1], 0, 0) @[lsu_bus_buffer.scala 523:91] + node _T_4394 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:107] + node _T_4395 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 523:159] + node _T_4396 = mux(buf_error_en[1], UInt<1>("h01"), _T_4395) @[lsu_bus_buffer.scala 523:124] + node _T_4397 = and(_T_4394, _T_4396) @[lsu_bus_buffer.scala 523:119] + reg _T_4398 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (_T_4393, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:106] + _T_4398 <= _T_4397 @[lsu_bus_buffer.scala 523:106] + node _T_4399 = bits(buf_rst[2], 0, 0) @[lsu_bus_buffer.scala 523:91] + node _T_4400 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:107] + node _T_4401 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 523:159] + node _T_4402 = mux(buf_error_en[2], UInt<1>("h01"), _T_4401) @[lsu_bus_buffer.scala 523:124] + node _T_4403 = and(_T_4400, _T_4402) @[lsu_bus_buffer.scala 523:119] + reg _T_4404 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (_T_4399, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:106] + _T_4404 <= _T_4403 @[lsu_bus_buffer.scala 523:106] + node _T_4405 = bits(buf_rst[3], 0, 0) @[lsu_bus_buffer.scala 523:91] + node _T_4406 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:107] + node _T_4407 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 523:159] + node _T_4408 = mux(buf_error_en[3], UInt<1>("h01"), _T_4407) @[lsu_bus_buffer.scala 523:124] + node _T_4409 = and(_T_4406, _T_4408) @[lsu_bus_buffer.scala 523:119] + reg _T_4410 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (_T_4405, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:106] + _T_4410 <= _T_4409 @[lsu_bus_buffer.scala 523:106] + node _T_4411 = cat(_T_4410, _T_4404) @[Cat.scala 29:58] + node _T_4412 = cat(_T_4411, _T_4398) @[Cat.scala 29:58] + node _T_4413 = cat(_T_4412, _T_4392) @[Cat.scala 29:58] + buf_error <= _T_4413 @[lsu_bus_buffer.scala 523:13] + node _T_4414 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4415 = mux(io.ldst_dual_m, _T_4414, io.lsu_busreq_m) @[lsu_bus_buffer.scala 524:28] + node _T_4416 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4417 = mux(io.ldst_dual_r, _T_4416, io.lsu_busreq_r) @[lsu_bus_buffer.scala 524:94] + node _T_4418 = add(_T_4415, _T_4417) @[lsu_bus_buffer.scala 524:88] + node _T_4419 = add(_T_4418, ibuf_valid) @[lsu_bus_buffer.scala 524:154] + node _T_4420 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] + node _T_4421 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] + node _T_4422 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] + node _T_4423 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] + node _T_4424 = add(_T_4420, _T_4421) @[lsu_bus_buffer.scala 524:217] + node _T_4425 = add(_T_4424, _T_4422) @[lsu_bus_buffer.scala 524:217] + node _T_4426 = add(_T_4425, _T_4423) @[lsu_bus_buffer.scala 524:217] + node _T_4427 = add(_T_4419, _T_4426) @[lsu_bus_buffer.scala 524:169] + node buf_numvld_any = tail(_T_4427, 1) @[lsu_bus_buffer.scala 524:169] + node _T_4428 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 525:60] + node _T_4429 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] + node _T_4430 = and(_T_4428, _T_4429) @[lsu_bus_buffer.scala 525:64] + node _T_4431 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] + node _T_4432 = and(_T_4430, _T_4431) @[lsu_bus_buffer.scala 525:89] + node _T_4433 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 525:60] + node _T_4434 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] + node _T_4435 = and(_T_4433, _T_4434) @[lsu_bus_buffer.scala 525:64] + node _T_4436 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] + node _T_4437 = and(_T_4435, _T_4436) @[lsu_bus_buffer.scala 525:89] + node _T_4438 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 525:60] + node _T_4439 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] + node _T_4440 = and(_T_4438, _T_4439) @[lsu_bus_buffer.scala 525:64] + node _T_4441 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] + node _T_4442 = and(_T_4440, _T_4441) @[lsu_bus_buffer.scala 525:89] + node _T_4443 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 525:60] + node _T_4444 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] + node _T_4445 = and(_T_4443, _T_4444) @[lsu_bus_buffer.scala 525:64] + node _T_4446 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] + node _T_4447 = and(_T_4445, _T_4446) @[lsu_bus_buffer.scala 525:89] + node _T_4448 = add(_T_4447, _T_4442) @[lsu_bus_buffer.scala 525:142] + node _T_4449 = add(_T_4448, _T_4437) @[lsu_bus_buffer.scala 525:142] + node _T_4450 = add(_T_4449, _T_4432) @[lsu_bus_buffer.scala 525:142] + buf_numvld_wrcmd_any <= _T_4450 @[lsu_bus_buffer.scala 525:24] + node _T_4451 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] + node _T_4452 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] + node _T_4453 = and(_T_4451, _T_4452) @[lsu_bus_buffer.scala 526:73] + node _T_4454 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] + node _T_4455 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] + node _T_4456 = and(_T_4454, _T_4455) @[lsu_bus_buffer.scala 526:73] + node _T_4457 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] + node _T_4458 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] + node _T_4459 = and(_T_4457, _T_4458) @[lsu_bus_buffer.scala 526:73] + node _T_4460 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] + node _T_4461 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] + node _T_4462 = and(_T_4460, _T_4461) @[lsu_bus_buffer.scala 526:73] + node _T_4463 = add(_T_4462, _T_4459) @[lsu_bus_buffer.scala 526:126] + node _T_4464 = add(_T_4463, _T_4456) @[lsu_bus_buffer.scala 526:126] + node _T_4465 = add(_T_4464, _T_4453) @[lsu_bus_buffer.scala 526:126] + buf_numvld_cmd_any <= _T_4465 @[lsu_bus_buffer.scala 526:22] + node _T_4466 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] + node _T_4467 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] + node _T_4468 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] + node _T_4469 = and(_T_4467, _T_4468) @[lsu_bus_buffer.scala 527:100] + node _T_4470 = or(_T_4466, _T_4469) @[lsu_bus_buffer.scala 527:74] + node _T_4471 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] + node _T_4472 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] + node _T_4473 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] + node _T_4474 = and(_T_4472, _T_4473) @[lsu_bus_buffer.scala 527:100] + node _T_4475 = or(_T_4471, _T_4474) @[lsu_bus_buffer.scala 527:74] + node _T_4476 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] + node _T_4477 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] + node _T_4478 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] + node _T_4479 = and(_T_4477, _T_4478) @[lsu_bus_buffer.scala 527:100] + node _T_4480 = or(_T_4476, _T_4479) @[lsu_bus_buffer.scala 527:74] + node _T_4481 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] + node _T_4482 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] + node _T_4483 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] + node _T_4484 = and(_T_4482, _T_4483) @[lsu_bus_buffer.scala 527:100] + node _T_4485 = or(_T_4481, _T_4484) @[lsu_bus_buffer.scala 527:74] + node _T_4486 = add(_T_4485, _T_4480) @[lsu_bus_buffer.scala 527:154] + node _T_4487 = add(_T_4486, _T_4475) @[lsu_bus_buffer.scala 527:154] + node _T_4488 = add(_T_4487, _T_4470) @[lsu_bus_buffer.scala 527:154] + buf_numvld_pend_any <= _T_4488 @[lsu_bus_buffer.scala 527:23] + node _T_4489 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] + node _T_4490 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] + node _T_4491 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] + node _T_4492 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] + node _T_4493 = or(_T_4492, _T_4491) @[lsu_bus_buffer.scala 528:93] + node _T_4494 = or(_T_4493, _T_4490) @[lsu_bus_buffer.scala 528:93] + node _T_4495 = or(_T_4494, _T_4489) @[lsu_bus_buffer.scala 528:93] + any_done_wait_state <= _T_4495 @[lsu_bus_buffer.scala 528:23] + node _T_4496 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 529:53] + io.lsu_bus_buffer_pend_any <= _T_4496 @[lsu_bus_buffer.scala 529:30] + node _T_4497 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 530:52] + node _T_4498 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 530:92] + node _T_4499 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 530:121] + node _T_4500 = mux(_T_4497, _T_4498, _T_4499) @[lsu_bus_buffer.scala 530:36] + io.lsu_bus_buffer_full_any <= _T_4500 @[lsu_bus_buffer.scala 530:30] + node _T_4501 = orr(buf_state[0]) @[lsu_bus_buffer.scala 531:52] + node _T_4502 = orr(buf_state[1]) @[lsu_bus_buffer.scala 531:52] + node _T_4503 = orr(buf_state[2]) @[lsu_bus_buffer.scala 531:52] + node _T_4504 = orr(buf_state[3]) @[lsu_bus_buffer.scala 531:52] + node _T_4505 = or(_T_4501, _T_4502) @[lsu_bus_buffer.scala 531:65] + node _T_4506 = or(_T_4505, _T_4503) @[lsu_bus_buffer.scala 531:65] + node _T_4507 = or(_T_4506, _T_4504) @[lsu_bus_buffer.scala 531:65] + node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:34] + node _T_4509 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:72] + node _T_4510 = and(_T_4508, _T_4509) @[lsu_bus_buffer.scala 531:70] + node _T_4511 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:86] + node _T_4512 = and(_T_4510, _T_4511) @[lsu_bus_buffer.scala 531:84] + io.lsu_bus_buffer_empty_any <= _T_4512 @[lsu_bus_buffer.scala 531:31] + node _T_4513 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 533:64] + node _T_4514 = and(_T_4513, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 533:85] + node _T_4515 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:112] + node _T_4516 = and(_T_4514, _T_4515) @[lsu_bus_buffer.scala 533:110] + node _T_4517 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:129] + node _T_4518 = and(_T_4516, _T_4517) @[lsu_bus_buffer.scala 533:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4518 @[lsu_bus_buffer.scala 533:45] io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 534:43] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4515 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:74] - node _T_4516 = and(lsu_nonblock_load_valid_r, _T_4515) @[lsu_bus_buffer.scala 536:72] - io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4516 @[lsu_bus_buffer.scala 536:43] + node _T_4519 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:74] + node _T_4520 = and(lsu_nonblock_load_valid_r, _T_4519) @[lsu_bus_buffer.scala 536:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4520 @[lsu_bus_buffer.scala 536:43] io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 537:47] - node _T_4517 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] - node _T_4518 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:106] - node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] - node _T_4520 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] - node _T_4521 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:106] - node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] - node _T_4523 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] - node _T_4524 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:106] - node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] - node _T_4526 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] - node _T_4527 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:106] - node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] - node _T_4529 = mux(_T_4517, _T_4519, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4530 = mux(_T_4520, _T_4522, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4531 = mux(_T_4523, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4532 = mux(_T_4526, _T_4528, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4533 = or(_T_4529, _T_4530) @[Mux.scala 27:72] - node _T_4534 = or(_T_4533, _T_4531) @[Mux.scala 27:72] - node _T_4535 = or(_T_4534, _T_4532) @[Mux.scala 27:72] + node _T_4521 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] + node _T_4522 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:106] + node _T_4523 = eq(_T_4522, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] + node _T_4524 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] + node _T_4525 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:106] + node _T_4526 = eq(_T_4525, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] + node _T_4527 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] + node _T_4528 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:106] + node _T_4529 = eq(_T_4528, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] + node _T_4530 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] + node _T_4531 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:106] + node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] + node _T_4533 = mux(_T_4521, _T_4523, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4534 = mux(_T_4524, _T_4526, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4535 = mux(_T_4527, _T_4529, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4536 = mux(_T_4530, _T_4532, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4537 = or(_T_4533, _T_4534) @[Mux.scala 27:72] + node _T_4538 = or(_T_4537, _T_4535) @[Mux.scala 27:72] + node _T_4539 = or(_T_4538, _T_4536) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4535 @[Mux.scala 27:72] - node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] - node _T_4537 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 539:117] - node _T_4538 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 539:133] - node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] - node _T_4540 = and(_T_4537, _T_4539) @[lsu_bus_buffer.scala 539:121] - node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] - node _T_4542 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 539:117] - node _T_4543 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 539:133] - node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] - node _T_4545 = and(_T_4542, _T_4544) @[lsu_bus_buffer.scala 539:121] - node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] - node _T_4547 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 539:117] - node _T_4548 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 539:133] - node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] - node _T_4550 = and(_T_4547, _T_4549) @[lsu_bus_buffer.scala 539:121] - node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] - node _T_4552 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 539:117] - node _T_4553 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 539:133] - node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] - node _T_4555 = and(_T_4552, _T_4554) @[lsu_bus_buffer.scala 539:121] - node _T_4556 = mux(_T_4536, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4557 = mux(_T_4541, _T_4545, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4558 = mux(_T_4546, _T_4550, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4559 = mux(_T_4551, _T_4555, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4560 = or(_T_4556, _T_4557) @[Mux.scala 27:72] - node _T_4561 = or(_T_4560, _T_4558) @[Mux.scala 27:72] - node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] - wire _T_4563 : UInt<1> @[Mux.scala 27:72] - _T_4563 <= _T_4562 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4563 @[lsu_bus_buffer.scala 539:48] - node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] - node _T_4565 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 540:114] - node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] - node _T_4567 = and(_T_4564, _T_4566) @[lsu_bus_buffer.scala 540:102] - node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] - node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] - node _T_4570 = or(_T_4568, _T_4569) @[lsu_bus_buffer.scala 540:134] - node _T_4571 = and(_T_4567, _T_4570) @[lsu_bus_buffer.scala 540:118] - node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] - node _T_4573 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 540:114] - node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] - node _T_4575 = and(_T_4572, _T_4574) @[lsu_bus_buffer.scala 540:102] - node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] - node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] - node _T_4578 = or(_T_4576, _T_4577) @[lsu_bus_buffer.scala 540:134] - node _T_4579 = and(_T_4575, _T_4578) @[lsu_bus_buffer.scala 540:118] - node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] - node _T_4581 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 540:114] - node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] - node _T_4583 = and(_T_4580, _T_4582) @[lsu_bus_buffer.scala 540:102] - node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] - node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] - node _T_4586 = or(_T_4584, _T_4585) @[lsu_bus_buffer.scala 540:134] - node _T_4587 = and(_T_4583, _T_4586) @[lsu_bus_buffer.scala 540:118] - node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] - node _T_4589 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 540:114] - node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] - node _T_4591 = and(_T_4588, _T_4590) @[lsu_bus_buffer.scala 540:102] - node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] - node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] - node _T_4594 = or(_T_4592, _T_4593) @[lsu_bus_buffer.scala 540:134] - node _T_4595 = and(_T_4591, _T_4594) @[lsu_bus_buffer.scala 540:118] - node _T_4596 = mux(_T_4571, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4597 = mux(_T_4579, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4598 = mux(_T_4587, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4599 = mux(_T_4595, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4600 = or(_T_4596, _T_4597) @[Mux.scala 27:72] - node _T_4601 = or(_T_4600, _T_4598) @[Mux.scala 27:72] - node _T_4602 = or(_T_4601, _T_4599) @[Mux.scala 27:72] - wire _T_4603 : UInt<2> @[Mux.scala 27:72] - _T_4603 <= _T_4602 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4603 @[lsu_bus_buffer.scala 540:45] - node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] - node _T_4605 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 541:101] - node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] - node _T_4607 = and(_T_4604, _T_4606) @[lsu_bus_buffer.scala 541:89] - node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] - node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] - node _T_4610 = or(_T_4608, _T_4609) @[lsu_bus_buffer.scala 541:121] - node _T_4611 = and(_T_4607, _T_4610) @[lsu_bus_buffer.scala 541:105] - node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] - node _T_4613 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 541:101] - node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] - node _T_4615 = and(_T_4612, _T_4614) @[lsu_bus_buffer.scala 541:89] - node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] - node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] - node _T_4618 = or(_T_4616, _T_4617) @[lsu_bus_buffer.scala 541:121] - node _T_4619 = and(_T_4615, _T_4618) @[lsu_bus_buffer.scala 541:105] - node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] - node _T_4621 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 541:101] - node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] - node _T_4623 = and(_T_4620, _T_4622) @[lsu_bus_buffer.scala 541:89] - node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] - node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] - node _T_4626 = or(_T_4624, _T_4625) @[lsu_bus_buffer.scala 541:121] - node _T_4627 = and(_T_4623, _T_4626) @[lsu_bus_buffer.scala 541:105] - node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] - node _T_4629 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 541:101] - node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] - node _T_4631 = and(_T_4628, _T_4630) @[lsu_bus_buffer.scala 541:89] - node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] - node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] - node _T_4634 = or(_T_4632, _T_4633) @[lsu_bus_buffer.scala 541:121] - node _T_4635 = and(_T_4631, _T_4634) @[lsu_bus_buffer.scala 541:105] - node _T_4636 = mux(_T_4611, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4637 = mux(_T_4619, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4638 = mux(_T_4627, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4639 = mux(_T_4635, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4640 = or(_T_4636, _T_4637) @[Mux.scala 27:72] - node _T_4641 = or(_T_4640, _T_4638) @[Mux.scala 27:72] - node _T_4642 = or(_T_4641, _T_4639) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4539 @[Mux.scala 27:72] + node _T_4540 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] + node _T_4541 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 539:117] + node _T_4542 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 539:133] + node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] + node _T_4544 = and(_T_4541, _T_4543) @[lsu_bus_buffer.scala 539:121] + node _T_4545 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] + node _T_4546 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 539:117] + node _T_4547 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 539:133] + node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] + node _T_4549 = and(_T_4546, _T_4548) @[lsu_bus_buffer.scala 539:121] + node _T_4550 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] + node _T_4551 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 539:117] + node _T_4552 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 539:133] + node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] + node _T_4554 = and(_T_4551, _T_4553) @[lsu_bus_buffer.scala 539:121] + node _T_4555 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] + node _T_4556 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 539:117] + node _T_4557 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 539:133] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] + node _T_4559 = and(_T_4556, _T_4558) @[lsu_bus_buffer.scala 539:121] + node _T_4560 = mux(_T_4540, _T_4544, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4561 = mux(_T_4545, _T_4549, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4562 = mux(_T_4550, _T_4554, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4563 = mux(_T_4555, _T_4559, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4564 = or(_T_4560, _T_4561) @[Mux.scala 27:72] + node _T_4565 = or(_T_4564, _T_4562) @[Mux.scala 27:72] + node _T_4566 = or(_T_4565, _T_4563) @[Mux.scala 27:72] + wire _T_4567 : UInt<1> @[Mux.scala 27:72] + _T_4567 <= _T_4566 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4567 @[lsu_bus_buffer.scala 539:48] + node _T_4568 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] + node _T_4569 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 540:114] + node _T_4570 = eq(_T_4569, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] + node _T_4571 = and(_T_4568, _T_4570) @[lsu_bus_buffer.scala 540:102] + node _T_4572 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] + node _T_4573 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] + node _T_4574 = or(_T_4572, _T_4573) @[lsu_bus_buffer.scala 540:134] + node _T_4575 = and(_T_4571, _T_4574) @[lsu_bus_buffer.scala 540:118] + node _T_4576 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] + node _T_4577 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 540:114] + node _T_4578 = eq(_T_4577, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] + node _T_4579 = and(_T_4576, _T_4578) @[lsu_bus_buffer.scala 540:102] + node _T_4580 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] + node _T_4581 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] + node _T_4582 = or(_T_4580, _T_4581) @[lsu_bus_buffer.scala 540:134] + node _T_4583 = and(_T_4579, _T_4582) @[lsu_bus_buffer.scala 540:118] + node _T_4584 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] + node _T_4585 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 540:114] + node _T_4586 = eq(_T_4585, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] + node _T_4587 = and(_T_4584, _T_4586) @[lsu_bus_buffer.scala 540:102] + node _T_4588 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] + node _T_4589 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] + node _T_4590 = or(_T_4588, _T_4589) @[lsu_bus_buffer.scala 540:134] + node _T_4591 = and(_T_4587, _T_4590) @[lsu_bus_buffer.scala 540:118] + node _T_4592 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] + node _T_4593 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 540:114] + node _T_4594 = eq(_T_4593, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] + node _T_4595 = and(_T_4592, _T_4594) @[lsu_bus_buffer.scala 540:102] + node _T_4596 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] + node _T_4597 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] + node _T_4598 = or(_T_4596, _T_4597) @[lsu_bus_buffer.scala 540:134] + node _T_4599 = and(_T_4595, _T_4598) @[lsu_bus_buffer.scala 540:118] + node _T_4600 = mux(_T_4575, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4601 = mux(_T_4583, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4602 = mux(_T_4591, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4603 = mux(_T_4599, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4604 = or(_T_4600, _T_4601) @[Mux.scala 27:72] + node _T_4605 = or(_T_4604, _T_4602) @[Mux.scala 27:72] + node _T_4606 = or(_T_4605, _T_4603) @[Mux.scala 27:72] + wire _T_4607 : UInt<2> @[Mux.scala 27:72] + _T_4607 <= _T_4606 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4607 @[lsu_bus_buffer.scala 540:45] + node _T_4608 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] + node _T_4609 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 541:101] + node _T_4610 = eq(_T_4609, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] + node _T_4611 = and(_T_4608, _T_4610) @[lsu_bus_buffer.scala 541:89] + node _T_4612 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] + node _T_4613 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] + node _T_4614 = or(_T_4612, _T_4613) @[lsu_bus_buffer.scala 541:121] + node _T_4615 = and(_T_4611, _T_4614) @[lsu_bus_buffer.scala 541:105] + node _T_4616 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] + node _T_4617 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 541:101] + node _T_4618 = eq(_T_4617, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] + node _T_4619 = and(_T_4616, _T_4618) @[lsu_bus_buffer.scala 541:89] + node _T_4620 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] + node _T_4621 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] + node _T_4622 = or(_T_4620, _T_4621) @[lsu_bus_buffer.scala 541:121] + node _T_4623 = and(_T_4619, _T_4622) @[lsu_bus_buffer.scala 541:105] + node _T_4624 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] + node _T_4625 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 541:101] + node _T_4626 = eq(_T_4625, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] + node _T_4627 = and(_T_4624, _T_4626) @[lsu_bus_buffer.scala 541:89] + node _T_4628 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] + node _T_4629 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] + node _T_4630 = or(_T_4628, _T_4629) @[lsu_bus_buffer.scala 541:121] + node _T_4631 = and(_T_4627, _T_4630) @[lsu_bus_buffer.scala 541:105] + node _T_4632 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] + node _T_4633 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 541:101] + node _T_4634 = eq(_T_4633, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] + node _T_4635 = and(_T_4632, _T_4634) @[lsu_bus_buffer.scala 541:89] + node _T_4636 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] + node _T_4637 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] + node _T_4638 = or(_T_4636, _T_4637) @[lsu_bus_buffer.scala 541:121] + node _T_4639 = and(_T_4635, _T_4638) @[lsu_bus_buffer.scala 541:105] + node _T_4640 = mux(_T_4615, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4641 = mux(_T_4623, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4642 = mux(_T_4631, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4643 = mux(_T_4639, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4644 = or(_T_4640, _T_4641) @[Mux.scala 27:72] + node _T_4645 = or(_T_4644, _T_4642) @[Mux.scala 27:72] + node _T_4646 = or(_T_4645, _T_4643) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4642 @[Mux.scala 27:72] - node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] - node _T_4644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 542:101] - node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] - node _T_4646 = and(_T_4643, _T_4645) @[lsu_bus_buffer.scala 542:89] - node _T_4647 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 542:120] - node _T_4648 = and(_T_4646, _T_4647) @[lsu_bus_buffer.scala 542:105] - node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] - node _T_4650 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 542:101] - node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] - node _T_4652 = and(_T_4649, _T_4651) @[lsu_bus_buffer.scala 542:89] - node _T_4653 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 542:120] - node _T_4654 = and(_T_4652, _T_4653) @[lsu_bus_buffer.scala 542:105] - node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] - node _T_4656 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 542:101] - node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] - node _T_4658 = and(_T_4655, _T_4657) @[lsu_bus_buffer.scala 542:89] - node _T_4659 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 542:120] - node _T_4660 = and(_T_4658, _T_4659) @[lsu_bus_buffer.scala 542:105] - node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] - node _T_4662 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 542:101] - node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] - node _T_4664 = and(_T_4661, _T_4663) @[lsu_bus_buffer.scala 542:89] - node _T_4665 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 542:120] - node _T_4666 = and(_T_4664, _T_4665) @[lsu_bus_buffer.scala 542:105] - node _T_4667 = mux(_T_4648, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4668 = mux(_T_4654, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4669 = mux(_T_4660, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4670 = mux(_T_4666, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4671 = or(_T_4667, _T_4668) @[Mux.scala 27:72] - node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] - node _T_4673 = or(_T_4672, _T_4670) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4646 @[Mux.scala 27:72] + node _T_4647 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] + node _T_4648 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 542:101] + node _T_4649 = eq(_T_4648, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] + node _T_4650 = and(_T_4647, _T_4649) @[lsu_bus_buffer.scala 542:89] + node _T_4651 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 542:120] + node _T_4652 = and(_T_4650, _T_4651) @[lsu_bus_buffer.scala 542:105] + node _T_4653 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] + node _T_4654 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 542:101] + node _T_4655 = eq(_T_4654, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] + node _T_4656 = and(_T_4653, _T_4655) @[lsu_bus_buffer.scala 542:89] + node _T_4657 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 542:120] + node _T_4658 = and(_T_4656, _T_4657) @[lsu_bus_buffer.scala 542:105] + node _T_4659 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] + node _T_4660 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 542:101] + node _T_4661 = eq(_T_4660, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] + node _T_4662 = and(_T_4659, _T_4661) @[lsu_bus_buffer.scala 542:89] + node _T_4663 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 542:120] + node _T_4664 = and(_T_4662, _T_4663) @[lsu_bus_buffer.scala 542:105] + node _T_4665 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] + node _T_4666 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 542:101] + node _T_4667 = eq(_T_4666, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] + node _T_4668 = and(_T_4665, _T_4667) @[lsu_bus_buffer.scala 542:89] + node _T_4669 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 542:120] + node _T_4670 = and(_T_4668, _T_4669) @[lsu_bus_buffer.scala 542:105] + node _T_4671 = mux(_T_4652, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4672 = mux(_T_4658, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4673 = mux(_T_4664, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4674 = mux(_T_4670, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4675 = or(_T_4671, _T_4672) @[Mux.scala 27:72] + node _T_4676 = or(_T_4675, _T_4673) @[Mux.scala 27:72] + node _T_4677 = or(_T_4676, _T_4674) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4673 @[Mux.scala 27:72] - node _T_4674 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] - node _T_4675 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] - node _T_4676 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] - node _T_4677 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] - node _T_4678 = mux(_T_4674, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4679 = mux(_T_4675, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4680 = mux(_T_4676, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4681 = mux(_T_4677, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4682 = or(_T_4678, _T_4679) @[Mux.scala 27:72] - node _T_4683 = or(_T_4682, _T_4680) @[Mux.scala 27:72] - node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] - wire _T_4685 : UInt<32> @[Mux.scala 27:72] - _T_4685 <= _T_4684 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[lsu_bus_buffer.scala 543:96] - node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] - node _T_4687 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] - node _T_4688 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] - node _T_4689 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] - node _T_4690 = mux(_T_4686, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4691 = mux(_T_4687, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4692 = mux(_T_4688, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4693 = mux(_T_4689, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4694 = or(_T_4690, _T_4691) @[Mux.scala 27:72] - node _T_4695 = or(_T_4694, _T_4692) @[Mux.scala 27:72] - node _T_4696 = or(_T_4695, _T_4693) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4677 @[Mux.scala 27:72] + node _T_4678 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4679 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4680 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4681 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4682 = mux(_T_4678, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4683 = mux(_T_4679, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4684 = mux(_T_4680, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4685 = mux(_T_4681, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4686 = or(_T_4682, _T_4683) @[Mux.scala 27:72] + node _T_4687 = or(_T_4686, _T_4684) @[Mux.scala 27:72] + node _T_4688 = or(_T_4687, _T_4685) @[Mux.scala 27:72] + wire _T_4689 : UInt<32> @[Mux.scala 27:72] + _T_4689 <= _T_4688 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4689, 1, 0) @[lsu_bus_buffer.scala 543:96] + node _T_4690 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4691 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4692 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4693 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4694 = mux(_T_4690, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4695 = mux(_T_4691, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4696 = mux(_T_4692, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4697 = mux(_T_4693, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4698 = or(_T_4694, _T_4695) @[Mux.scala 27:72] + node _T_4699 = or(_T_4698, _T_4696) @[Mux.scala 27:72] + node _T_4700 = or(_T_4699, _T_4697) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4696 @[Mux.scala 27:72] - node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] - node _T_4698 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 60:129] - node _T_4699 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] - node _T_4700 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 60:129] - node _T_4701 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] - node _T_4702 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 60:129] - node _T_4703 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] - node _T_4704 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 60:129] - node _T_4705 = mux(_T_4697, _T_4698, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4706 = mux(_T_4699, _T_4700, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4707 = mux(_T_4701, _T_4702, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4708 = mux(_T_4703, _T_4704, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4709 = or(_T_4705, _T_4706) @[Mux.scala 27:72] - node _T_4710 = or(_T_4709, _T_4707) @[Mux.scala 27:72] - node _T_4711 = or(_T_4710, _T_4708) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4700 @[Mux.scala 27:72] + node _T_4701 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_4702 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_4703 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_4704 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_4705 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_4706 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_4707 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_4708 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_4709 = mux(_T_4701, _T_4702, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4710 = mux(_T_4703, _T_4704, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4711 = mux(_T_4705, _T_4706, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4712 = mux(_T_4707, _T_4708, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4713 = or(_T_4709, _T_4710) @[Mux.scala 27:72] + node _T_4714 = or(_T_4713, _T_4711) @[Mux.scala 27:72] + node _T_4715 = or(_T_4714, _T_4712) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4711 @[Mux.scala 27:72] - node _T_4712 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4713 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 547:121] - node lsu_nonblock_data_unalgn = dshr(_T_4712, _T_4713) @[lsu_bus_buffer.scala 547:92] - node _T_4714 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:82] - node _T_4715 = and(lsu_nonblock_load_data_ready, _T_4714) @[lsu_bus_buffer.scala 549:80] - io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4715 @[lsu_bus_buffer.scala 549:48] - node _T_4716 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:94] - node _T_4717 = and(lsu_nonblock_unsign, _T_4716) @[lsu_bus_buffer.scala 550:76] - node _T_4718 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 550:144] - node _T_4719 = cat(UInt<24>("h00"), _T_4718) @[Cat.scala 29:58] - node _T_4720 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 551:45] - node _T_4721 = and(lsu_nonblock_unsign, _T_4720) @[lsu_bus_buffer.scala 551:26] - node _T_4722 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 551:95] - node _T_4723 = cat(UInt<16>("h00"), _T_4722) @[Cat.scala 29:58] - node _T_4724 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:6] - node _T_4725 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:45] - node _T_4726 = and(_T_4724, _T_4725) @[lsu_bus_buffer.scala 552:27] - node _T_4727 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 552:93] - node _T_4728 = bits(_T_4727, 0, 0) @[Bitwise.scala 72:15] - node _T_4729 = mux(_T_4728, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4730 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 552:123] - node _T_4731 = cat(_T_4729, _T_4730) @[Cat.scala 29:58] - node _T_4732 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:6] - node _T_4733 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:45] - node _T_4734 = and(_T_4732, _T_4733) @[lsu_bus_buffer.scala 553:27] - node _T_4735 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 553:93] - node _T_4736 = bits(_T_4735, 0, 0) @[Bitwise.scala 72:15] - node _T_4737 = mux(_T_4736, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4738 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 553:124] - node _T_4739 = cat(_T_4737, _T_4738) @[Cat.scala 29:58] - node _T_4740 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 554:21] - node _T_4741 = mux(_T_4717, _T_4719, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4742 = mux(_T_4721, _T_4723, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4743 = mux(_T_4726, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4744 = mux(_T_4734, _T_4739, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4745 = mux(_T_4740, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4746 = or(_T_4741, _T_4742) @[Mux.scala 27:72] - node _T_4747 = or(_T_4746, _T_4743) @[Mux.scala 27:72] - node _T_4748 = or(_T_4747, _T_4744) @[Mux.scala 27:72] - node _T_4749 = or(_T_4748, _T_4745) @[Mux.scala 27:72] - wire _T_4750 : UInt<64> @[Mux.scala 27:72] - _T_4750 <= _T_4749 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data <= _T_4750 @[lsu_bus_buffer.scala 550:42] - node _T_4751 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] - node _T_4752 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 555:89] - node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 555:73] - node _T_4754 = and(_T_4753, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] - node _T_4755 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] - node _T_4756 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 555:89] + lsu_nonblock_unsign <= _T_4715 @[Mux.scala 27:72] + node _T_4716 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4717 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 547:121] + node lsu_nonblock_data_unalgn = dshr(_T_4716, _T_4717) @[lsu_bus_buffer.scala 547:92] + node _T_4718 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:82] + node _T_4719 = and(lsu_nonblock_load_data_ready, _T_4718) @[lsu_bus_buffer.scala 549:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4719 @[lsu_bus_buffer.scala 549:48] + node _T_4720 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:94] + node _T_4721 = and(lsu_nonblock_unsign, _T_4720) @[lsu_bus_buffer.scala 550:76] + node _T_4722 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 550:144] + node _T_4723 = cat(UInt<24>("h00"), _T_4722) @[Cat.scala 29:58] + node _T_4724 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 551:45] + node _T_4725 = and(lsu_nonblock_unsign, _T_4724) @[lsu_bus_buffer.scala 551:26] + node _T_4726 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 551:95] + node _T_4727 = cat(UInt<16>("h00"), _T_4726) @[Cat.scala 29:58] + node _T_4728 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:6] + node _T_4729 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:45] + node _T_4730 = and(_T_4728, _T_4729) @[lsu_bus_buffer.scala 552:27] + node _T_4731 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 552:93] + node _T_4732 = bits(_T_4731, 0, 0) @[Bitwise.scala 72:15] + node _T_4733 = mux(_T_4732, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4734 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 552:123] + node _T_4735 = cat(_T_4733, _T_4734) @[Cat.scala 29:58] + node _T_4736 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:6] + node _T_4737 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:45] + node _T_4738 = and(_T_4736, _T_4737) @[lsu_bus_buffer.scala 553:27] + node _T_4739 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 553:93] + node _T_4740 = bits(_T_4739, 0, 0) @[Bitwise.scala 72:15] + node _T_4741 = mux(_T_4740, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4742 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 553:124] + node _T_4743 = cat(_T_4741, _T_4742) @[Cat.scala 29:58] + node _T_4744 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 554:21] + node _T_4745 = mux(_T_4721, _T_4723, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4746 = mux(_T_4725, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4747 = mux(_T_4730, _T_4735, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4748 = mux(_T_4738, _T_4743, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4749 = mux(_T_4744, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4750 = or(_T_4745, _T_4746) @[Mux.scala 27:72] + node _T_4751 = or(_T_4750, _T_4747) @[Mux.scala 27:72] + node _T_4752 = or(_T_4751, _T_4748) @[Mux.scala 27:72] + node _T_4753 = or(_T_4752, _T_4749) @[Mux.scala 27:72] + wire _T_4754 : UInt<64> @[Mux.scala 27:72] + _T_4754 <= _T_4753 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4754 @[lsu_bus_buffer.scala 550:42] + node _T_4755 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] + node _T_4756 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 555:89] node _T_4757 = and(_T_4755, _T_4756) @[lsu_bus_buffer.scala 555:73] node _T_4758 = and(_T_4757, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] - node _T_4759 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] - node _T_4760 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 555:89] + node _T_4759 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] + node _T_4760 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 555:89] node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 555:73] node _T_4762 = and(_T_4761, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] - node _T_4763 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] - node _T_4764 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 555:89] + node _T_4763 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] + node _T_4764 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 555:89] node _T_4765 = and(_T_4763, _T_4764) @[lsu_bus_buffer.scala 555:73] node _T_4766 = and(_T_4765, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] - node _T_4767 = or(_T_4754, _T_4758) @[lsu_bus_buffer.scala 555:153] - node _T_4768 = or(_T_4767, _T_4762) @[lsu_bus_buffer.scala 555:153] - node _T_4769 = or(_T_4768, _T_4766) @[lsu_bus_buffer.scala 555:153] - node _T_4770 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 555:171] - node _T_4771 = and(_T_4770, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:189] - node _T_4772 = or(_T_4769, _T_4771) @[lsu_bus_buffer.scala 555:157] - bus_sideeffect_pend <= _T_4772 @[lsu_bus_buffer.scala 555:23] - node _T_4773 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] - node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] - node _T_4775 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 557:51] - node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 557:37] - node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 557:19] - node _T_4778 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:73] - node _T_4779 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:107] - node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 557:95] - node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 557:81] - node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] - node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 557:59] - node _T_4784 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] - node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] - node _T_4786 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 557:51] - node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 557:37] - node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 557:19] - node _T_4789 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:73] - node _T_4790 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:107] - node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 557:95] - node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 557:81] - node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] - node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 557:59] - node _T_4795 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] - node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] - node _T_4797 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 557:51] - node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 557:37] - node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 557:19] - node _T_4800 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 557:73] - node _T_4801 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 557:107] - node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 557:95] - node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 557:81] - node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] - node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 557:59] - node _T_4806 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] - node _T_4807 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] - node _T_4808 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 557:51] - node _T_4809 = eq(_T_4807, _T_4808) @[lsu_bus_buffer.scala 557:37] - node _T_4810 = and(obuf_valid, _T_4809) @[lsu_bus_buffer.scala 557:19] - node _T_4811 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 557:73] - node _T_4812 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 557:107] - node _T_4813 = and(obuf_merge, _T_4812) @[lsu_bus_buffer.scala 557:95] - node _T_4814 = or(_T_4811, _T_4813) @[lsu_bus_buffer.scala 557:81] - node _T_4815 = eq(_T_4814, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] - node _T_4816 = and(_T_4810, _T_4815) @[lsu_bus_buffer.scala 557:59] - node _T_4817 = mux(_T_4773, _T_4783, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4818 = mux(_T_4784, _T_4794, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4819 = mux(_T_4795, _T_4805, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4820 = mux(_T_4806, _T_4816, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4821 = or(_T_4817, _T_4818) @[Mux.scala 27:72] - node _T_4822 = or(_T_4821, _T_4819) @[Mux.scala 27:72] - node _T_4823 = or(_T_4822, _T_4820) @[Mux.scala 27:72] - wire _T_4824 : UInt<1> @[Mux.scala 27:72] - _T_4824 <= _T_4823 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4824 @[lsu_bus_buffer.scala 556:26] - node _T_4825 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 559:54] - node _T_4826 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 559:75] - node _T_4827 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 559:153] - node _T_4828 = mux(_T_4825, _T_4826, _T_4827) @[lsu_bus_buffer.scala 559:39] - node _T_4829 = mux(obuf_write, _T_4828, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 559:23] - bus_cmd_ready <= _T_4829 @[lsu_bus_buffer.scala 559:17] - node _T_4830 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 560:40] - bus_wcmd_sent <= _T_4830 @[lsu_bus_buffer.scala 560:17] - node _T_4831 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 561:40] - bus_wdata_sent <= _T_4831 @[lsu_bus_buffer.scala 561:18] - node _T_4832 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 562:35] - node _T_4833 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 562:70] - node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 562:52] - node _T_4835 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 562:112] - node _T_4836 = or(_T_4834, _T_4835) @[lsu_bus_buffer.scala 562:89] - bus_cmd_sent <= _T_4836 @[lsu_bus_buffer.scala 562:16] - node _T_4837 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 563:38] - bus_rsp_read <= _T_4837 @[lsu_bus_buffer.scala 563:16] - node _T_4838 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 564:39] - bus_rsp_write <= _T_4838 @[lsu_bus_buffer.scala 564:17] + node _T_4767 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] + node _T_4768 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 555:89] + node _T_4769 = and(_T_4767, _T_4768) @[lsu_bus_buffer.scala 555:73] + node _T_4770 = and(_T_4769, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] + node _T_4771 = or(_T_4758, _T_4762) @[lsu_bus_buffer.scala 555:153] + node _T_4772 = or(_T_4771, _T_4766) @[lsu_bus_buffer.scala 555:153] + node _T_4773 = or(_T_4772, _T_4770) @[lsu_bus_buffer.scala 555:153] + node _T_4774 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 555:171] + node _T_4775 = and(_T_4774, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:189] + node _T_4776 = or(_T_4773, _T_4775) @[lsu_bus_buffer.scala 555:157] + bus_sideeffect_pend <= _T_4776 @[lsu_bus_buffer.scala 555:23] + node _T_4777 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] + node _T_4778 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] + node _T_4779 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 557:51] + node _T_4780 = eq(_T_4778, _T_4779) @[lsu_bus_buffer.scala 557:37] + node _T_4781 = and(obuf_valid, _T_4780) @[lsu_bus_buffer.scala 557:19] + node _T_4782 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:73] + node _T_4783 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:107] + node _T_4784 = and(obuf_merge, _T_4783) @[lsu_bus_buffer.scala 557:95] + node _T_4785 = or(_T_4782, _T_4784) @[lsu_bus_buffer.scala 557:81] + node _T_4786 = eq(_T_4785, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] + node _T_4787 = and(_T_4781, _T_4786) @[lsu_bus_buffer.scala 557:59] + node _T_4788 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] + node _T_4789 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] + node _T_4790 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 557:51] + node _T_4791 = eq(_T_4789, _T_4790) @[lsu_bus_buffer.scala 557:37] + node _T_4792 = and(obuf_valid, _T_4791) @[lsu_bus_buffer.scala 557:19] + node _T_4793 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:73] + node _T_4794 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:107] + node _T_4795 = and(obuf_merge, _T_4794) @[lsu_bus_buffer.scala 557:95] + node _T_4796 = or(_T_4793, _T_4795) @[lsu_bus_buffer.scala 557:81] + node _T_4797 = eq(_T_4796, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] + node _T_4798 = and(_T_4792, _T_4797) @[lsu_bus_buffer.scala 557:59] + node _T_4799 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] + node _T_4800 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] + node _T_4801 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 557:51] + node _T_4802 = eq(_T_4800, _T_4801) @[lsu_bus_buffer.scala 557:37] + node _T_4803 = and(obuf_valid, _T_4802) @[lsu_bus_buffer.scala 557:19] + node _T_4804 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 557:73] + node _T_4805 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 557:107] + node _T_4806 = and(obuf_merge, _T_4805) @[lsu_bus_buffer.scala 557:95] + node _T_4807 = or(_T_4804, _T_4806) @[lsu_bus_buffer.scala 557:81] + node _T_4808 = eq(_T_4807, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] + node _T_4809 = and(_T_4803, _T_4808) @[lsu_bus_buffer.scala 557:59] + node _T_4810 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] + node _T_4811 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] + node _T_4812 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 557:51] + node _T_4813 = eq(_T_4811, _T_4812) @[lsu_bus_buffer.scala 557:37] + node _T_4814 = and(obuf_valid, _T_4813) @[lsu_bus_buffer.scala 557:19] + node _T_4815 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 557:73] + node _T_4816 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 557:107] + node _T_4817 = and(obuf_merge, _T_4816) @[lsu_bus_buffer.scala 557:95] + node _T_4818 = or(_T_4815, _T_4817) @[lsu_bus_buffer.scala 557:81] + node _T_4819 = eq(_T_4818, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] + node _T_4820 = and(_T_4814, _T_4819) @[lsu_bus_buffer.scala 557:59] + node _T_4821 = mux(_T_4777, _T_4787, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4822 = mux(_T_4788, _T_4798, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4823 = mux(_T_4799, _T_4809, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4824 = mux(_T_4810, _T_4820, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4825 = or(_T_4821, _T_4822) @[Mux.scala 27:72] + node _T_4826 = or(_T_4825, _T_4823) @[Mux.scala 27:72] + node _T_4827 = or(_T_4826, _T_4824) @[Mux.scala 27:72] + wire _T_4828 : UInt<1> @[Mux.scala 27:72] + _T_4828 <= _T_4827 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4828 @[lsu_bus_buffer.scala 556:26] + node _T_4829 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 559:54] + node _T_4830 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 559:75] + node _T_4831 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 559:153] + node _T_4832 = mux(_T_4829, _T_4830, _T_4831) @[lsu_bus_buffer.scala 559:39] + node _T_4833 = mux(obuf_write, _T_4832, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 559:23] + bus_cmd_ready <= _T_4833 @[lsu_bus_buffer.scala 559:17] + node _T_4834 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 560:40] + bus_wcmd_sent <= _T_4834 @[lsu_bus_buffer.scala 560:17] + node _T_4835 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 561:40] + bus_wdata_sent <= _T_4835 @[lsu_bus_buffer.scala 561:18] + node _T_4836 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 562:35] + node _T_4837 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 562:70] + node _T_4838 = and(_T_4836, _T_4837) @[lsu_bus_buffer.scala 562:52] + node _T_4839 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 562:112] + node _T_4840 = or(_T_4838, _T_4839) @[lsu_bus_buffer.scala 562:89] + bus_cmd_sent <= _T_4840 @[lsu_bus_buffer.scala 562:16] + node _T_4841 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 563:38] + bus_rsp_read <= _T_4841 @[lsu_bus_buffer.scala 563:16] + node _T_4842 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 564:39] + bus_rsp_write <= _T_4842 @[lsu_bus_buffer.scala 564:17] bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 565:20] bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 566:21] - node _T_4839 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 567:66] - node _T_4840 = and(bus_rsp_write, _T_4839) @[lsu_bus_buffer.scala 567:40] - bus_rsp_write_error <= _T_4840 @[lsu_bus_buffer.scala 567:23] - node _T_4841 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:64] - node _T_4842 = and(bus_rsp_read, _T_4841) @[lsu_bus_buffer.scala 568:38] - bus_rsp_read_error <= _T_4842 @[lsu_bus_buffer.scala 568:22] + node _T_4843 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 567:66] + node _T_4844 = and(bus_rsp_write, _T_4843) @[lsu_bus_buffer.scala 567:40] + bus_rsp_write_error <= _T_4844 @[lsu_bus_buffer.scala 567:23] + node _T_4845 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:64] + node _T_4846 = and(bus_rsp_read, _T_4845) @[lsu_bus_buffer.scala 568:38] + bus_rsp_read_error <= _T_4846 @[lsu_bus_buffer.scala 568:22] bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 569:17] - node _T_4843 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 572:37] - node _T_4844 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:52] - node _T_4845 = and(_T_4843, _T_4844) @[lsu_bus_buffer.scala 572:50] - node _T_4846 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:69] - node _T_4847 = and(_T_4845, _T_4846) @[lsu_bus_buffer.scala 572:67] - io.lsu_axi.aw.valid <= _T_4847 @[lsu_bus_buffer.scala 572:23] + node _T_4847 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 572:37] + node _T_4848 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:52] + node _T_4849 = and(_T_4847, _T_4848) @[lsu_bus_buffer.scala 572:50] + node _T_4850 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:69] + node _T_4851 = and(_T_4849, _T_4850) @[lsu_bus_buffer.scala 572:67] + io.lsu_axi.aw.valid <= _T_4851 @[lsu_bus_buffer.scala 572:23] io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 573:25] - node _T_4848 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 574:75] - node _T_4849 = cat(_T_4848, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4850 = mux(obuf_sideeffect, obuf_addr, _T_4849) @[lsu_bus_buffer.scala 574:33] - io.lsu_axi.aw.bits.addr <= _T_4850 @[lsu_bus_buffer.scala 574:27] - node _T_4851 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4852 = mux(obuf_sideeffect, _T_4851, UInt<3>("h03")) @[lsu_bus_buffer.scala 575:33] - io.lsu_axi.aw.bits.size <= _T_4852 @[lsu_bus_buffer.scala 575:27] + node _T_4852 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 574:75] + node _T_4853 = cat(_T_4852, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4854 = mux(obuf_sideeffect, obuf_addr, _T_4853) @[lsu_bus_buffer.scala 574:33] + io.lsu_axi.aw.bits.addr <= _T_4854 @[lsu_bus_buffer.scala 574:27] + node _T_4855 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4856 = mux(obuf_sideeffect, _T_4855, UInt<3>("h03")) @[lsu_bus_buffer.scala 575:33] + io.lsu_axi.aw.bits.size <= _T_4856 @[lsu_bus_buffer.scala 575:27] io.lsu_axi.aw.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 576:27] - node _T_4853 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 577:34] - io.lsu_axi.aw.bits.cache <= _T_4853 @[lsu_bus_buffer.scala 577:28] - node _T_4854 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 578:41] - io.lsu_axi.aw.bits.region <= _T_4854 @[lsu_bus_buffer.scala 578:29] + node _T_4857 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 577:34] + io.lsu_axi.aw.bits.cache <= _T_4857 @[lsu_bus_buffer.scala 577:28] + node _T_4858 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 578:41] + io.lsu_axi.aw.bits.region <= _T_4858 @[lsu_bus_buffer.scala 578:29] io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 579:26] io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 580:28] io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 581:26] io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 582:27] - node _T_4855 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 584:36] - node _T_4856 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 584:51] - node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 584:49] - node _T_4858 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 584:69] - node _T_4859 = and(_T_4857, _T_4858) @[lsu_bus_buffer.scala 584:67] - io.lsu_axi.w.valid <= _T_4859 @[lsu_bus_buffer.scala 584:22] - node _T_4860 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4861 = mux(_T_4860, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4862 = and(obuf_byteen, _T_4861) @[lsu_bus_buffer.scala 585:41] - io.lsu_axi.w.bits.strb <= _T_4862 @[lsu_bus_buffer.scala 585:26] + node _T_4859 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 584:36] + node _T_4860 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 584:51] + node _T_4861 = and(_T_4859, _T_4860) @[lsu_bus_buffer.scala 584:49] + node _T_4862 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 584:69] + node _T_4863 = and(_T_4861, _T_4862) @[lsu_bus_buffer.scala 584:67] + io.lsu_axi.w.valid <= _T_4863 @[lsu_bus_buffer.scala 584:22] + node _T_4864 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4865 = mux(_T_4864, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4866 = and(obuf_byteen, _T_4865) @[lsu_bus_buffer.scala 585:41] + io.lsu_axi.w.bits.strb <= _T_4866 @[lsu_bus_buffer.scala 585:26] io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 586:26] io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 587:26] - node _T_4863 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:39] - node _T_4864 = and(obuf_valid, _T_4863) @[lsu_bus_buffer.scala 589:37] - node _T_4865 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:53] - node _T_4866 = and(_T_4864, _T_4865) @[lsu_bus_buffer.scala 589:51] - node _T_4867 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:68] - node _T_4868 = and(_T_4866, _T_4867) @[lsu_bus_buffer.scala 589:66] - io.lsu_axi.ar.valid <= _T_4868 @[lsu_bus_buffer.scala 589:23] + node _T_4867 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:39] + node _T_4868 = and(obuf_valid, _T_4867) @[lsu_bus_buffer.scala 589:37] + node _T_4869 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:53] + node _T_4870 = and(_T_4868, _T_4869) @[lsu_bus_buffer.scala 589:51] + node _T_4871 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:68] + node _T_4872 = and(_T_4870, _T_4871) @[lsu_bus_buffer.scala 589:66] + io.lsu_axi.ar.valid <= _T_4872 @[lsu_bus_buffer.scala 589:23] io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 590:25] - node _T_4869 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 591:75] - node _T_4870 = cat(_T_4869, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4871 = mux(obuf_sideeffect, obuf_addr, _T_4870) @[lsu_bus_buffer.scala 591:33] - io.lsu_axi.ar.bits.addr <= _T_4871 @[lsu_bus_buffer.scala 591:27] - node _T_4872 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4873 = mux(obuf_sideeffect, _T_4872, UInt<3>("h03")) @[lsu_bus_buffer.scala 592:33] - io.lsu_axi.ar.bits.size <= _T_4873 @[lsu_bus_buffer.scala 592:27] + node _T_4873 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 591:75] + node _T_4874 = cat(_T_4873, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4875 = mux(obuf_sideeffect, obuf_addr, _T_4874) @[lsu_bus_buffer.scala 591:33] + io.lsu_axi.ar.bits.addr <= _T_4875 @[lsu_bus_buffer.scala 591:27] + node _T_4876 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4877 = mux(obuf_sideeffect, _T_4876, UInt<3>("h03")) @[lsu_bus_buffer.scala 592:33] + io.lsu_axi.ar.bits.size <= _T_4877 @[lsu_bus_buffer.scala 592:27] io.lsu_axi.ar.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 593:27] - node _T_4874 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 594:34] - io.lsu_axi.ar.bits.cache <= _T_4874 @[lsu_bus_buffer.scala 594:28] - node _T_4875 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 595:41] - io.lsu_axi.ar.bits.region <= _T_4875 @[lsu_bus_buffer.scala 595:29] + node _T_4878 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 594:34] + io.lsu_axi.ar.bits.cache <= _T_4878 @[lsu_bus_buffer.scala 594:28] + node _T_4879 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 595:41] + io.lsu_axi.ar.bits.region <= _T_4879 @[lsu_bus_buffer.scala 595:29] io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 596:26] io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 597:28] io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 598:26] io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 599:27] io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 600:22] io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 601:22] - node _T_4876 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] - node _T_4877 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 602:137] - node _T_4878 = and(io.lsu_bus_clk_en_q, _T_4877) @[lsu_bus_buffer.scala 602:126] - node _T_4879 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 602:152] - node _T_4880 = and(_T_4878, _T_4879) @[lsu_bus_buffer.scala 602:141] - node _T_4881 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] - node _T_4882 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 602:137] - node _T_4883 = and(io.lsu_bus_clk_en_q, _T_4882) @[lsu_bus_buffer.scala 602:126] - node _T_4884 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 602:152] - node _T_4885 = and(_T_4883, _T_4884) @[lsu_bus_buffer.scala 602:141] - node _T_4886 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] - node _T_4887 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 602:137] - node _T_4888 = and(io.lsu_bus_clk_en_q, _T_4887) @[lsu_bus_buffer.scala 602:126] - node _T_4889 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 602:152] - node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 602:141] - node _T_4891 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] - node _T_4892 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 602:137] - node _T_4893 = and(io.lsu_bus_clk_en_q, _T_4892) @[lsu_bus_buffer.scala 602:126] - node _T_4894 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 602:152] - node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 602:141] - node _T_4896 = mux(_T_4876, _T_4880, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4897 = mux(_T_4881, _T_4885, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4898 = mux(_T_4886, _T_4890, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4899 = mux(_T_4891, _T_4895, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4900 = or(_T_4896, _T_4897) @[Mux.scala 27:72] - node _T_4901 = or(_T_4900, _T_4898) @[Mux.scala 27:72] - node _T_4902 = or(_T_4901, _T_4899) @[Mux.scala 27:72] - wire _T_4903 : UInt<1> @[Mux.scala 27:72] - _T_4903 <= _T_4902 @[Mux.scala 27:72] - io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4903 @[lsu_bus_buffer.scala 602:48] - node _T_4904 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] - node _T_4905 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 603:104] - node _T_4906 = and(_T_4904, _T_4905) @[lsu_bus_buffer.scala 603:93] - node _T_4907 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 603:119] - node _T_4908 = and(_T_4906, _T_4907) @[lsu_bus_buffer.scala 603:108] - node _T_4909 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] - node _T_4910 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 603:104] - node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 603:93] - node _T_4912 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 603:119] - node _T_4913 = and(_T_4911, _T_4912) @[lsu_bus_buffer.scala 603:108] - node _T_4914 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] - node _T_4915 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 603:104] - node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 603:93] - node _T_4917 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 603:119] - node _T_4918 = and(_T_4916, _T_4917) @[lsu_bus_buffer.scala 603:108] - node _T_4919 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] - node _T_4920 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 603:104] - node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 603:93] - node _T_4922 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 603:119] - node _T_4923 = and(_T_4921, _T_4922) @[lsu_bus_buffer.scala 603:108] - node _T_4924 = mux(_T_4908, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4925 = mux(_T_4913, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4926 = mux(_T_4918, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4927 = mux(_T_4923, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4928 = or(_T_4924, _T_4925) @[Mux.scala 27:72] - node _T_4929 = or(_T_4928, _T_4926) @[Mux.scala 27:72] - node _T_4930 = or(_T_4929, _T_4927) @[Mux.scala 27:72] + node _T_4880 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] + node _T_4881 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 602:137] + node _T_4882 = and(io.lsu_bus_clk_en_q, _T_4881) @[lsu_bus_buffer.scala 602:126] + node _T_4883 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 602:152] + node _T_4884 = and(_T_4882, _T_4883) @[lsu_bus_buffer.scala 602:141] + node _T_4885 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] + node _T_4886 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 602:137] + node _T_4887 = and(io.lsu_bus_clk_en_q, _T_4886) @[lsu_bus_buffer.scala 602:126] + node _T_4888 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 602:152] + node _T_4889 = and(_T_4887, _T_4888) @[lsu_bus_buffer.scala 602:141] + node _T_4890 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] + node _T_4891 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 602:137] + node _T_4892 = and(io.lsu_bus_clk_en_q, _T_4891) @[lsu_bus_buffer.scala 602:126] + node _T_4893 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 602:152] + node _T_4894 = and(_T_4892, _T_4893) @[lsu_bus_buffer.scala 602:141] + node _T_4895 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] + node _T_4896 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 602:137] + node _T_4897 = and(io.lsu_bus_clk_en_q, _T_4896) @[lsu_bus_buffer.scala 602:126] + node _T_4898 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 602:152] + node _T_4899 = and(_T_4897, _T_4898) @[lsu_bus_buffer.scala 602:141] + node _T_4900 = mux(_T_4880, _T_4884, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4901 = mux(_T_4885, _T_4889, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4902 = mux(_T_4890, _T_4894, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4903 = mux(_T_4895, _T_4899, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4904 = or(_T_4900, _T_4901) @[Mux.scala 27:72] + node _T_4905 = or(_T_4904, _T_4902) @[Mux.scala 27:72] + node _T_4906 = or(_T_4905, _T_4903) @[Mux.scala 27:72] + wire _T_4907 : UInt<1> @[Mux.scala 27:72] + _T_4907 <= _T_4906 @[Mux.scala 27:72] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4907 @[lsu_bus_buffer.scala 602:48] + node _T_4908 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] + node _T_4909 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 603:104] + node _T_4910 = and(_T_4908, _T_4909) @[lsu_bus_buffer.scala 603:93] + node _T_4911 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 603:119] + node _T_4912 = and(_T_4910, _T_4911) @[lsu_bus_buffer.scala 603:108] + node _T_4913 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] + node _T_4914 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 603:104] + node _T_4915 = and(_T_4913, _T_4914) @[lsu_bus_buffer.scala 603:93] + node _T_4916 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 603:119] + node _T_4917 = and(_T_4915, _T_4916) @[lsu_bus_buffer.scala 603:108] + node _T_4918 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] + node _T_4919 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 603:104] + node _T_4920 = and(_T_4918, _T_4919) @[lsu_bus_buffer.scala 603:93] + node _T_4921 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 603:119] + node _T_4922 = and(_T_4920, _T_4921) @[lsu_bus_buffer.scala 603:108] + node _T_4923 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] + node _T_4924 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 603:104] + node _T_4925 = and(_T_4923, _T_4924) @[lsu_bus_buffer.scala 603:93] + node _T_4926 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 603:119] + node _T_4927 = and(_T_4925, _T_4926) @[lsu_bus_buffer.scala 603:108] + node _T_4928 = mux(_T_4912, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4929 = mux(_T_4917, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4930 = mux(_T_4922, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4931 = mux(_T_4927, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4932 = or(_T_4928, _T_4929) @[Mux.scala 27:72] + node _T_4933 = or(_T_4932, _T_4930) @[Mux.scala 27:72] + node _T_4934 = or(_T_4933, _T_4931) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4930 @[Mux.scala 27:72] - node _T_4931 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 605:97] - node _T_4932 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4931) @[lsu_bus_buffer.scala 605:95] - io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4932 @[lsu_bus_buffer.scala 605:47] - node _T_4933 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 606:53] - io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4933 @[lsu_bus_buffer.scala 606:47] - node _T_4934 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 612:59] - node _T_4935 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 612:104] - node _T_4936 = or(_T_4934, _T_4935) @[lsu_bus_buffer.scala 612:82] - node _T_4937 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 612:149] - node _T_4938 = or(_T_4936, _T_4937) @[lsu_bus_buffer.scala 612:126] - io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4938 @[lsu_bus_buffer.scala 612:35] - node _T_4939 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 613:60] - node _T_4940 = and(_T_4939, io.lsu_commit_r) @[lsu_bus_buffer.scala 613:77] - io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4940 @[lsu_bus_buffer.scala 613:41] - node _T_4941 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 614:83] - io.tlu_busbuff.lsu_pmu_bus_error <= _T_4941 @[lsu_bus_buffer.scala 614:36] - node _T_4942 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:61] - node _T_4943 = and(io.lsu_axi.aw.valid, _T_4942) @[lsu_bus_buffer.scala 616:59] - node _T_4944 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:107] - node _T_4945 = and(io.lsu_axi.w.valid, _T_4944) @[lsu_bus_buffer.scala 616:105] - node _T_4946 = or(_T_4943, _T_4945) @[lsu_bus_buffer.scala 616:83] - node _T_4947 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:153] - node _T_4948 = and(io.lsu_axi.ar.valid, _T_4947) @[lsu_bus_buffer.scala 616:151] - node _T_4949 = or(_T_4946, _T_4948) @[lsu_bus_buffer.scala 616:128] - io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4949 @[lsu_bus_buffer.scala 616:35] - reg _T_4950 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 618:49] - _T_4950 <= WrPtr0_m @[lsu_bus_buffer.scala 618:49] - WrPtr0_r <= _T_4950 @[lsu_bus_buffer.scala 618:12] - reg _T_4951 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 619:49] - _T_4951 <= WrPtr1_m @[lsu_bus_buffer.scala 619:49] - WrPtr1_r <= _T_4951 @[lsu_bus_buffer.scala 619:12] - node _T_4952 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:75] - node _T_4953 = and(io.lsu_busreq_m, _T_4952) @[lsu_bus_buffer.scala 620:73] - node _T_4954 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:89] - node _T_4955 = and(_T_4953, _T_4954) @[lsu_bus_buffer.scala 620:87] - reg _T_4956 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 620:56] - _T_4956 <= _T_4955 @[lsu_bus_buffer.scala 620:56] - io.lsu_busreq_r <= _T_4956 @[lsu_bus_buffer.scala 620:19] - reg _T_4957 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 621:66] - _T_4957 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 621:66] - lsu_nonblock_load_valid_r <= _T_4957 @[lsu_bus_buffer.scala 621:29] + lsu_imprecise_error_store_tag <= _T_4934 @[Mux.scala 27:72] + node _T_4935 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 605:97] + node _T_4936 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4935) @[lsu_bus_buffer.scala 605:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4936 @[lsu_bus_buffer.scala 605:47] + node _T_4937 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 606:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4937 @[lsu_bus_buffer.scala 606:47] + node _T_4938 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 612:59] + node _T_4939 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 612:104] + node _T_4940 = or(_T_4938, _T_4939) @[lsu_bus_buffer.scala 612:82] + node _T_4941 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 612:149] + node _T_4942 = or(_T_4940, _T_4941) @[lsu_bus_buffer.scala 612:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4942 @[lsu_bus_buffer.scala 612:35] + node _T_4943 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 613:60] + node _T_4944 = and(_T_4943, io.lsu_commit_r) @[lsu_bus_buffer.scala 613:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4944 @[lsu_bus_buffer.scala 613:41] + node _T_4945 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 614:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4945 @[lsu_bus_buffer.scala 614:36] + node _T_4946 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:61] + node _T_4947 = and(io.lsu_axi.aw.valid, _T_4946) @[lsu_bus_buffer.scala 616:59] + node _T_4948 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:107] + node _T_4949 = and(io.lsu_axi.w.valid, _T_4948) @[lsu_bus_buffer.scala 616:105] + node _T_4950 = or(_T_4947, _T_4949) @[lsu_bus_buffer.scala 616:83] + node _T_4951 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:153] + node _T_4952 = and(io.lsu_axi.ar.valid, _T_4951) @[lsu_bus_buffer.scala 616:151] + node _T_4953 = or(_T_4950, _T_4952) @[lsu_bus_buffer.scala 616:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4953 @[lsu_bus_buffer.scala 616:35] + reg _T_4954 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 618:49] + _T_4954 <= WrPtr0_m @[lsu_bus_buffer.scala 618:49] + WrPtr0_r <= _T_4954 @[lsu_bus_buffer.scala 618:12] + reg _T_4955 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 619:49] + _T_4955 <= WrPtr1_m @[lsu_bus_buffer.scala 619:49] + WrPtr1_r <= _T_4955 @[lsu_bus_buffer.scala 619:12] + node _T_4956 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:75] + node _T_4957 = and(io.lsu_busreq_m, _T_4956) @[lsu_bus_buffer.scala 620:73] + node _T_4958 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:89] + node _T_4959 = and(_T_4957, _T_4958) @[lsu_bus_buffer.scala 620:87] + reg _T_4960 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 620:56] + _T_4960 <= _T_4959 @[lsu_bus_buffer.scala 620:56] + io.lsu_busreq_r <= _T_4960 @[lsu_bus_buffer.scala 620:19] + reg _T_4961 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 621:66] + _T_4961 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 621:66] + lsu_nonblock_load_valid_r <= _T_4961 @[lsu_bus_buffer.scala 621:29] module lsu_bus_intf : input clock : Clock diff --git a/lsu_bus_intf.v b/lsu_bus_intf.v index fada3253..11338933 100644 --- a/lsu_bus_intf.v +++ b/lsu_bus_intf.v @@ -974,33 +974,33 @@ module lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4441 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 525:64] - wire _T_4442 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 525:91] - wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 525:89] - wire _T_4436 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 525:64] - wire _T_4437 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 525:91] - wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 525:89] - wire [1:0] _T_4444 = _T_4443 + _T_4438; // @[lsu_bus_buffer.scala 525:142] - wire _T_4431 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 525:64] - wire _T_4432 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 525:91] - wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 525:89] - wire [1:0] _GEN_380 = {{1'd0}, _T_4433}; // @[lsu_bus_buffer.scala 525:142] - wire [2:0] _T_4445 = _T_4444 + _GEN_380; // @[lsu_bus_buffer.scala 525:142] - wire _T_4426 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 525:64] - wire _T_4427 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 525:91] - wire _T_4428 = _T_4426 & _T_4427; // @[lsu_bus_buffer.scala 525:89] - wire [2:0] _GEN_381 = {{2'd0}, _T_4428}; // @[lsu_bus_buffer.scala 525:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4445 + _GEN_381; // @[lsu_bus_buffer.scala 525:142] + wire _T_4445 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 525:64] + wire _T_4446 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 525:91] + wire _T_4447 = _T_4445 & _T_4446; // @[lsu_bus_buffer.scala 525:89] + wire _T_4440 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 525:64] + wire _T_4441 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 525:91] + wire _T_4442 = _T_4440 & _T_4441; // @[lsu_bus_buffer.scala 525:89] + wire [1:0] _T_4448 = _T_4447 + _T_4442; // @[lsu_bus_buffer.scala 525:142] + wire _T_4435 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 525:64] + wire _T_4436 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 525:91] + wire _T_4437 = _T_4435 & _T_4436; // @[lsu_bus_buffer.scala 525:89] + wire [1:0] _GEN_380 = {{1'd0}, _T_4437}; // @[lsu_bus_buffer.scala 525:142] + wire [2:0] _T_4449 = _T_4448 + _GEN_380; // @[lsu_bus_buffer.scala 525:142] + wire _T_4430 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 525:64] + wire _T_4431 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 525:91] + wire _T_4432 = _T_4430 & _T_4431; // @[lsu_bus_buffer.scala 525:89] + wire [2:0] _GEN_381 = {{2'd0}, _T_4432}; // @[lsu_bus_buffer.scala 525:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4449 + _GEN_381; // @[lsu_bus_buffer.scala 525:142] wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:43] - wire _T_4458 = _T_2590 & _T_4442; // @[lsu_bus_buffer.scala 526:73] - wire _T_4455 = _T_2583 & _T_4437; // @[lsu_bus_buffer.scala 526:73] - wire [1:0] _T_4459 = _T_4458 + _T_4455; // @[lsu_bus_buffer.scala 526:126] - wire _T_4452 = _T_2576 & _T_4432; // @[lsu_bus_buffer.scala 526:73] - wire [1:0] _GEN_382 = {{1'd0}, _T_4452}; // @[lsu_bus_buffer.scala 526:126] - wire [2:0] _T_4460 = _T_4459 + _GEN_382; // @[lsu_bus_buffer.scala 526:126] - wire _T_4449 = _T_2569 & _T_4427; // @[lsu_bus_buffer.scala 526:73] - wire [2:0] _GEN_383 = {{2'd0}, _T_4449}; // @[lsu_bus_buffer.scala 526:126] - wire [3:0] buf_numvld_cmd_any = _T_4460 + _GEN_383; // @[lsu_bus_buffer.scala 526:126] + wire _T_4462 = _T_2590 & _T_4446; // @[lsu_bus_buffer.scala 526:73] + wire _T_4459 = _T_2583 & _T_4441; // @[lsu_bus_buffer.scala 526:73] + wire [1:0] _T_4463 = _T_4462 + _T_4459; // @[lsu_bus_buffer.scala 526:126] + wire _T_4456 = _T_2576 & _T_4436; // @[lsu_bus_buffer.scala 526:73] + wire [1:0] _GEN_382 = {{1'd0}, _T_4456}; // @[lsu_bus_buffer.scala 526:126] + wire [2:0] _T_4464 = _T_4463 + _GEN_382; // @[lsu_bus_buffer.scala 526:126] + wire _T_4453 = _T_2569 & _T_4431; // @[lsu_bus_buffer.scala 526:73] + wire [2:0] _GEN_383 = {{2'd0}, _T_4453}; // @[lsu_bus_buffer.scala 526:126] + wire [3:0] buf_numvld_cmd_any = _T_4464 + _GEN_383; // @[lsu_bus_buffer.scala 526:126] wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:72] wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 266:51] reg _T_1791; // @[Reg.scala 27:20] @@ -1011,19 +1011,19 @@ module lsu_bus_buffer( wire _T_1918 = |buf_age_3; // @[lsu_bus_buffer.scala 381:58] wire _T_1919 = ~_T_1918; // @[lsu_bus_buffer.scala 381:45] wire _T_1921 = _T_1919 & _T_2590; // @[lsu_bus_buffer.scala 381:63] - wire _T_1923 = _T_1921 & _T_4442; // @[lsu_bus_buffer.scala 381:88] + wire _T_1923 = _T_1921 & _T_4446; // @[lsu_bus_buffer.scala 381:88] wire _T_1912 = |buf_age_2; // @[lsu_bus_buffer.scala 381:58] wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 381:45] wire _T_1915 = _T_1913 & _T_2583; // @[lsu_bus_buffer.scala 381:63] - wire _T_1917 = _T_1915 & _T_4437; // @[lsu_bus_buffer.scala 381:88] + wire _T_1917 = _T_1915 & _T_4441; // @[lsu_bus_buffer.scala 381:88] wire _T_1906 = |buf_age_1; // @[lsu_bus_buffer.scala 381:58] wire _T_1907 = ~_T_1906; // @[lsu_bus_buffer.scala 381:45] wire _T_1909 = _T_1907 & _T_2576; // @[lsu_bus_buffer.scala 381:63] - wire _T_1911 = _T_1909 & _T_4432; // @[lsu_bus_buffer.scala 381:88] + wire _T_1911 = _T_1909 & _T_4436; // @[lsu_bus_buffer.scala 381:88] wire _T_1900 = |buf_age_0; // @[lsu_bus_buffer.scala 381:58] wire _T_1901 = ~_T_1900; // @[lsu_bus_buffer.scala 381:45] wire _T_1903 = _T_1901 & _T_2569; // @[lsu_bus_buffer.scala 381:63] - wire _T_1905 = _T_1903 & _T_4427; // @[lsu_bus_buffer.scala 381:88] + wire _T_1905 = _T_1903 & _T_4431; // @[lsu_bus_buffer.scala 381:88] wire [3:0] CmdPtr0Dec = {_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] wire [7:0] _T_1993 = {4'h0,_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] wire _T_1996 = _T_1993[4] | _T_1993[5]; // @[lsu_bus_buffer.scala 389:42] @@ -1081,44 +1081,44 @@ module lsu_bus_buffer( wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 270:101] wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 268:119] wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 268:117] - wire _T_4477 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4481 = _T_4477 | _T_4458; // @[lsu_bus_buffer.scala 527:74] - wire _T_4472 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4476 = _T_4472 | _T_4455; // @[lsu_bus_buffer.scala 527:74] - wire [1:0] _T_4482 = _T_4481 + _T_4476; // @[lsu_bus_buffer.scala 527:154] - wire _T_4467 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4471 = _T_4467 | _T_4452; // @[lsu_bus_buffer.scala 527:74] - wire [1:0] _GEN_384 = {{1'd0}, _T_4471}; // @[lsu_bus_buffer.scala 527:154] - wire [2:0] _T_4483 = _T_4482 + _GEN_384; // @[lsu_bus_buffer.scala 527:154] - wire _T_4462 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4466 = _T_4462 | _T_4449; // @[lsu_bus_buffer.scala 527:74] - wire [2:0] _GEN_385 = {{2'd0}, _T_4466}; // @[lsu_bus_buffer.scala 527:154] - wire [3:0] buf_numvld_pend_any = _T_4483 + _GEN_385; // @[lsu_bus_buffer.scala 527:154] + wire _T_4481 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 527:63] + wire _T_4485 = _T_4481 | _T_4462; // @[lsu_bus_buffer.scala 527:74] + wire _T_4476 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 527:63] + wire _T_4480 = _T_4476 | _T_4459; // @[lsu_bus_buffer.scala 527:74] + wire [1:0] _T_4486 = _T_4485 + _T_4480; // @[lsu_bus_buffer.scala 527:154] + wire _T_4471 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 527:63] + wire _T_4475 = _T_4471 | _T_4456; // @[lsu_bus_buffer.scala 527:74] + wire [1:0] _GEN_384 = {{1'd0}, _T_4475}; // @[lsu_bus_buffer.scala 527:154] + wire [2:0] _T_4487 = _T_4486 + _GEN_384; // @[lsu_bus_buffer.scala 527:154] + wire _T_4466 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 527:63] + wire _T_4470 = _T_4466 | _T_4453; // @[lsu_bus_buffer.scala 527:74] + wire [2:0] _GEN_385 = {{2'd0}, _T_4470}; // @[lsu_bus_buffer.scala 527:154] + wire [3:0] buf_numvld_pend_any = _T_4487 + _GEN_385; // @[lsu_bus_buffer.scala 527:154] wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 272:53] wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 272:31] wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 272:64] wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 272:89] wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 272:61] wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 288:32] - wire _T_4751 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4753 = _T_4751 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 555:73] - wire _T_4754 = _T_4753 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4755 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4757 = _T_4755 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 555:73] + wire _T_4755 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 555:62] + wire _T_4757 = _T_4755 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 555:73] wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4767 = _T_4754 | _T_4758; // @[lsu_bus_buffer.scala 555:153] - wire _T_4759 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4761 = _T_4759 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 555:73] + wire _T_4759 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 555:62] + wire _T_4761 = _T_4759 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 555:73] wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4768 = _T_4767 | _T_4762; // @[lsu_bus_buffer.scala 555:153] - wire _T_4763 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4765 = _T_4763 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 555:73] + wire _T_4771 = _T_4758 | _T_4762; // @[lsu_bus_buffer.scala 555:153] + wire _T_4763 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 555:62] + wire _T_4765 = _T_4763 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 555:73] wire _T_4766 = _T_4765 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4769 = _T_4768 | _T_4766; // @[lsu_bus_buffer.scala 555:153] + wire _T_4772 = _T_4771 | _T_4766; // @[lsu_bus_buffer.scala 555:153] + wire _T_4767 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 555:62] + wire _T_4769 = _T_4767 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 555:73] + wire _T_4770 = _T_4769 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] + wire _T_4773 = _T_4772 | _T_4770; // @[lsu_bus_buffer.scala 555:153] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_4770 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 555:171] - wire _T_4771 = _T_4770 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:189] - wire bus_sideeffect_pend = _T_4769 | _T_4771; // @[lsu_bus_buffer.scala 555:157] + wire _T_4774 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 555:171] + wire _T_4775 = _T_4774 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:189] + wire bus_sideeffect_pend = _T_4773 | _T_4775; // @[lsu_bus_buffer.scala 555:157] wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 288:74] wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 288:52] wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 288:50] @@ -1187,28 +1187,28 @@ module lsu_bus_buffer( wire _T_1964 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 382:83] wire _T_1965 = _T_1962 & _T_1964; // @[lsu_bus_buffer.scala 382:81] wire _T_1967 = _T_1965 & _T_2590; // @[lsu_bus_buffer.scala 382:98] - wire _T_1969 = _T_1967 & _T_4442; // @[lsu_bus_buffer.scala 382:123] + wire _T_1969 = _T_1967 & _T_4446; // @[lsu_bus_buffer.scala 382:123] wire [3:0] _T_1949 = buf_age_2 & _T_1959; // @[lsu_bus_buffer.scala 382:59] wire _T_1950 = |_T_1949; // @[lsu_bus_buffer.scala 382:76] wire _T_1951 = ~_T_1950; // @[lsu_bus_buffer.scala 382:45] wire _T_1953 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 382:83] wire _T_1954 = _T_1951 & _T_1953; // @[lsu_bus_buffer.scala 382:81] wire _T_1956 = _T_1954 & _T_2583; // @[lsu_bus_buffer.scala 382:98] - wire _T_1958 = _T_1956 & _T_4437; // @[lsu_bus_buffer.scala 382:123] + wire _T_1958 = _T_1956 & _T_4441; // @[lsu_bus_buffer.scala 382:123] wire [3:0] _T_1938 = buf_age_1 & _T_1959; // @[lsu_bus_buffer.scala 382:59] wire _T_1939 = |_T_1938; // @[lsu_bus_buffer.scala 382:76] wire _T_1940 = ~_T_1939; // @[lsu_bus_buffer.scala 382:45] wire _T_1942 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 382:83] wire _T_1943 = _T_1940 & _T_1942; // @[lsu_bus_buffer.scala 382:81] wire _T_1945 = _T_1943 & _T_2576; // @[lsu_bus_buffer.scala 382:98] - wire _T_1947 = _T_1945 & _T_4432; // @[lsu_bus_buffer.scala 382:123] + wire _T_1947 = _T_1945 & _T_4436; // @[lsu_bus_buffer.scala 382:123] wire [3:0] _T_1927 = buf_age_0 & _T_1959; // @[lsu_bus_buffer.scala 382:59] wire _T_1928 = |_T_1927; // @[lsu_bus_buffer.scala 382:76] wire _T_1929 = ~_T_1928; // @[lsu_bus_buffer.scala 382:45] wire _T_1931 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 382:83] wire _T_1932 = _T_1929 & _T_1931; // @[lsu_bus_buffer.scala 382:81] wire _T_1934 = _T_1932 & _T_2569; // @[lsu_bus_buffer.scala 382:98] - wire _T_1936 = _T_1934 & _T_4427; // @[lsu_bus_buffer.scala 382:123] + wire _T_1936 = _T_1934 & _T_4431; // @[lsu_bus_buffer.scala 382:123] wire [3:0] CmdPtr1Dec = {_T_1969,_T_1958,_T_1947,_T_1936}; // @[Cat.scala 29:58] wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 387:31] wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 291:181] @@ -1227,11 +1227,11 @@ module lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[Reg.scala 27:20] reg obuf_data_done; // @[Reg.scala 27:20] - wire _T_4825 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 559:54] - wire _T_4826 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 559:75] - wire _T_4827 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 559:153] - wire _T_4828 = _T_4825 ? _T_4826 : _T_4827; // @[lsu_bus_buffer.scala 559:39] - wire bus_cmd_ready = obuf_write ? _T_4828 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 559:23] + wire _T_4829 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 559:54] + wire _T_4830 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 559:75] + wire _T_4831 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 559:153] + wire _T_4832 = _T_4829 ? _T_4830 : _T_4831; // @[lsu_bus_buffer.scala 559:39] + wire bus_cmd_ready = obuf_write ? _T_4832 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 559:23] wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 292:48] wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 292:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1240,52 +1240,52 @@ module lsu_bus_buffer( wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 292:77] wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 292:75] reg [31:0] obuf_addr; // @[Reg.scala 27:20] - wire _T_4776 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4777 = obuf_valid & _T_4776; // @[lsu_bus_buffer.scala 557:19] - wire _T_4779 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 557:107] - wire _T_4780 = obuf_merge & _T_4779; // @[lsu_bus_buffer.scala 557:95] - wire _T_4781 = _T_3565 | _T_4780; // @[lsu_bus_buffer.scala 557:81] - wire _T_4782 = ~_T_4781; // @[lsu_bus_buffer.scala 557:61] - wire _T_4783 = _T_4777 & _T_4782; // @[lsu_bus_buffer.scala 557:59] - wire _T_4817 = _T_4751 & _T_4783; // @[Mux.scala 27:72] - wire _T_4787 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 557:19] - wire _T_4790 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 557:107] - wire _T_4791 = obuf_merge & _T_4790; // @[lsu_bus_buffer.scala 557:95] - wire _T_4792 = _T_3756 | _T_4791; // @[lsu_bus_buffer.scala 557:81] - wire _T_4793 = ~_T_4792; // @[lsu_bus_buffer.scala 557:61] - wire _T_4794 = _T_4788 & _T_4793; // @[lsu_bus_buffer.scala 557:59] - wire _T_4818 = _T_4755 & _T_4794; // @[Mux.scala 27:72] - wire _T_4821 = _T_4817 | _T_4818; // @[Mux.scala 27:72] - wire _T_4798 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 557:19] - wire _T_4801 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 557:107] - wire _T_4802 = obuf_merge & _T_4801; // @[lsu_bus_buffer.scala 557:95] - wire _T_4803 = _T_3947 | _T_4802; // @[lsu_bus_buffer.scala 557:81] - wire _T_4804 = ~_T_4803; // @[lsu_bus_buffer.scala 557:61] - wire _T_4805 = _T_4799 & _T_4804; // @[lsu_bus_buffer.scala 557:59] - wire _T_4819 = _T_4759 & _T_4805; // @[Mux.scala 27:72] - wire _T_4822 = _T_4821 | _T_4819; // @[Mux.scala 27:72] - wire _T_4809 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4810 = obuf_valid & _T_4809; // @[lsu_bus_buffer.scala 557:19] - wire _T_4812 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 557:107] - wire _T_4813 = obuf_merge & _T_4812; // @[lsu_bus_buffer.scala 557:95] - wire _T_4814 = _T_4138 | _T_4813; // @[lsu_bus_buffer.scala 557:81] - wire _T_4815 = ~_T_4814; // @[lsu_bus_buffer.scala 557:61] - wire _T_4816 = _T_4810 & _T_4815; // @[lsu_bus_buffer.scala 557:59] - wire _T_4820 = _T_4763 & _T_4816; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4822 | _T_4820; // @[Mux.scala 27:72] + wire _T_4780 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 557:37] + wire _T_4781 = obuf_valid & _T_4780; // @[lsu_bus_buffer.scala 557:19] + wire _T_4783 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 557:107] + wire _T_4784 = obuf_merge & _T_4783; // @[lsu_bus_buffer.scala 557:95] + wire _T_4785 = _T_3565 | _T_4784; // @[lsu_bus_buffer.scala 557:81] + wire _T_4786 = ~_T_4785; // @[lsu_bus_buffer.scala 557:61] + wire _T_4787 = _T_4781 & _T_4786; // @[lsu_bus_buffer.scala 557:59] + wire _T_4821 = _T_4755 & _T_4787; // @[Mux.scala 27:72] + wire _T_4791 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 557:37] + wire _T_4792 = obuf_valid & _T_4791; // @[lsu_bus_buffer.scala 557:19] + wire _T_4794 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 557:107] + wire _T_4795 = obuf_merge & _T_4794; // @[lsu_bus_buffer.scala 557:95] + wire _T_4796 = _T_3756 | _T_4795; // @[lsu_bus_buffer.scala 557:81] + wire _T_4797 = ~_T_4796; // @[lsu_bus_buffer.scala 557:61] + wire _T_4798 = _T_4792 & _T_4797; // @[lsu_bus_buffer.scala 557:59] + wire _T_4822 = _T_4759 & _T_4798; // @[Mux.scala 27:72] + wire _T_4825 = _T_4821 | _T_4822; // @[Mux.scala 27:72] + wire _T_4802 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 557:37] + wire _T_4803 = obuf_valid & _T_4802; // @[lsu_bus_buffer.scala 557:19] + wire _T_4805 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 557:107] + wire _T_4806 = obuf_merge & _T_4805; // @[lsu_bus_buffer.scala 557:95] + wire _T_4807 = _T_3947 | _T_4806; // @[lsu_bus_buffer.scala 557:81] + wire _T_4808 = ~_T_4807; // @[lsu_bus_buffer.scala 557:61] + wire _T_4809 = _T_4803 & _T_4808; // @[lsu_bus_buffer.scala 557:59] + wire _T_4823 = _T_4763 & _T_4809; // @[Mux.scala 27:72] + wire _T_4826 = _T_4825 | _T_4823; // @[Mux.scala 27:72] + wire _T_4813 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 557:37] + wire _T_4814 = obuf_valid & _T_4813; // @[lsu_bus_buffer.scala 557:19] + wire _T_4816 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 557:107] + wire _T_4817 = obuf_merge & _T_4816; // @[lsu_bus_buffer.scala 557:95] + wire _T_4818 = _T_4138 | _T_4817; // @[lsu_bus_buffer.scala 557:81] + wire _T_4819 = ~_T_4818; // @[lsu_bus_buffer.scala 557:61] + wire _T_4820 = _T_4814 & _T_4819; // @[lsu_bus_buffer.scala 557:59] + wire _T_4824 = _T_4767 & _T_4820; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4826 | _T_4824; // @[Mux.scala 27:72] wire _T_1237 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 292:94] wire _T_1238 = _T_1236 & _T_1237; // @[lsu_bus_buffer.scala 292:92] wire obuf_wr_en = _T_1238 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 292:118] wire _T_1240 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 295:47] wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 560:40] - wire _T_4832 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 562:35] + wire _T_4836 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 562:35] wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 561:40] - wire _T_4833 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 562:70] - wire _T_4834 = _T_4832 & _T_4833; // @[lsu_bus_buffer.scala 562:52] - wire _T_4835 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 562:112] - wire bus_cmd_sent = _T_4834 | _T_4835; // @[lsu_bus_buffer.scala 562:89] + wire _T_4837 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 562:70] + wire _T_4838 = _T_4836 & _T_4837; // @[lsu_bus_buffer.scala 562:52] + wire _T_4839 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 562:112] + wire bus_cmd_sent = _T_4838 | _T_4839; // @[lsu_bus_buffer.scala 562:89] wire _T_1241 = bus_cmd_sent | _T_1240; // @[lsu_bus_buffer.scala 295:33] wire _T_1242 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 295:65] wire _T_1243 = _T_1241 & _T_1242; // @[lsu_bus_buffer.scala 295:63] @@ -1326,8 +1326,8 @@ module lsu_bus_buffer( wire [1:0] CmdPtr1 = _T_2041[1:0]; // @[lsu_bus_buffer.scala 396:11] wire _T_1302 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 309:39] wire _T_1303 = ~_T_1302; // @[lsu_bus_buffer.scala 309:26] - wire obuf_cmd_done_in = _T_1303 & _T_4832; // @[lsu_bus_buffer.scala 309:51] - wire obuf_data_done_in = _T_1303 & _T_4833; // @[lsu_bus_buffer.scala 312:52] + wire obuf_cmd_done_in = _T_1303 & _T_4836; // @[lsu_bus_buffer.scala 309:51] + wire obuf_data_done_in = _T_1303 & _T_4837; // @[lsu_bus_buffer.scala 312:52] wire _T_1309 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 313:72] wire _T_1312 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 313:98] wire _T_1313 = obuf_sz_in[0] & _T_1312; // @[lsu_bus_buffer.scala 313:96] @@ -1688,7 +1688,7 @@ module lsu_bus_buffer( wire _T_2076 = _T_2074 & _T_3535; // @[lsu_bus_buffer.scala 411:41] wire _T_2078 = _T_2076 & _T_1795; // @[lsu_bus_buffer.scala 411:71] wire _T_2080 = _T_2078 & _T_1793; // @[lsu_bus_buffer.scala 411:92] - wire _T_2081 = _T_4466 | _T_2080; // @[lsu_bus_buffer.scala 410:86] + wire _T_2081 = _T_4470 | _T_2080; // @[lsu_bus_buffer.scala 410:86] wire _T_2082 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 412:17] wire _T_2083 = _T_2082 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 412:35] wire _T_2085 = _T_2083 & _T_1796; // @[lsu_bus_buffer.scala 412:52] @@ -1697,19 +1697,19 @@ module lsu_bus_buffer( wire _T_2089 = _T_2068 & _T_2088; // @[lsu_bus_buffer.scala 409:113] wire _T_2091 = _T_2089 | buf_age_0[0]; // @[lsu_bus_buffer.scala 412:97] wire _T_2105 = _T_2078 & _T_1804; // @[lsu_bus_buffer.scala 411:92] - wire _T_2106 = _T_4471 | _T_2105; // @[lsu_bus_buffer.scala 410:86] + wire _T_2106 = _T_4475 | _T_2105; // @[lsu_bus_buffer.scala 410:86] wire _T_2112 = _T_2085 & _T_1806; // @[lsu_bus_buffer.scala 412:73] wire _T_2113 = _T_2106 | _T_2112; // @[lsu_bus_buffer.scala 411:114] wire _T_2114 = _T_2068 & _T_2113; // @[lsu_bus_buffer.scala 409:113] wire _T_2116 = _T_2114 | buf_age_0[1]; // @[lsu_bus_buffer.scala 412:97] wire _T_2130 = _T_2078 & _T_1815; // @[lsu_bus_buffer.scala 411:92] - wire _T_2131 = _T_4476 | _T_2130; // @[lsu_bus_buffer.scala 410:86] + wire _T_2131 = _T_4480 | _T_2130; // @[lsu_bus_buffer.scala 410:86] wire _T_2137 = _T_2085 & _T_1817; // @[lsu_bus_buffer.scala 412:73] wire _T_2138 = _T_2131 | _T_2137; // @[lsu_bus_buffer.scala 411:114] wire _T_2139 = _T_2068 & _T_2138; // @[lsu_bus_buffer.scala 409:113] wire _T_2141 = _T_2139 | buf_age_0[2]; // @[lsu_bus_buffer.scala 412:97] wire _T_2155 = _T_2078 & _T_1826; // @[lsu_bus_buffer.scala 411:92] - wire _T_2156 = _T_4481 | _T_2155; // @[lsu_bus_buffer.scala 410:86] + wire _T_2156 = _T_4485 | _T_2155; // @[lsu_bus_buffer.scala 410:86] wire _T_2162 = _T_2085 & _T_1828; // @[lsu_bus_buffer.scala 412:73] wire _T_2163 = _T_2156 | _T_2162; // @[lsu_bus_buffer.scala 411:114] wire _T_2164 = _T_2068 & _T_2163; // @[lsu_bus_buffer.scala 409:113] @@ -1776,26 +1776,26 @@ module lsu_bus_buffer( wire _T_2170 = _T_1803 & buf_state_en_1; // @[lsu_bus_buffer.scala 409:94] wire _T_2180 = _T_2076 & _T_1806; // @[lsu_bus_buffer.scala 411:71] wire _T_2182 = _T_2180 & _T_1793; // @[lsu_bus_buffer.scala 411:92] - wire _T_2183 = _T_4466 | _T_2182; // @[lsu_bus_buffer.scala 410:86] + wire _T_2183 = _T_4470 | _T_2182; // @[lsu_bus_buffer.scala 410:86] wire _T_2187 = _T_2083 & _T_1807; // @[lsu_bus_buffer.scala 412:52] wire _T_2189 = _T_2187 & _T_1795; // @[lsu_bus_buffer.scala 412:73] wire _T_2190 = _T_2183 | _T_2189; // @[lsu_bus_buffer.scala 411:114] wire _T_2191 = _T_2170 & _T_2190; // @[lsu_bus_buffer.scala 409:113] wire _T_2193 = _T_2191 | buf_age_1[0]; // @[lsu_bus_buffer.scala 412:97] wire _T_2207 = _T_2180 & _T_1804; // @[lsu_bus_buffer.scala 411:92] - wire _T_2208 = _T_4471 | _T_2207; // @[lsu_bus_buffer.scala 410:86] + wire _T_2208 = _T_4475 | _T_2207; // @[lsu_bus_buffer.scala 410:86] wire _T_2214 = _T_2187 & _T_1806; // @[lsu_bus_buffer.scala 412:73] wire _T_2215 = _T_2208 | _T_2214; // @[lsu_bus_buffer.scala 411:114] wire _T_2216 = _T_2170 & _T_2215; // @[lsu_bus_buffer.scala 409:113] wire _T_2218 = _T_2216 | buf_age_1[1]; // @[lsu_bus_buffer.scala 412:97] wire _T_2232 = _T_2180 & _T_1815; // @[lsu_bus_buffer.scala 411:92] - wire _T_2233 = _T_4476 | _T_2232; // @[lsu_bus_buffer.scala 410:86] + wire _T_2233 = _T_4480 | _T_2232; // @[lsu_bus_buffer.scala 410:86] wire _T_2239 = _T_2187 & _T_1817; // @[lsu_bus_buffer.scala 412:73] wire _T_2240 = _T_2233 | _T_2239; // @[lsu_bus_buffer.scala 411:114] wire _T_2241 = _T_2170 & _T_2240; // @[lsu_bus_buffer.scala 409:113] wire _T_2243 = _T_2241 | buf_age_1[2]; // @[lsu_bus_buffer.scala 412:97] wire _T_2257 = _T_2180 & _T_1826; // @[lsu_bus_buffer.scala 411:92] - wire _T_2258 = _T_4481 | _T_2257; // @[lsu_bus_buffer.scala 410:86] + wire _T_2258 = _T_4485 | _T_2257; // @[lsu_bus_buffer.scala 410:86] wire _T_2264 = _T_2187 & _T_1828; // @[lsu_bus_buffer.scala 412:73] wire _T_2265 = _T_2258 | _T_2264; // @[lsu_bus_buffer.scala 411:114] wire _T_2266 = _T_2170 & _T_2265; // @[lsu_bus_buffer.scala 409:113] @@ -1862,26 +1862,26 @@ module lsu_bus_buffer( wire _T_2272 = _T_1814 & buf_state_en_2; // @[lsu_bus_buffer.scala 409:94] wire _T_2282 = _T_2076 & _T_1817; // @[lsu_bus_buffer.scala 411:71] wire _T_2284 = _T_2282 & _T_1793; // @[lsu_bus_buffer.scala 411:92] - wire _T_2285 = _T_4466 | _T_2284; // @[lsu_bus_buffer.scala 410:86] + wire _T_2285 = _T_4470 | _T_2284; // @[lsu_bus_buffer.scala 410:86] wire _T_2289 = _T_2083 & _T_1818; // @[lsu_bus_buffer.scala 412:52] wire _T_2291 = _T_2289 & _T_1795; // @[lsu_bus_buffer.scala 412:73] wire _T_2292 = _T_2285 | _T_2291; // @[lsu_bus_buffer.scala 411:114] wire _T_2293 = _T_2272 & _T_2292; // @[lsu_bus_buffer.scala 409:113] wire _T_2295 = _T_2293 | buf_age_2[0]; // @[lsu_bus_buffer.scala 412:97] wire _T_2309 = _T_2282 & _T_1804; // @[lsu_bus_buffer.scala 411:92] - wire _T_2310 = _T_4471 | _T_2309; // @[lsu_bus_buffer.scala 410:86] + wire _T_2310 = _T_4475 | _T_2309; // @[lsu_bus_buffer.scala 410:86] wire _T_2316 = _T_2289 & _T_1806; // @[lsu_bus_buffer.scala 412:73] wire _T_2317 = _T_2310 | _T_2316; // @[lsu_bus_buffer.scala 411:114] wire _T_2318 = _T_2272 & _T_2317; // @[lsu_bus_buffer.scala 409:113] wire _T_2320 = _T_2318 | buf_age_2[1]; // @[lsu_bus_buffer.scala 412:97] wire _T_2334 = _T_2282 & _T_1815; // @[lsu_bus_buffer.scala 411:92] - wire _T_2335 = _T_4476 | _T_2334; // @[lsu_bus_buffer.scala 410:86] + wire _T_2335 = _T_4480 | _T_2334; // @[lsu_bus_buffer.scala 410:86] wire _T_2341 = _T_2289 & _T_1817; // @[lsu_bus_buffer.scala 412:73] wire _T_2342 = _T_2335 | _T_2341; // @[lsu_bus_buffer.scala 411:114] wire _T_2343 = _T_2272 & _T_2342; // @[lsu_bus_buffer.scala 409:113] wire _T_2345 = _T_2343 | buf_age_2[2]; // @[lsu_bus_buffer.scala 412:97] wire _T_2359 = _T_2282 & _T_1826; // @[lsu_bus_buffer.scala 411:92] - wire _T_2360 = _T_4481 | _T_2359; // @[lsu_bus_buffer.scala 410:86] + wire _T_2360 = _T_4485 | _T_2359; // @[lsu_bus_buffer.scala 410:86] wire _T_2366 = _T_2289 & _T_1828; // @[lsu_bus_buffer.scala 412:73] wire _T_2367 = _T_2360 | _T_2366; // @[lsu_bus_buffer.scala 411:114] wire _T_2368 = _T_2272 & _T_2367; // @[lsu_bus_buffer.scala 409:113] @@ -1948,26 +1948,26 @@ module lsu_bus_buffer( wire _T_2374 = _T_1825 & buf_state_en_3; // @[lsu_bus_buffer.scala 409:94] wire _T_2384 = _T_2076 & _T_1828; // @[lsu_bus_buffer.scala 411:71] wire _T_2386 = _T_2384 & _T_1793; // @[lsu_bus_buffer.scala 411:92] - wire _T_2387 = _T_4466 | _T_2386; // @[lsu_bus_buffer.scala 410:86] + wire _T_2387 = _T_4470 | _T_2386; // @[lsu_bus_buffer.scala 410:86] wire _T_2391 = _T_2083 & _T_1829; // @[lsu_bus_buffer.scala 412:52] wire _T_2393 = _T_2391 & _T_1795; // @[lsu_bus_buffer.scala 412:73] wire _T_2394 = _T_2387 | _T_2393; // @[lsu_bus_buffer.scala 411:114] wire _T_2395 = _T_2374 & _T_2394; // @[lsu_bus_buffer.scala 409:113] wire _T_2397 = _T_2395 | buf_age_3[0]; // @[lsu_bus_buffer.scala 412:97] wire _T_2411 = _T_2384 & _T_1804; // @[lsu_bus_buffer.scala 411:92] - wire _T_2412 = _T_4471 | _T_2411; // @[lsu_bus_buffer.scala 410:86] + wire _T_2412 = _T_4475 | _T_2411; // @[lsu_bus_buffer.scala 410:86] wire _T_2418 = _T_2391 & _T_1806; // @[lsu_bus_buffer.scala 412:73] wire _T_2419 = _T_2412 | _T_2418; // @[lsu_bus_buffer.scala 411:114] wire _T_2420 = _T_2374 & _T_2419; // @[lsu_bus_buffer.scala 409:113] wire _T_2422 = _T_2420 | buf_age_3[1]; // @[lsu_bus_buffer.scala 412:97] wire _T_2436 = _T_2384 & _T_1815; // @[lsu_bus_buffer.scala 411:92] - wire _T_2437 = _T_4476 | _T_2436; // @[lsu_bus_buffer.scala 410:86] + wire _T_2437 = _T_4480 | _T_2436; // @[lsu_bus_buffer.scala 410:86] wire _T_2443 = _T_2391 & _T_1817; // @[lsu_bus_buffer.scala 412:73] wire _T_2444 = _T_2437 | _T_2443; // @[lsu_bus_buffer.scala 411:114] wire _T_2445 = _T_2374 & _T_2444; // @[lsu_bus_buffer.scala 409:113] wire _T_2447 = _T_2445 | buf_age_3[2]; // @[lsu_bus_buffer.scala 412:97] wire _T_2461 = _T_2384 & _T_1826; // @[lsu_bus_buffer.scala 411:92] - wire _T_2462 = _T_4481 | _T_2461; // @[lsu_bus_buffer.scala 410:86] + wire _T_2462 = _T_4485 | _T_2461; // @[lsu_bus_buffer.scala 410:86] wire _T_2468 = _T_2391 & _T_1828; // @[lsu_bus_buffer.scala 412:73] wire _T_2469 = _T_2462 | _T_2468; // @[lsu_bus_buffer.scala 411:114] wire _T_2470 = _T_2374 & _T_2469; // @[lsu_bus_buffer.scala 409:113] @@ -2153,15 +2153,15 @@ module lsu_bus_buffer( wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 460:74] wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] - wire _T_4841 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 568:64] - wire bus_rsp_read_error = bus_rsp_read & _T_4841; // @[lsu_bus_buffer.scala 568:38] + wire _T_4845 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 568:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4845; // @[lsu_bus_buffer.scala 568:38] wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] wire _T_3659 = bus_rsp_read_error & _T_3638; // @[lsu_bus_buffer.scala 477:91] wire _T_3661 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 478:31] wire _T_3663 = _T_3661 & _T_3640; // @[lsu_bus_buffer.scala 478:46] wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 477:143] - wire _T_4839 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 567:66] - wire bus_rsp_write_error = bus_rsp_write & _T_4839; // @[lsu_bus_buffer.scala 567:40] + wire _T_4843 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 567:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4843; // @[lsu_bus_buffer.scala 567:40] wire _T_3666 = bus_rsp_write_error & _T_3636; // @[lsu_bus_buffer.scala 479:33] wire _T_3667 = _T_3664 | _T_3666; // @[lsu_bus_buffer.scala 478:88] wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 477:68] @@ -2180,9 +2180,9 @@ module lsu_bus_buffer( wire [2:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_30; // @[lsu_bus_buffer.scala 468:90] wire _T_3603 = _GEN_31 != 3'h4; // @[lsu_bus_buffer.scala 468:90] wire _T_3604 = _T_3602 & _T_3603; // @[lsu_bus_buffer.scala 468:61] - wire _T_4489 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 528:93] - wire _T_4490 = _T_4489 | _T_2711; // @[lsu_bus_buffer.scala 528:93] - wire any_done_wait_state = _T_4490 | _T_2708; // @[lsu_bus_buffer.scala 528:93] + wire _T_4493 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 528:93] + wire _T_4494 = _T_4493 | _T_2711; // @[lsu_bus_buffer.scala 528:93] + wire any_done_wait_state = _T_4494 | _T_2708; // @[lsu_bus_buffer.scala 528:93] wire _T_3606 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] wire _T_3612 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3614 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 60:118] @@ -2433,215 +2433,219 @@ module lsu_bus_buffer( reg _T_4337; // @[Reg.scala 27:20] reg _T_4340; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4340,_T_4337,_T_4334,_T_4331}; // @[Cat.scala 29:58] - wire _T_4387 = ~buf_rst_0; // @[lsu_bus_buffer.scala 523:81] - reg _T_4406; // @[lsu_bus_buffer.scala 523:80] - reg _T_4401; // @[lsu_bus_buffer.scala 523:80] - reg _T_4396; // @[lsu_bus_buffer.scala 523:80] - reg _T_4391; // @[lsu_bus_buffer.scala 523:80] - wire [3:0] buf_error = {_T_4406,_T_4401,_T_4396,_T_4391}; // @[Cat.scala 29:58] - wire _T_4389 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 523:98] - wire _T_4392 = ~buf_rst_1; // @[lsu_bus_buffer.scala 523:81] - wire _T_4394 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 523:98] - wire _T_4397 = ~buf_rst_2; // @[lsu_bus_buffer.scala 523:81] - wire _T_4399 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 523:98] - wire _T_4402 = ~buf_rst_3; // @[lsu_bus_buffer.scala 523:81] - wire _T_4404 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 523:98] - wire [1:0] _T_4410 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4411 = io_ldst_dual_m ? _T_4410 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 524:28] - wire [1:0] _T_4412 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4413 = io_ldst_dual_r ? _T_4412 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 524:94] - wire [2:0] _T_4414 = _T_4411 + _T_4413; // @[lsu_bus_buffer.scala 524:88] + wire _T_4388 = ~buf_rst_0; // @[lsu_bus_buffer.scala 523:107] + reg _T_4410; // @[lsu_bus_buffer.scala 523:106] + reg _T_4404; // @[lsu_bus_buffer.scala 523:106] + reg _T_4398; // @[lsu_bus_buffer.scala 523:106] + reg _T_4392; // @[lsu_bus_buffer.scala 523:106] + wire [3:0] buf_error = {_T_4410,_T_4404,_T_4398,_T_4392}; // @[Cat.scala 29:58] + wire _T_4390 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 523:124] + wire _T_4391 = _T_4388 & _T_4390; // @[lsu_bus_buffer.scala 523:119] + wire _T_4394 = ~buf_rst_1; // @[lsu_bus_buffer.scala 523:107] + wire _T_4396 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 523:124] + wire _T_4397 = _T_4394 & _T_4396; // @[lsu_bus_buffer.scala 523:119] + wire _T_4400 = ~buf_rst_2; // @[lsu_bus_buffer.scala 523:107] + wire _T_4402 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 523:124] + wire _T_4403 = _T_4400 & _T_4402; // @[lsu_bus_buffer.scala 523:119] + wire _T_4406 = ~buf_rst_3; // @[lsu_bus_buffer.scala 523:107] + wire _T_4408 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 523:124] + wire _T_4409 = _T_4406 & _T_4408; // @[lsu_bus_buffer.scala 523:119] + wire [1:0] _T_4414 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4415 = io_ldst_dual_m ? _T_4414 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 524:28] + wire [1:0] _T_4416 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4417 = io_ldst_dual_r ? _T_4416 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 524:94] + wire [2:0] _T_4418 = _T_4415 + _T_4417; // @[lsu_bus_buffer.scala 524:88] wire [2:0] _GEN_406 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 524:154] - wire [3:0] _T_4415 = _T_4414 + _GEN_406; // @[lsu_bus_buffer.scala 524:154] - wire [1:0] _T_4420 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 524:217] + wire [3:0] _T_4419 = _T_4418 + _GEN_406; // @[lsu_bus_buffer.scala 524:154] + wire [1:0] _T_4424 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 524:217] wire [1:0] _GEN_407 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 524:217] - wire [2:0] _T_4421 = _T_4420 + _GEN_407; // @[lsu_bus_buffer.scala 524:217] + wire [2:0] _T_4425 = _T_4424 + _GEN_407; // @[lsu_bus_buffer.scala 524:217] wire [2:0] _GEN_408 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 524:217] - wire [3:0] _T_4422 = _T_4421 + _GEN_408; // @[lsu_bus_buffer.scala 524:217] - wire [3:0] buf_numvld_any = _T_4415 + _T_4422; // @[lsu_bus_buffer.scala 524:169] - wire _T_4493 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 530:52] - wire _T_4494 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 530:92] - wire _T_4495 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 530:121] - wire _T_4497 = |buf_state_0; // @[lsu_bus_buffer.scala 531:52] - wire _T_4498 = |buf_state_1; // @[lsu_bus_buffer.scala 531:52] - wire _T_4499 = |buf_state_2; // @[lsu_bus_buffer.scala 531:52] - wire _T_4500 = |buf_state_3; // @[lsu_bus_buffer.scala 531:52] - wire _T_4501 = _T_4497 | _T_4498; // @[lsu_bus_buffer.scala 531:65] - wire _T_4502 = _T_4501 | _T_4499; // @[lsu_bus_buffer.scala 531:65] - wire _T_4503 = _T_4502 | _T_4500; // @[lsu_bus_buffer.scala 531:65] - wire _T_4504 = ~_T_4503; // @[lsu_bus_buffer.scala 531:34] - wire _T_4506 = _T_4504 & _T_852; // @[lsu_bus_buffer.scala 531:70] - wire _T_4509 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 533:64] - wire _T_4510 = _T_4509 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 533:85] - wire _T_4511 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 533:112] - wire _T_4512 = _T_4510 & _T_4511; // @[lsu_bus_buffer.scala 533:110] - wire _T_4513 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 533:129] - wire _T_4515 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 536:74] + wire [3:0] _T_4426 = _T_4425 + _GEN_408; // @[lsu_bus_buffer.scala 524:217] + wire [3:0] buf_numvld_any = _T_4419 + _T_4426; // @[lsu_bus_buffer.scala 524:169] + wire _T_4497 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 530:52] + wire _T_4498 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 530:92] + wire _T_4499 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 530:121] + wire _T_4501 = |buf_state_0; // @[lsu_bus_buffer.scala 531:52] + wire _T_4502 = |buf_state_1; // @[lsu_bus_buffer.scala 531:52] + wire _T_4503 = |buf_state_2; // @[lsu_bus_buffer.scala 531:52] + wire _T_4504 = |buf_state_3; // @[lsu_bus_buffer.scala 531:52] + wire _T_4505 = _T_4501 | _T_4502; // @[lsu_bus_buffer.scala 531:65] + wire _T_4506 = _T_4505 | _T_4503; // @[lsu_bus_buffer.scala 531:65] + wire _T_4507 = _T_4506 | _T_4504; // @[lsu_bus_buffer.scala 531:65] + wire _T_4508 = ~_T_4507; // @[lsu_bus_buffer.scala 531:34] + wire _T_4510 = _T_4508 & _T_852; // @[lsu_bus_buffer.scala 531:70] + wire _T_4513 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 533:64] + wire _T_4514 = _T_4513 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 533:85] + wire _T_4515 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 533:112] + wire _T_4516 = _T_4514 & _T_4515; // @[lsu_bus_buffer.scala 533:110] + wire _T_4517 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 533:129] + wire _T_4519 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 536:74] reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 621:66] - wire _T_4529 = _T_2770 & _T_3645; // @[Mux.scala 27:72] - wire _T_4530 = _T_2792 & _T_3836; // @[Mux.scala 27:72] - wire _T_4531 = _T_2814 & _T_4027; // @[Mux.scala 27:72] - wire _T_4532 = _T_2836 & _T_4218; // @[Mux.scala 27:72] - wire _T_4533 = _T_4529 | _T_4530; // @[Mux.scala 27:72] - wire _T_4534 = _T_4533 | _T_4531; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4534 | _T_4532; // @[Mux.scala 27:72] - wire _T_4540 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 539:121] - wire _T_4545 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 539:121] - wire _T_4550 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 539:121] - wire _T_4555 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 539:121] - wire _T_4556 = _T_2770 & _T_4540; // @[Mux.scala 27:72] - wire _T_4557 = _T_2792 & _T_4545; // @[Mux.scala 27:72] - wire _T_4558 = _T_2814 & _T_4550; // @[Mux.scala 27:72] - wire _T_4559 = _T_2836 & _T_4555; // @[Mux.scala 27:72] - wire _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] - wire _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] - wire _T_4568 = ~buf_dual_0; // @[lsu_bus_buffer.scala 540:121] - wire _T_4569 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 540:136] - wire _T_4570 = _T_4568 | _T_4569; // @[lsu_bus_buffer.scala 540:134] - wire _T_4571 = _T_4529 & _T_4570; // @[lsu_bus_buffer.scala 540:118] - wire _T_4576 = ~buf_dual_1; // @[lsu_bus_buffer.scala 540:121] - wire _T_4577 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 540:136] - wire _T_4578 = _T_4576 | _T_4577; // @[lsu_bus_buffer.scala 540:134] - wire _T_4579 = _T_4530 & _T_4578; // @[lsu_bus_buffer.scala 540:118] - wire _T_4584 = ~buf_dual_2; // @[lsu_bus_buffer.scala 540:121] - wire _T_4585 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 540:136] - wire _T_4586 = _T_4584 | _T_4585; // @[lsu_bus_buffer.scala 540:134] - wire _T_4587 = _T_4531 & _T_4586; // @[lsu_bus_buffer.scala 540:118] - wire _T_4592 = ~buf_dual_3; // @[lsu_bus_buffer.scala 540:121] - wire _T_4593 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 540:136] - wire _T_4594 = _T_4592 | _T_4593; // @[lsu_bus_buffer.scala 540:134] - wire _T_4595 = _T_4532 & _T_4594; // @[lsu_bus_buffer.scala 540:118] - wire [1:0] _T_4598 = _T_4587 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4599 = _T_4595 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_409 = {{1'd0}, _T_4579}; // @[Mux.scala 27:72] - wire [1:0] _T_4601 = _GEN_409 | _T_4598; // @[Mux.scala 27:72] - wire [31:0] _T_4636 = _T_4571 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4637 = _T_4579 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4638 = _T_4587 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4639 = _T_4595 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4640 = _T_4636 | _T_4637; // @[Mux.scala 27:72] - wire [31:0] _T_4641 = _T_4640 | _T_4638; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4641 | _T_4639; // @[Mux.scala 27:72] - wire _T_4648 = _T_4529 & _T_3643; // @[lsu_bus_buffer.scala 542:105] - wire _T_4654 = _T_4530 & _T_3834; // @[lsu_bus_buffer.scala 542:105] - wire _T_4660 = _T_4531 & _T_4025; // @[lsu_bus_buffer.scala 542:105] - wire _T_4666 = _T_4532 & _T_4216; // @[lsu_bus_buffer.scala 542:105] - wire [31:0] _T_4667 = _T_4648 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4668 = _T_4654 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4669 = _T_4660 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4670 = _T_4666 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4671 = _T_4667 | _T_4668; // @[Mux.scala 27:72] - wire [31:0] _T_4672 = _T_4671 | _T_4669; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4672 | _T_4670; // @[Mux.scala 27:72] - wire _T_4674 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] - wire _T_4675 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] - wire _T_4676 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] - wire _T_4677 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] - wire [31:0] _T_4678 = _T_4674 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4679 = _T_4675 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4680 = _T_4676 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4681 = _T_4677 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4682 = _T_4678 | _T_4679; // @[Mux.scala 27:72] - wire [31:0] _T_4683 = _T_4682 | _T_4680; // @[Mux.scala 27:72] - wire [31:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[lsu_bus_buffer.scala 543:96] - wire [1:0] _T_4690 = _T_4674 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4691 = _T_4675 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4692 = _T_4676 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4693 = _T_4677 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4694 = _T_4690 | _T_4691; // @[Mux.scala 27:72] - wire [1:0] _T_4695 = _T_4694 | _T_4692; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4695 | _T_4693; // @[Mux.scala 27:72] - wire _T_4705 = _T_4674 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4706 = _T_4675 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4707 = _T_4676 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4708 = _T_4677 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4709 = _T_4705 | _T_4706; // @[Mux.scala 27:72] - wire _T_4710 = _T_4709 | _T_4707; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4710 | _T_4708; // @[Mux.scala 27:72] - wire [63:0] _T_4712 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire _T_4533 = _T_2770 & _T_3645; // @[Mux.scala 27:72] + wire _T_4534 = _T_2792 & _T_3836; // @[Mux.scala 27:72] + wire _T_4535 = _T_2814 & _T_4027; // @[Mux.scala 27:72] + wire _T_4536 = _T_2836 & _T_4218; // @[Mux.scala 27:72] + wire _T_4537 = _T_4533 | _T_4534; // @[Mux.scala 27:72] + wire _T_4538 = _T_4537 | _T_4535; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4538 | _T_4536; // @[Mux.scala 27:72] + wire _T_4544 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 539:121] + wire _T_4549 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 539:121] + wire _T_4554 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 539:121] + wire _T_4559 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 539:121] + wire _T_4560 = _T_2770 & _T_4544; // @[Mux.scala 27:72] + wire _T_4561 = _T_2792 & _T_4549; // @[Mux.scala 27:72] + wire _T_4562 = _T_2814 & _T_4554; // @[Mux.scala 27:72] + wire _T_4563 = _T_2836 & _T_4559; // @[Mux.scala 27:72] + wire _T_4564 = _T_4560 | _T_4561; // @[Mux.scala 27:72] + wire _T_4565 = _T_4564 | _T_4562; // @[Mux.scala 27:72] + wire _T_4572 = ~buf_dual_0; // @[lsu_bus_buffer.scala 540:121] + wire _T_4573 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 540:136] + wire _T_4574 = _T_4572 | _T_4573; // @[lsu_bus_buffer.scala 540:134] + wire _T_4575 = _T_4533 & _T_4574; // @[lsu_bus_buffer.scala 540:118] + wire _T_4580 = ~buf_dual_1; // @[lsu_bus_buffer.scala 540:121] + wire _T_4581 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 540:136] + wire _T_4582 = _T_4580 | _T_4581; // @[lsu_bus_buffer.scala 540:134] + wire _T_4583 = _T_4534 & _T_4582; // @[lsu_bus_buffer.scala 540:118] + wire _T_4588 = ~buf_dual_2; // @[lsu_bus_buffer.scala 540:121] + wire _T_4589 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 540:136] + wire _T_4590 = _T_4588 | _T_4589; // @[lsu_bus_buffer.scala 540:134] + wire _T_4591 = _T_4535 & _T_4590; // @[lsu_bus_buffer.scala 540:118] + wire _T_4596 = ~buf_dual_3; // @[lsu_bus_buffer.scala 540:121] + wire _T_4597 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 540:136] + wire _T_4598 = _T_4596 | _T_4597; // @[lsu_bus_buffer.scala 540:134] + wire _T_4599 = _T_4536 & _T_4598; // @[lsu_bus_buffer.scala 540:118] + wire [1:0] _T_4602 = _T_4591 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4603 = _T_4599 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_409 = {{1'd0}, _T_4583}; // @[Mux.scala 27:72] + wire [1:0] _T_4605 = _GEN_409 | _T_4602; // @[Mux.scala 27:72] + wire [31:0] _T_4640 = _T_4575 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4641 = _T_4583 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4642 = _T_4591 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4643 = _T_4599 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4644 = _T_4640 | _T_4641; // @[Mux.scala 27:72] + wire [31:0] _T_4645 = _T_4644 | _T_4642; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4645 | _T_4643; // @[Mux.scala 27:72] + wire _T_4652 = _T_4533 & _T_3643; // @[lsu_bus_buffer.scala 542:105] + wire _T_4658 = _T_4534 & _T_3834; // @[lsu_bus_buffer.scala 542:105] + wire _T_4664 = _T_4535 & _T_4025; // @[lsu_bus_buffer.scala 542:105] + wire _T_4670 = _T_4536 & _T_4216; // @[lsu_bus_buffer.scala 542:105] + wire [31:0] _T_4671 = _T_4652 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4672 = _T_4658 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4673 = _T_4664 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4674 = _T_4670 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4675 = _T_4671 | _T_4672; // @[Mux.scala 27:72] + wire [31:0] _T_4676 = _T_4675 | _T_4673; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4676 | _T_4674; // @[Mux.scala 27:72] + wire _T_4678 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] + wire _T_4679 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] + wire _T_4680 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] + wire _T_4681 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] + wire [31:0] _T_4682 = _T_4678 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4683 = _T_4679 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4684 = _T_4680 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4685 = _T_4681 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4686 = _T_4682 | _T_4683; // @[Mux.scala 27:72] + wire [31:0] _T_4687 = _T_4686 | _T_4684; // @[Mux.scala 27:72] + wire [31:0] _T_4688 = _T_4687 | _T_4685; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4688[1:0]; // @[lsu_bus_buffer.scala 543:96] + wire [1:0] _T_4694 = _T_4678 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4695 = _T_4679 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4696 = _T_4680 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4697 = _T_4681 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4698 = _T_4694 | _T_4695; // @[Mux.scala 27:72] + wire [1:0] _T_4699 = _T_4698 | _T_4696; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4699 | _T_4697; // @[Mux.scala 27:72] + wire _T_4709 = _T_4678 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4710 = _T_4679 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4711 = _T_4680 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4712 = _T_4681 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4713 = _T_4709 | _T_4710; // @[Mux.scala 27:72] + wire _T_4714 = _T_4713 | _T_4711; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4714 | _T_4712; // @[Mux.scala 27:72] + wire [63:0] _T_4716 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] wire [3:0] _GEN_410 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 547:121] - wire [5:0] _T_4713 = _GEN_410 * 4'h8; // @[lsu_bus_buffer.scala 547:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4712 >> _T_4713; // @[lsu_bus_buffer.scala 547:92] - wire _T_4714 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 549:82] - wire _T_4716 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 550:94] - wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 550:76] - wire [31:0] _T_4719 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4720 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 551:45] - wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 551:26] - wire [31:0] _T_4723 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4724 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 552:6] - wire _T_4726 = _T_4724 & _T_4716; // @[lsu_bus_buffer.scala 552:27] - wire [23:0] _T_4729 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4731 = {_T_4729,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4734 = _T_4724 & _T_4720; // @[lsu_bus_buffer.scala 553:27] - wire [15:0] _T_4737 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4739 = {_T_4737,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4740 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 554:21] - wire [31:0] _T_4741 = _T_4717 ? _T_4719 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4742 = _T_4721 ? _T_4723 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4743 = _T_4726 ? _T_4731 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4744 = _T_4734 ? _T_4739 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4745 = _T_4740 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4746 = _T_4741 | _T_4742; // @[Mux.scala 27:72] - wire [31:0] _T_4747 = _T_4746 | _T_4743; // @[Mux.scala 27:72] - wire [31:0] _T_4748 = _T_4747 | _T_4744; // @[Mux.scala 27:72] - wire [63:0] _GEN_411 = {{32'd0}, _T_4748}; // @[Mux.scala 27:72] - wire [63:0] _T_4749 = _GEN_411 | _T_4745; // @[Mux.scala 27:72] - wire _T_4843 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 572:37] - wire _T_4844 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 572:52] - wire _T_4845 = _T_4843 & _T_4844; // @[lsu_bus_buffer.scala 572:50] - wire [31:0] _T_4849 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4851 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4856 = ~obuf_data_done; // @[lsu_bus_buffer.scala 584:51] - wire _T_4857 = _T_4843 & _T_4856; // @[lsu_bus_buffer.scala 584:49] - wire [7:0] _T_4861 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4864 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 589:37] - wire _T_4866 = _T_4864 & _T_1347; // @[lsu_bus_buffer.scala 589:51] - wire _T_4878 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4880 = _T_4878 & buf_write[0]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4883 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4885 = _T_4883 & buf_write[1]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4888 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4890 = _T_4888 & buf_write[2]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4893 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4895 = _T_4893 & buf_write[3]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4896 = _T_2770 & _T_4880; // @[Mux.scala 27:72] - wire _T_4897 = _T_2792 & _T_4885; // @[Mux.scala 27:72] - wire _T_4898 = _T_2814 & _T_4890; // @[Mux.scala 27:72] - wire _T_4899 = _T_2836 & _T_4895; // @[Mux.scala 27:72] - wire _T_4900 = _T_4896 | _T_4897; // @[Mux.scala 27:72] - wire _T_4901 = _T_4900 | _T_4898; // @[Mux.scala 27:72] - wire _T_4911 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 603:93] - wire _T_4913 = _T_4911 & buf_write[1]; // @[lsu_bus_buffer.scala 603:108] - wire _T_4916 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 603:93] - wire _T_4918 = _T_4916 & buf_write[2]; // @[lsu_bus_buffer.scala 603:108] - wire _T_4921 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 603:93] - wire _T_4923 = _T_4921 & buf_write[3]; // @[lsu_bus_buffer.scala 603:108] - wire [1:0] _T_4926 = _T_4918 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4927 = _T_4923 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_412 = {{1'd0}, _T_4913}; // @[Mux.scala 27:72] - wire [1:0] _T_4929 = _GEN_412 | _T_4926; // @[Mux.scala 27:72] - wire [1:0] lsu_imprecise_error_store_tag = _T_4929 | _T_4927; // @[Mux.scala 27:72] - wire _T_4931 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 605:97] + wire [5:0] _T_4717 = _GEN_410 * 4'h8; // @[lsu_bus_buffer.scala 547:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4716 >> _T_4717; // @[lsu_bus_buffer.scala 547:92] + wire _T_4718 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 549:82] + wire _T_4720 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 550:94] + wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 550:76] + wire [31:0] _T_4723 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4724 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 551:45] + wire _T_4725 = lsu_nonblock_unsign & _T_4724; // @[lsu_bus_buffer.scala 551:26] + wire [31:0] _T_4727 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4728 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 552:6] + wire _T_4730 = _T_4728 & _T_4720; // @[lsu_bus_buffer.scala 552:27] + wire [23:0] _T_4733 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4735 = {_T_4733,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4738 = _T_4728 & _T_4724; // @[lsu_bus_buffer.scala 553:27] + wire [15:0] _T_4741 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4743 = {_T_4741,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4744 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 554:21] + wire [31:0] _T_4745 = _T_4721 ? _T_4723 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4746 = _T_4725 ? _T_4727 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4747 = _T_4730 ? _T_4735 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4748 = _T_4738 ? _T_4743 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4749 = _T_4744 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4750 = _T_4745 | _T_4746; // @[Mux.scala 27:72] + wire [31:0] _T_4751 = _T_4750 | _T_4747; // @[Mux.scala 27:72] + wire [31:0] _T_4752 = _T_4751 | _T_4748; // @[Mux.scala 27:72] + wire [63:0] _GEN_411 = {{32'd0}, _T_4752}; // @[Mux.scala 27:72] + wire [63:0] _T_4753 = _GEN_411 | _T_4749; // @[Mux.scala 27:72] + wire _T_4847 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 572:37] + wire _T_4848 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 572:52] + wire _T_4849 = _T_4847 & _T_4848; // @[lsu_bus_buffer.scala 572:50] + wire [31:0] _T_4853 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4855 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4860 = ~obuf_data_done; // @[lsu_bus_buffer.scala 584:51] + wire _T_4861 = _T_4847 & _T_4860; // @[lsu_bus_buffer.scala 584:49] + wire [7:0] _T_4865 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4868 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 589:37] + wire _T_4870 = _T_4868 & _T_1347; // @[lsu_bus_buffer.scala 589:51] + wire _T_4882 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 602:126] + wire _T_4884 = _T_4882 & buf_write[0]; // @[lsu_bus_buffer.scala 602:141] + wire _T_4887 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 602:126] + wire _T_4889 = _T_4887 & buf_write[1]; // @[lsu_bus_buffer.scala 602:141] + wire _T_4892 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 602:126] + wire _T_4894 = _T_4892 & buf_write[2]; // @[lsu_bus_buffer.scala 602:141] + wire _T_4897 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 602:126] + wire _T_4899 = _T_4897 & buf_write[3]; // @[lsu_bus_buffer.scala 602:141] + wire _T_4900 = _T_2770 & _T_4884; // @[Mux.scala 27:72] + wire _T_4901 = _T_2792 & _T_4889; // @[Mux.scala 27:72] + wire _T_4902 = _T_2814 & _T_4894; // @[Mux.scala 27:72] + wire _T_4903 = _T_2836 & _T_4899; // @[Mux.scala 27:72] + wire _T_4904 = _T_4900 | _T_4901; // @[Mux.scala 27:72] + wire _T_4905 = _T_4904 | _T_4902; // @[Mux.scala 27:72] + wire _T_4915 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 603:93] + wire _T_4917 = _T_4915 & buf_write[1]; // @[lsu_bus_buffer.scala 603:108] + wire _T_4920 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 603:93] + wire _T_4922 = _T_4920 & buf_write[2]; // @[lsu_bus_buffer.scala 603:108] + wire _T_4925 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 603:93] + wire _T_4927 = _T_4925 & buf_write[3]; // @[lsu_bus_buffer.scala 603:108] + wire [1:0] _T_4930 = _T_4922 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4931 = _T_4927 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_412 = {{1'd0}, _T_4917}; // @[Mux.scala 27:72] + wire [1:0] _T_4933 = _GEN_412 | _T_4930; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4933 | _T_4931; // @[Mux.scala 27:72] + wire _T_4935 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 605:97] wire [31:0] _GEN_369 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 606:53] wire [31:0] _GEN_370 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_369; // @[lsu_bus_buffer.scala 606:53] wire [31:0] _GEN_371 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_370; // @[lsu_bus_buffer.scala 606:53] wire [31:0] _GEN_373 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 606:53] wire [31:0] _GEN_374 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_373; // @[lsu_bus_buffer.scala 606:53] wire [31:0] _GEN_375 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_374; // @[lsu_bus_buffer.scala 606:53] - wire _T_4936 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 612:82] - wire _T_4939 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 613:60] - wire _T_4942 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 616:61] - wire _T_4943 = io_lsu_axi_aw_valid & _T_4942; // @[lsu_bus_buffer.scala 616:59] - wire _T_4944 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 616:107] - wire _T_4945 = io_lsu_axi_w_valid & _T_4944; // @[lsu_bus_buffer.scala 616:105] - wire _T_4946 = _T_4943 | _T_4945; // @[lsu_bus_buffer.scala 616:83] - wire _T_4947 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 616:153] - wire _T_4948 = io_lsu_axi_ar_valid & _T_4947; // @[lsu_bus_buffer.scala 616:151] - wire _T_4952 = ~io_flush_r; // @[lsu_bus_buffer.scala 620:75] - wire _T_4953 = io_lsu_busreq_m & _T_4952; // @[lsu_bus_buffer.scala 620:73] - reg _T_4956; // @[lsu_bus_buffer.scala 620:56] + wire _T_4940 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 612:82] + wire _T_4943 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 613:60] + wire _T_4946 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 616:61] + wire _T_4947 = io_lsu_axi_aw_valid & _T_4946; // @[lsu_bus_buffer.scala 616:59] + wire _T_4948 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 616:107] + wire _T_4949 = io_lsu_axi_w_valid & _T_4948; // @[lsu_bus_buffer.scala 616:105] + wire _T_4950 = _T_4947 | _T_4949; // @[lsu_bus_buffer.scala 616:83] + wire _T_4951 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 616:153] + wire _T_4952 = io_lsu_axi_ar_valid & _T_4951; // @[lsu_bus_buffer.scala 616:151] + wire _T_4956 = ~io_flush_r; // @[lsu_bus_buffer.scala 620:75] + wire _T_4957 = io_lsu_busreq_m & _T_4956; // @[lsu_bus_buffer.scala 620:73] + reg _T_4960; // @[lsu_bus_buffer.scala 620:56] rvclkhdr rvclkhdr ( // @[lib.scala 390:23] .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en) @@ -2690,42 +2694,42 @@ module lsu_bus_buffer( .io_clk(rvclkhdr_11_io_clk), .io_en(rvclkhdr_11_io_en) ); - assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4936 | _T_4835; // @[lsu_bus_buffer.scala 612:35] - assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4939 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 613:41] + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4940 | _T_4839; // @[lsu_bus_buffer.scala 612:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4943 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 613:41] assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 614:36] - assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4946 | _T_4948; // @[lsu_bus_buffer.scala 616:35] - assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4931; // @[lsu_bus_buffer.scala 605:47] - assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4901 | _T_4899; // @[lsu_bus_buffer.scala 602:48] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4950 | _T_4952; // @[lsu_bus_buffer.scala 616:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4935; // @[lsu_bus_buffer.scala 605:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4905 | _T_4903; // @[lsu_bus_buffer.scala 602:48] assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_371 : _GEN_375; // @[lsu_bus_buffer.scala 606:47] - assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4512 & _T_4513; // @[lsu_bus_buffer.scala 533:45] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4516 & _T_4517; // @[lsu_bus_buffer.scala 533:45] assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 534:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4515; // @[lsu_bus_buffer.scala 536:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4519; // @[lsu_bus_buffer.scala 536:43] assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 537:47] - assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4714; // @[lsu_bus_buffer.scala 549:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[lsu_bus_buffer.scala 539:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[lsu_bus_buffer.scala 540:45] - assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4749[31:0]; // @[lsu_bus_buffer.scala 550:42] - assign io_lsu_axi_aw_valid = _T_4845 & _T_1237; // @[lsu_bus_buffer.scala 572:23] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4718; // @[lsu_bus_buffer.scala 549:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4565 | _T_4563; // @[lsu_bus_buffer.scala 539:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4605 | _T_4603; // @[lsu_bus_buffer.scala 540:45] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4753[31:0]; // @[lsu_bus_buffer.scala 550:42] + assign io_lsu_axi_aw_valid = _T_4849 & _T_1237; // @[lsu_bus_buffer.scala 572:23] assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 573:25] - assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 574:27] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4853; // @[lsu_bus_buffer.scala 574:27] assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 578:29] - assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 575:27] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4855 : 3'h3; // @[lsu_bus_buffer.scala 575:27] assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 577:28] - assign io_lsu_axi_w_valid = _T_4857 & _T_1237; // @[lsu_bus_buffer.scala 584:22] + assign io_lsu_axi_w_valid = _T_4861 & _T_1237; // @[lsu_bus_buffer.scala 584:22] assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 586:26] - assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4861; // @[lsu_bus_buffer.scala 585:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4865; // @[lsu_bus_buffer.scala 585:26] assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 600:22] - assign io_lsu_axi_ar_valid = _T_4866 & _T_1237; // @[lsu_bus_buffer.scala 589:23] + assign io_lsu_axi_ar_valid = _T_4870 & _T_1237; // @[lsu_bus_buffer.scala 589:23] assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 590:25] - assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 591:27] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4853; // @[lsu_bus_buffer.scala 591:27] assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 595:29] - assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 592:27] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4855 : 3'h3; // @[lsu_bus_buffer.scala 592:27] assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 594:28] assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 601:22] - assign io_lsu_busreq_r = _T_4956; // @[lsu_bus_buffer.scala 620:19] + assign io_lsu_busreq_r = _T_4960; // @[lsu_bus_buffer.scala 620:19] assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 529:30] - assign io_lsu_bus_buffer_full_any = _T_4493 ? _T_4494 : _T_4495; // @[lsu_bus_buffer.scala 530:30] - assign io_lsu_bus_buffer_empty_any = _T_4506 & _T_1231; // @[lsu_bus_buffer.scala 531:31] + assign io_lsu_bus_buffer_full_any = _T_4497 ? _T_4498 : _T_4499; // @[lsu_bus_buffer.scala 530:30] + assign io_lsu_bus_buffer_empty_any = _T_4510 & _T_1231; // @[lsu_bus_buffer.scala 531:31] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 141:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 142:25] assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 168:24] @@ -2992,17 +2996,17 @@ initial begin _RAND_100 = {1{`RANDOM}}; _T_4340 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4406 = _RAND_101[0:0]; + _T_4410 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4401 = _RAND_102[0:0]; + _T_4404 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4396 = _RAND_103[0:0]; + _T_4398 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4391 = _RAND_104[0:0]; + _T_4392 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4956 = _RAND_106[0:0]; + _T_4960 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; @@ -3307,23 +3311,11 @@ initial begin if (reset) begin _T_4340 = 1'h0; end - if (reset) begin - _T_4406 = 1'h0; - end - if (reset) begin - _T_4401 = 1'h0; - end - if (reset) begin - _T_4396 = 1'h0; - end - if (reset) begin - _T_4391 = 1'h0; - end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4956 = 1'h0; + _T_4960 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3331,6 +3323,28 @@ end // initial `FIRRTL_AFTER_INITIAL `endif `endif // SYNTHESIS + always @(posedge io_lsu_bus_buf_c1_clk) begin + if (buf_rst_3) begin + _T_4410 <= io_dec_tlu_force_halt; + end else begin + _T_4410 <= _T_4409; + end + if (buf_rst_2) begin + _T_4404 <= io_dec_tlu_force_halt; + end else begin + _T_4404 <= _T_4403; + end + if (buf_rst_1) begin + _T_4398 <= io_dec_tlu_force_halt; + end else begin + _T_4398 <= _T_4397; + end + if (buf_rst_0) begin + _T_4392 <= io_dec_tlu_force_halt; + end else begin + _T_4392 <= _T_4391; + end + end always @(posedge clock or posedge reset) begin if (reset) begin buf_addr_0 <= 32'h0; @@ -4534,34 +4548,6 @@ end // initial _T_4340 <= buf_unsign_in[3]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4406 <= 1'h0; - end else begin - _T_4406 <= _T_4402 & _T_4404; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4401 <= 1'h0; - end else begin - _T_4401 <= _T_4397 & _T_4399; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4396 <= 1'h0; - end else begin - _T_4396 <= _T_4392 & _T_4394; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4391 <= 1'h0; - end else begin - _T_4391 <= _T_4387 & _T_4389; - end - end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin lsu_nonblock_load_valid_r <= 1'h0; @@ -4571,9 +4557,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4956 <= 1'h0; + _T_4960 <= 1'h0; end else begin - _T_4956 <= _T_4953 & _T_4513; + _T_4960 <= _T_4957 & _T_4517; end end endmodule diff --git a/src/main/scala/lsu/lsu_bus_buffer.scala b/src/main/scala/lsu/lsu_bus_buffer.scala index 937e7f2e..574bf6bc 100644 --- a/src/main/scala/lsu/lsu_bus_buffer.scala +++ b/src/main/scala/lsu/lsu_bus_buffer.scala @@ -520,7 +520,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { buf_addr := (0 until DEPTH).map(i=>rvdffe(buf_addr_in(i), buf_wr_en(i).asBool(), clock, io.scan_mode)) buf_byteen := (0 until DEPTH).map(i=>withClock(io.lsu_bus_buf_c1_clk){RegEnable(buf_byteen_in(i), 0.U, buf_wr_en(i).asBool())}) buf_data := (0 until DEPTH).map(i=>rvdffe(buf_data_in(i), buf_data_en(i), clock, io.scan_mode)) - buf_error := (0 until DEPTH).map(i=>(withClock(io.lsu_bus_buf_c1_clk){RegNext(!buf_rst(i) & Mux(buf_error_en(i), true.B, buf_error(i)), false.B)}).asUInt()).reverse.reduce(Cat(_,_)) + buf_error := (0 until DEPTH).map(i=>(withClockAndReset(io.lsu_bus_buf_c1_clk,buf_rst(i).asBool){RegNext(!buf_rst(i) & Mux(buf_error_en(i), true.B, buf_error(i)), io.dec_tlu_force_halt)}).asUInt()).reverse.reduce(Cat(_,_)) val buf_numvld_any = (Mux(io.ldst_dual_m, Cat(io.lsu_busreq_m, 0.U),io.lsu_busreq_m) +& Mux(io.ldst_dual_r, Cat(io.lsu_busreq_r, 0.U),io.lsu_busreq_r) +& ibuf_valid) + buf_state.map(i=>(i=/=idle_C).asUInt).reduce(_+&_) buf_numvld_wrcmd_any := (0 until DEPTH).map(i=>(buf_write(i) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) buf_numvld_cmd_any := (0 until DEPTH).map(i=>((buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) diff --git a/target/scala-2.12/classes/lsu/lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/lsu_bus_buffer.class index e1f491dc47ee001ef6bee1a0c44d79bc0c87126c..6be4510f19d6d2bb68c5bb83e1842903e385490e 100644 GIT binary patch literal 574411 zcmce<3w&KmRX@JxBstGX(v!4ll0NQDZ~D4@q&cT)(&pZllQeD9CT)}SmA2{WX>yXD zHp$83q-ig`74`HETa+?=wxS z^8fSUHfyh0Yu1{X@2r`<_C9+){GadoUCXleu6wDl>ZTW$+VEfY+R`Hao1DxqL@Xh! z4dd4fi}~qvTVb}8Uzp8Jw+-Z{a^rUfixW%J`G{2^tR_cfI6uEsSjcA<@63)5=NI#( zh*c%5$aLXa8~%$}5n-+No7lZLo}11IYvX_-+7|MY)A{jI+X%5o3RAPW($Ye{TUb@s z?v(P2qAL{^8}iq4Jw0n9Si(V1i+B+{cZ5FYLmO{L@s_OAjvO2j*RPEf9$s`*^OQ^o3 zkO2P)jX$LEpP})SO`iN=jX$LEk7@j5vn^i{sTv50cruq!_Nrm;j4jvDlF1CMQ*!%5 z;9t=A$@L!pvc@0M_*XT4a)T#7q49?_{&kI?+~~S=$}tN)(HpZECTkl^t^KMb`CS3Vrp`12Y+&it^1{7@yyZ!d`6s_>&4KUwAR zTkU$_|Im=)w~+tgZCd@wuxEFtF3)@=zekskc=CI7dFCtmE{&gzDtX0!g8AWt@IM(- ze%`5sKZiB`kj6iz@sn{+en8_7Y5da~Kbi34&oIAA^@HMbKU~oGN$L4{S*vGA@wY2K zuQI=KJ^C|QP4Z1Nufh{{Jv5F(ir+$b4qw;hYdraBTVDC2`0US|R!_24`J?J7F<s9@#p4A$ENaHtZ^(0q&{;b#dLmK~4jh|fO$+v3!A&tMy=2J%}8$5q@>hjE29lb~6 zC)axQ@73xVVmE#>Tv?90))nQ0xI$CfU4x3fU4vuErnI`0snRSCC735j0Zi&5YcT;wwiZ zQEy`8k|B5CBU0lJY5Y|hKUv|)uh#fO8oyEFCspKD6DG2r`P4xB_#DxhZ%1^NR}r20c0^}+6}g#jM|76g_;y5Rc@@!F-j3+X&moTV zG?0;JXzk)i&+>Mp=lWHoXTBZjxxFf)b9?Pb&-H73JJPc}M|5S^iS*QOLmJDeD|1&G*@ zp6gc;o%_d*^enIO^+=yfdXYZjM0(|r#_|^5OpYVHT45quq|a%QK4M3D29S#M3?MtwGeA{DXTBZjSzhC7kv^wI z`iK+hmH*xf5b5H8!u6|&&h^`op6l26cBE%{713GVj`ZAK#pm|gkzVn6>&$#7(yRVa zD**FP>;9+}fa|wc0QN`Y+baP3qgDWxw^sm`*ZA5BkmId2%TH)_wG|+utpGXRTC=>i z0_1pW&3thKREQU=l3}@VvICk7_Hx{E)W-M70%QKIujJsI~&k4{3aR1>pLFwH08V_xkL=y#jE1RitOWwgSxaUSCDNsI~&k^Io6%+6pkQtpHJb z1>pKsr04pz6=0tC`dp8;0?hMXpZVGfFt4ouQEdg7PkNC)s;vO?LmFRO0p_(8AZo7w z6bRpMVz&!8u*-w21nAcW-sI~&k+k1VA+)-@>m`{2uKvY`+=Cu_d zs;vO?_FiArqpbk*_FiA{ofQBxc-~$CXa+~^6+i(?+v`Uk^|o;H+6oZ0R{*-1o45D+ zsvdgzW#YG7 zTLpgJmhX##e?`e}+7AAb&cEvMt0G*#lE3Eh*XaBSkH4AuYdRvRf0Fnu*Y=|R4qg7b zC*NoDmH#&spZ#Zk^-LA&nI?X&WgGdg^JhK&l3vf8S5H+`>z@UWzeeYmJpN{#f6L={ zFrWRoO?>vJPnUnnlRvBT@7nx|4ejeM6dO~wrta0BKh?Zm>dNMs*NN+M%U-4M~jSoDA*QFVF8@*L6K| zuynMo`N^gJ4%s<4*0p*3Y_h$*HdYn6*?eak+I5@Sb-L$ZMbrG+U6(tGryg%I~JZ^ zd-C$$!`TC^7pfoW-h3&%ers`keJWFxOwL|TR7C3QdvdwQD(jlt+lPiL1gkDWC)SPj(>$%7S<}*cV50ZH z-E*_+)04^VP5n1>kM)M?tJ>So30X+(yge}5UFtixcZ%xUjr!)#^;}wqejlg^RqhS% zPoBJ-nz>oGlltRwWW2sTw6XKnq4>_OQ={EegPVrh+b{GSsjA!@%eCwYH6_;{t-ZWw z{N~BkgtvyO>ZijMJv|p96&SxE)AS zsmVi|r2qb$aDno$|A5 zg(_dSb*k}zD(}toOsjEd>BKn9bYdLBk<{JJnRTf5kf)cca_Zf4L$e?0>FrsFtgWZy zaOJshQ_t*J_tn00>u*o5Pu&{4w{Gp!h1AS>^w<2D(_i~SoAvp5anHo~)9a4TlD+eq zJ>_S5Yum;2)uYFs+E;yfA8_$xmyL_fGHeyRICVJybK%SyK_NEY_Ph1<_(z-k_)_(g?-QkvNxr3E59_dGN{OO9#xz4sLd*;s%-#xb* zIJnybygu3+iq+D*dPX9a1YVAds++?DM|W3LRE4Xb-qsS@N%ci&d}HfY}vj^L`sE&t1rwnrmq#kcejweJ+?jc<9yHM zuB*j^tB=iG=$y=N+_^lk0qvV|+BdUCRCUcAs(a%0$qnhNml{^z-gQy6bN_@h-_D3? zYA4ONe1p8b6L^g+d-^Svo%ut}JCD^|-cuOCIA9#Bp5fVV>1pn~HMI%z_(JzQwKwn8 z8y!sT?5e%Q_B6h-H$B=ldudDSZRPLUW_KQmzK+tNlLJS0T})36HmpC2`9$M#N7I+1 zb?c868`HOXQ+JP4!=E#rKkcFQ=~Cg|W9QqNIZG?bY?;mzZ~88Ro=B~y@!jXlyY=CDg+Dd#nmVTsHIyPK@AArpH!rz-d8ZmjtzVqD zvEjhv_&tT6Cp`WAu0F;Q{kHnH97`Ab?Z<(*Ak zPf`ID@`JQ%#vdURJ)_cg7aJ6b(;sAqC! zV>;hEESIUhsBf+4?I`v)9B#zAx2C#!sc)#hcMuQD_8$-BM64~=(z32AR-2s3i*`}f zShYQQ66?IHqW5&{6dtBs+Y%L(NY>*S+__}+k$F4}iebI#%Y@FKs5y-CtyI2$ zQ>LOX(eqgN@o=aL>r!UEp%?2?3$064d&Aq?i=*u`y<5Ov+dEoS-Jh?yA|kPdiX(~o zWN1xtM^&O>^VOz<-J7>&!m6GdjayIG9>?Rl(2nEl8tNM|{5QNgQ+2j}-;T{UFKq2; z4-aK_jBGu!`QFVnv9+jY=)~E_>mGONVL7{=oOp8ccqZ8czplsHaKmsdT-BHyqjt*r zWJ|1O)2@o@p&ilM%jvF)rhZz_uST$*)--oc9;&IR&-6TT4fA~8_DtpNUd+$wWHOYf zo<2HrVN#B`?fu*iU*bZ5I#BE4|9}+*rfia9h)Xr4h`p=^?SI|6u9-OymA* z`Qd@J)tC1i&Qy(NX4ZF29=ameBHkXZXm43Q3H!w`@Ni)h>g8+H%X08XR1i)QN2{9; zG*l&V3*cS1RBTS3KXq|WsSs|xuuFu2=ezrAue2|n2*r~iAu<0vI+BZ&EOE$oA}7S>GSY^e6-JzOlSTV!9`Sn8aiegN z_AebbD*AI6$M$^x@w;K{Q>dzBpP?bv#1tPAnovEyC??{Vy#?`|n#zGHs?{9vDdaCKv@ zO5MM8)na`<5eCki4=iSnSJsoCxUW-u>Ze^I>gm_Xqis#VTWn_+_Bo~8z3y#o>$)co zHLTuNTz??6-OO*P*rocF)@|}T)TG)$>p#Y!IT@<=+O?L)i~B88wS5!eAm6Y)TD)*z zsTb=L?Q^J|nK1QNy^3Q~!|)617utDl5c76xRcY;9ql#y@+D5USoosEaMjY90=C=@@ zXrD6GbNAM%v5ut^;rSNqd#~nCR^Fc8c;H5%0sZ3j*KOP?Nm6q$uD>J2-@f1 zb*8`hiDMYg>o#89>rB;tg~L4j5{&z<+Kq@en{j`0aZf%U9+<-Y*uAr&F*@>x|ej({v zUhKX$dXMxYq<>`BmG+rKTS~yEy583|+Bw;O1##lCs8#nRW9_piupb2;TPpVRJk0Lf zxwVM<2+V_dH4hHlJ-@s8!1WWT7j|k~JDUa+jyJgDe;9GP1M?F7jdAWjhx;=07woTP z{HuN7Qtw9Cr+BQ|bKpjQ!_Fz}XC?~DZ{Qp2M%%jdtz`-j3_DV;$GW zl$`3HbEhsJxN+(L*QaoI;lMM=9^-D^wmIcb&WUxv6#>s3S5nkOT@J-yLH8v7X$pXp~DAilOLVD55v@l?a=MghEI-CoB1##7mIrR81C2e4lEZ>4dfb*W8+ z6)qK?&>x%DkvzwPid`&MJdXau{9eQDTkcKaz97ST1Hjn`aE$w2XZ>TG)BZDccdZB; z`M@Q$SM4XRj;MVq)<>*+yG6ptC!Dh!t#>;K=gN+~{v$qCG48Qnwf7^m9uR-3Scmzb z`0dNJn3sy5sfL~Oa&0r;&ryGj9;ErvLHnk)nF#!*d4I8esh9F&7qOlb{?>Kh=uKf< z%FD4Yamr;&CDu*<{<-4@;j`vS$Fv$Y(O3Y>pRwGYX6qDO!>YlABxXk+t59iZ{U8!J|B4kk;Hu(@(!v$>3-vC znH=_CWpdc3LC$W+x<=%=^2K{@JDfO0?Wi6(dAFhZGUj~)@PFZReJ z;}-n_zKzq{dA05{P7(jFp1^vXIn48NaIF2t*uC_znu}r;<0`$_i*Xp(*qP5%Ws+(? zbsYPYOcmp7?7&i{O4KQS^WCk*(e%~+;}ZEjQOEjw=khhU?^5v{^MUQ?@hsHB{+34S zu#ZK)_2@ACvExMBCFBjlqilD0fZ{IJGoF8TzF=}JJkRBJoTm1)u$^;GoW}aW^Z9am zk>UWwTf3hSf1Q4MOs&_+vHECYR0Bsd;ChpU(5b;9LGAu?Z)~E z++%(^{mAshSs@vIEz222eC_Ot~=eYg*PGu3Fu^;1cwBrKyWBzM*{G9v%t!K7f z8}A(Vxu0mCGpgdg{~Gw?dLL`tjeb(=hK&m~4!-s{^>*DVgzfe?_ZJ6u9s^EmZ2VAO z=+^Fy-JuQKPij9peYqRB$=UYpeh3%**SJrx{h@q`gJ0Tb(f(46=QOX^jl#i|TF)P0)975chI&@&?g_D>kxUcKlMfW$zL#X{}^WDkaS30q;xx3HitNrQVy~Xow z>z_cpU1!%rxb32NjeXjlr4it{O+-0gi+NhuGJSp!I8^(d#;!TWKks`6E_cnIIR3=> z>V9V*(~&>Xfc*5u)RMja`R14CQ+6h2)*qNSfpv}UA1eCpsP%8~ApF0$N5u)?H<6`v z2=}M7FU|G3{;B(fYbsAm`gC6|hy2$V2e#w3rwAN6c5pv)M8nl;+D8ps?w*}VwJy8) zp{!VA-^Wa4^;bJt=+i)NB*;7$jr}F6>>A!AE@zY-oKRZiRXGQ zcHJ7|ahoq3udLiG5^6t2v4_s>0*0+ z(cae|-AC&}`da@a#Zew-%%2Nn~a$c>|b{@HRcztBHasT94-N4i=^l<+JJ@|cZ z9pt-1>-^U(6)kL+?hjhnKit1{qdm-5`zjSD=hi%pU>#O;Q{6Mi{Kex+XuT(r|KWM#aBLD4q<6KkxQsYMVTPcdec3g0- z>Hfujjsv{a-JQbzsFvp`_4{L#w{q}toA5A=d~3nRN3n+TWd|n5u+G}^Q^h5f57Xu+ z>B)=87jxX_`Z>>kgz{t+Pjb6dzCqoOqCeIBb@wrK-%mKYB37w=+eWpY+=uyxxF1_k zIOKIGpFOZs-8XIKepBm%W_K003;XGfrSsLiezHFHqqEIjll?yby5^=DXx^aTZU1b1 ztMSWmziz2*ru%z^=THmVUF<)O`*4M0^oy1!K>k4GH=Miyt|`yv{iQ(02&v+J|v)co+vNtUzY3E{JP zkoG%vJW+Wbns@GctL}rwpT>N*=d+~ynnPM#I@*yHEycPO7Acs?pU6*v6X zw2o?dg0(qs9Vm<-pXiJ?t;3Inb57j5jrC&~`9HqK^MqZ4_IgZsI}&o@JI5m@zVkk~ zrDB8K?>D62`qyn+h5JP{PAWgD?iW=Yaqky1Rq9%`BRs@*Rex#w-+j)$7~?_vXlK9d z^3ng`*K&U5`iXEx`AFYEbZv*=j|8T$N^!wT!8I=bhIpB%&QO-UV`_x9x zM>+e^1fI(!LuvJ#y=!hPKCwJIx)1lk6z81h;bNV7{&u;m*hkO%FCh-?JNp;p6Y%_darxx>)J(SKGUbP^ zVSQ71WcbrkdHKMtLkI3ooowoyJG%Ssk=e`bSP#|xje5?oLOJq9L+ZKY*um1)>dRdd z=jr)J6YgIre~$bB`Y%w<`-p7wUcATCUDiM9dB%mVsX=-l2Y3y%zqAzQ=ct}NH6K?8 zdzDB zYw(=*=$7?(exdSsx2Nqq2Ia4xRL_w+W^>1PcJ8`#z{!7OzpL^Zv`*j$iYey@ihZ}| zrVHbRQg3l)t}vZHHCHMWXBS)jm%Y=u#l?tKjbA0MtQWCrg;j4*7Sj5k=w`lmY$LbNO*dGz+VeC}Fh*1tu4Y zGgN;*H+!ZyP{7YPbJG!P1FABW2tepFbiNLlA<{pGaU6**s+C|!?Oj|r>B z&lA?>8@XG#w&~pL6np*!jekH=Fm@U`G>IZsOY-XDXh46?Q@%cM!UEOa|e{$_NB0z%YUld z+M1g!&bGEktS5!lxJbXAZ9Bb?pU6+PW)>ET%bCT+BBA6EoYG4pRtBxrL;%6r+)QD1 zs<(*W``#|K&g8}yimhnyo&g6I?k|B^vhAd<07T>$CUfKTquo%U2%NZjJxesA^kd$` zeW%YH6PD;l;}xA9ICV6If;0VteZy$2`kNihTz~-6EKqTqT&b~tEqrEaZaUwI3d03d zob9C&$4QzkRI;2al&GX?d}f0Fh8OaSb4qlgIGfMTl%?M` z=V!Cm3)z_fYr06R^GF|ATg~7ii8*D1U8VCTEp5F1J8UhMRsa`wdxN4X!M4O*nq9;P0n{~5tfv&Mn!+7P^(h>&sNIS7sMwPZ;UmUvR6{`!C)DXv>%To=+zB77 zjexB%ge^e!PH|~=DvvP2_Bo`)l`r;4@x`s&bOF6iQ;Iv8Iz*pRelFQas9K!aqaqd~ zElMzFvI+I!N=*%_5uv3pTcF^FFE|9s)Y#Z%4FsR@Vh(HZIM#*iL}8I)3}B(hE;K6` z%YrNz1gmzjR9wjO4278hhh?~C8%2l#>bi)(H@{s1#Rw@9kXr3x&;8JAJuSuONSJNkYegWaUUHPWSJw)=L zIIw1GI?&noMH|J+nmehuDfYTR)}$&|gGZO_jPg`X0l8W@Dx%aDpTe$3P3)x^M2PJ20xhlxM%d$MY?oPGB<}J;*6vyrKwJwd z0_elAN{RDWL5Vs)?$n?nxN|{kHHOx?^tuB97EyB z-zG6lC$jK;POZ?YozxP4=;b-4x=%$Mr}up7h&3u0lR7OB=pSx~J;f8s^V#B}vaBYW zLcmO}G=5$Ag9)crEX+W1AwP@uMwOOAwbQZKRVWzLsUodS3Ob7ELMu!iKqJVm*!bg` zG_)%vFKkP74KVNFpzB<=t4r1<7il%JTfOYL%tPdqE0r2mgTg2400xWMWyRojCjAmh zt5)%xSU|1gdbkfYk%b9bm3c_9LU@Zb@SGRWX7Cs z!Pbu5ck5J2TuwuQ@S|kCv2r*(iMg9uhBj#&+PdGbj8^hSU$tt| z7AGfFn`(VUG=uAX94AKmt58;#@ZF{<^x6heD9p?)u+f67U|)SWb0(7= z>>JDu^q=gboh;uhsvE7nxFfjWV>~h7-H@NUb_2V$?*AEwZZ@K4u*E)KTtE!2UASf6 z?9QmKOrfO%-o3M31Qp2H_t7Rfy9jctyc=vsaJ5#Ub2ARfq;}))h_2B@-K+p)>-4*O zN4UW+Y~TAsy4ubcID$#PV7?VHiJoI;vYEq&hqK4}^{atXXsaq49?pzr?Yrk1;v78& z;mpXfEGDgV7$f}`F?dnBWHQlnrbn;Xx#EiHO0T$@qZGIPvQ1oFHZ-`)2cj5X4!+9p0oV}hqIYuJpk$% zv^8!sF7)rkaJv`TlbJL9=THvTNcQyb8S17c-)|zc}##hYIGI_DIbGoaZ;DC zdrq%tBzryspXwd4zKj;~TtVV;k2&?JezhatYFk_Y#b z*-WmN+9++#z{%{$MXy`bD8f-xmOI@>EU)3tz!8@^!Wk5}ayrv{LNWZdYRy#u(Yri-2oyrr!j_GRi?)uxINinHfW4If6-P8P{O9UE8_MQ_k{g~rSB-} z@53^*YWQ6C$mye*?5WczQuDEnzRb!G=34m=x_#F+ZM&}?n|5#4IL^mhSswe_)!X!9 z`;K9i_<*n;<@=0aibXsVSjf!@>!)b8W8zQhEK7VGk8vjpvlCK$LcE`9`=qee1=Q*3-tf}w8T3?ZM0^VHA3SyV>_A^D zJsnv=7FIqj{vsy+Tzm#lqgz4FHbuo}u|(iW7}EB5ct_o^s0QnjHkdrTQhZMQHM#Y9 z#C`APj~|(fFJOqK@+BT`VLd}1BD?R)tJM26fEX#hD83XIe=EKWOy*}7@hRZU_;`MC zv7i#r`}Fz)sw>v|74g+Ldg1SdRe>H5)=|A8Zm8Ds|4z+c6aPrP^L1<*(3jVX6TP|d z>v>F-O~HXFLpbI~gmoa^e1H2C0Rue`)kcry_ctQGMYpQK*^6K;2?5B3E#&93Gg0wf zzt0e`-2qjukH8*RiB)N(d}5gV>HflH%p|w z4$F}aOcY0L(1A4Q1B*TdTssON4GIBZ!RBLuDj?xh0n$(fAmg)%Yexa3K>?`oDd5^s z0BKMFKzs_gb`(Gw6aWdI0y!l!_1M**Zk0TA#h;M!3DX;8p;`xJ2PC>WuT z!T{?EbZsjTS#BW}7<;;Q6pUk^!f^U5cq2_k1`C0aPI)6uq(LDt(kXAGi8Lq#Mmpt< zG?4~{z(}XOktWih5E$u{H_}8J6apii@D@@WjOx7?$G zF@FVAZVxPxW8D4j_T99R(v2(g7sUwWDA}LOOs1x^@(d zNJs~eK-Z3f5eex466o4dFd`uxKmuJm3PvQPF}(T&qiaXOIP!ZjoHh0`pG7_#&r+kI zr~Q?Xj9m$l<)gnhF#2@uC>Te7FNRocF2;*9Gk6sUh^r=%C(@vR;q+PXM!Lf|(j9@3rfbI~<4AV|Mw+f21>;C}1V);! z9R=e^cLYY7t{nyANOuHAnywuM<4AV|Mw+f21>;C}1V);!9R=e^?+c7HUE2yomJi&% zz(~`zqhK8AeSwjtYe&I2()$7e55AQlaM$d56Sb1E_- zU|#?MbnPe@5wI_S0J?S*j0o5lKmc7k3PuF%3m|~59R(u-_5~0?*N%b_0i6K^(6y~V zWH|yl0|=mNN5P1I&Hw`F+EFkfpfi8~x^@(d2d}O*9R=e^cLqk9t{nyANOuNC znywuM<4AV~Mw+f21>;C}VmM>S%FJf*=r_|;X$!JB`-wRzH5{NX^fZ<-{!~)*Q zWapz5i9j_TKDvzf=nCM2uAROy;-d>7h)hl|Endeq2H#Ng!I26bZ6jE^2rTq&<4rWlx;zLGX{Z7?!Ko91}2A4!{vO@Vqm zWOW&lwLgF?y0+U-WVzw}fKMITPj3vV_EQv}E=DUJ_gf}8ry?Wb_5)UB6n$=A+|pNF}ilzW`x*&K*wjnLlqSnTmnw$O=JJt zywQqh1nTjSwcm)W{Qyg_9_mwiW}zjCNX%(~5nlTN7N3HLBPv>L$Vve%HJXX`Jz&I~ zL=ww|vfHvs>41OIjTpiFR z9pJ&Q1BR;u+N6WA_v?V+>VP)sV4VFrV7NM0qd(_Od#{e<|p#hKzPIGTMX4AcpI$85!+CWDvvEF(acr zhzw%5I%Z_F2a!PxSI3Nu_8>Bd;p&)?(H=wwF zH0cD9L77U|ju{!0oAle!a6pA7J3$;!zS6a0#sOt0{dP1QP@&0A5C@dCbnTdNKzT~P z9SsLmXtEQ;0p%`TJ7yeEcG7Q0!vPf<>`+3|r$Y?K4$&r^APy*B>FStqKp9HE9SsLm zXtEQ;0c9;+J7yeEp3-ke!vPhV>|m&C@ifWzFsb6VgB5AupyZ~hq98aZr|CLu1_xy^ z{SIs3phADn=agL0XEI~q8s&|pWUH}!19;3SfN6b9gMtRM}q|=HcdJ~SWrIG_00?m z%3%8KXt1C{lbs+eD68q(F~frLn0`ANEU3_6hf9?cdfC^1^f;ga*r)$TI14?rG?PxflLX#Z~wfd34e)KI3> zwPQvNG=NZ{$qt6vucP6Ba;GMpAPy*H>iTBJ0VPTOb~GGNp}`I%M}0cPaO@Cm z(h1^#a;L7283&Xt_1n>KK!qkdK^#y9)wN^B0p(2nb~GGNp~+4V2b4#3?U->unNze98i|jwPVHsWj_6O zG#pT&$xaXll>2n;m~lX9O}`xt2UKXVLzzvV4lx`%M4NPiIG_Zlt7FCibJwr_U~tdm3aV3 z?J)u*wFekj<2?A$DkHqTB3PY=9i?aTEr6ZW9uGT;Ht7VgliK59N6{vo0CrM)JnSgi zpcBl7rnGFRqD?wM>}c80lsOxkqJ*b!OtoyNDm2*%Vu$jb4tC7B&r~q?sTi(~F|MS7 zxlhG#b<7Y6<~~ze?o-jG_5^XD@YkUs)0~M-QR3CF zqk)eKO?HDJi?Xk7pMW;#1T(QIEfcF~lMaC4^G!>=rieD_1eY#Kw>rL=Q?Dt?wEFF6 z5sC^;c7nK|bgOH}j0?)H`t4}AFsEKqlxg+rXthn#@tfq)I=>&rVWm6sB%$d~`B~tx%G#pT& z$xaXlludQ*m~lXfRKFb!2UKXX6T|^!Q(ZftO*%mwP&U=o0d3L=;y}x+rieD_1aUyw zRM(Cf2f@s0O3SP&+F*wgslI;GGOH<~O*%mwP&U=^&74_HQ6klEN5cUXn(PE|K-pB+ zju{7(NcG#%a6pA7J3$;!Hr2Ib#sMW#{dP1QP@&0A5C`hjRervjGG|s(lt}g4(QrV8 z20OvbYD&wjD%zwI!~tbfojx#UR#TKn_4%e{R#lVq_B~pF9X_?g&(I%ZB4k(-I_-4+mrYMo>x1-^J3Qcx`IH26AYsZWON{jmKXgDzE zOjDF1_3LOjphA;xK^#z?)b-7b14@zl?PxflLX#Z~wO>cWfjMWI4(3c1!}d+l<#y7+ zoT*~CIz}9%gE>>haCLwQlbrw#(v(DXb&NPj2Xm&1;p!N1kf!XX-fxQG>KNleno^^F z9S;Yp(A1s)4$@lwQ_&`!01nbx{!`H=od6EfTK-ef2AyF3Gp*%66>ZW9#Dlb!|5UU| zClC+PTK-efCY>M-wESn;!S3z5AIa+N2Z2ftLSF6K&E7;y}xP zrp@`!bnx9z#cKNCBbTI#^7>u}o`0i&~OLr>Tq=TXM+X2J%&5VQKyPs(--Kl7kogfahcR$nSbZ0vF?x$k7z8Tkr zG$k$h_r0m?f_j1&#&;$BErf_$iy0Zgw?WgyaCOYcpd_Wwj+V7d6K&`f%0K#bz;NuC zlauM-+n|c!>HrxDoPm+T*NEs$6@0O0TmN=^7*1S6zi0*c9#8c)=QE$(5Ru{C;kJYo z4a3vQ()Ge393DUxqs^Z??uLI$PCYpFWSjqFiS6#CeY`;26^6yFo<&zqeV;y{Cd2!} zo#YEfC!AZHF4C8#sUgRaS>fxT|HozciSU!8a}c5n`8j+${E=<__cLRkz#($@77_`g zx^13wE33(HZ}>1-!f#RH*A@yB_>S)sefM|uw*HG+OPmu$D%9s|qq*zXvL$@J2m|CP z!R`jlZn?S)p9l|-u|bR>#<6m8x-f@t@F-J-+41S6iF_LpP1*75x!KwL^dbhRieymp zWB!_r(lR_89-;cr0Ey(H=Nl60+sYlnYj}P!7+UWcDp!!<3*k|+0PiF8`QF~l0Ddp$ zetF9Me(%Kq>j(P`w zqpNi#n0g4nD|H#33{R0^SW4=3;G05p%0*onuT_R`vI>B%%&PBYv9mQK?p{N*$f5v_ zYSz^C1-n^01M~L*^Ce96(>JRtP=#&RsSM5S@Osu?k^jn@BX;9&g_o)Ex6#mrTlrf! zZU!IxDwOWv^Hk$Efg1IlXfhjPzWQu-eYq%F`ZQN{4+!&>s|kuPC~LKY1%>Bu*E|=M zFWkDefL@~m7Q)Vlt#SU5&4la^bLB5UH!j>-z^PDq+rvk<^_RsA{@9s9Rtl5y-~&Vg zN8GqQrsAmj1~q-+0gdIF4hO&yqDvhnaj~YI(f0 zFrA$%POCYgHVh7v*Ax5zk{Hv#!Ky%u&}k(@r~QB4HW_|>_zl#{zlL6Rdx*`_#=_Xkv! zH>V9&-99js(R>fl90*g}sT(4TIA3NWKjC%=Hyh(SWEfvVl`EMGbbt)MEBtO6yx&oi z(ChvzJxrju>W&gP7?bz%n7q#wXXljoLq-PWaoGg1ZMoI`4`P6+Vi|sa_yg3yKU5xj zksP}y_2p0po`!zx_jMLU_7f|jB_O{dBxu;tWS>81GQK5gpD^Hso`>*EhCdSiD76xA z&NKyU#p!#wrRh>DQU?{=w&OF)&G(gn2h#Ikd%q0-Y4{UV?DyVsq=`T3;+zN_hlUZc7Ue>VJA2`e1_ zoD@x>Ic9~zpO<32)lU@OaEUrcHsEbNI8c6CgA^OYMiTxqBpl(^>8I{cyOv6MI@b@q zbWVo968>r&a`-wYDn<0h(T%}MncIpFnm!^PrAqO^O;l>L^-5*<8(iH#tEJaI>VbR7 zbJ9HlLW&l#i7NaSM7&cY{55`uYy7Sn8s|g^ys+bIx5FnV9}}%q=l3C_oiE|9^gp=L z|5QT^Wj;9q@5lseb&iyfVzbzSY9k>C=|@rc>Wx&YdLvbcb9@2?-E!yy1Y0}LOwn%h#crlHNYTdB zBU0>Pszr)+rXG_b#nfgg(oAiYVlPwMrRZR4hZOsm+9gFNQ*BaoG1V@`ex}k=9AK(L zif*PlrFep={Zc&16h`hKQ%_29h^a$TJcFr>6d9&^rRZU*Pl{fqj!JQuseUQ?m^vZF z5vB&EILg#1DULBUBt<_{BT^h^>Z}wem^v@T08^t<3^H{|ijz!@NpXs)tQ4o2%1JTA z)VLJGOy#8*VQNZ>GfWkvILp*cDb6u9BgJ{9ic(x)YF>&_rWU2R$kdV)mzY|X;xbcr zq!?rBnNnO~>S-ymOg&4At4uvdiX2lvgoDYMdcG9nOuayg38r2sMV_f2lVXyopO9jT zsTWCcov9Z~QDEvNQruwbrBd8v>Sa<)Gxc&QW|(?~6thgdQi>u|uaaVpsaH!e&(tqV zvB1=8q*!F?wNjLrdc71&O#PY^x0rg96w6Hgh7`A%dW#fyn0lKOPcij&DW1vHJEXYF z)H|hknyGh7agV9@NbxMD-Ydnknfg5`p2O51Nby{zJ|M*pG4(+yp2yUOqY2V`gf2Jhf~oIH@$*c5Pl{JE^?fORfvNwH;#ExjmlVIKsHl+Q)l5}L@k>lqN%6}} zMWpx@readOhN*-Uzsgj#6t88fR*Kg#m6YQ3Ow~*A2By|X@oP-2mEw&|HA(R%rq)UE z>r8Es;y0LjM2a^v)gr}Pn0ic#w=%U^inlSfRf^waYP%F~XKIHOzs1xpDc-?Un-ssz zRJ#=KWGXGiyO`>b;@wPjO7S~P?U&*`Om$1~yG%VP#e11LB*puf%1H5hO!Z3f`%LxO zE13G=xwnR?^OgAQC0;MoGIu|rfm1BBg%%x?(6D<_6ANy>kDzye6U#lef*c(Nz*3Lg zN6M2hdQT@kJ+O(6(27kYpv45Oj}{V&7L3^BSbRN}1 zi8)QapNpzCI=$*4YNJD~9zu-Hx_T%vr#9ozqhGw9GeXUGU=|x}G4(LZ;T$cN*}9TU zmXFt3Nz#An7E5k3)Twrm`XMe4FWUa_qN#@$O+UP--Bl0Mtd57Tb>G8_cCzT>59rrE zK1A%lBW(BW!_{o}?!yV&{rhmjb`L+Cu-(TGCv5lf!wK8{{GfrRgKG9X+~|?$L*kc2 z9}>SL`jGe~(TBt@i9RHLN%SG{OQLqan&K9p%jO)~#+~}83Fnlqrz3h;#I!62j(B^3 zpm&HE3*Of`_dqz7Gp)PYIdLpximJim;~wCjciz?m{Bw`tdVqg?><|mxw}oP63d}f_}z8#C1)+^^|yZ*y0W7Vb{k-JpR@B= z!PE@<7`T)=Qjf(1unfl9v79qd4QAZ6hC&-6gr(s zwZr`$+;->8KbBmpd$<)XqY7FWJ4A-%^%w^9rE`;yY4xN z53J7H)vnNk+Np^TG)-qDYS>u;y(H;}&I)5q!Vbb#n2z>|jO?XZj@B{%dS@PHN6rC* zxqlofe?v_1U7ca)t)S*1Ms+%>?<-`3LCp{|oKX||iaWQxT=EIAwu=&)_e59AaqZOG`}c%* z81DUj@}H5*a;BYi@aSBYOyQBc^HK)hK+7-8=BC?bb2B)Ow(X?)(^~}lcB!8VCv)TZ zZfPC1`tU?P`nPyTO;hjTcb=tn#5zjFc%LGQ17q{IQJ1hD_GMG`A{}0UqW7MvlsZnT zE%Wg39rAIUJsc_J#&5PpqF)o%mh#3JMDb|m)6uWTqW>8EC%n}#hch(nM#|_vN52pU z|6lO3fn#*3RG4lXT)N$U3^u-r_c>e}T8XOi+p*}kqTj&_8ve6xhYRD^(S6YVH@p!M zIB(S{R2BStEc(4D-o~ir3QjNN@v08={)1km^YN7Uf5oEz8N-)L;~2T~IDB}H-d?4* z3HoI$6sw4%v=R+rMZBzey=@LXm7BupyfJ*UV~t&7Fl4l&;HIZTREySWRpd-jkUt;yuc$ZHEtUKP+Q)vHCdbS&jFa==kL6 zR=@~{i-ogXiupsg@7vs9utGTVmf729qZYly9lN52og01bXxry9diC&{S=)GoSc$dm#h5OKcp;yM% z$2QAQ&|;jsX%8&=pTW3!-XUHg+gw+@Dw#T65EWLywh^+W1I(a zGuI|^gZWYpldn`@9C#)aVUGN=ahpiXH8Y|Bnst&@hMxlkBs2x zr?`@z7|G8A%6LJ`d4K0Y!V2B#SS%I8%Zw6dAN4F1rYDXp6ldtQX~!R}7Q82pF&b~{ z)kFcxeX-6sD#1@w>;u9zl>mezu>+VGGjufgfa9juV0#K`>PCwOn9JA`u_xoP?ihaa z(p1(}{fO3#DjdGD!?CNGaRhKMx-+*A;}vW*0zL8Qw_?4RwsXa~;XIBzpS9zou+Hf? zvjO2&fH3qh3y85XeX%15ys@KNtf8eK62t3>Yj{DjooJoOjV}~iQ>tB#@8|O4f%hZ5 zcBv^YtZomBkPf_ydGJBnhJcdt0?LzK-Tr{0{PHHXJB56~LB>wTPEkBLjaf4!tlcZs z=77}05KWqqI;fwyPgkX-#ji=l&P$75j*5*+i(ih4U6R&-dI2FeCM|wBDwf6IYfHOo zleA7-Ls-~j*Q7Pf)P%G~n3|N<8K$mF>nu|@q;-y|X=$Bj3afgpRxx!C{Z`8xEYr|P z>w+~(mKUUTiK&vbE_02yq&3FWZE0O$>M3bunYw$QdF@S7FOeV(-P?9UHNYm#|CBCRRr{iw9AGw;WxRbc8TSLhYj;tiI4 zv9zX{dWp1Vn0l$SW|?}Kw2DlnWD|b!k15sW(gOF3Y`DT2HgwZ%XSPQ@$zO|yVCk0rrsy5=P~vB(t18q@0ZpOv&J7v>jljFBWe8z zQy-Sr3%T^8()v;6eN0+E#?;59_2W!^LRvq;)SpS~Cz<;5{}DXY)FF7hh(YlgX}y@K z&r0j3*_Y2r>m_XC^V0em?yE0I>!nP6QCdIC)R(38GN!&Ft)F9!zn9j_xt6a<>;EwC z>(Y7!Q{Rx*&olKe(t0K9ep6b%z`Sou>s3sBS6aWw)c2(IYNoy~tzTm5Kcw}`tovWm z`W3~C3u(PZ@!}QI`c3nnU|E-o0zJX z)~|Ev8fpCoQ){L5W~Q2?^%kbqN$aglZIIU6n0iE7zsXdKwBF9tW77I9rZ!9K9ZYSN z)^9VlU0UyCYKOGm#ndioy_=~vY5fjU?b3P=Q;4R&%T$N7-pf>{wBEz_#tV%pLrQ+eSq8BE3H3ds!v)UWa_B2{)pxJrS&1EPDty+TskPNk1%yg zS|4Rra?EFRhQW+^Dqvl&MS7`UF#B()uJ*S!w+lQ#omUim7pF z{W(*4X?>chDQW!$Qw3>#hW)!Kt-oYzGt&Aj^NP~?E0&v=*5{a7l-6H!>5{ZQ&(yNC z{)S8MNb3tsJyTkL%hc1-`XW=$lGc})dXBWd%+wD_>+iUh=S%A=%zJ^fzRJ`KrSz|o=xwQU;saHtrUs>*z z()uRzUL~z>G4*O`eVeIYmezNedX2Qc%hYS7^>0kQURvMdUi&p^{X6sCB(3i=^&8Uq zzf8SFTK~b++obiMOub!N|Hafh@b)`X@07T`pk+G#ZYe@s^LwPIVBUMBsATH*q^RQ3 zKae8Kybs`A{Jz=ouG{!wNYdF^shiy19ByCZe}tP!ceCg6X-^&ha4i0z_(!m5chuay z;9_xUVLV@$oh-JEC~}}Mn~%i*IBMaI{_qop*+L0B*tPn;(!Mdl4dOW&|9JdQo6pI)7@8?midM z#?J_=#ckt#q*RMP8;k#C{IAdi@^-vLztr(|G51mQTkD<9EiQJ;_+Q6AA4gq(gT#U3 zo?kE$N2(<{QOx2NfBFvn>Z{*%@&5fxjrMl6{u;GiKQHw0FU7x%oA~(O(JyX`+16`0 zq!O-p`%oqI12_8B_}|B4$K(G1Tp4aOBk_O4-M}&u8^ig@%)&wrO@CsWLD1O}YqvK} z7J<_u6G|Ih{ZH|45Nhz7o4s1w3Z=#F@*6SSTx#9KTVV0A_`k-1bV>u6Zc6q_5#hP? z9Vwzbzy3{%7*qc)MVzVsD@B5-|CB;1Dq%@ct#$^9kQ6n{tCXTv)t3lMu}VdoL{y3- zQ*kNkxKv6}&s2>RtC?CQ#TusSq-bD`)l#fwUV{{kOd+$9)S$~hbCu!Gg#Qw^B#CwY zB;PQ8aJevnrR_-cOH{lOBc%O4jOJ_N(YV!}Xu+6z_dRE>FBF&6{b=H`xV1CU61TeO zpEmlZgZ@b=4MWxjfK(|Q`W;qfVV3@g0)DdQ&xc`vi_4kC#o{>qWa!h0-7)JK2_z9# zIWx-5d?ippor`3Lt(4NSL@I&Az-FY-$|NR{BtY`(OmUz<_tw+sgH4HjacFeHqq8!x zKbDJIEeYK1OPWDQO{o9-rjbICTlEiU?k1i{AQ4cLKp2gC{U8(1P$4prLDI1m3Eic| z)~SVj4$gatI5iKvVRCNh%Q(&qa}r>Q-o)WJdbkgxTs~&FHNS7Vm+tu7#Gm^Ed3%VV zNJET231{3OA4!}bh-zcvWGWJa@x(ylBtAmnI)US7`QrzL(k=QTbddnF84xZ1nu%7S z3UA0#Ph5y6&Lu{r_yh5NnoO5~S5J%wBa#@y8pC-ux&hJZu}8}o5QEip z%S1MDH7>rL$l0FdmKO8;+dxm&ou&{UPfWxUXA+Q+ZsQOrBMBt)hxP9n{I5~Wp*8-c zL?NEIo

%LTrw@16hO1G^audWDV4+l$)88i8-}sB(R~p#B$hBo@Tivq|WWRH&M8S z`6m<0JU4Dj@g45ur!ZRqiCtPpK5&eY8K|0H%1u-30XP+nzxPGm^+GFtF!Fsi_*@yX zn0g-6v9(g__$v@<6pg<%E`VqA%LG|J1IGLesB53!QSkLbmW}4^`WIZBKu2MW%JF?5 zJ(N9?_(=+7FM?M+GV$WrtK-(j#7_sUmN|;=e);{{7i1tfri`=Cje;iUWvGI??B#F> zMpQSI8AJNjZ+$37O1bLzUkA^G#ak z{C9h)fG8d^nU)G|tx!Irwi}q^*45ZO3Q+IIAeQCeO?kse0-qgNLu;8Ab?rEgG;ZRL z5{VBcK7@QAH|rw^i1EKeGLzf(v6a0?2cd~TA^rvOR%$2(G6dRVcyb5tKZQrEZl7TR z4fcwc=k7H3PI+UzU}O*RrxSlcran^}PW?tb7%W<;EBJk zvnmpw$8M)=B(RkqDBR3P5?{bVvVhM3EiC3`;)~(~iNxO~z9b9}an3B{NQ$2RYpg)B8g_q)iNGrYdHvLeF^0Sxd$(7G?Y zXz^PCP~?IGD4F;#1q*4_;{K-2s!DubCcdvaN>+kZRcD2%D*`hbo&-3U*JxB4Syt63 znLut;O(BU#)!J>WLYBMo{I4UXjqN#Ei-;*#VL3vaT$;@-+@U+@*(oXOD3~6X^<^Go znpWO!r4NbHpRz@X4x3r)&Pm!6q&nPQlTF-f&FG?abyftuCf9JU;fb_-q|S;OdW}Zz zM(bYWpSh1(%Y4G9k~2UE{VDHd1eJhKU7k;mvrl;ND|gmeG4g2(`_u;3o;oXD?h}0( z(HD6pmnYQszHsgew(j`&O`(JbCpf6Q(~-jTbZbOn*uBpQc?EPcCp%+upX|bf z3TNV=a9j2;mh85p_o)j||9zFN7j*X?M$E3;9{IApK^n`+%NewXgF{2#eI$o4hV*B@ zjDM9eho__dsX%AT1UTFnT1%lz-y;o_qm=;;yGSc1X_VJk?mIh1s3nc^8UZc84LXN~ zL~T=LHPSgN0S((7+f;dtWq#7xD6l~&qd(;}mib91n~*4*qQ3M1ug(ir=;SPfds~Ee zgMdYRJgCNvEW}bMyb+THiOl#LX-6HB$c(>Y*)e;8u%G5Lx(-1_;lPC)NGs1wxUhq3nsW;D5PmUd0(i(kZxDK11+boNSBm;6#p zzFOk($Xj^iU*r3f;7ZL8+%hs=(U{l9QQ_;#@rYY@e9e{#p2EY1ZZYDp08+SY@<*|HwnHRIgnLIK_WMmZ^`E68*j#gFT zZvcn;WoQk{y$5o@sglFrfaxW?Qa6RXs0=l;`0oc-%X4_gY$76YlTvvNDIEWUe(pT^ zhw_7Q`2mSXJW1zUvGnoZxtpbIDI!0N?I_!k@}p>={D}NxyrfCnm#|8VfbhHDu}6j; zVShdW*B9~XT3*UOW8F`YF2XVEf~X7h=2O&@Z{xAT?Lwexl)atHK37(TjqN+Q49`;n zdcJV3j_h1s$S&s!2tO;_g!A6p$$VO4T>F>tOqUnyujnJ~s};VypQZ7HBj5{yVt`Y& z7}1GJ0T}=EMKpr`lp&rzFh~V(ET9M*D4-*|=nw1%%3-R>MUWZ-dugGS8V_gHAXG>2 zFj`H{>KGo=GB2@$Cbxsm>>{h>;F&;Nz#fn+e1~gG;-N27^<|)Nr0wdst#r^)rI?E$@lE ztS(SKucrFOeYDwz4Nn4NpzBcXtNXX?jf=n1BNg5Us?3S5n=Qay@0W{CoN4;qZGzx zg9|dfjqbgF^()l9fA!DThO1v$XC-j&U;Q$5?_d3kV7zJa z$@@34_rC?!JL;^Oa_{M+CHR`AJGS2pnxo^D$Q_#S7W1l_^)?d_Fbq1f%Zur!JcYhiBpOc{;9?s9pP#;rYkfCEteNl!^F!f~` zY;+M1Hi!_VAovPIh_VcP1tLVr2EGEZ$_1)=j7A4IQEO2L{ef~|ATUCKM(_6;{Y`H4 zx6s0G*ID({=x=bN{|&6~)mf{l(Ls~2g;wvABF-a0FL)@b#wr(vN8}jeg(6O{HjI;Z zi_2~DvZpm+vHe3^}v(~`Fnvn9aCIObLvl>hu!VEm@l%bQVziX1zivSS!B8Z?9 zJgw*;H*yw03JSpgpqCwPKh|)zvIg188e}Wil9!yVtUc*@_oDnc6NxL)^|Cc-@tGyQsx5z%4Gf)Q+vkOIS1|LuXihuMC}MYM%^U zW(ux^=+qgmNL|4#z5)@V)RVd*BH(R1_KojmBiL=^xI+BuB1DNQRss=JVklFnL?2Mx z3ko0!{ySVN-kJy&GSDdgkT%d46u@kK4{HzM#a*>1)r`o{1bcUuyn`}(2O_A>5V7MQ zoe~!m0P3c=F1*$dq68b)WpIUunU0MkUk!tV(S8^EnwOy)Tr0LCAv!9XYXuQ-Acc{A z12=10hGtj^uNQ>qd~8-K_nKB7^1=3~9C#_*>HRLda!ZEhxYpZLE4=4gK?Gevo2!t_ zr68sLmJenM+4}c#z0a1RMXvX`aAp}VVab~3p&{}kHP4r!TU_)46kX3vPs*AXa?y{H z4-U|Y2+`Tv>=B6COKyHfrBos{KUMA~An`NYV=s}RJ8bBsRFi{rB7$v0b5)yw5%srx zd}-P=8uM&;?Ndc4?A{9;IbS71ciHf(ooCVHudMlHJYGp$ulW^lTk-Z^aaR4#7hlD9 zl2R(W;a>euGo$BZ&9BxVx%8Hr*U8Xx*poNN(DRsjqYS-}DP)aW9Lp-<)o;JCik~jg z<3xVPwC2q?p2zj+zH*czt9fgz<}EdEL-XMJnIhfK2VRBZM^H6yulcPwB;O%JKhCba zQ(8B;^WKf0hcNXX`~ZZh_u`>c4Sve;P6ih;Mj^@&C}0o~qO<^Cfe2A{fUiJ=C?&vG zAVQP};48yyLk{aj?9ZRb&`&eF=27FU+9Z z&lJ_KUGdi6=9+)Rt1>lTM^f%Q##?)J^8Yb+9dJ_A-aorDv$uDfyW5>BdvK_z*eD7I zA|fgR(xeH9fJi?CrAcopqM*`5MMS`cCmCb9&urXuC4L?O7^1jbIkV9(a{Qy@JbE1gb7^y~8E;frh z{8Qe~;9i*b3wVShb@*`mCrSs|C&qT*K912tunP!p^WW6Jl-nquQ2(7M`C(@e&&@Ys zXAnvO>c0nbgRnCQ({iYP1*TEhBZO&r)V~tb!mu@nTRPu{twFrr{6coiV%-Z9{A?)) zZy*SMwtmB7Oz^X19E`CR##UJ{##$I#Y{3`^30(_(CiAaG634<`BqVVh?0G*~!Ri~);JzUH zae#bS$C!+jLhm&dbDP4pCqy)ZZBLkc7P$SR)SSI8vya62EvWw?ys%c(zaFL5)c-I_ zZK=NkrS{B1v8Rp+ezuH+_F@kaTPeaAdx+Tb5XM08vsEIDfsklfnCooCDlVkpj>nuz z^LtVM4otg<`gftkhHkd1go@a$WlKps#soiGQNkDqiIp^@{>M?x5O9`8y9|YGiTo+d zzm)o)!rb9ZQLI%k!OxbRP_3d>z({!|8gy)OonVzj8Zbf6<=?^cCnjgYdCnnJHYGU| zZtN_~ydpUh<|H%lnx-dZHiD*e4Q5`GoC&kRYcX?HawasjnV5M)QYM?oR*yAqKALo! zIBeXY*qH`d`Ey~QkL8~`ynIACY~jzJ4?ecIvfXLaNcdWlzW{tEg`WveVZ%@U&Dr@k z<=+CMakuhutbdI80mpgTS9&=?8Tja#e{23C@UhRo4R%{#1t|+><=+m|gYprh$4zD~ zL5EWgx|ilJ%gJAoe}|8>s2t(k@8aZIdHe(ilv70+ODz$x-YYqIi2_ zm)&9%?8v_WJT|iOpMiPk1)bV;XCDAl6awzgD$5_0^Q=1)LEp8}!JY;tt|d({65W`05Q zCu8Q9kolEOj$xUvVdgh9e;Q_f2btg7&#n{JDe`{_XTxe+EtdZd z<{zZ_Gcf;8$UkJ0<5=eVnE4OQpUE@BzWhMgZjx%tjuz)Q>SX^04)NjIoi2E%Rdx1V39+#u%F!u$5(uvEhR)1@jma{A@)SW68q@ zn{C441%MJd^hPkQe2T=6q~TH|UK5BPZIcr@arRXM)q;?S2KM|t1=RB}dBotKtzbY*>bzK@hiQlU5$x!tvHaQ8ah5-w!1|bm**J6TR=>Mts8!nGvg;-9#QHH!wCqVkBTbaRVRa!$Xouz$(TMNMaZb55^mQ z8ITxmlX^%Z*7Vt0bfP6rr&(E9L3m`tV_=+EtDo&M7T_m9L!OI)BQLXC}T}`1XXS!4|AAOlbUU)f(*wLIQRE=@*OxO9Io8 zck)E0F}#=^M3S>;_-ZuH^L*|(dK<3~(jTck!#WDU*J#4K@Z+2;;U5zKOe{Mx}>b>O_K zf$Uia$Jqh!bCJwB0k-=M<~KS~S+coVM-}J9s@8|Q=?+^AJ-`#|Y(fnSpOn|Y`90=j zG;a9#egnskg|;~)EBpqmr@-$$_G5`-hYc<-ho68OG!B0LbSxa()o<`H?vGEyZ^3(Y z_|5R!>}dca;?tn8rf3W71&Nz1xw*hsdh!Ey9^fvNz_>{RYUCi}&sbG(Q?M!!PIQuwxYM`1wV6q*%C4&cz8(w)&4#5+j+`J|* zm$H5%X!vh-Fa8Su!>R)NVpSlN#`SxSJ@)wG6)|#%88HC>2eg3$mcxrl@zakzXe1EH zg5P>{{K)R`ZkiQ27CPmD zqb7A91#6n+m#_l^i=DfXMEV&ta$My2oJg(62|>~pb{3Bx8H0hJfMO>M96A`O9jU_* zb>YXikj=?K(mqJegR`bEUKS)B;*L4sue8HQT~gMvd>HuiN1*wZ$l=nN$wu7BY1xs+ z5$4kmhZ};_G)Owey*zTjxiG?krZeMT9xF4rmb0@XXGNMb2UpmJg|*m-Lx3f2mbc=M)3H6O! z08Iw3oI63DmKC|sN3K>hf#l6lRhNR#Yp?N{PVQfWKb@8X3fHR?o=bAnC$V%4p;gOc@#^U0F&)8o3Nph6hPE zmePnuMq$e6AnDFhPNk8tm@+O%z;^zAjcH^erc4Tw9xUZF8kveI(}D!7DOWEpgrB^H z=6e+^*~tfir|~;?b#`QW1it?j%e>fE3fLx(H}~3XQW}{Fyzur^G5L9^B+RFfG;(ib1sink%MV2E zKio!24hcu#wc!NypeOo^*jg4pfHSh%CiNq0f~3F8#jq(}>|$tSEpmdj#|W%FHeldE zK?09ad5{bVl1qYQC=JaH3}(c)LZNNpz+lw|NUZ-+o0LU%!V6eZciS%R*w4sr7@?E< zySO6!k$F5j@>t}FoXBI@O>#mV*kPH4NorwxXjTN)EPKT^TE-0r>=c-c9|rdQIPx6S zA9+4VhSAU*G{s9`iaj=I$SyYdd1W^bpVz(Fq#*Jt8}?umQ9 zb_U6C8d``MA3z4|h&`31j-a7iF%{MxBe3?^n5B-Sp+%Uw4^qFh$!RQg z6b;=LTFg{`1F7HIqzSv7aPGn#Ncsmz{m~|;v$Al$!kw7-L-%l;4Mz&wIW{?yWlRZ@sX+qW z`MML*viP})2j!jyy64wRE^+9q24Xwny29Q@~la?%Rc96^o zlDR=LFG%K7<0Rf2*iGS{%9jN0xg}l8ygdE@(rk*k?iYXWOJzWDN~faE~v$ z1thh!$+;nTH#iw7z^v3sp{(+mwcDbmb^vQ{lh#brPSn&9k~-O>4fD#1d)G_a7aphV zd{ovIkZ=-dTh?M=`6eaU(R z)6u5msL>21Xv((*$znL2k$>j3r@-e@@DCxkVf2b1xt-F6cnQ;?qN{Dvku{ekuxcQ$ z0@h+u?Rl+aI}_Q?0wvekq!ZjK+6-^iY)G18lg^>veu{!e1L8ED$$L#Cue(X zSn2j^uRuJEYxey%>CW&S5dQ!q!I*S`0$=H6Xg`GbwNQASO?ohV7sP{OuMLj97b@^^ zO`-zv@MX+~FJnC!zB}T#L(&eL^nxdgEak81_AY9)!dCED(lyD)oZ3&I&L=^^Q#R?% zboNA@&qC63Hn}L7Q=>SWzKD1jCu|re`Y?PS#P5ZqS8Z~!0v~77HxLhB!0fkd(wE`; zBmP}Tde0{P6!CflC`BbYjQz=r{v zkCOJ!+4fKNFV1(BvMl>IYIHza2Vv$ta5A<3gu3Yq_8~a3i~H2re^a9~FH%6L(G?{> zKWs_G1U|_oCATRb*}d|_2sYm>Fmvpm3alWxlNuMG${;m*qLc$iuyUgnP- zi&8j9U{x6%LJJD0fzFo&Mbtpo$$}^~(A}}1T9DjL4Rm8Hs6h>MJuEnq8t5!oP?H*y zQ0p<&n2J&@YFvrZ@zg+Xwt^FbQQ4hN~cg`9!jt(wg9Du)VKvD zSV&rg(rMJV9i`KQWH~jKVWb%~?n3D-aCHft6(sjkV>za@pvHYDwW7ujDda?ekUz$=V=U7bNScfuD8@CI`ueAlXO_{F+;E1vT)y zZ2`<+9;U|oSpN)ae1y`q)WENt1+#)=6E*O=W5EqUvKiJ04r<`{!2)Q$_>ryv8WnyiD}eir->wSami&fSvl3oloSfsggjdpj0BNrV zj%#c(n9;rtX+w8k0Ns5#qrJsPYC5$IW{co_J9wq8hf&ui0B*L)5Te>QHTWxX) z)3ucve;~(4DV|O7En1FK7{$NL;f2!xs2s+rzWmZ7raC1Cd_{i z^53_~aF)M=nz@Mon9@fuA6^0q;3Z%L%YT%bVa(r0=?={Q3i7|U$w)@705iX(^fAo* z9x@NuWE2DMq-HVZ|4iv#%!fCD0(cV`&A`<#^AAej!OTOD`Ik*DXW(6MRx^KM3Vqb9 z!Alkz)I16$i_-TwrVzXj3c>qe3@9WO2nbR07%Y}c&ErtYr}QHP!0SOFydI2YmFy0Z z$AaYXAbEn)Px*U7VKvCEZj*6rF7{-QJQXBxQuuCEToX=jM(G$hy%{A~o34DY9Q%9a zNS)4v9>{#E*|ar9ZI$~z3hN@M9)M1^$pmP_KA>Smz&Oq)z(Gh;Z9>NzyUIn-=` z+FDYx5lZI<3B1(45F{@K$xD=ei$(^&fI{#Kn8b{{htlsb6@K5K@O+z0W~nbz`aPyz z0I5A}GKHnS5+r+r$<3$NR#SG}q$qCxtM@E`%xeHNeuusZilV)WoS! zA&gk>1NIrqHyOA24!uAPDJA&lXAo(mvz~}liOnQux z&ryQM5_Zq8KsE5}y@wK<(*F)h(4M{sl6^t)WsrOoBwq)~{vi1Vj`T+SYj9{cN^ejT z=T?Pp1xXMsRC*TX>JLBG>gKJ5wXej zto2so4Z5fhl8S6{14}AJCMA$m%_g&$7qDC}uFz^T5 z!lK61#CdR06Kam<4@D8I#x(V>@6MftjsoZX?WW3z_X~ax=@Ej+q^3?rE6W2{Jp| zt zzbG0=vq+YY8EP<`#E<2MP!soL6b+^364Y|3kMvAsGL?;_$yAvN0}h9ipNrr?q>qro zC~Dq?6<tO_Z%Ab>H6O*~`PAHv5`0eho0@n~ZxI~h z^E(#3m6}gu0ay$Ahnmk}WC?twh8Njblj0vB;Y_HO1IEJFog#S0tz7PPY{or~)95 z*yJ|W2MxkUdK)Bdx5;AYgM3D^B0P4WQqe9{v>Q;5+2nSnXgDf@)s`YyZCSz;nL(dL zb4MZ1=ON<-n=Iwu7G&2OcfLTS_C2WmWk9@QlVwc%c+?JCpo?G&^c_rl0DesYo59;b zUlz@I2J3kbeoz6EKM4AQGkUwm4FT0Pq zL0=xt#ijD1fARyx#3n0}ms*=tHosznYbiFNFr3hMU-DAx>6LTU;vg2zhQc{ExnEJZ za>1!M7YpY>;e4B{R1~f}aj@9N!Ua&c&?c)`;ly3Ym6u)|#nLcV6~kEdfU0!mG`P41 zmOcVXA8C`-s?wEfhs8%@>0_Yuu{K!)%_Z+x-du{0holp1@*rDhOC%R((b}k>4wSBI zlZO=5HK=?Vi%-GQFbEdIAh=dlI_|<7Vd+z$bYq*WW8~T(xzi!3sZG`^$Tg^3MJzrO z6~H%#V)*8;fhp*S3SgWohH-AAN@r|?Z zI4nILN>8xKqpZ5yvAW5SG{q)6L*Iw9Y??oZZ{jGv5>mm#AXn~gY3H)NCAQib+vbt5 zyQKl!-NNu^(Bi9$XV_#{@ipL*H3W|P8Zc;Rtr0y2aovTnPpg%mn!$skcxLe|_zA;e z*teYUa5Rc*e>dRe%?8$UY_c0JFN`OP7SD&I8*TEK!kUdLPp>Gx85P_Dr5D=dafLM- z#dRyUVd=$C`gWT0%hCpJJ-c zM^!5!X_ZZ$Rxpoy8!uji3SitVhH>{9ra(0O^^ml|CeNxA#63BiP{C#>U15{w6qkN# z++(s8OT$oH3`6nriqeha9+RC|8kWV1VVBSgs?u@K1bz!ChS9kgM&}n*rQ`1Tv#9$y zDE+)mUSb#iAX*L<$BJQbY!93IzsP4>#e1o_7p2#z`8rDQYWNmP@G|@^O7Bqf1C-vQ z;dSBlFb6J%&*a7Mnfzr|!v?J36G-~hCa541Ns|G!ERLW z7bN{{lQ&fgDsM~F$6Ys~{`^4Hu*q9Y!IR;qz%o&IPmE@T!*H|SX14yATP_MqZ@A_h zg{8NzF)g2(-=h?P->cydMzjDAL$3VEk;`ech_56^i>Zk#!O;>Lel~C_s|*eYiI#=~ zr`qHlc+Cqxj}(rA)S5PVm(_m|YdDsghfq3>nz*bQJpufCxkDy@m&vd4;EgzEc%`ipJy0qZB?K9{HVORqFS zf7CDlGz_%Khs+4Jh7yNULUaf!fgwB!L-%9F#Qdv* zz9_YDO*aY-fT`}Icna9TAkYwJ!Y@T(L%fb!xC|V9GwGxgzCFRqil^26wHSo zjzR?ssILew;AZ#sa2$r+O(QEwjld@Kjs4oE*I? zNmbn2XY?Mt7s~;6uT4H>Ee;o-qu>Eo7;{@6Flku7K|=?O99cemY*rL}-h30mP;nlA z{7oAUk{dOC^zib*?K+=9qpPE9a-t7JAB5^1@9J@-vZ8RRhsB>X8eI?8h^~unpw{Ww zsXPqdlm|?t(aor+f?CZmV+*y;Mrj+hTA;Lp6^18#Cl=mCt#dKsF{TvythN|^l3M4X z^fa|Pq4X@Z&PVBaYIR5HMQUA$(jK^4Q2Yui-b=0CnEo2IE=K7M8mNc4Z&9m1roBV0 zK`6Zk1RQ6-vg_r0NV1|I0_$OeY4l^b>(P&*pHOQEf<6P^>zMH;j)7mrg8e3AM`uO< zmlOSh6&r@dzJ%|yEQ3bbi5!r%pIXB)>szJ}qN6Z+fCiX<1*H8*tudJPGqrFDFA58$ z3Dpf4Ja|l2^!J?TK~^1p=Z^kK1MK{4Nc)Rg_{BT=4^S98Y)UyTVcRUC2TR}(jK4r4 z{t}Z~_%XaB0EI@688wcU1hG&yweahBNeEiNAehdAf?UkYqZWQFFA0Mq^_cQY;Aj_G z!k%`}4jl!4KQAeQ-KxXOA%{IOkW)gf+5Eans)NdbS|!#5!Avj>?KD5>he)z zY01%;a}2c>APT-k!ME-~m(h~rSxY=vassvR6MV@@)WT2jC3TVv#RiM4l6uSv#VsC7oCl z%#F)dvBIRb0?3xsXM{khrQ>(wjxWdbpZbaxsg7#c)Zlq(8NAJ+EXS zwQv=$1l&@OV4b3RM-Ob9qa{P&^22YIT$0>nRnGiNhVd?|B_mM_e5@*gk5!+uK?trD*BDE{pP3H>iB$RaFV1uVGMz{*oG6z} zrq;`7x2e>66(!j7sZ_)69NQ;IORj>Z02e%+T5lj^2K;s)Hjitm^){x>qSkvTT@UW~ z>zktNHig|o<#70_bi+2Ty7 z&Y@~q@N}FgP52Xqkh@iBE z1`1KyMgvilcF;g|lwdCWCI6~j0xO**u+sTI_%aZ{X-LVFH2f82J`I_0GUOL5^B6=v zPXjn7DS45Gzu{jOO7=jpmu<3-6~oCy$*VMc5Hnwg%r|WEWx*5Bqd}*2$+)4kNDv23A`TM7ft84Jer%IE#+hU^Un`^JAyWia6)THp^n1Nk#*9N}h;we(VTO5$F8aepeCa z{MaT}5$F8a&Q=lU{MeC{BF_1-ZJZ*``LP4!M4a<0ll+)uG+;+AVm^}~F8MJD;*uYe zATIea3F49;lOQhnF$v<5ACn+1`7sINk{^>GF8MLZXu!@{Lw-zxxa7wqh)aG;N^PkMm<^sfjq}#}2R%an6sO&?VxWA3Ll|#5q59Yy`%cWHew0c8NIW z$Byh0an6q&+9l$gA3K>!#JT<0@eU%+`LV+oM4aIt9b_WnoFCgfFXEgZJIqAHIX|``UBo#*w)8MV#|HP4Z(Bl$ZRN1aZlaNf4L(m;`ajk4X@h z{FnrB$&X1!19qeW*3Tq}OMXm(xa7wqh)aGGF8MJD;*uXfoVfuTGz6aWW5b4sbAD{#5OL0r4ILuR`LV%6 z#5q4UYKS=FSH{lmWxa7wqh)er1$taWK2bUl& z`7sIN(tb>Wxa7wqh)er13F49;lOQhnF$v<5ACn+1`7sINk{^?dGC6*53F49;lOQhn zF$v<5ACn+1`7sINk{^>GF8MJD;*uYeATIea3F49;lZ-MsesBrmk{^>GF8MJD;*uX9 zKg#6z!6nF-{P_4$CdUshLB8b2$B!~OesBr$B|koXl*#dfOGcR-Kez;O$&Zg8Wpe!B z668z!@$sWfjvritd}%*Eew4}agG-Pv?Z?NDGC6*5$taWK2bUl&?Z?NDGC6*53G$`= z`1nyK#}6(+zO)~cATIea3F6XzOoF)N$0UeL`|vs5f_%x3j~@-?_`xN}m;Ctn(NK;bTrwKU@qvs5G8)S9gG&&X_G40{@(e+7 z0!LQ$=fJBo$&IV>yiImSL{{||_%YrNukx(wFY(0Kk~a5Q%&Pt}4b=`j2g`lc_reNc z@>X*iY7=V9vR|j6$3l-Y=}j8?1*Nws%|_{6N{>P5eVTg(N*~hP`%wCr=59mjQ=0oc zN}to*_fYzR<{m-`4&2N~>1!J5!q3gB{tXRXjna2Cw2Ge`R{a1CZAIxv8hQ<-pK0iC zlzyeO1f}08#gq4{!)}8{n0AQLvr+n+(w1B*C6u0rlAqGfD4CRYLn%P%g(w9ny$Gco zO8cQiDIJ7T9;KI{6sGiAlx#|8qf|)gXY_NhUuiL=U!hb&={G1M9;Lc8cQ;BW)7-r%)u*{XqEtrn zER-72JhWPAW14pirZu5?$D`Dg<~2a68O>{s(pfaGJxa}KUKf;F(7Zki2*()fGq2awKjicdL5j%l~Kf<(0H2f(_Q)qY}O6;p%t-9CSN)5(h;Hz0#rt`o{;UKItWPcEI#=)YkDyzsU zo$e#IDAac>A2)Q=;I;!s4jx`UwpNExqb?gi`i!_5#PVHSir|I7?vqECpK(#DTvY2| zEYK|n3?JSNJ`KS)@#jis9> zM*dycX;J0i#6K1`h_-`ogkxI5$BeP%5Y6c}YW$c%b;^M5nv1A;#^LW8`f{0IyE64Oq z68V$k(iwh=C_hz%(?q!|;>t1nm2q)~yGoRs9+%F_U7Zl0AeshA}TLf;Qu*0n)TqMHVM7UU#yFCHF zM5Hej;W824A#it!_+28rTZH$BaJdNY73Eim_!2Jqhub6XLH3++GpBD#F)9 z___$+5aF95d`pCHi|`%6>)km0>^XT)q`xo9eIUXQMfyh~{8*%aBEnBa_?ZYl7vcXz z_=Ujj6Y(!a_>~C17Ww-{_>D;aR)pV)@OzPeK!iVt@JEsVlZgK;!e2!As|bG+;qM|m z764rnF3Pe~a!XgnCi*ivBFA-ri zU-j5H=PLz#4L%OH@Ezeh67;q39p$UZ!=ru2@bFk)Egl}{JD!Ip_)dh-_ML>|x39Jc z>xi(f2cHWXna5uPf-#v(jTgiS z!mc9hCc^F_yg-CKM0lYHdy24^2z!h0A`$ix;l(2CE5d#v>@UIrA{;2fK_VP1!g3J~ z5#c2w94f+LBD_?Dmx*w=2uFx;qzFfeaI^?77vUHYjuqiJ5snw(1QAXY;Up1G7U2{T zP8H!a5nds}D@Ayf2&aqiY7x#5;WZ+>R)jM}I7@`riST+6-XOx+BAg?_xgwk=!ucY+ zQG^Rbc#{Zk7U3--Tqwd@MYu?Ww~27E2yYkR5)m#H;W824A;LRFc$Wz87U4Z2TrR?U zMYuwQ_lfX+5v~;BDiJ;)!qp;NBfc(Kig29>*Nbq22seuGVG(W;;bsw5i0}~+ zZV};D5pEOVb`kCn;iDqlDZ*VM+%3Y#MEE!h%`GI`2VPRJmN6T8w`uT3!+sm3-ZtM} zLORr`UEzDZW8J5TRd@YH-@CO7eDBw(@O@n2`=Y}4b;mlj>sI)_yRhzh02rln;NKAO z{YC67-?Lf1UrOgfLV&<9L^_wwgMVv+W{aHY``&i|QbXhz-w(bY;hhC)Bb|Id5mGuI zWXlIHL}4HWK0Ugme$SlLK}qTlH&TD!baQZ>Ag`olr$2ubQlH&Wn0 zSf!-?bdahkNgeG*3LF=8N!9XTDc@)ZspBN6LfQ(wY5q4 zy!7b42@XZmZVN`BUN9Yl&9M_$w8`tBvs}{s-Zq9PnKHh zAk|2cI@OI-V|`MdEafD1nk3c4jTG#%snRZCnZr^|C8;yqNWl&tT~eMb zsk8M-d6`f7?s8bFxg>Rt8>trhq&zkB`y8ZNN>Z)dNWq?~D!qM9QmrMaHg2Ta>XY(h zsrwz4Y9~pxcOwOx(5lo@PEs8tsg7=>U_YELDNhZ3mBUh6l_@3CDqHzB+p5zwv3}r21w~s-Gm)-;ETU zD5q;FPi^WU$L$*^Neyx%1t;6-lJfH2=Ue9>RW3;laU%sM?CFy7WU2KIQbQ%FVQ!=@ ztzuFe9HcIjq=vhZ8lg|h%Nw$9ql45)NotfEsnPnRyu2a%9(ItrT#_2&Mrv#oliK7U zHBOQm??!6Ee@beiBsIy6)Z{8Awb@~*DU#GwH&WB|NnPosN8jckb(JJF-HjCNCfDt! zJWcYpJ4nrtq^@xz1>4wlNzL+NDJQAxB&qA&NWqbox}-ey=uT3zC8;@Xq~LVT|B%!? zNou|uDLCF!mz1aJ@Z*j)wLp@($&J*_`lLKPeNQ+@-6BaXbRz`^oK~r&oTL^>Qn$I0 zT3p4Xo^e>}c1dc98>yxGq&(fe=N+V$Nm6&Xk-Af#l&6O7Bz2c0b+;R-d#ae!iw;XI zm!$4>Beg=Gl&9Ob$3f~oN$P$#QY)*N)XNT1t0buh+(@m~C*`S4z2+dbMv{8ajnqT> zq&(fe_Z+0wN>c0GNUhf=<;hY`QX3?xjc%kK)+go3Qtvw~wMmlN>_)1hib;LoAoYkO zwZ)CpR((>QZr|q)Qrje{?QW!Y=#%nv`}R3VJt|4`JN za{47aAxS;yM(U|5CiRWu_B}00J>y2|S$$H^dwH92`X#&|NxkSs>ZK|s<@8I~BT2pN zM(UL+Cgt=?*eglB>PG6dDkk-(qfNaoNxk7l>dh)9b;v>LElKKaH&X9ZF{!^Cq~4XJ z-g6`Meif7Y+d=9BN$Nv4QXf?@sec@#K9;0DaU=C<)spi29i%>!q&{~e^}i}6WjIKE zAxZ6XBlTq!lQJEozLKQAb|baFib+`xQr}2Y-@1|du8K(o9HgY1uK$1=sUNDCRF;F( zkJ3^X1GuPnODYkorrK z`rD1vKl-G+%+dX!cv1$5kurQPqzu14DNnc0Ny?C^OGB^YuvAcz%621_ zqfd%@A=TSKDp!)qb0d|nP0Eu?rGL1CR9KRVxRJ88Ng0J+EafCsBuN#!k%BWyHP_LN zYMGO&E=iTTk*c9j>PRo7MmTQYQIb?mH&RFIlRDM|Dbm3~s+J^moExd*tC&Bb+SIG`d&!QcaW04!-kvhu%Yie>}@aBQU@vNJ8Zc5 z4jcNu!=5@%;v{vNynRjFZr|zJx6kKkFP6hi*Jvt9o#95RnLa5mp8Lc}O8O2PZob2Y zzVEPcj+ch+aH%v}NK3VJvs5c>OBt=bkXq|#=xrpawr-@_>67v@pCS&gAfvq`b)Flk z4%(#rUi_zs!vV+WC`om4Bh|TzNmV#*Ul&R0d^b{EtC-Xy4pQADsqSv1F3=~{*Gog+ z>LAralDg216#SC1<|NPWiIl^C%IGCY^>!n5Q5BQg?yyuJN$O%ZQt)fhRcfgn4pP#0 z*l_b5Hq!7N_H_GpI!H<1VZ+UL*hs^7*b}K;4pP#0*l_b5Hq!7N_C#v8gOv0gHr#xN zjWm3RJ&}6MK}z}#8*aYCMjF1uo=7?Trwr*kY`FOj8)^6sdm{Cu!&1_B*l_b5HuQam zjqzT3bSJ3^vY(ph)=$CDBUNcX^_0U>lO?GsZltDalk#RMC#h+Y)D>=|;P;@a)KX77 zEG2!14L9FmL*IAUo28tjr0=ld<~wYp;XCZ<>3h~;shRTj&2qba*JqcsxHYsnGa*~=aN!{p1YJoN>Z6D%~B4JSmO>! z>P|OOcj=SzvJ;XxN!=|;-Qz}TxjrdRYY7fFUE^L!YK0rA`}9e98fKiN?w6!ix{+F? zPs)>}UU58q4@gq0-AKVtC|2pz#YyTxN$MdtQfu`|d9u`Aho#m@QtRDF!OuzRTFT2_ zEb^*@)J93_VK-9nqn5g)JXy*~YO^F&;YJF6T2qshvDM4ljFZ$hNouHFT%(0`Yt z4!V*0Lz|Rw$jj8_CkLs&B&omMNd2Qt%Jg|5^>aKa(;p*c8ZM+vvx-Um;vi*7QUNzo zSyfExR|hHSJ8Zi74x9SE!`^P+Zw^u+X({SvsoW~Ilw;GOnI}o*yO9c4F)7EULo*^t z*>0o?s+g2x)1g@?Nfo(~Dz0Kuj!lPVRFW!jBUP=6NjWwhn$;z#Qa4gHv`P8B>;@r@ zO^4j=i<*iF_Y&tY+N>WF=kvc}3ls8K`HXWMBN>a7lNFAq5%F}@CBaY7V zcuDF6H&Q2Rlk&6_MTj>Y&TNPtC-XY4pQexQZ3v_wbUo&WhbQ1 zNvf43b*>w!*7~G8bqOarEG0enO*hYdQ{Qvn@2R1m?;MAvr02fr=DBaC z;koar^K9WDB|Y~|H_v@D4bOc~mU5Dkp8KYo=f0`$x$kLnw6BfBQqptZbo1OdtJrhj z*UmvodhVNUp8IARp8H-tgZR#KkdmJJrkm%!S;e0FzD^EO(sSQ*^V~Pn@Z9%gsV)vu z(sSQ*^V~Pn@Z9&ZI_z_7xHqNezUk(_%#eHYsnf?R^}Unkq?6b0c*{6_dKyLF!6L>MA!<)2o z<*lLjbC9}LlA7s8YL-4JPYvBkN}l>{x}ExM>Yw`U%~JgxmXfD_n{KCmoBF4Id+QRM zq~xjJrrW9Crv9nl-Yhl1VJUg)x9N83x2b>Xw>L{UNy$^cO}A6OP5o29&D*^6=z|=V zS}dQw+ufeNCHha_vdl@{AxYioM(QqoQl2a|*kLK@k8Zm8qnrBv=%y!2IY~)>bkofr z-PHF-_tvJ$9hQ>*=%$-Lx~cDv?#)t8QqmvYbn{0y_5IPkS!#&GQqmvYbn{0y_5IPk zS;|RD`lFj}{^+K@Ke{(dUE;8m^hY<{{LxK)e{^q_a*~q%=%$-Lx~cDv?#)s|9hTZ6 zJI}3do#!_F&eKyrQQ}Co_h3Q4omHnq;|QH+O1E@lck)b9+RXVcO#|$ z3-_Kr*ZMAXSn5eh>M1u;PitGs8>!12q@Izao^>PjoHi+MGf&5nbmsGt)C+E;UeqVG z$HRQePaT$eS(19ijnrOkQl36+Cy;tol6uXJ)a(B#sW&94H{D3RrA^9{>vw{s-j<}^ zaU=DvJ}EE0!wIC`lce5vBlW?5O6o&N>LWK&A8V5`KlSqTMI29`^hY<{{LxK)e{^%7 z7gDx^)R)pyU%6T8Ykf<7lR2qxC8_V+NPVwQ>W9opNiS>D&CA-<_p&yscC%vpKH!o{T-^<$D z(^nk-^jXr&+H&)wWaT6?aflv z9F~$^)|Q)>wWaT6?Tu8agOv2Lw%oj|EqyO*Z=`BCNJ%ei%gxK$()Y6VM(QXBDd}Zx zxp`Sz`d-%FNI6_8E$L-#xp`SzX?R(Cnk5|Vu$1(&w%oj|EqyO*ZzCN>XRJkvjW7CDmM#I>(Jvi~p2V zOG&Dg8>w@vm{fg7LvJlfwQ(cW_CF=nPLgWxM(VsOCe^@UsSc7kTP{!>z&C8;iM zq|UEmQe_THb(N&LxsmGrpOU&jlIr0`>cT1})zD$7o|05AH&VU-Q&Ja6QhnS=U0lVa z8aXW0SCZ=IMymgRN@{>4HPDUJpeiPHs>4!)C8=^ZQbVekRAUFJOC+hGZls1)F{#rW zq%M`DE^{L_yoyORagZ7zNsV+PHL8k9o$eqtT9UfljntSbCe_SAN_tsaZeG@wzL&MP z7l$()q~!VNmfQL0mj3zZ-bkJ0ASKU7x7^N0xAf0P_eSb$2Pt_zy5)90x}|?Ux;IkI z9i-&>=$700=$8KZ=-x;<_F`G`e00n0d~{3yd~|Q59NV5Oc|N-3c0RhLe?Gc5QY{^~ z?|Ru!-QdCy)Uqlj z<=Ee6Nq=<9%^%&;_eb}3`y4-1X-R){%grC%()UOAM#{12(7IRNz7=k_@4hO&eVrX` zO8TQ)ZvN<&zCXG*OF4EzTGAiga`Q*G^!?GjkviXDDd~@Hx%s18`u^zNNOg6PlK$wH zn?Jgx?~m?{R5u5yjk2LX?AFjXRdGY_?jR-o(JeQBbW7hK-J7K@aFCMz=$4y5x~1=r z?u}Fr2Px@~Zn^oRTl)U!-bh{OASM0LEjNF3OWz;e8>yZSQqmvYa`Q*G^!?Gjk?Q3j zCH>JYH-B_X-yhxEM@Yvua!dN7TWd+x7_^EEq#AUB3#Z~UjE-jt-?awGM&J}FObB~DAd zBT2pMM(RC%Ql7jQ9osXl_a&(h+(><>P0Cy6=_K`$B=xZysZah>QlCmvpSh9x{68i2 zKS}BfH&XllQ&Q4%-*WTZxAZ;t|BW`aUs~!LH%oo{pIYiWN$PtyQU|n2c^hUN`;e_4 zB&i?WNd2Tu%F}tCekZA)C8=NBNd2l$%FD4l38ds62g_}bgQdU6!JF@}(^7JegXOlz z!P4L3;H^zLc5GX6kAvm5$H7WtkAtVN&p|36_c#RH_BaI6*yHdokdk{G0&aU80{VL# zyxl&>=IB5m_Vfj^T%Nu_Q2Xig)}uQ~WlK^yZlps0DJd#R<+_o|`%g*bOHyGsQj!0Z zlr2dWxREOSPe~O?QpIkhqW>wW5=p9>8>#Brqyja(_zpWZ+y~?yhk)B2hk*Vb2XCYt z8}0*gk3+z1k3&FzkAt_Vi(|umK<;q}xb1NW=kw#Ol$zsJEFsS)vu zW&yd!A>gvd!563#s4IRwvnlbz|AYi;mu?9(cn~Ci>0A~kKKM6>D=)?pfwDkDNQ1mU zJ<^W&O6P-Y`5+P|zCfeEsYxp9Yzdsc9t!EHJU>xo)4&-?DuLzM4{57RV%a=!j!=0n zG2nkfvTB#s-4bZ6rdbn<$ScpnD`&7k3vxcFVm8S3GaEnxvqPIe+vFN*YzcJIt)U&W zEw7<{pmSvn?VyJCyoN3TR`F~o5_b(y!v+6Z4Lt%EiW(fv<05qh$<2cim(2r7_X%7q zRGtD?$F9$+y(KVEt&w5@G<)d{P}m>n3$`5;7@Wil+So9)mSkS#aLXjGa&F8^)hb71 zpmL;I<>eWu91|Fubj#~Nvz@HgncQs0u`B0|YJ7aN9S4nSJh#J?6g6C>TfS%`zTLL$$tEi1-WQP$9%oUGwFfb1!*3||B^Pw_BwCg$il5!0w7=|KY z$YhsxONvXoOs$-!&h5_nXtwh@++*P9b~M3^}zz$##7 z&IxP*iCu3_U@J(bXiF8m@`wqLFcc0$u`m>1?P;g_+8$Gr(7LwA15cbFoJPUo(-BF-qsKe~B-YG$F-H3X5mN z7uX;8MxpRKRQLlZ{4Py}KL&nMDEtK#{tgO%NmJp$z#j^Qhfv`^pzu(73bRNSSdKTz zI2&deTwzwA_NFW&O@&!mL50E`RG142bJA3pmz6J`*2+m_oy~!dH^5!hcp)I2eAR&v zpZsNoMXyRavCb?jl4XmYr&4w4=D?@gs@a(AQ0Y5K)u6KqwHmaqwh~;d2d<+8m*|1( zD#6wD!1a{i8hYT9mEa@wz^5p|HTA&tmEdFazzvk(T6*9zCHQzfa6={dL_KgLCAhX8 z_*5mht{%9t5`3~A_%tQBz8<)V5?rPSK3xfJqz7)Q1UJ?LpP>Xd(E~SAf}84r&s2h& z>4DEug3r494)!L9YcEtTN5df-+{aC<%Qxk_*cJ#cF! zxRV~ZjS}2N58PG>?y3iFrv!J`1GiU#d+34BQ-XWyfjcO{z4gEymEb;l;7&?#Up;VV zCAhyHxQh}zP!D{*5VYp*f=BCt zdn&E-9{6G4C>7!K?MaVX$3!C&iv zZ&iZ7(E~41g1^%P-=+i~&;u`4f`8Nl->wAztOs7A1plfBUaAEDt_NPG1plE2zC#H< zqzArJ3I1CTe3uFwBzoYxm0-Uf_#P$L)B`V9f&+TsdzIjz9(aWkoTCT6PYI@a;QN)} zJU#GAB{-}HUZn)vdf*3?;6gp{Y9+W>54=VRF3|%&s03Hn13#n$*U$s6Rf3Py1Futp zYwCg5E5XO;fj20@we-LnmEhy`zz-|IC+dMWDZ#b%z?+rex_aOWCHQ1L@FPlaeLe6N zCAdrvyj2NqqzB%n1UJ?LZ&!kw=z(`A!AW3*(?K< zebg$?%0T7CYL(41P}x_lvPA|e`>9p7%0Oj*waV5Rs2reH*){`}1Jx?qXP|PBT4jd} zR1Q|F?397Za<$4X8K@khR@pTJm6xbhcF#cNP_@b)8K@klR@pNHm6xhj_Rc`%Wongu zGEg~Ot+HG1eg<9qG3{+mJRyiXBl~<`%UYmi+>1vg;GEjN7TIKZ_sGOlzIXeTD z*Qiy_%|PX~YL)XdP&rerazO?vXQ@@*oPo;g)G8Ndpz?aP%0(Hdyg{vUaRw@9t5q(^ zK;;~@%4Hd-oU2xOX9g^cD2ea8K_*M zR=F($l}ptscVwV)nOfz}3{>8sR=GO^m3OLDKAwTfyVNS5%s}PcYL!oCpzOC`3zLvt5*491}aymRqn|^<$Y?EuVkR|eznS1Gf=rwt@8B@RIXC1d@}=;52#hX zoq@{LYL)M1pmL2`<@*_^d{C|O!wghDq*nQH1}fL8ReqX*%5`d$pJ$+Qy;|iL8K~T# zR{3QHDmSWCew~5Jht(>-$w1{MwaV`@P`O#H@<0YEE7U4~%s}NMYL!1{pmK{^<*ym2 z+^Sajdj=}Esa5`wfy(V_m4`A=xkIh;?+jEvs#F;y8K~T;R_V_`WmyI)-%_h=l!3~( z)hZijpz$#2fy(#QD$mM5p;~2&3{-xkR@o{8 zl^?5Bw)U=)vQ!X7-JRXOl6xMWWlnNPGjg{0(Ghl9cz`s|K9B#(1tD$Z ziRf*DUx{P9+XTO6$9VITe6#(K9EJoqAUL=$yMs7;*c;~yQgF^waXvGNa}OowUi_%* z7-xR4adyWf?nHS^Vs=lhO+lo+YDZCaZ#}T`q{Hk!dSK;AhuMAgz{-;jv-|6TyJQbY zel)TNRo<%j-?B-3G`cFm<$B<5O7JCm;O>0E3H_;1oZ`l4!ubO7K*@ zn)@liSLlKJXJ4tX{?!^_Vg0M%+6O6>r1%vV$F;{P8LYg8GxeFUc2KUg#dR7=06av= z;06tFTuZz}$zYC#5@0Y?$zYxaIF7+ErIH)dQ*x%QQgu7a5=-3N*3xfVM<0Q zl`Kk6$w;M=#px*-rBt#cJtd=+N|xy>Va@zhR{vQKe5bPJU-iIuDZ#(%f$vs=|Ih>9qXhF`Wjp+>TCN2DtylBCDr@Hu zJ@5)u%{hKO@O{dfO+E1aN-+G8qt1f#N+md`2VSKF^Pi_X{H=OG38s2AuU3NN7KPnb z8rLXqRamd)2bDG3dfYw3Y2l;Gp_z>g@wC+dN>D8aS$z+08zx_aPkO7O{g;O$CqeLe6F zCAdrv{HPM#NDsVI32v+h-lYUL(F5;Rf}84rA5(&x>46_tg3r zdw5dGpoNAK06(Sdzgy{npH_lf>w%w9g4^nWpH*IS+-G06(egPZxPxBJ&nszn(gVMs z1b5K`zo-Ov)dRnzY-!y!z;P{Yk5WmG^pw1;RMIm&C9f!L(OW|awE4YCa34MJt4eTR zJ@9KvaDP4U>q_uIJ@6Y!@L)agn@aEyJ@8vf@K8PQ+e+}Idf<1I&-rjY@Vm-pI#L51 z_mcjelEG*VCD2UYS6=%VJ@5xg@Hjp2hf3NL^uQk}!ISjBA1i53(F1>?1W(fgf2ssu zsR#Z{37)P8{#*&3p$Gn-5`3*5_zUH&nxzNcr>yyUJ@A)G@N7NsS4!|)J@D7cYo4zM z-me5N&;x&?qBRznF4 zwSOwX>-E5gl;Dke;J=jMO?u$JmEa0J@IOlM7A>%kD8bwGz&<5-haT9kY%Du9z?Jhd zpP^*1TSE!)`AjAFaXql5r2nJ_IF5cm$>3=XCB&EWOkgm2>Y0RunRB$U4SlXVGN0?5 z7wcq!%k}o0J-rHYUXJ)?hEI4T=e3HQH+Sc}4e1+mKB&m~ghxNC$k|sX=qy{$rL41L z?bIdr*9ixlg&U?Wyw6#(e(I8-HsQ)nPhB`cZR6A>_X7dz(d_oUj5^??9&fnjsX_bd zBwS%@m$FW+EmN0FHe83)g%hr@UFwp_hU=QTaDv*-sY~wf*2}D8IxntQ>f%Wz>*rF| zskKk)lKT@|aCz#o370l7b;)FlU6#6Vg4&^}OYZNsJLlVqoF6K3euj+@u+1T_@`;z* z9DFm4jbfWaO?bKk#=|+kz?K0&d(LCq9E@$a&4F(auzYND1N3WI%3kHS$~s)u)OyNjlLYElE2bZ5>hJpCp{%pr6lA_Pf4!INhD{-p))F{LE&cM2`MKQp|dMOE$Y;WFC8IQ zr!1bcuOigi`B)jGS?N6X&>)de9oRa_{u>dhE4EXP2-PF)fbe`s%{8Dv7_!2U7=~+U z6KX52$qvQ&QnI9M#mUW^zkUt!7G7hniZ|EHrj|*!3H)Fhb_JXj~6{ zyhRF0G}PTU(sz|_MyR{!#aj{cAL!N%=*N9op&kVO>3(ijsP8|%!NiIs#xnjPSb_r{?#x5RPV;Ak# z*hRlJ&eUp+Q1$}%RJ=}fKv5F408u7OH!5$C2b9z$FIE@FG}_?TT{)tDgtAL zB|5^)k6EG`d@-pF^_!1o=fNj{$`#tgktM#SYN1EOyGOOq7WRS^o8LVGZyQ_qE74Y^ z&9^ITKL2nwf7D^~`Pw$0uWj@BY1w>!dN$wb-RAK%RSWHsHs2j@^Ic%`-Q4Dng&xPd z>uUh*oV`;21Wop-8e2op`w5I~5P1>atl9gS!=wwsBU3lIm*N}ef^h1u7W_5mWvAi@ zxi+O{=L51JJSJty&=?1Y2)QA3(WED20l!=LV*itTLVgN8!QM-liTJZ3U+mc%$`kUP z`4#W?4(r7yvs!4cymzn0-@Cm~)2sa6y(XWRk#OhOli9TL@F=)(Z^DUDwM(~!K8`q(Eog7cWB?X&;jVxLO-+n9QRcPU*Y1ZG?gZ38hSnP)$Dan6u(XXkS(XX-@{pr0KVZE_tbVxR%zv7$GA*ksu ze((O)Yep10hsuM;;+hf7O5Kd&sT{r;(O^1b_(E>p)bCU@TQeB!5prwz;?zCFOK~{1;zRRzpO~E&-^tt>o{~}njW|k1$bytbL+czx!-G(RTi#q#c(7G;l(WE~4*2;&;wA;avH7D_jykUatzLc6*Bd zz!f2@!WX5ig(kUHtco-4a@n4ir)p2FrEv$4AaB_9YS(e{O#S7N!rG_ve1iDe>(MsC z;Pq(Rc!ReAgSX`dKhMSB@R2L&wex{+vy`StGI%r`j=zux!ktoM z@YQJLYtpj!nmBvkBYTv4QuQd^4`=T+Y1uoz_ImUJY40BK_Pzk@-GkfvLTT@$ zE_}PH3tyW-7rs`z3tyX77rr*m;2K?c&%+sft&8(Wa*q;Udp+7q8oYPB!Fz$hdvk+d z(XlB>(VoLpTik^U0N+XzV>?bVrlTc@dm#b4BnR;yq}A~ zRbBY{bPS%*g|F8(`1-UAzCJyJ_dlG$*QaIh_}c5y0n*?D;|)Fl3_g$>e2_GFQWw5m z)rD_J$KcK`e1o>VH>73n4RQ9?=)wmd&fXi+vUhy#^=P@Y_mFsdmxH~BaC=`8v$uZ^ z$>+{N2RG8;FqIw&f1~3^ysyG%rktq3Y7+;IajXk}9j=xVk+To`P8ob{74#p-r zAgTS)=+*KmN&Cy_{tfw{F3) zUVI>Yc1qi`^^L0Czmm@ps;A|Q%lR?MP2l%R-`%(BX;3nB`F2qH=_ z5JW``Ac{F5Uv=M9b?0`^?CSTO|NQT~JzRUbtE;N3tE;Q4tL61k1ZPb9!H{2#3xRZ@ z*h#1y(`HEFCLf~z;0~ZZ!4Z`SGEup`@}h!z(pYGQBPw@bQJDdva)%I=I~`GZSBnTy znIjREBSu##!PKjNM75y#s@WsT6L*E8IiiT!R;t+}G3`D%IYiGMQTVI4T<`-PnKp-R zo=m$N#fo7nH|je%f@ErrBU5u^GIdwwWeW8KGIh5jQ}Mrcv`0?l^hX~IQB>b_YBm7$`9=+^)K=@LT{}Jy_E=UToc-q2yO8fh<`1% ze-dimbEw&BQFDr)*uI~Nnr$hl+4+Bon!gA&A3D^0q@w0yhZ@f$+~toYorHgjE=@J- zs|R<>!0$~5{6DF{f9ilA1}cnen&z;Uf!_Yi1#hn!x@L5stA;mDO4m?-&Hcvy^9qFX z>RAXHzb4=f=M8-k+Hb8vH(K800oJXtMCbqz0B23~5?o9VDlBnva|~$znx9c6Umnwg z0w42ia)3!4Fl>O#BKgL_ZkdJMq*U02jNwun!N%?&-iYWSW4yG5-!(lwgov2r5HU$c z#36@>7tOtvc6}-$A~9{N6eo)c|7&hB9op`fx4qP7P$Gz)>m7Qom(lZGMFg-gl>i>D z2(&7xpnYEvXeFtj{SZ20O^%^pO7N-OjE5;Zv$?Kn8{-W)((9t`nuUHua}oZ7+k-z< z+Wxj++ffxiJNEZ4*#7<*?C)PhPrs%hte#OPgJ?$>v7`SLVgERU9m9nE1B4ypJ%u%guwj-cHYcK3 zFQ$E7Ny?gsbwnBb2k$TNA5j+0uqg{?BFdoHfCAoARt3Up^kzuZ{I0!)vmI|mk+ouc z)+7>%AyifXIV~`X$iC2W0a(@}QjsZ0*a2-P*EO=y2 zna><`{+UFbhr^X0Y1|VFN1Tz4;*pNPNJqs;8_w9Y(?Y_Nq=_xR55I>PavwM4_YlQk za<5~!z~6PP4>8V`4it9`g$tz(74a+BF9cmQlXv0cu+fLOL#J!@(7~6#zc^g^C{)~& z#==e%Y8XeMLa_k_e3F(_WL+KVnWSE$Gt3-i=D)5EH{_Ykl02t6zP#EjTovoGssj0H zm6vc6wzd3(t2+{2150>yU{ejzQ_U2FjZk<0mABvp6WS-`7b{sV%lv|QCCyeJX{}BR$uhj;J>1*p+gxDHMQ@Eg&P885M&CH z-8eO6?^c?!<1l5%iT;}WSCrZ5L0DL%2eEFyg&`>j6mZI#%bl#@maw&RoUGy2*r*+q z+Q}MjOBjKn|KGU~%%8gin!YjsPMd0gj&o z;|imb0zM}43dI>cHRi4`s!3r|V{U?Ceo>ecRB1!;eO-Nm;)ood(SmHq?W*8J$YA7h zOgIVx+Ed!A+A2u!lC2l(u6KSMPw=wJJtOxyG}lhb$^A#1oAYEY$FyNI$&1;-lldhl z2e;y9?oJ{YaO%T>`gpCIK0$k1ze0aWpAcxRj}M%!Um@lwPY$z6%MSMjpJRshq*guL z2YikJy{eWQ?h9pEdZtz<+z-m&;65PW>nNRXIfRFT)jcV+d-#sq0iejbme^Xbaz zjD!>8e3emO3ZLscfS=7EQgh@h;UO&BIg<)@&S5$BsT4mtl&OoUDrX4c$yB3QjtSw( zRf;$jsxGcdo6l1eRx^b;SrHUQjzwYYDyAOG}pS5$92 zord0koKi{J26Ty;e2O0*x<`mPTG9^+t+Y&ewV=&wN8 z2q=T~J)@4Tncz#JnMPK`V=@g{FuooWC)`%Q!Awk{_lLP~R&tUA{W{gkg)f;xO+}lEG-vF|_Emx7Vf2^v0+BBD4(AXE7|W0DkR^3LZvF&tvw zrT4do8one$eSYP43#cd9;a}kFgLt&0vk$reb_)gJlvv zU6mG%tR~YHAx}~XpKe?yrGQHKbVUq5wL_=Jw1=cXlSO>GE8>C1wur-*M8wBcUc^yP zAmW!fB7Qj*@ykHOFBc*{z9M?_hE#fUf<0mMI_8AYzJpmZ(MRjtsIm%)VBe^67<%;P zB&@D(G|rHZ5Rs}I4SAY;I_x(Z7s_EK9Rl6xj=J`pJ?ii!8THAPA9dUl3r}%I{VF`_ zQ()Au5~Dt~BCgB(JfoiEy1cp~GBncz&71xzd`(55J(vpGw2DA8@BYh^U4*Z#2(*V% z;dY&!J0Pb89D)ZmEel-i$PB)@Z_0m z6v_piZy+YQ4T-ff*J!D17(O>StA{>Uu^>_nJy+$YAU4Qcqm~pAzQqdP0#wxEDRp5J zbX#+@2NDTk&B#0wzO2tv?qvYv3=Yyv9ScD3WLXHR*l+nmZLdHS56V2_IB89>`blU<-nq!7k0kL3xWxR>Q2i1{pE2C<$JqHGU6-;h7#LhbtbMmu#6eB6CTWq#T#;CxlC zH!`i}DI%Tdj(U#Ds^h1S=6;H%2-4>(vh@aW&NHZ~IDaOt!1)TCxviF|Pt+ICO(Q=RJw`iGs7Y)8TGvO#t)$EpJTHZb3q&ub! z(6XJ%xB)HAavPcL^f6j4X@}b;|KjPiua=+G7z6PCl>iYLRO@mNpFT&sT%W67qR-3F z^tl;L^!b^y^?8|V^f{T|>hr}CH$e*mS8~Jm!NxsPze1}Sz8^O38TuzsHWSLS^>whB ze*ns|GWtN-gHV>6(FV$9L0L}5Tqye=l;vmK0%fzIERU~+w!xG&IXvA=zyf7FH5$$d z2R|85$aiZGRo;9u>99}!9(K&9IoN!97|f?RqNlmGnX64y<%A0_kR)IUKjD{vMPxhy zb~m%wYNCUaut@C2iliUaA5CSIEViwZKw}t(ERcW&FjtoeZb?!;d3}KpjCOD+0G|Eu(|j&#f5TjU zhRpJLqWPF{fm}ey zqWPF2$9_ocrX9PUZa*F~u9A0wUR;RjkEv`yGEW~<=nrv63>$8bDd(2=kw^V8A}$PExM~6(d9{E9T($j5M4+Q3yap8G)4CukBu)`d5jasg#YCdMX5F1A!&F3f&yxp>c!i>+8L-UGSVD&*q*H05HaOfEi= z%LPUy4Y}B5%SHAa(@l}Nk1y?(Qx87T%PcYd2}Ayfqts3DgvuV^%dqQhpuH_JisVw_ zaZ~KY+GLT^O+jncmUclmJd2EMX-%$MiN|4|%%C7P&*F-C96nFa3JNMr0;P!keYSQI0#65P24KIsuI(56u#5PL?;`XQU>ET<-$j5;oE!cI%ChzQV5k2rlx1baq3j@(9Zt{?1rxE8SPXyoOfnoy+cu!f5U?{hyk$j0@L?V8IVQx(^DyfAc619aQFcczq zxMK%~*WbQhrf{Hep+NRnn3z?|6se>|+?mXfTc$Xpr_1>=#cKvspq42_o4SFPsg^C| z28tBOtTy5XS{Bppl!`KS13^_qMjW!wfvQhPp>j92t~b>?>nA#klW+r_s9co{fi4ZIL|GMI2Upv_(Bd8 z8&JUKTAFF$BeJyckvj6ZhEYjluGMv>{ac`bc_!5=+nM$tYcnOm3Xyu1Ut4icEK=WITO$q7+6u)66!2kfX!9~~ft9Ilo46tu zX-uvoKBYKL<2M*1O|o$!SN$oKc9?8l!V@Qq=0mKJW|F{RY^px3iqhvtUXtkhrxl^E zRQo-h!t{@{pj1AsFtbIfr-|PB@_+%7gHC_C0y*e_*{O5TX_sr)X*W39t1VAlsAOrLiLQwFGf_#Gb+nVEPwVRxseY3 z(dZG#VFYG@6ICAR){FWj3Q(($pie1DiX}RI+~&Jr1Pa9L_g{odN%Ces=}h! z!V#($c&s(N$jeVg+#^wx*w9C)j%n$HTl+Swl^j@YAI11YT;r6AAkLxXB$<=`jPP9Y zXzEgs8jD11p&Nbkz8 zmS*pk<2b@o{PX{@2!CbVK2U&S0}A+R+0Pz2ZM}8b{{g?DdrlF5DTWRL-a`q@?&lO9 z)1;~ToMIoq$A+XU(s45E2OMTTAYzsbyjn3<5oKQd4-bCAtU{XA

V5q%_2Ojx;fBi;(>X9?W zK#y_;`fWJ21%;>g@Ed4V{%u>~nL&V-(mUIC_-It&3rhdAe0_gGk;Ri5jV~CNO2?e~ zMPI1MB!3~M-5`Z)%2GEC=9nW(JgqSBU%vXHw8E}EXF5B~bmCl`P6u%sEySrSh|@Wy zIO#oXae^<25_SSezh{dE|T{P8T@h^buH$T$~2_iIXb5xDclc zMJpmv7pEans>I3II%&k9Uxw8G zc;C^cMpK#5Rtk`FmK-RSse&@O52?~dlXENCoUdgLL%Gp3!7-YyNZ)9hSo!&C_HG+Z zS32``5}vPD!hD@1=Idl<=uWiO`EtMc`eG`h=_)jIS0@jhCwV5C9WSO5cs!L}RCqxD zQ^WT~GKSYUV>rzk!*Y94Xyvj=ac$+t(CpnF!|R+eoQ}uvIv7I;0R_YNdS?t*!4eJ| z9qmKEF?>mppH57_8~MZAt^szldKe?O!N|ZH-Tg*n@J7x)d4}&k84EzDqHKnRIn?^!Ip^WYITt49JTW=vM;*M22lvYyPtq9(PR8*QA|>2zw>zVz(9iRAh~E; zZgi9`c#*|mc#MWWa+|4KaTiF;DJOkpxp=z7N?{&(5&(&7Rb*~I?K`&tP4SYu>jQh% z-v`F)Vewk2aC!)9&AjI#ZnTViCGtGWMYmGKT@}AuMJpALiSSsK(B%{d`CSTnRJKz2 zM0i=`rD}=DE5NoM6#QiAh|#UeN@WNKz%`j6F5>_*%2_^QMvw*lujs5)K88Ed#hRF` z&}vD#XvegL@~Y%i2B6*|tx69tS1SB#LNab~G4D$F9n-c*`|vP4rfrm0^DqAG2*mwjQ82EvUhcLwBjk=4av z^m16M4Xu_|7YvLSwRPGC_;;6fNc$e#>aF!2dQS+8>KYgr7;GCLdTlNLm{wO|fMjs5 zwsE)0-Wo5=U`l`&B{#s@yeRbAU{dKXXUjqwdU9h0m zi8Vb67Svl}P2T_()Ouk-Ee8u~gRr2!hqAY!EJyno$~Hn-w%!xU-hr|_y(N@wg0kEI z92-P7Ls>9z0+hWAWe{8S{eh7zY$^vwDlYatH2%=wE*LHP(OS z-kQeB{ieeB<|}t_3Mh%uSd+b(_R9UHSL^t!+#jU5a&J#>wz+$oE>ko4!uSG(WcDGQ9(2FawV9t4DT%3;Qa&$f?{7{<69!4O!ig zQdZ6F<)_faXINx6D6ZJ>RXg$p{C!DVTr1W3bhX}~yjug4XA;21?{n!J6oDmTouG)w z@66LhWrM#=L+{^Mm!04r_^*SB$l*lf$3)~;+|S#J6AKEn>mG3B0tRPkSj#sRrS;EwF zGP62_Z%V3}gN;X%@bM%{>*gfB22H+3z62pWm~{a$aNkuiisb!%*EmJmeR!4A{kukW zD$n+wfXcJA|BHsx+y8A*n)pB$8Ko`8$x>9sm=blrMG?Xhoahj?#cPE}qZVJyux!hB z5Mm*odm^;R8aKfT-EUfP-gJK=R-t!7f#NC~lG>W+x zE%I!2wkn>Lg{=;MR@QmWB@5%VRTb6Z?5`QZc_$VLwxsL%1s&SSFa)8aM>`VTQ&qo+p2|SJ3G9) zQ&w`}3EP(^$JF!<`bGNNfere`!1ww)8LRb88DHz0vrf|A&3awmlKrs$Ud|QzR$&+4 z3uY?V#nCFZT^uduc5y~^EjL=i?c$7VtxlA2yEp?9W=5-WyEp?9W=5-VyEt<_lvRha zyv!G%tOk_jW*vgEnot(Z+6iT~pe#T8EhwuEW#R1Sp{x#+ffF`&gKbmiZjjj2(Ym%x z9Xneq;EkeHL9HZvZlm>mZEB&`=rX)5#Q|uvA)dS2RQ4;$p(>#}c(e;Zoq9@l=DkLt)C&!Q7Ezy$#aA+@VTnL7!UIx~ zFVg7pL&g4pZ}g*dB7dZ~?k*1J!F3Yt=BggY2>nQ*-KYoUBZaP@tHg&2KY&+HbT@G# z=XxI-Ri$#^qsl)P?|S%ae!4sTSh44fo{Zzf%7eH3MQPrM`>(M6cLw2O zRkBGUUmq*?qL_k@6!%x?QvIRWhxqf44(v`t{@@+*MeL-`SRmYT!I%@zA^VexxnO#x z=YrXlz6+*zdM=ner^Va6Y3XTo1!{iEz%`iD7L`p3EF=pW_YsehRJlD;$dSN)T` zn)yB_ z2Y{<2kasG$Pfmlfti1N%J{bsQnR$;u*&ry($-5oOPKUDWd`NE^9Smi8`HzaJyDbDj!k5hTGSqgxWZei}A~<@_8~`hikLzW)uj_>d z&FxnlJ(x%Demm>^svOLGXN`A{*lydeNY4}O(Z1eUjF1_&D`~Z~h-S(>- zNZvbz`xR>?RTBG?G`nRTntLN)4RVn<>%o_bg+9Crv*kDlXFc?D6rT7B(Zt(@qRjBy#)Wx&<@jMW+MWqe>C9%v6#Ai5+Yoww{*CJg&tvK7g|Gxfah@1!Wg-EzT9Kt(`Lm$h7kqTRX$CNsu=R)biKP7p0+{52n=4 zUbS{GZB2DBNkDF}97mU64^H$_-%yQ1Mg#doKW z$O=ROSqC8?>yZAl{+*a3{F(+oc)A>9-?VhbgX{eIz0YEC_QU@Lyy4r z*Nes<2#w<%G>(^{akYa6BoGv6z;O{rV;Wa@J{bHd0_;^7{E0AV?O@PahQYNC26U*R z(0(c)n5q1G=}Q>;OXW~9PJrPn5GJ0irz?$)c#g@Xu-WC2Ge{d z+Lw^O6}O-)LXzH<{u>c8)nWBi8LMxS5poM5`y_99Y21{9ire$*J``wB>rD-+W)ne|JQo)U&G3xn}^v5lttf%Pb)=8bX&508Qrlax`Q{~ z%^QCT>eo@azl#)Qcu^Hkdsrat`>WB~FUyCKh6ot+a7U6M&1|$YTaf4A76>)<$Tmx)5k39U7 z#0PPfY2#}!L%_{u27()7F$xzvS{}H^D89>KLH?h%NLvaE|?+EKVe)LkE}p8@~Q z(VxY z(yHvj7n`15S`1vruV^*(S0RD&8(L?5z1BznKpU!mpKGBeS<=sdvM7}0=-r^qfU<1;StyG^S)Tq7lodc(ZXgTFczV?!;8Dlm=~eRsXF*vJ zl!XJmp{xp&g#s&}tQg9ofdx=j0%eg5$Ur{i2cB{%Xh)@>xk3tB+fu;u z+5GFFH8Hn`wv98iZLOhg=L~H-+0eFgrropFw7XhNJEH@(j02gfz?77mu0W$I$T`Tdo-NfW|IzF;iL{5Xn=^zbr9V5n zSAKS47BhpVt>XV<#>seg_5k*tELePsOjvpoVaZaQ%B0>82C`J|&&h-(OCl^iy~5H{ zP|_!ru=G<1%V~bX0$AVse_L2gB5h$A=m^W8^o8a0$_oo-F*61`!ZHL4%V1#d5W(V7 znXn8a!ji2zL`$t%vQ?S>WWtgy5tcK&!g7Y7WOyoJ8L1GKv;Bkxu)h2Mwy>B)+QMQU zIPrDgN=ul|x?lOUgaOQAW}N2;3r2g&ah$Q5JBIWih--0KBL`h%?BU~y!;V?KKCi3Hx{mB5>X1m5akD3QS1liBUKS0E83cZ2YQjQ_y!G%>d&(9EaCb&Hu#4J=}Z z-%`W#UWb}Z)@G`wDS_rCO>tMpykRRYFA=%AKbcT0SM{_uT6-#2?JFl&`Hoz*2f5-e zMrXj$WOakT7|r)iJsmoG%H--ndl}ScTM1XIm?uB>GlkgV3@Q#MAh1$g=n{d%z!TUE zS;vyVx7lP(4aT$u(&Ys#FgLwpAZW-FJn*n09Q+KG6)&xdaB6EK{IKr;xxQY2G!_s< z$6IjbqHDXggW6$d;F|Eg?qS8ifm>xJ*9JJ%Um)lV*lv&2N3XN#sDvy|hkGUG9%*L+gJnG-Exo)~+46G26273j=r<;D`2oyLRpb}*zCsfSA#FX%Vaq6B zi;FDJP6P!U+2syf&a;qh(WI#d(~qT?8VS#VGwHsCQD*U~h4CWl9*PYp;N8FM$nYTZ znIFA6u!`L33xpM+J^W4+dP$X}K7xh=rI?cdcc^)iye4Q2Gj_ov_+iC-A>ri!euM%% z=5|#%Bbm^{{~d##1>sx#K*c&uNAaV{5Q zz0w|Q?Kex*)+z=sqDtKdVNbSz(jkecuJYjv7lDW(5I1>9BJPlAYwaO{FUgRs za)#twizm(L^ouB78%Q1z+&y{wcJnX1@n22vU6Y)(v>~Fx3zbS@&t!?YUgHdLbPiH#plQ1g`)s6CQ zUX^6HoX}D~^Jc6w-m=z8iToRicV_bss(Np3tcQyf{C~}j4e;g2|9W7;2EmB8v)fy} z!|5CtcAD0#2_`+Ry2bmvxAV9lJ!HZ$Hu6yr*#NV2_zyna-bqDlTp5z--=ArgG&eRm z#BRpK!qe-!CsW!j6hW+S_|w+rY(?7vMn~fdUFUb=G&k@Qqo&ZA#E1Do;^%8#eyx2 z6Uv@yG3{3A#HReIW=xaTB>5%+)r_m9HG>m`NtUFo&KR$?#`wOJV_ZWK zhvqZJH57&v9pf4b;h|$(LupyVaQTgK4MjY!bc|~#rXwBV8VV7jBV0pqTq#BvvW)lS zem$S@t%1h(K4*OIla25D&iIbE#AA7c(SRV|(qx#R31ZHe}z<2VyRB2OwDXGw1>2bu~- zbBFGe;0O9d_Ok#UCjcIeFGLvj_nkbo8SPNs1Wrejc z_F^k+4_IJ(g%$RxJr4tCf}a(vl0ZG5c}OLonIeUUWtD_mgLm~aqgFN^kmjlzPLQ?F z$qty*PW~QJ@KV}?>TVOQ8J99u)+N9`;&Eq^`3{vU>+IM?vPST71T(D?}N*XXX^owA5Ir?OuI)~ z)nd60I%TLf!ckYk&p$$;uHaR^lfZ3_J=Yn5k&eb10UGN|p|N^_#yVj6`D@xkr`MDX z-B-@g9d9k&fs{jcrYiL)OdHRjoT)IX>6o0Ul8NLoIa6^I;{`lUIU;In_e^&-=mCeN z0U1lb4lS_u!o$D=!Z-fS#c5aA=Z;fgthktr4uO(F^Tvwa&7FU9vv+If&pqw1^Z!QD zpK;KQ6|^rH1TIb4-=(SgI~2Olq%Uw9SQt4l+q(<;g0=cL718L2n>xYhdHgWUegKEB z`lmG)ReoshR0*258mvlW>oYEGkL$RU=0@i%mLCrfW3jaPXG_qGATQHq|<7CxT*FtR=Kp9R+a*zwBLA3*^B6A>7*x>&|li z8(!j=3A*8!R$a@qs<_o4P8XHACx*wgE46I&3vS^pcUz&b+Hpcu`hX1Zle3>d{{-N> zy74pUA6+{U!k~YFvP`XsR@3+u$}+T9q3ky(%hsNN(CFWxEQ`yJpIHB4iw=D${go_c#?dv#(L_x1VftkvV*_QnHwvJE8Cjfy$%p}$2?o>#?0^9=-DW^6 zAQ%7`ClRLU`CGHU27lq5TIMr1XpFm#cJ^&z;`MSYtH~>|Jot;ipV6c&_xnWdk1KM& zfJ~6Ff+j1qt|OYf94ku1SUgts)mZHeZB20KirfR{&xu&wS7MFhvE#%quf&?K$Qy3| z(o+1=%Kc@e_{GQ-<*nWFQFe>AnHPxP+PS}-?fjPYxR##@KQGmcvREfTu5BXLMN~h* zt$t3EvRHQ`ClNbkK(jYuz2@jfvo*0^pa5ch;jpW7CVULwvy%U&9bsAUV`n}c&7X7l z*8rZHO#HruO4-)$-uF0$h?=89Qr4 zvzKG%yxw$0>^zgXFU8JF#Ky#97YaTCpH}3ZkAAr%9vkcaG6wx}Sv+>R`^!b>mn-72 ziS93#pkF4(V^iE;E=9jw9gkh({&E@m<+^xmy8Fv`@k?3k=CasrFg16U#qNN)XVkWm z6~ykcl%CN`q+7J6U+iADxC$)HcJa)3>;V&Yu>Xp@D}7;SCxPybQ*XMUdy8z92=s$4 z=*cGNVEaVuArobeyaPFD-8A};KIX<_^IRTWs-Q0_<|LCl$D?bi!o-ds1b;;FmiI-U4hYGmFMl!cx-{&%`~^0s;sK$W+AJJx~ay% zHN@9N-c2@oRlpdKqL;_rUam7allwOynUToL5=_oxP0C}>Xj)lb`$S%+L|(Ue>{+u( zaKnnc8>LO)z9wq&qTA$Vvx$(w9G}s&W$kp4E5jBDM+8sDZ+XN(1Yfd1g9zSgf<|(Z zqY#q8qyre&6)r1oPZP-@1crm;5HFGm7s)#+gk&k{0FZpyMe;6$q&u5kl5I|&fuDhJ zXWSV$GaKjRD=w1v06hM1>*n&<>oAb9b&1$piP-uzvA1oYLq%d8R5rV)+{be>@W1ldR)186i-f{9&}3A$C1N{lv_}xl;20t3lPd%nLsobQ!~A6#VS!%(c@f90{C z{c*Vi82P(X3t(D*pij5q3` z;+gS+T$h?>ffCsJL_3uiz{4AU)J!0}u#}imOs?>bP1qfq=hGP5iC)==xb2sd_U4Rr zUV!uC=9Pqa;AYh2=8H+(l&XM9{xfirX9gA+E^Ai+TfvbS?N(k;=+D~8elrklL-5#6 zA;aK|?G%xU(Vj;zwnZ)iFGJ^(_+NPe^GDz+BZwq}j;+ZG<%VLam$%k_o^SYGmGBk; zw5^Y;$#^@YT}|czkXFqlZ6!EpZ{dIC1-1N1y9Ou8@KLXvJfAJzG=GIKjc^}usqNzO z8sGtEMaJavg8KfrT>I}7!gT~E5a9-9=bX*2`^*Q#;^ckXbi!-^*3bp^Mn!>LZ`4Ne z1Hc-&z}8j}7~KE!qSn}j_LfAPl65-7B@(P`dE({h;Wset3rr`|8op280P|!z|0^$O z?mLKZmldbAu_Y>PwGL?wytAc+OWwu`GwT*Fvs${)k}XXx?OD!pY)w3fv?5c?<*zw;kpvCtD<4>Qdok5uIhh2Sv0O;#J_zW++l#a4z7G>|=m*^q!qV zBKUL?y%!?ihR;`|x)$e~q%gaKY!1q%yEfIpp!9urT!FtT-AQc1tUUl$2TDzyBwjD=)qCJ^(K$GL3#+7 z3KywEJ(ytT>JxXKM&BT=F-&3mG)pX__w!NN-@a zJYic9;_Zs;*YYAGGW;4Y^EQOj9@E~*iwqf&>DOerx5*0JB+IV}rD z${<9>JXVwPeS?>PP%lERh z`Mx|ozCY*TJ69?~=$kPJI|Al^%iPGpVCUuxf{%dtW_)qMcQCU-Jih0*914EG0`ijb zZNYfK5o?lx@$<7aQ-3Qf_!U58zgF-&ObYwzQ?1S|SPOy4pR5HEgO;qNz$En6n$TN` z(8e{PO^Je|=B*1zXwVU@Fa^gTKA+DT7iKHgio=ZStQCUUnzc@X8M(`Zne7WR3&Cu| z+6b5#tPO(MmbFcWd7BB-faImgh*|;=&t8+)J&|`xJdQlDzfxZ1czM;1wG%kxv33ZD z_N=|YL7YTqqLb*D_Nw9yE{G*|a+>3@eO0l#iFjwLnR*D0XU7XvgY0;OY6sRqhHAOk zc=+oafhr$%8e*)K3-Ok;;UThIahpWKKla&Z!7(5=Qz+*qiFg9M@I(8fyhN!M?`NY)=5V1%2f11FrJs*l@+4b_Q`nZCEJUY3W7tEJp^Z?`Oukl z76ivwXGCxp2DhQ?s0b>Ht5Oln=b&eRS5=7MOv?%7B{&m{_o@mIoHSPpT;t*Q6m#_i zc7otfAv*!_=R|g*j6bU@F;`conyXyElhk8Z)>WWZg>^-!bz?AN{D0DS- zAu@qlcP@Jf##6Ef>mdlP%6cGzPi7~}2!10K!9G*+jWh`+!}^ATUJ-~+hLz}QN^jDP zw9ak#amAl0X5=aC6v3Vv>=eYFo~);gJ!@5OVo6rdwaRBbO~Zh{cLFpzTPPn3rWfla zaIM99AzXX2-ZEU*r5Z|~DY`C=p|oZiN4LaJy@HFS59=dvt;6~tT>G-VF0Mu`qXaAA zbqbeB@KQSDBk-K=$NGuB>al*PuT$BnZeMh!y_JeDK6Co5it)ve@TEWNFK}(Z`XgKi zumLWvz!wAa%YU!|{4#TTEczrJF8$(8VB5E~?L zJ&p}RxSq~VcX0*2O;6K%hUI z4Hww7VZ#wNBiINR8>5)45gS$MKKQ*y?;2sa0;bRyBiTrSNINzXA#x@=(?x_1={u>| z;-fL%NtZ37*eHR`@oW^r<}7xWi;WQ_YrPoXyS_h;(FUBSc2C(JmsC zEt^uY#YbUmN|!C?uyX`9o!L1En{(N@E;dFEVauipv&CJ$C|i>BMHg3J{3G;*5a{#R zc>=`~*?9=X^V#_>iex9bMZ~j7_NH*o4GZT5>;eI@8@m939K*&)L3WaEPJ{1aeYwSG zEUg(oDIV|c_-FDpsuGj@GZ(T81(GMT3lWkRv5N$f*gq4w4a^%s*v0H((WWQ67`3^C zUE&f(h3h@V+u1Td#e2&9a!KlOEE_9u>dnR?oGxXTCey)vLV}KQY@BG*myJVhE@PLu zbP%E3s(Qhd4A52)Vc{?h#q7{n%`dRMY5lW>U-5e+7>Nut3SY!YfP znN4;9W7+*cu|X#ZJhTr)T(v(F`H>erk^DgMSUgE<0&oXQXHRfqJZ_!vLu6`xrpv!6 zY>FUrIGcjVyoz1rk}23Rl}#1(MzX1>-qq}Cw;pE4HkBR$BEvIi?T%8^DUsV$RtOMz zrmG^dO%;9SbJxD^xsU5h%oj$P+=B8GW7n=a~|&!(e#*R$)L zdiW-fvT~v&jo_QHw@B>{R6`AXzYgABrS1S7Tw@sYq2wHOo## zszR;-E_OvDl+NV%kOb?%S+#L6s>eqHtu%?RUPoS#}joB zpJL6j$cp?bM6AtOriQlH3+*ZwT1kbWed>i~N6!FmRZVC-aeJ~LeVPL_u;N0 z<2z{CTi7i^%U;87L0a}!cB`}E^n>cX;I9WBoX=G8DUjTqEK{E;c62-wd?X&9=CY}} zAdGhyk6-H+*EH$P=hq!;b-sFhRy_U-KUq~#~V1A)K`&~HSBXgPz;5G`+D zGf>Mr*d56&@3O7n{Ga3T8(matyQ~LP?qqigfH$){5x~0`YzVj)%`t)RW_OEvx3arY zy?fX_X1xeJSSk>_m)$Gs-Olbs_3mT$x%Kc!>=(hN{zGjscZQ34T^IFasrfRMk#HNn zvK-UCG^N|)5i37%Q z3M7(|KA=eON(1!{7;@z;MuJjUO^${+C+>2Gt-d*Ip75vm{Um6_4TX-+vVuqA@&CC% z8lthE?OF(en~DYZkYZ~UC*hli3`L@?H>_3N$3J*Tk;*GRPqafJrH71`YR+Lc9}*f= zIXmXN9BORpQkc{aum^-_J<1+HqV*tq5Q`Sno5f~{dXKSLsNVnB|J-^Qox_TqixqD< zo6QywA7`@>#D~~J0wOl7Lpv$xxYeNN=4EU?qWKZ_ zh(FEWi;Ly{^DKOxW2}Ae>CNwEMEqHqR)cUx5%3s~m$`GciN9)mSq5aK17wv81g_75 z-^Y4Z`cd|%AbmA^6p_AwEfA!e&#{!p*O|{Axi8OXKjBmhoRwf@Ss)){j|q_L*<%Rg zLbi~Ad?yLy&p4nKLW~8!ESQh8#|6yI>~RG13HAhm3DJx2I#WFUzDsNi0%j3gB*1KA zix8N_Y%u}zp#|n67fdSxW(iv&z>?r}|YxWd^@-%yzKsl7e?mxtFg%!VS?Mc_{^fyawG5f+r^*mDBPFYGx4<$3lzfdUuw`IA~mw*K-9uD|D~5=Xd0e8MrtHkFym9UASJ*28lEGdtjNsZ;A><_XZ`Vg|24F)7dQe>K0#l^0fy^fdP0y8gg1xCwbCA5I*WS^CiVamE zGJXN9>fCf0a3){M4-N&34+)EX1Y3(`T9~4#1 ze*)okH+Xtjk)Jg9yiyRus+}a>t3oFO6~kn8;xiA!iZ=!LUKM5uAw_ZT%;P2ll;o0Q zdU6WQw2>g2EAsn6Uu}e`Ue3zJ!gV|=M+?_Vw$fb!MZHyQm8jQ|twQx)W3M^&@HR$| zC>$kJ&>|_kjpRrb;L4-rshmZO&gwCe=)8z(yGnFkL={2+GX!?{tJ!M7wa#oc;@a!% zb(3q!8(Sby{$wzmLZwtj-BM|Qh(ZR*Lxh0J7zGDy`wglULN$-wo37mv^(5CRJjq>G z`&+A8cm_x-PY2DTSZTPvZYa(V$di9ivzCGyF zxBv#F1E9cb`}K7J3^oDCY`-|MXI_%2;XoIMp^#|>ynoTg<%L5eI8?!Vaohfs@u8TQdM*ydgb?6E zsf)vK=pVQnZBkx1T!KRh-fP%6sHK5<2Xllwm?P7XhN@lwBV7Qa(g9G7@B-{+6eVIN zKL*7hQpQRQ@9Jbj0RT{x$T{g?P*X&<+2R8Ltn?N<%mf~Y3bzF9G+IpZb!?rOf8fq&8324oBQjJL0(%Op46krPi zs*_;*bs$j)nRLjLta=@l&zkQ%F2NYpQF!M?KYW_QqxJ3<4_(_XoakP971%^OVAyrV zZFhesBc-;kNJ8dcQ(zI|=l=&1(GFhT*Hu3=LD9J+P3G5CT)Y+NaJ@4{#*}N4m;%Ga zwZwE;i<^>KV7%)o4%bXk81KXUdMZ~nEs|EH{HU#nK;a=Bh4;erY>jzR zUlHX(ho!zE#k2?)`IN$yo9UFi`igxE$Wx;5ehPPhlowunPnFgba37#>&z9Eq!W|{8 z$>D;n_cnW5DD7G7ZKSj}vW>3NrhQLQKy=LP+IaQ0-OK8wuc0ULSfPEM3oF+JV(A0^ zKE!1Le7R)&8YvYq#=en=Gm{B2Umj`g?owJQpBt&}C7FDFhrJ{CJcqr5_`Hd2^5=6S zwdUd@x0!7g5a+SY2;#f!T^Yplq|`t-XQ6#K4D7y8uv?s#TgJi`wng;yDBFVidXK#) z`l6c+XdLuYtBq3}Q1^=$E^zIwi<5BJ%C-s|7P74fhxgh0HoYO9pwwCJ@JJq~*iLbO z19WX?od@#ACUyM*`#^NPh<$*%-p00>U5kJgp!zbgm=s=wyKa&)Xr*wmMK!oO#+h9s zTbqYs*|%GrtjICFDY33ytGg-Q-$I_n!X+kfzWab6z`|wxue=Zvs<>u}lR`bFH#71< zNNn@b+I**a;?M)heik*Z#K;UqL9i;mDJNg!AKt*4qKxrk-TNHCY6| z!o`Tt0gPs=)Z=fx7r;Z&dtZ4KQ$eyAwe1%bJ#Mx;?BZr(gD!U z3*c23fO(M1H7oqJ#gw#HxYFpV+g_zOxaG7G{$8W7Pf_SPT2plt5HDQqk}^FVUL5Z| zdU@Ria6>u(I(PxBaRJ;U0QfPpqvApnWoAc_3ebNE3~^?@34iM_i#jS|-@LHpdo=Fe zdCMi`7LynDyeWhnu!S4Rr6;|UB7PQPV0=Anom5^Q# ztiHlL>!dJlsp-(kI7bST8qA#(etcmtZ^Vf_^iHb4Ad@%`zacrM6crM5#9_~oRt-K+ zIH7t{+QW*Nc8eS;*-UjZCP`~jnd~H7G7@<=mkH}>53YZ2OJ|C9@lMfqUAdl-4uBIp z*BuJCxB%`<2f&G5TlqZ~z}*CZ8Og26(jL>h5|1S;09!3+z>s?@46U1&J18FKegaM6 z13Ssr!-aW-3HQ#U*;^0fYdrW&oN~VX=F>Ri-D%EWO^)6{u zuH0b1^AY<#l5XGlG zY(f)ja?-e;qHw9uVLwH2RZq-=Z@hEh6xBR4b@V=0CCyDD+Q}5-8x7ObOS1Kj5ZCwf z2`q_1>g83}pSvRfZ_R`u?5%JUeWhCOt+u{8Bo zoIw|U3AKsc(p#Yq=qUF#PLoa;Vg3u1 z@E>lMEkAvXky5Pak#rx$Hh?PgKIvYl)BC6n#L1G@M=_tM!Ph&cEtityCKQGVR{+&K zkpPyfgZ~2pv5)N&0`UXehXmp?_L-@;c|ZhC7O3}C%r!_r!5t_ePL(%Piyb#vaLm1i zMN%`m6`a-_6snC58v3d>b-%TsTJ0W$IP{Yipb~eb0H!>vCol+ ze8Ikuh{&m_MI_R~q4!^MD-mho&!tlpV`tvqazvys0mDZ`q<`8XqIyphi-_pszarX{ zIsww3`2H0UkyBHP$j`26^0=Rf>}UIhi2Ta-BN6$MeJK%<(^RowmKNa`jlEjLv4W&( z1l4fb!vvk=&!^KAcAQ5@#2hC=GB9l+8K{s&TS!DUc8MNAL?Jq`B0)7=vVm@%;++FU z&5&{!SP?NfP359AE!f{&FWEK+(if9tU2=NbVsd(#Vj|Nel_c8~6NNT8y&_^VD7Bba&q(sk$r4jcoU5>q z(2YL}R0#K5P?~o4E^HCJac|xlE6Q|*ZJ9rmqC6RtumdIHLV3!Bf)1ugAe#S!zA@eV zqHmG)0w6z*dImx}y7RyCqPXNZ%KM}*oWAfm3%d()C}O_hD=~kshT0@f{~> zfpDdwVmuHiT&bvt5FQhm>Fha1DBt!M|sjWeV*&EfJpnjT?f zOIyLA2ncE8@}gQY-qcg_W`sh;35@szXe5IT*EQ^yFNB!UFtHH|5uLxYR5&lhQn1ip}|MW!wo`YZjV&uRWw5@zGh$Zm9wZl`x>pB->`4YmDBT3 zl0H(r1t`%LWYak!ru`|Ei~NIVrpKTf-AXn|6hXutKlX%;V3z)b1C5$7ZXKY<3-(E<@_9U)Y`t>cbFX(FuJqD2*&s9d(>BX z5xgMhx!gC(6SBjDY^?i&Kd>JJ$lmM+1o8+wLO`CH1oA9=kBwgw28X!?@<;Zg06BpD zh(P|tej*@GPXc+i=iaI~{NYc^@MD_k+f62Q( z$K$JjGXztpJV&I;Lv^^dqq2M7R0+Xu{Cb`fySHQiRe-XHOP?DE~Nj{Y3ix$)P7ikfMlAsSJ*SeUL!BpF6 zB2oy$<*+0KO+y0gbpA!ahM^>!gh&KRBcjC({EM`RLP<0U5oee#^eU7>I8hh8xe1|U z01!iTa0~w;9mJp{mV{CPlop5xS-p9WPTm~g936rW&T2-`| z$-hX8YEV+m2a^X}$w}~|3G4d#CKD0!qC8C5>d?Hp06mL;5zsZDq=pMRVN7@AV65+S zYN#I-)mJ8=)oltlGBzJtWt!c_UhrSLbtD{I0JYeJojTrL0? zSgtmnT{_goalmmdxDK>L(Qb#(JF#qX3#%}Z9>AiH{Q=(X1sJ^~O@Mp-0p5e7f^A)c zq?NdW?j^BFu7KW4v>^zn6?wpC@E^dP8~9&&(H9Z{y-#ESwUue|y-2xAxu47o7oq!! zssV)dyEEo5Kn9Y~hu89q$=Qja`>y!bV*Eor{YBRz>oW54DN zaC2lrR5{LeqPfYg^e3@d!*#!LiyzDu7$!1np7K&;O!jLI?mJ(GJmXPeiRg`f%{$`e zn4%MWD0&vd+J_~tPCdHUru7( zi(WE~i~L|-iD4o#U&8xALFO>O=2LNVOy;#dD6PQOLBX|t@NNNLVwD*nk8S;ay+_vD z%;eqz0Zf6Aoo;?GXJeQy0|FTsS)qdu`Zb@2n_~tn@IfgP%MM4W%0fSwWJ8HzKIH>5 z3oAShGdSK4CfNsKm@oRk%=WUbaG4*>S1|2}bvf7)<*W-{=GPo{YwoN?&2znrXVCBA z@+xmin&)ALh=J?r*E@NC8}**=?cJE|*L*YX9rF_sTzcnXAn4(z*xT?BrC=>^fYb#i zM_uj!sqz8;A`XyxP*TtJr-*Wn+NSSoH7_{~|pc2PMbZJ>>OsscZs2 z0EWPtPxu#U)D%jZ+KtkqvKcgKCcy3HUj$rpC~0nk^HQm}%IyhP1C|Vzo<BK(^Mm=53?^&>l4eT;+uj0Z4?mdL{>O_xoQ@~MjEZ}x*2a{> zjJEfIS)3)ovpC-erh#E{--no(EkKT1a2YG+e*6|h##%y2OIOB#Tlf)!Kui^A>-b!t zT21#LGxDU>Z!3V^O7zQ516q-OTSG}}w_kTswt*koh(_GQ--a}53ngvsMtS|LZrVX< zJJEuB_}h^d?V+T-+X5?!0!83FoNOnG3&9}+UF^)fASokPpg?f}u(%jC_G@~zbV88G z3+SZ2w5GUyZo7h@rXT;02jY(xjNvZ-;|XIrKuHIiF>nDM!coeLYkDSiaa~)+=%hAN zRr#NUhap=KRVZ=A-AWQ{5Qr;7#bL{e(|SPdp>p=%7=EZ(Dy``z`i5%gLbD^q9f4Ee zXNTFt7r2gui=CjPli;Fpbd7N|5z{Q0>PWZA!$4&`y-` zF=i(x0icsaCtR(ZL^|mXCEXpWaT>)yk>aH*a^VVUUalBOi_{*_QxAa!S28^a7AHf= z$r3D7_g=kdT(3aGnUzK2YM~q6VKV6yK;aaD9#?0l5cGONNl$^EDNn`6gU}Cj09FwZ zXzr4M9n$`HkOIZHNo;PsxP!Ic_FECmvl!M3dhI1J;_}>!VALB*dSi@E0Oi)zYS;&U z>?0cTk?%tq_JxwZ{+upRB=4e(E>WlsG;vEXk0H_u;UWZk6?Eyl$?sm>IsbQ z;V_zst8^Yl4GFE@!~PA z?H5+H9qZHfQnxKn2?+5Mz$dtxBDCEgply(#`!fDT=sq1vPA7CjC^xy$9;l_bTOXPn zFScK01DiEw_sw&Sh`t8{{K2B{3H*!nJp@XIc>9hQU*Xacx8YS;Xu&ImHl+gImHdmq zI|EA2pzxv{;>D9(`709e#P+3l3Mh1Q+XZuYD&BAvUjw!dgb8~yj|S=)a$}|VI=n#C zQ&~he#Ead>p@Lu^2~G_K?1u`L+4Fnn-g5=HK_-IOBo9C)CSA}w|jL0Rq) z;X!Gvjs}(vX5+L;Z>&hd;*bsp$|WEI}1wAGBw}MHO9__frBzQ{MV#xB+=l!syvs38($r0>UD<{J|MAi z7Gq`zE6!nOGkhK^;z{l`Gu-Vo|KUlb-o&!hQt<*bTsao^K%tRX$<79roGsY3lz$O+ zjfRrZf?dT=t|@*pQT%M87y>j!yK~^Tb40tB_!nt+E|i>$+r13!D1!2=k;1QFb#fj6 zI!|=+D*qy#oDU`Edpoh(T>!s<&jfbNEBO~`HwH?^;C7rSf?Q0MA_#}s2U;pJfnho> zgg!46_`Jry2tF4<$we5SHC}vDq>Jn42ue$Qyd?zXHH#q^Lnjvt1m5Id1OXoRd(8D;<`v(3+dgo^K zWw>`3MjPwP;kV00yN&#dv>Oj4<2~&N)~(aSx-~ww61;v0rXin-IG*-1|K|fZu68xLPjP5E|UbF@9{5!=VU0EjPd-yrEd!S zHbu1C&c8^ztDxj6Pdh?iTaiIuvO>C&-PVhBw2OuHROn%<=$#)eO(nfw4JB9O-am4& zz6O4~MzrH+Ki80U)1YLUryaq%okClo4MjWeeB`O01h21!9qb8{zV{P4<*-Q$iNSPd>~Q$l>_;BZ?HhL zAslDe+`a)I+#sNT!@mgh8=>SzU+9PYH$EMV9&#fAzoQiBke(*#;I$E=aU|b20e&|L z+z<0Ff;-=|-i&c~c>cXV?j2Q*T7rAW^d!6^K9=J1GFoJf=q-TTEduW&{EOgyE0o;o zi}z2?h<3tK$Yn)H;BCdKy&;>uT#M7%axF^_ z4^B|0?@xg3hqjw1s9xtLhX*Gp(n+FudxBSv1jl9oS7r!~{l>ot$L@fVJ3JhNne|7a z_)mUY${C9jalENB7Toi3r-1x7|00m@f|9#@A^&5VpKzhZ_)Iv3y!oU8T@`nVMLsEV za1Vm+K2+sy`!T1xDspFwbPx`qU2)i`Ln!y4+|3Cs(fAi3^d2a=$B)pG42w|LyJ5bm zW4km;vJkfBT?#@Arl4Ee6m;{Y;9kJ;UO_<){~{FJ2POCUQjib$@a-@`%i+~YzCGO! z(C!yKh4>fgX(p7+^zA8X^U6()3Z9^7^47GwBAF5$%I-K2*BQzO0EGtxdNKY*(0dR{ z9`r>I5+-sfHLBw=>7jUfD>T_*Qx6=&?cgyB`kE#1sKUPp9{+<9*j~dOLTlFrdQ8m9 z5(XoYVKT*me=^=2I`C%$jM)NyHU355KLjNY`NFU14!E7TyWp79(<#0jeHfrUEPATV zzerDWpk$73PyA6ZFC{&FDVYl}<_h=?_!ogc4@&0w!f)&YzZVu*zE%nSI3Hll7x0_# zF9QD&D0u|KH}@FHD@q^5IXSn)>Gr&j;t@x>qVzFZ%WVw~m#ip#(q2*eq_?8<@viQI zV~+w?9u*vG#=i*17C^}Y56AYbDQS@?0dG!8TkEPx;ZD=r=ZIEc)m)09YE^+9$gd>u zc?|k|OyJX=e-V5ZLdim3eBfNOq@x45pRepa4y_*-kUR4)0{ICjdBPX+iPkIvb??AG z6~lLAZxO&)B;fM{@I?gvVklXR;hTH2WZ4^_up!7Llibn5P7xefbw5^l2!0+LzFN_FC?o z>QvtD8I(2!gM2A?2C#faP|%-$5elA#l4pG>7~n30u4(B^<eR~>Y^U68?La77&Eug_^TR?+-*XtJmnHL12{FwL!g6MK6S?-G{q^L-?fQG2F zwrc?m@wI@;pszB4#~J*K;PE1qyy%O^P@i?Z6zf(;c)3^lB>|tG)xJdFuYi&jzVJu5 zL+@h&kz7$uPYHmQ5IvpAzerCnL&?j&J&p3AWT-DCuKuC>s10Wch;{WkhvrLH4GVi z7k-dO_(EO{tyc@kWB3<={5q7pE+CtUZ%Z!rKXNI#On&4t(sQUT4=X8w=kVN!eKY)~ zMTS>e3q2zr}JK|2hK%HOC z++KYEP(Bbn@U8a;q=#)#vJLluj&QhBe!FPLx60c|yB$!n!_&@#*~N-&6CSM#6wx?l z@_x9b!Cmw_1ulGBy_4YbA(VWGaY08YAHi=QiFSOG{1IvQF_e7lX-8>@Y5z-`i0RUr z=q&mIaTMhZ(E9`s`9vT&mwyo?cR|T6fuyBLAFx-Ibdj8P>Wwj+!8LHm6IHs6%(Bd|Y%lFwwY<&PX99X3W- zIbODX4sbsgee#Xk=cLaspyUh8HajqnpKa_HjksyOpEUXsO1{L6>^0y3{BS@t;@0c| z(&#HF`3g58>ij}uNIG(Hp>SS!3H%yBe=WM@rtR0H+i#%c8{93A-siWrzJ(vY6^*zF z_bq935K0b;M#*~U5d3yXwBx4PA=2(UDEZFQj?9#c6;E^1RqNt(G}dA0@36py8*hgR zF5g4R_ZSzXv3`Kxeh}@rQT79AcLYj~c-nbrkZ0WV(x6I*i0975%Hg+0>_@=tM}ajr z^?oE+{{$sJVXTpE`x$=wS+wJZ+|Q)lFHrJ}ryZp>riifckQ>t;k_s_BO1@b2P65(` z7kl+!xQCV74_C3F-zQ2w$$U&J;AVKdWcRBj`vTfhEdzcK!NrNZKEsx4KWA3SY?Rp~SekiV=DnFS zgQZ1J6ungRaaDG}K3H1+@%k^;PXtRFJlJ4SgQdaJhA%c;*Kk9yw9#peMmIVySlYOK z<6e#X21}1?cwC3$ItNRe^lUP;$q4xONYg;mtYB%=N18s@ba}9}SzfcM&1%5EE1Iou zwlP@RyiW5r&D+Dj?>67t{Ig(bi;G)a-QrsK_otSbEpvjUE$g>z-?Ah8Th?-IOTeR5 zmsb5-4TOI;w)&(M(A#=&>+@S*2>=k?0*n2?)yT*eB-?tEo-Z}*N;)6s4&b*R))*-*NAX+!B? zw1Z1eDm_^?lwoDu%6Os;DKoIl5VTXuEH1MQ?fx?7%f!ouvMbAOFS`rv*|Imv{!ccP z&sV-&c^qH)$>k%s9Vm`BgUf z>HYHi!GC^T{D$}qM?2kbnO_vzoqlnCXJkXwZ>s)Q^^t7wujF6XzX941|AGG4AO9Wx zC;d;$h8n$UjH)qKHq;EL*`j7^*-)!;t$MW@%7)tIYX{V>gLZQ5$lBPS+Ba+eTKl1F z2*?*uE&%%(&?{h60Nxi68*n@z4((roa-fTB2&@;_HV}Rb{46j!a1GkqflmVemJLA_ zgX#ofe1k%R<_0Z5dn@R1&=c8Er&t}oI*5-(w;Mfagno^6jdM26BO44g4Z#M)SCikH z+BJ2M4NdDeZP&D;Y-mxsMU57<(N1hJuf;;y(5_;;I_+S$eN_9{_K4f|k2+{NILd|& z?K|}EFc9sn4v#xvJ006~?AP&A*$~n*WMs$~w5vjPhU}3Iy>In?+#9d=8Pq4NPXyW} zeKz;mDjNpW91uJJ+aDM_ub40MH@H%+W70TVS>|ytP^seZ9AdwgaK%`PB=ONaTcl#^$pF2wq$7a(3)sN zL+6HKJVG~y9tb@w8z!=eZW9qV6AMhNFtHNau@mP`#Oo8cO^llee};L56$&egwpLiH zu(oKIhQ)^AxWnSZeh5Q;PAV{|@+3dBEhhDu)F18MNf##}ZYJFem%@=R;q}8q!n>dy z8$LH2cEhiQ{}TQ{Hblq~IU;hS?Hn;YVielAh#L`z%gLE07nxj4Hbi9wr*sH zNaS~9WMoVvjyLl8Vvogo*|50O;@XRY(2iR?Z!!F`^oONSmp+#b%i1g(uxt?8*~``} zTaWhmvM-k*zm|W!{NeJ)vLPx@RD~!UXH@g3-cgA2sL4^wq7c7PKSjM*DanSF^;d?h z#Qv^KSozb+d$J+=)9CQ%DY9XeW|i+MjN_^*s|>4Nftzm7qwLQ_UT)TViKH0Et)VkU0=AzxX?(Dh?vSEF*^}W{jl?_`O zZRxV5yKIOV8#6Zs;}f$x=6p=NY}lG@YpJbe(Kg-MV{31;KWu%v700)&%eE2QMx$N2 zEp{9H5Sul&WGw1VY?IjTvAtx&_V(KcZ6Atu!S+qt5jQ)k?r6HBIohE+rtO%C_K%%T zJ6&bN&SE?Lch*9?ap#ep$7RDV`(0UfWk=g-*RWk9Wy9{AyUXsbfcDz%Uv@u`4SS01 z@!x~~d)x0Fv={dG?%jKFFZ{f3<-XnfaD4ka?;pM&e%ya?|E>MEWy66!2PPZ{L;J@; zr-Rtu!Qg{E5B5QO_~7RUugQi(IS-XR1p9}^9-4b-fowRu?Qq;-#M_bTN17dJiFWak ztw*+_eRkCSsF!Rw*8EuSWBt%>I~I2g{fQb-%Acx;cKoUNrxu~Taq72I@Z;%nr|X=q zkM_>#=V!QVIFs#6sWTY=GmX!5J<|j2?`Iv)I?0B!Mb1_|>yLKa*?DIXcjw&BfG#e@bkI>)lI)DBAgY&=3h6`ga%)Nl) zzOduMnG5I9-oEhcB9jdl{Vz7Z*b42gi{~z0M0+n@ibo#B=ZY^E|1sJH@tfjf(4LR~ zF8&AEa7lB?_Y&gr(yU9XFRep+`qIry-^zx|V=m9RJRj|@%jYh`&XpNgqOYJnUb&Yb zCBR-n^@L^#80Ul`2~!hhpiM~lDFN}$YSFJ6*50kAy`#&&UND+|0aIT8$zHM<_kUw1`Q5Imcz5!foL>K?|Z+|*?)4jm+RII0R5rX|_HWmy zDH7#2FIXGq`!@GixzBIiuwuX6va+mid%sQlUdx^arYFn(E;jJWMx-??=eyd7X+sn} z?{p&yuW%cd`&}N7@|fQFhHQ;TlEvOJ&=?P`Sl)MiXv)KTFB^N+b@|`N@hOk-y>0MS zSK2tUg7524O^0i&DZCFW{607Q>c&51Mc?@*N@h4 zR^~&GmU6_>#Lm2a)K;)^X<^iqBbR1&==G!bBdd^BMo&3{X=_m#k4ev%8^WS zJNDYqN7eeG!DQ}-HaeYBM$o-TIwwWH3WSgrIh>dKK%H#__$qaTo7MqlZHbhXoN z#t~NibVY7suRTRK_~y4&$L$&tG0%^OOOq|2TEH#t*3 zJ$gy$sdRe)Z<0d|)2nxs9!l2-k@}pP#Tut)uPHs3?hoYkIW~?pN$=iLddw;g<{!L< zb3Z!QE5L%S!D~v-S`l_LwY7Ou=~1gZxHnAGw$|uarE{(J0N*5S+gq!5l^(Y0gM6bjUdTFHv!j*H zw)z9LF?uqK@nR;Bb+UH9Dt((F4))cjqgf>Dk`Y|2baRF|;MdR4g;}?Z;(cWVWT=Dw zhbTz;%xW_0k&&W683`Hg!2cl{l0E|~%z9D~dF^2WYh*6=8j0`&f z{}3HXHKQf#mrZ5R?~=+1Y@M91qHv$nXPUcEMSI4b14Fp$rc(82H@_J7Yu` zzkHwc{rj)kU=bxvnKDwufFM$6Kwh0_hKe{z92RA)h(Sq;6&jRRCm`OC4HqHvGGvrt zBL+qhHYr1gwPGVh=)4*_%J30`V-`L%IIqrDqec9rj2~qTi2+iHA(c|JoQ)Nsq#jDj za1w)LA)Hh~syQ1kLdtSTDZ@$()IWq34HW$@Cq1N#%X#|Gg&rrdYt2GMfcS;XI)$Dc9=#lWQ^25I0-r7vlg&CaHZ z&`VwDDZ@_;UMj5%QdD zrU=M?7m&)J6odFLgOUc(!iBNah|LzU`R`*>8J}Vx|4V%0y%Jr_B+lS-L{R>>pi~B? z7|j0|m^7F+#t#$|<1>KG6Y=@q<5L-D!4@Wvq%peM_-w9Xw!27 zNum7-ix#2%ZbMrc-eR!dad@kS_-Ya2?>@wpVJ-&zJ%qVxsIL{F{(eGT8SY}x-&44& zgnVVTUWEMn3wdSOi-CWSVNU~Zyz!lUO}bIU{rio3W$cT=f6uY6TKYGO6nOtBpiBed zfDe!cDW`%Mkp~|p50tqeobZ8iL1k(PWZOhae7KZQriF0C2TTicgob|Qft4i1L*1?k z##^*i*>;g2A2vahNg|x_fs=%sfy?XUTfV_;r^t^FpC8H`5e`WMIr0w^%5IS`X(M5j zNh6$+Mv}&Cg3)YYdquvaoqSQ|jBrdE${BJ@@&nS@*?y5UX)9@zi6fko#u6uK(lP$j zBmMkEQFc(|Puj~LWey1krNJCB&!cE|SmaUK%p+wk2`8n|Tp}l#9z;+2p^--Hs7R@_ zn^MZO5{^p4X+@4Q{SY5gD>1uluCwDJyV7=cDKktsD~)FuIqTJ*Av!7YEA8i(GRK6& z(m{@y<(b_Ec1q+~`p7e7t_i25lUy^)H{O<=5&4#W@=cj@!g1*+=g4tpABY67b0YQ9 zSL!L#PdG1~rJqU)c4QYs3Z}mlRHmVDU^+}ga-ium%$I*mWH^f#*_b}FQJIm#iRm;W z)w8lcyDYLY{br>yGle75ab~J!C%0hEyC$;NI>H8C)|to3Toz8Y(p)AdzxrV`KH;Lo_F>J*y;L0Qy&bIO-x0vYJ*pDL7tv}I~$u1n80g~NP!rPZ%cSOQxjD%Mvy>NO4NqTa+ zE&ZxX@^8Da1?;X!`HYkD%Cr}b&p>HUjyHWPiWN)xWha)M-4ofLv9e!T0fh52SOp;G zn?AHptO7}Y(WfH2FA71%D+J17AOau*76S?ZcoRtymoqGA{Wf`TH&3C2@VGDOKRl?-Ls5P>1eMsnGp zz)(BkcqXccs2!#cvzV$&(rHT*p7^yAQDCgHIJ~Wx zG8GvSEMgXYZK5Q9Ma5KW63=Ap#r2W6K6;aaWGXfyU_`NbZLu*1l(Dj8v1g`&lXP+u z#2rP=5jE#cY7X@=)g2KuqVBv|-N861Pj-yI%#nDqllXUI#8uTBkK>yrskQbq6(12e zqWGjPX%r_v#sZXd@)O9N#mSF2`AKcPG}R#yJfaSzt`1?m5}(tG!QH4@l zg{Z%&5{Up3l_=Gfhyn;Vyzn(8Q#DF{PRC6YBvFw5O+iu?B@slTDE+IVL_wr>n&cs> zlBi1mwkjzrlL#bHnf`TUqCiqTZEC{3M1c|o>c12yWswrWB#P93DpHAsib?ivirfB) zx85>|LL~~-e=StXVkH7f6s!MStc(F^tW1i6mHeDiW>K?5&3YR(OIf!>P>H(rcIuY# zs!;W0%U2XGQMle#;Zhba5m=&ly|v(S;s_hi8}Un>zMIm zjDCKkWYP}>Bwa-|;n_tY6NT(;7cylr69FcQ*;_AW#(*`QoSD_MYCNZ?X`-gRi<+ja zYa+-*U3)im4da^pvy;Z3bkhCKbBmHDO4_?BY09!D0!@^)cUIOY&}@@V+nl-?f32k_ z&Wl~jf`|JI^i*vVUQiS~QSjbJ!BZAJ5p<&Hy_cd#L6`X3wpr+=YV!4LVNvx&)q7u6 zPg(gy;EBrj-YTClz>Ss8RQ;5fxDHi}?W7xh%s)3i`KE$xG;riwrnH8suF zRP(wzi`Ni!Rn%2WH7%`hs;!C7!H4o%B49a8+7()#ktlZ7$7t_WTcylFjP&G+wR0C(f{MF5Kc zHV=^Wu>LZL@A8Hsh(!>mhoDv4N7E&o2x4&wmu@cM%mdl<(~S5N#Bv?ua^({mpYfKWUWoozT?Dx(?N(XZDcBv= z%X`ufz&7I@MTHj?URf2bZP`@iMWBl+Z?#q4n6&1VKB>kR=AA^17d776>bm*ynCiR; zc2Vc8yUxSEDL%A8txqcV<9QcR?nSvbFOSx^ZYudA;6=%|`jSrxZ1?gMKdIV(%Dahb zFRHyYR(G{yGF5yL^rGTth>A~1ko@P`3iBSK*o$JXRv4|7nF_uLd{OW-Ou?sQR(}1X zAMYjVzNq`wTH`Gpm#OxP;1{(&L)Cst2*pW%A5r{8@wZeYt(lu90FeM<0?2R^0428a zBH#t@C*}b$4_I@(|EFU!%>^O}#9WXe=K}NjpfDdG<^wSw{8P=e_SZ~vf=C20CuG<; zfs*{ypJQN)_&_l=h^fKa%m3?+&on)VWDwIshMpcMQ55$R28+o-Ob)Lro*ChF(*z+B zLQD`DeuAKcSKe>v$A^k}Ld+8xVIFvsV>HbbA}PdNA?Avt7*JeP3>TAym@M9;kYfeJyhN-}%=xfrtbV6NtF@P>F%$Nu(hkD<+YDS7bzSw*GN5O(Y^oREmg50P9bH zH}SFZ6RpjByqHf!SiDKtWYj*UIYlIjm{Y{X$v?z^a$Z@_L&dxz=9P>(XT0fgo8}gg zEdNkf-gGcUKkF_y$sd9R@GvpCShuf5F25cB(gYJkw;y?49xjsR?F_02PU|1Xn$T_uKXJ%Oq;eXNn|x zyMrr&HRFuu%S4*RXNyG2IF1)d{`P(SGMR?+IU)%Gsq%%Gv2tqOsvLykw~nJ7XTvZ-<{8u$+cJ{*Si~N5yTmDY?BiV zj}t8w36?Q~KqUXW^?P!XvGIJlNV0c3*dmxS?)bh;w7NV>BwEG|1W^Ruz3*QpTVozA zlI`6OxCrWuJ;uoi$LsUeBH=Q2FoB?0bBFUA^}BEh{EvxW8h^HM)DYugzw)0BIw1K zzd9QZ=i5Xgil7jM;lsti%UQ88-!78z!#P4Eff)N&XUEojr$|T<6rwPE_!v;mlDkDx zet3t7q!2!Mb+&BG_lm?6K_Lo5+KGXevu0hsUnFPRag0b3;fq&i&$|4eNKg?JqA;Yr z7kYbRm7^uiL@O9rioP~tN5F#;_q>%@fcA4P4Lh7Nin~s?b<5lKH(pVftMc% z7v`r#(u$xEg&}>!fa&B|ByAc$F%k)tJ`(DE_z5S;F7{5{j2}V;@H1k*6`}Dy!cJ`C z?ZlaB&J~F(=3McCR62?QP13xJ`#+d~`SvZNHuPGfmSXq0@PZ z776io5+c2i^Qv#FQWa@E^tGp0NwR znD{e>|4kFTNO&tR?joTxMnb>N&&p5iy7N0?o)=;9x{wjCTSts2KfSvv65l$UDw0$9 z@l9gDbfPa3U!3TRPx3NQ3?zT5SD4=ulfIZN-ej^6eXJ)=OcTFI{tQ&~MFLw-0{<6Z zDnIQT&F_o(UxdYf2`BO1^cg>QGoOg}SWn!T&J9E{$iVZ0NNDRx=>OtNvyTqW@IS;Uf{2X&5>ev4))7CZ z(*#iv#A$-~2tmXE!$%1&_O9oRe{!b*e9qH_k*-|u`Tii7nyRwSwL<=cn>(?x|S4&sDTTvUh{ zcqImG?44p>ei->eoIi*;=WWb6!iIIlk?9;l6bLbYyfS}?M7OR)|F`}$J|Atosri&u z^PR$&>?56EXqcX9SzhMEN}%;;Rag+(Hq4K8LOX<2Wh2qfVAa?Hv>RA;7Kiq8RztF7 zTFDWu4sC9yI`VZ5y=x&<;U68SN~z(P%fIJ;-X>I54e^FRNwK5$zyW+hz;e zqb$JY8PnS8SRLCCw1Zh)+tX-ou==*(b~@I;t~lE2tfAdxv`bhcy9BhiSz`^jraCid zhM}FxnrOf^-?66l9!zWR&4TUQq3z9@*)KsG#hTlHgZ4ga;gFqa9rCc24js_;Wvv{R zp^av(9lk^RfVFYV$+V97SX)Qzk7Iw<&T$3W)vUeaEwm3=2W=jv)fQwOwf)hKVIf)^ zhju&bBr~R!U07%N6SUP?7kMn&>8z`K5bZJ6O@6?%PPVMOQzf+ZSr4ZXXs5HDPG6$E z&3ZX|Gp%!0*4sH4ZFknkc@5eftgrJAXz#LqE_mIgFzfHq3~diKz$F^(F7~Ob4b!^1 zv4O5t(bi;xTqmHN#Rj_`L3@%7(Q&5Ld9tCpwrG2?VYM9w7bN#?p|z+djqr`*;scRr~3gm&iy{x-`RMNVod8%jZN@Cym-uD zp&mF6kK1gbXJ)4L%*Mhzo1^W?CV8$#yN88)K4Mxg2NvN~9c@!K#cMj+#cZnHolVn+ zF|B?Io1tHeb|0ImzlQc_Hak-Vrp;7~eU@nmo0BP)&CT>H)*rF?-XAfocU88)yARrN zY@s*y&HE@@h&|tEw#4@a+PiF7mfmc67L0$E$1Ex<#wlxkwleD&w4p3I z>jAX!Y*jXlV>Ufoovk+7rff~NQTVrqt<83it;?Q+t+j8_^zO+jHe(J8}(UJ9FW=8a(c@`W;OzWMAx{u=CHfgaw_EzU)--lI(Pe0CuKiccv{llASMAmuXA2V;4$)%r2JxgTj zlwHCum+Q;4<;Jrsrcj^ox^T^auMx!?3*g^ zVU>OC+bW09US{9{hk?Ok3?DyHo8?cDFiWt-2Tc z*&n&(Uy}V&s}#FmYdO=_TE%{?brtPh_MmoFrmbC=J*+*7{a!mB%b&AH0a~UF$jTlE zG(;Q1{s@?lc0Kzu;3nF8>`9;>(+1XHe+3Rj8^)dnB1QvmvcH2+BZ6|WXF)^Q^Pn^A zMV(xn)fvdNb%t?XXDiy{T&nYoY3u5^ObaZ0^+HA(tCw<4z3+F>S+e?%W9D)aYyO(xg1o zHmS^An_#<5CUITU<4oK1Dt8OUI0a|s?!mRtw%{JY3(>COp23Kn;IFw?vusS;tO(aP zYmK%8&(v%M+AZ9>**9o^;F+6yGHvtx+^2aHv|YJx^SNl(@+{52K>HKV*20@&KU2`ZFuRv_2@7>6!D^YUF+GHutLyh4wPOxvR#uh;|iw8wN_smFe_7kT9#&zZKT8~>zd9kgwE zm7W;Ko*TJe&#%z_!mIYd`1R?_tM&N_?K57zFZ|Iri2L_N?DYMO*XUQ6Y5RS`Yxcu_ z_8Z1)4LHnefBJ&g8d!~K2iD>NgNpLN!G(ChVC>)E?mTEn172rnT^=-a7}E}&%Iggq z$m?Z~fqqfstQJ1Qq{Jj#H!3vV(Cb#l~p-gMLt zJb2VkJa|l1-fT=H+LOH5*!;ZtxJce?+zH-dd?0T=ejpDXkK7$Ui?)>Yvs<9;#TU=sgEo#Ynf-(>{j5A+_Ss;*{IeZA>a$0D^_GH(u#nRkV6onL@&n?Hib&fm+oFL32M7ZjAF1tTS!1^Xo1 zg*wS@VThzzxJ$BM_=n`MsI26;XrQEB6f4P*4on;AEIBQ~T(o4Gl;YsqC&MQn}r3Qu*EIqzZfTOO^IEmn!ewCVjFmQmV4QjpVmK zPO5sKi&X8veX07v`jY>_-BOK1nWdVChDx;$ua^RjRFndb%#nhQJd)}jYbez_wqB}# z{4=S+iKbG+6NjWmC%Z|FPd<2*@e z)0feHBeg#Bv()CCqZD#ssMP7=7O8XmOsVUYu~PRdUrRj_DoQ;QPDs5z&y910sf>Aa z;J@;%tT+p14Vj+LVvSi-EP3$$Y!GXLB^_^sb7BLQoOwyM53e_5TJFwnvPM|4XAe1N z4X|WuTZlP$eg_llb=&SdPqh!0v+O&U_i{XRus8^}z0d<@G5K_6t}Z-xgr^ z!Seh1gZ&DYFI!)*2Ve!WwE+7KtU&hLU=P6xXTJpYJ6NHdoxmP}70p>6>@ir8ocF>0 z04tXB8rYv;ALXhJ_5`d%uA*Rnffdg+59}#esa#{h{st?V+ZXH^See{*V9&uy=RN`U z0<2u_Ei7kd239uD4(5=VgH_103`_zmpLaEw4OqpzGr?@ZKF)U%%nqz_z8EkKSf%_O z!0f@Q49Kn!FrbQ z1S<#Dr}T5M@?gEo3<0YE)~`$lu#ds|mRSZ?5o|!22(U_E{maCIRR$YaW-r($V4s%V z1y%)YaM=}Leqe*j{tv7w*wC`4!K#4`DNpfI9c*}ciWh&dVda;C)c_k=J{+tj*og8J zleNG`m#3Jl4K}JmKd=C>u@zc^1%i#Ka2YHJY^%9mgbz$W^kzGQ9)7Vd}olDQGsB){Qcjlm}SbpkVhMfgR5H36IIHx;ZY*c87r zV8LM1{kDTO1DjU$5mmHXa1+b z+JepVj|FQ7Hn+xDu=ZdJYV-i>05-p7Yp{-Bi)z*a3jteLt07n?u*J11f^`OqtX&7J z3)s@yWx=|FEvZf8+zo7bZ5rq9V9RPh1nU77Rr>~5Pp}mMjJ5k3k%cHs}f1Xt13@ z-+_$*+ffJgH1k-n-E~k;Gmit?)rht+9&B$T+QtO1J&p5#g@WyG>;g6sY@Y%3K64n@ zK?CZ2=1E`&nmT}mgB@=28(0L`p{5HCqQJiD^C{R$urK>;1&ao|(I*mY71;FwG=8hW zZVsUFTLbp>z#d?0!M+*T1Z*AH{{~M3TMzc#;2~fez`h+y^TI~3TSIAH*aY_d@T*{( z!G0Wm1Z)e~598gzV!-Z<`x|U4*zNJLVB5g%j$Z;63-;6a>tNf#?u|bIwgc?v2|2)a zg595>1=|Jo%Y*@7yTKkzXbrXp?AHl22kZrVIDzJXePF+ZW&_&~_9)Z=>;TyBp*6t{ zg8dO%9PAL-<4}sz!(dNBDNc`o{TX@~>?qjN(Dh))!2X&@wfH#LvrwwVC&2!mSPAST z*o%qzz~aE3Ph7y9GM|!1ay}8~7nx79TAWQh19k??X5wbBvtUwKQLuAhc42N{=fP~l z+JapGvk$8db`eYywi7HK%rR^c*d;KBusdLv!Q`-WU{}Djll;IEz?>)L0s9=xX;Oc% zFTh+UH3hp0<}&Fr*flV>Njt&51k;7vfqez$G3gH2bujnvE?_snyuyRPz6SFQr(?Sb zmMNT$?SEkU@CRVufMpI(0Q(lqJ0dsOcVNB|_F&(G`9zEYy9Jgtq663uU|Aym2lgXa z_K0I(x52VaE(Uf7Eazkou%EzkL>33T3zj?56YOWOT#=o??t$fv3;_EDEKg)C*nP14 zk@LZR1<_SF zOTPvC6YQg9gTS7El~~pS>@Tq5%hrQE1uL~|I@sS}C70a*dj?i!* zO4JWv4q%_Ggx`D|!K$u=-+Z)Sek<>R$zau2#)CP5Rg0bi<_uONx-XaunE$G5V6I@b zR!Lwwu$rra!Q8+CR#gOZ2dlm65ts*9(5h=-o?wBi$ANi))m_~gOb=FP^`Bsw!0NBQ z4(1J3Z_Te@nZX*axdP?`)?jTatZuJ2x0@h(`Z?K|Zowhat`v@##E8@kc7+9CB zh!>yYV4b&(1}g#9ZCgjMl3-o8A*X#xf%VvioW^IkwRrc~GGJxEdc|f2D+|^$wij4A zus*R3!ODa6-aZtp0$9K8t-(G9>$^P$tRmQe?Q_5?f%V_f9IP_fz#WyrJ^}l5$4sy) zV1suI1oHzMw9^%=D%j8+55cN|4cS=>tUB26orS^t!G`TT4pswf0ocU7bi570!uQhgHUgWp7d6bMG1%n2s9`<^u!wyWFHOLv?xT2V3N~dwYK~7Z z*!2CVIX=z6rtQBC)*Ni+{18WI3`#=w{R$#LZ(zvt+n{$B1r486;2m64v z1)F!UF<3jWxd*R-wFg^pa6ecFu=$7RcsqhEIz-1C0=Dqb0kJlo81sTp z7qF#=F)#RZ1zU2YC0IAGjSp>SQD_mV5^SNHu{0BJx1H;54Pqw>ZH#Au=U4LCw)EzTXzC=&1WFk#uF{T27zrj zf%@z-7;N(i)MuX|V4F^cgAE0XIoS(r7}%CLH?ZMg+fF_L8v(X8j`n3F*!DQumr-D` zabJOr2HP2T5Nr(Cj#CxE#)9oWl^tvx*sfEHz{Z2^JvAC^0@$8YRC7YX_Mf^2HW6&! z>H1({Up)-`flfjOjq5Pc!cH~SCu&H3j&(sH-26pVM z6WDaHlV^Sfn*ny>tUuUHuv2FXfXxDnJBzu(XExZGvzRM_t{~VPuyf~}!RCUU zJ=X?o9@vF*)xhS1oj*r4YynvOIjUg`!7iSoI=Kk!@;R!Lkzkk3W6twg43=;nbDqx< zuq)>&#+QP9ah_s)8QACNe+OF*cJ2ICuoYleFHo#Sfqiv>Vr?bZmlw{1MT6bAuoY|- z*!7DHY&F=;3*UpS0sH!5E3ma--(2(qTL<>Pixmtq> zd^Ul7AOA7fX0RXQvw>{^`yoCCEC%dO{2Z{YV7KFc0NVz3H~utOEZ9$%Xztk#cJC6+ zJv+dDzO)W(C)oW<)4+Ct{c`DBu-#w}E}a0|1NQ6X`Cxm&9$p>+wh!#L%e2q?!5&?v zeLev8`;|3d2f_ZhG6n1q*y98`j>BM2uF!ED0sAw7a_}hF(}XHu$H4wdm;rVi>{-I6 zU?;%-PM~@9B-o1tnpfk%o-_7&fTpCT6l1!~j#(VDGRZdrccN=E8~mT)PFLX~yWEQI z^0~AHYZBe7W*Ww!mFROhmLsv3To|9N(f_n^5iHT`TDhptWxQh=mYr?TL5G)ga#5zk zhUz)ix3r-I^9@ygWkUhU8wzC2)ixA>jRcxDB!3}aO|c>0#3TB`d_yx{*-#@I6XS8< zKDgS38et>H$s0Osc|+fsZ)ll?4ehnOp}ol)x@37nx6C)R+`@*=Sl-Z? zHxy-IL)R^D=(=e`E*i@lx?{ef)fP7N%<_hwC2!~-^60MlhSsQW$VF#yLwG4E>fEB- zRwk98%1pxl8FMUD*jQ8VnQe#FH*QCt*hw~3AV*^*<;F_tD9KcJj8)WalSRw-%`G=b zm1Xxz?$}r3L}0$p56mr3O`YZ17A-$Cw>&L%mK$2M{K(vLVx>I8E0eNa1XX< z`44l;iKYFGEqAbJ`H8vZ#9IEwmb+NA{M6iXV)1@s%b^x6KQp(yDs>*5Zqf1!bIXaT zpK_n+oZ}S_8Z*UxktNH%+}v_vuD`M6l@=}Am|I?(y3yEZ(XySn<#nmEyu+epdvnX{ zQ)l_8Maz!nmN%u&@>z?PWpm4$Q)fBBqGe}u%Ue=s`D=@oUCk}Wq{_0#6pNPK%q`zZ zo#nL_Eqj<-{xx-$w^_98Wp4RFsw{iv^USY4Tlr=(v&`kxSuSGHGVT_TPk%`J~io#lfTE$274JSugT&s(%y(A@Ia)LH)1qUFNomJ_ex-gJi5+gP+*)ZB97 zMcW%&cCcs}&t|-u-HBIeZ*1AwqU93imJ=__-q^CcMa!kkEhk=wy|Lv?7A==Cx174O zFMSq^mdlx2PTkp;KBq;?70fNC?(9on$fD(n=9W`;_N6ao(Q;*T%NtUagZk1IEmtwO zyfJl_D_gW&)!cIG&g=9wEn2Q_ZaH=5b^7`iE!Qx&oVxQmeKU)eYnfY4-Fcn9wMEMT z=9agn&V!vTS`IR|ye)N>`&hJG*W7Y!>MVb1(QA2Ya%*$T z4ym(zz@p{0=9V2(XW8;~cYAZo#^MXakXt|%cHg;QsFxy5}R zYHqnmsw{hVvuJs^x#bqAv)tRF<&ox=Tc*ac)_0WeXnc4~x4%7b!l5d#$VF~Y*@5n#P z_mbJY#P>Q$Df>BTRQhF6>6b&LUs08QB~tctUXrq(^9q%Iu`2y`sq{Oh(l1V>UxG@% zYbyO7tMvOTWj`0kl>JiUs=^+-{*i3&k0g7~QZk*53UgFpxl~y3l-t!+ zR_Rw)g|${;y;ST)sIX}B_d5Ezt#(^$I$kUpbJQ(Hh3$3Qm)vh(Qojo-?3&w`$^E`e z>UUFxeXqjqsIXsD*h7`={;9&ArF^fuUCMs$vPwS>m44nT{j#a_%dNtSsIXEh%r9j= zy8EZhNB1C=e)U!Q8O-}R`ntDJVeM4*rISj(?ka4Y%6li7_ml6qPf^*wSt|YJs`OK- zx9%$S)_t{#z4a>gwy5-rRq3}|rQd#)ekWA=omT00L8aeim3~)M`dwG)_l-)wTPgc_ zOi$U*W421a4J!S%sPx;R(r=G>KYqgFuzAe^JC(9Fd0bFopR2GNDomx`d)!s&_dtdH zsluM8WS)*H%)^{H`g;1NtP!4tQl8&<7E@uLsIa;!_8O_MU=`NWvzMtBW65|9<=M~N zN7(0qD*cA4^c$(tZ>&ncFqM9jRr;+@SvNeNr;IBvDdl^;98~%_sq}MCIWAuMl>6u9 zqw?NtD*bY)^vkExuaHW=k5u}VH1Eexc=@Ny8LvPU*1()O`g%pDj3KX0Dr{TIvG>}g zGN${?_Yd1RqSEh#O24xz+lW_rZ-UBuzg6+uk1FFuzn$j&urK>m*kKix;v5I> zJ!9Sv$8kYryEo1A3jMxQ>32uP-aVCmzp3>WJ{O_i=St=q%5LM+pGNp(=VvF$N#m5oDTh;0rxMOfoL4xoc8=&QX_3 zS6o+FS6A0s*Gm_ni^jEVjN4wf3vSokZn}N%cE{}(w})Hp2-~EL9 zY4;26m))ph=)NnQ?KPG0U_dM_WZY+kv% z@_7~V`pB!Km%mq_R|BtTuT5Utymoo*^E%>n!t1P8yjOzPw_ZPb{p|I?>#^5UJ=bgW zvR+|Rf=!@!0>dWaX>izWo`T%`heItESeM@~ieTcrBzL&ngez1Nxs@D|# z4E-GaLj4kbw0^CAlYXmyr+%OQu>OSpjQ)cDrv5wq9sNE1Z~Djjr}`I}Y!Uxi5ZeKn z44K+w>X@lprUjW6XIkmq)4QMd5$`zfi{796&SosrJkD%)I=7V9axXlD#GN~825{%j zw2CK(xN}ch?Mth8+=n|4q}7GAin|xwd8B-V(^oFI^E|wu+pOEdbun0Snt(1Ic>Iq$ zh0$skTE%mQ+-WSWM$jrA;p0w|X?3I1Qtq^lWG6{1$u*M05V?@%6sIo}ak-XU8^6Bh zSf9&d<%XP|SjP{q(Gs4D=kjwHrKhX8{0Ms`<2y85ehTyQWqJV*baVMIy?}4=aQPx_ zw+g*5op!^IR!h?=9!Ta+m9VP&(dm2cjn9Xd$rOXbE>ixE!Gg z;qp>>DtEq*x4GECiXM-@aOc0VHTntycai7?Z+ZbwuW%PvdI4V<;4WVDLN0m%51(+C z+_ajHR`J9LmzTk^O9@&nMz8wO5+2LoF14s%Ag$tA3hq*uRvXYN9)RF3&1tn2t=6a2 z_Ou#8t9aCa%geE+E6z>b6r6!>B`e8?pt$RX>^fSV&l51)UP(ZfZNVo=TGl#NURw#+%V=k z12Gx{T~}hb)ys7>8oGY8iuNxo$R@+CZju z62m=5uG>YcdujDPt?t9Byo&4{Bt}W1J49WM;=OcVj_Z!;j&og{rVQ7erls=~NVxgM zbr)y}H>3=vc$>U~c4#*-d|86a2e9hofES!79NqDi0q*38aHMa7aVH8#CmL*bJn_$+a?uO; z<`#D%f5=ndH>Xnc-s;o^kHK@Nn)Hq!TE(;L+^G(&)~8iGaE@O@q}9f>il@Z6lYv%) zX_W?6{*3H3BSzK79S>!5r&hGumR9j3HFs)9s~u?-k3Vy#5L%svqjXwA= zo>s4u+$8yy*v}XyPdpjRo$k@qmCK9C zUJ$L~VN&kgf>!&G454idrKMpc!%0SvP@p?+!5GlPi(FnptI_ZbeFKd<2DdBm6mKt{OHxHB-Kc&llYU= zAgM`Gi=;M507)Q85J?@9x+L{T>XS4eX-LwDq%nzsqzOq=l3?YYmvX^8Z$$pX}B=!`T8j=IFdXVH0gc+mmFfCEkF=PB?p;s9?vn})vPD`61 znx>jy#)dgAXPS>S6`7`%rZ&^`Mnq^ZcQZ{#TIvpA%^dqOHWtDq5*HF@$5D*Uq8GZ7 zj3GHkvVf!~NneulB;#n4PiQHWmIl+(M3O1A+Mkw&&{7{->P<3|q#wyqk_mW~yHc0K zB&SFYk#wV1heEg=i4%zRM!W~)~K=Ko<4yUC-BqM0`3@v>_@;ymoFwJt!3dVxz)g~lO zi8avR>*;5y%SDof^ulbCSd!DkqDkh{>UNS{B-2QCknAQ|O4)t3_@)=13Foz!X6hWsYglMEplN-~UOILQc-ktCx?Mw5&o8A~#bWIV|Pl2DR~Bw-|zNT!m6lSGhA zCYeGqjbu8>43e27vq)x>d`2>dWG=}(lKCVHNEVVTB8enfOtOSzDakUDUeqDWSf zM3bx{SxvHrWG%@$lJz7TNH&seBH2u`g(QY#E6FyJSd#4|J4kkt>>}AsvWH|Z$v%?( zBnL!jJM}O!9%))FG))(ukx9Ni&j`ByC9AlZ23Tfk@eDsXIw8lD;GZNQRIMCmBUD zmSh4+7(~iNOA#bfNoJ7DCYejJfFzP+Dai_wXo!@bme!E0C)q?2LlR4}lVl&sL6RdR z$01T7T8bk%LvoSiGRfy8*GR6D+$8yy^7lVm2zLXw??2EsNkL@Gr~1xN~$d_+=$q%=u6l8;F$llYNThe%~< zsU}GP33?b)yVhqe`v~+^x6vs z?C8kt=s4_Xuk4K5pm#|1Xw@hUX~{qmOwxj+HAy>?jwGE)x@`;zr_0l8MBJgd8eS2588u z8uF@!yef4kp@fhqA*2B$gGh#wFp?1@G{h1Ou|&BbQ7%Z73limmM7bc%Cs{;dL$ZWq zImt?r)g1*+#O1WH$*NhqRC6AjuJu<0Nq;=SVJ+TqgOPNj@Se zMN*ce0!by3DkT0S0T7L!rYd6wOiG#wbTM^T^E21n*WBisCz`*w<{6gk?d=`#H`r*2 z*>i4hORvUiwlmEU%~7V=OqX8=NQRMtF|;(BmgZ{~FwJk8hg|cR-Vv^eV4671DWpabOn(w*hM|vTFF5Q;Xw77zHqqL?BV~w!n`x&0cwXtLD{{w`pP5=M^ literal 573872 zcmce<3w&KmRX@J>NphZ(q$g?9Bu#s7dehf!+9c<+O`7z!_asf*v`N||eYff9Npg~$ z_9Q2dlcv3t@(}Tbzz>lh@Ub!!Y)3dah~I`e^OK|5|LJB356eTwB*Y|6@vjY8qcI;eU+&1tR+|1oJx&sl5z(F z;9peu@g|plRpE~){ObxozS)(ZQureZe^%kgx480og+HS37cBk~`m5s+DIcN!x~1?J z75-C7IUSF><=<2Ii!MJHFnK)C4BOeSZ{6&QyWqwdVex#h_cje5!ir}LPKVIST zTdZ%AsT={*fJoBY|m%@*SrM%=HVt(*2?2kvJ zop;J%&ryXxqVP{B{CL!rA5{1w3jd74kH=j3v&^rM{UG_=4;K}FT)1{#RmvHW{9V${ z>&!22LVw09Nxp&RRdC8GhsJS4@|y|I!C6(l%9YPs^3op3XL}Zua^ls}9$8M2`Lf?e zB%kfMt(L#eE&r~JUdQVj_pt-AQZmsP>F9jR4H#eR4LC6Rq}0zD&^UsO8y|%8yu!~ zNe8;?4jgOHa;%cij+ObgW0n2D4piE&IMxxxu?8*2%0QP6)B-x!EBWkLnQu8(mX{8c z`Icj4d4+E~R@p9gpt4;>wVz$b8oaIcv*JMSD*O?J|NdvX8JW22K||&4%*c#LzBDux zb|*$A9&iReBozLL!e6KG<7KY=dWAot@aq+RTzYOfVM0yJrw+=nM`wF1k6wWe%CJXg zdCQ}-y!7ZSZ+UddXOGT&%cHZr^ytjDJUYuu&&_&z_OOMX-mPeO%j`Ie{W_DYY=?X^5Tm#^?GPtWq~(Ph21r>A}!QTVo}m;K3} zUdmgZp37JGmZ#_PU5_48Jbgy-^dZaBvpjorS-$P*r9BE?@$?zR(}yfi&-S=0K*;j+ zT)y<^+&`A5XL*IMdiqS<_4Faz(@TRCzUAq;0@tI56i=T~JblRa^s+)Kx%(qtn+5hg!5VBVQtYDcqdwN>ILdpt|QC5JEy#i1Li@XBJ3c|_? zu&As6VPyqaR91knvH~nBD?r#<0l0kW>A8Gs1(1AR0hn*C04y(80Ol(zz@oAOgsl~T zDd($h0vSpgP#uP;4cSXluUd9TlWWd&GNR)Daz z0&w}#({uUC3b4p~eJ)2?0Ty|$&wOPCSX5Sku(ARy#$8VzR#t$;5rwa;0E@~B5VlqT zas-RYUO%j?0E=WR zR#t#TYp*ZMQC5IOYp*Z)_6mR*ylAZeG=sy|3Lt@{?DfNsx?8wKWd#UZD*zql7OlO$ zEXP^_7@*FczC7F-XpUsIwnl0<_XkrQsfs}SoEhjldf7CC1Li~!{Bz9Tuo3|OD)E~q z)`6e3XSMOT(>QW-1ys zE5{QZr+W7`#~TKwn%7rHD(4c5k#I%mX$=kA$^+$3?rQFc5A-&cHDp=}SG!i8zAfG{ zGGK;}ZyoGxYwX-t3%zWnHc*`sopV<@W>2B~k%5Ye&?AkJV`lkqx~BES-o{`>sJ8J^ zMMYWp`O5LJ-c;{)(wolImOVDGdt=+R{mZ9MF&%v=Em~tk%rXXO^HC|;>nr4n|hAyiZomb^#{u5&0KRPBLce*iVJ%;beUvv(QcWs+I7w_n(j#PwhHs0BZcHO3So#{PX*08v7&(-~f(~mcIjGXD+ zUe-J?Q*|x5JRY3d80hUyg$gy9zOxTXB$Q5p3COO@nC-A z@YQ`s)7>o>D;A z;)Oj|lZD(<(T?UTUCrqKUDKIu(MaXF{T%r>#~sRm4K4HeDK^d0IKQp}DVns;~R* z`T3^gbbMFCz|G8KeSzAFj*j!D$R%2D4_@ji_MhK3L*?y7d5hqtk(#ol8T<=Y~e=Jr5Cyy@zUJR9C{4yQoiD)J@*U&Sk>Mvfh^fNmG+v2UAbM-xQH}54^hsP7s zN4ARNRa?-WjZJQQf}O>iz;j`|eF1i_<}Wo|Kc2XHVTjuOh%1*Wx8*wB{2g}wxs9gG z-?U?9&&%yolTY7te4gsNpwuVr zOzvpAoVh ztfTC5a_UHe{J^1!OWRvk2gf^ZAE`OoJdrtEE~23U1jnCVwk^}ycCCH!!sy-edx3+y zy};|EeSt_d&8sJ4kvQ;j(yX{SI(U3|CV2xY0zPXJ0t*oo+TKjT*S98Mz<1jVSXU5=g)eIGLhu2@6t4~hkf_Jx5eeG6#=*Pw0t6kR% zhu5E&yVyCM-O{=`xEbx6vD-Jd!K~<7I8t-y_VDK9^(%GjZ|}J*+j(%xo^NN(N@^#~ zw``rb-3q)$R$cw(^3Lp$#?}*6SKD)A7zd1F#glIR&ApACw`R6t9$)NPr1oara>GN3 z)~@O+T%W?1_2n;h&0pExa$DNFvC)}FX8-=;k>SDPdoCwuhU%J*V?NQi+)?z!rJAM_ zh5F>JzQo;Qm9XcmYfnd@DOt?jd+b76L+AA?TSrohr`&nGv7@E4`dWMTW^ju8sne=A zelFfz(V3kY1zsQNnLY)-1i#7pgRF0EZ3tA;ytvqLXY71D)lmt*oqlZB4&ZLKvVXgI z6#RReLVFv!3a1iHG`6cZeT2r-EhpppsmYs-`z`>dGdB}ctE~-PPfwVpW)V^L9zwzFx%k7iPqphpr%KjCSpR4a&KzRpq zF8*sT)o}kF**bWf*8ibwPTvcrZ|bbt$!2*N1hfnbxI>eZgHFg-acCecQp`*mtR-av)oE%?w5A%8tcqa41G~4~ytt#cBRG=U zJ+|Z6wtF`>L^h(FkyGa$uX)@qhvlqtGUgN8CR6cV*fkqz!+~KUSWzDzr*?|kcypv` z>z=a8k=^0ytI4jih5=g7uZOUnRyB4`AE_#Xj!K#_R3|+Zwu8#xTF~Bj&n+!^I18^#>=iqk|hO zueKjeRa{EVHFZrNxh6Kk-ySXNXkHyg{e>X#aB(ZjZSHcmnHT;Yi}{YHL%R^f9k!{Q%Cl9GX6UZ*mpu zSgka(#zKe60+UL?}Kg}avPxjx)U8eoZ{u^Zj z8H{5`cHrdQAoi)Nr>f|0Jg@_H%J$fHHp+GdcIo-eWkV>ZKJiqp?rz;M&p*uP&V{lO zJwH{^;PDT0bxkv}KZDJBejwUG_V-V>T%5bOpT?E!y*{#Cr1bpq+LXkFHGaWM&3m@& zllprayPC^7Bu)y8qfIB;8hWP7_IuN*iUBEKIC=N{5cbEhXP6V2=DLcOs+A2~ZqLrb z#bg2am2v~YmijrQt#YLwYNdKoE*{Z8IN$7^*_(>Exa9re^mrhelK4!t%)pObAL|w) z-gJ4bSx&g0JU(>!P!{XO#%6CCIF@)nCjI30BKD0W|JcULYaJ-Byf&lA=Yoe;TQ_y# zv@HE{mi%kmrF~M4^>*(#J>CWXZr9_af%ho(&3CsKFyFC10DiE~KfJy^Qz6f9UDa5h zPX&SV#_r|x$?{sV6X!a~r+(UFhF$#{alEYoc#E`lVV_gX-0Rudwy9_ONZtCKg{JPn zE(_$TDQsWK!a=tt^XK@#(1FCZP!K~FYdQg#jdS{gKS+>xNxz1r4Q>9?Q^J| zsUY=Nt@L9vqp%C>7utD#2=jJFMRDUoz4T|d+Ad)|8*Ztugdf?Z=Qk6cXrD6Ed-vAq z@%<~Of{V@A_g>Eqm*38B>AsPxL%+EFbsOg-?B{wWP6y*#y>fStp?x-9=LQ-Noxpg` zT6lHRsfvRVhgsNVGVZ&ox4_?Q!};cNdo~*!oWXf)>d57(<9p1gJP(M*?uoH(=^s`v z)$ll>{g%HwHM5t-snOa0)D5E_HcG!K{YBmIRkM=L73|MW%~TDTg3{u0&%~vBq#q*v zV|%W3%pKWY1U_Z@K-;Cx>49tT6Iab@c`h06m_LR6DDc=^c97>``atWB0?rYb2a9qZ zbl<(Ox3PQn6v{8SH1Ka?)<#8|y~frsVV~?1yj;l=FgcJaljO?ELut*>Nc+`{(@WtKBzF zcXN3XcNe>#M)fi7Htk%H_GB!7GDx_(F&@l$(}8HSZ0Gr6XWNy11qphBzID*H~Y5E5A;5^v#k>H*0^Iov@z8u{iXB^sR~+`+tOpBMdkUxP*4LZBJJ#)0oHw3IpD(WNY3#;&J+OnuiPohyGbnK>@r3@^x{2i3 zAC&E3xxz{GALjQ4Zr^HO0_TDh>kR^DW56-az4rRYIH&z*;_gN>sO1Bf)LyxtxIQNL zsaPMe?(H>WT0Y^N8m@_CN>D@o?zd`t{y0(AfQXrb?BmY-*7yGef@%Z-QD$bQRW`gUF@4al+%k#;lu8B)^O6~jg=`)7Blh`-6PR(F(-3!%{YmGI>m_p7f0f8#p9VRr z9h>SA=gJoDIqk6h6t$yrZ1`?n#qm@0br{ zv|T~mAb5%E9UUaUi}j4>pA|2d9uF>ZzU8N>JBX zKRqVb>-czWIJTubLHL-c>n49z9Hf3_euv91m;8-vKl^d4L)>nxpTIrlr`?adACUgm z>aUvC`pPCpE++LXf1Tm>A2^+cf5v`{$IhEPE(kV&j+gS+u{DqV-uDb%?V3Mz^3a9K0ec^_KYOYU@#)Kn z6>I(T%rCQF)-yfV)ID_y>l&RO%KGof^>64f?7!SD{RHqEOVc`p^C|61GkuPI@|-Xs zz=` zcrdWZo5nd%?t7JX()pm7 z?Zf%42kl|L+*e6Ixybf%oJ8(>Q;a8d{h)n$$0R>5sIR)5oE~ay?X0}Uev|R&`b}>d z{S%;l-KL%Gsd724r-7>t+z-VS+P9>FJpVQy=6yHMPitM-P3IDs-^%NXJfCf1eK{Wy zA1IU^mGtLq2dy^^WWP0U>@@i=Ic{{`N{}D6{DPgP^NaNy2Y9QwJA?gEHP2J( z_s1x1W#i*E;USNBYtF()p^D;V-P7Y(XRY}u{gRA_Df5%`#AU>b+3$1t9Opkqak8=} zxLq>dAkU-dPkFxXIU&#egrjTbI=OG#BKMOAF#q89BTEv8ybfj4-L3N6w2k{st`ADR z>$qLmPj4w+sO0sN^|2qFZ|s^L@YvV2FjGhK2K{c?XW?6pUxxd2dvzn7?ur)9Ve^5oc&AG8Yjf>M6)u_aQ($$;tWQl@lyy`4hrt7JT&i|^;VvPCZCS^ zZp~*w=bK|s$$g?Ves-J{h~MM@03qwB+=(d1OBV#JOg9Nl#}5!h(OzbE6nJF%~UAHn`$9P#|#L4I!{ zXmb1vaV#;uf9gU|?th}&hRbRHPUScEp6gyj`6o~w;`h6<^9`Ht%#7gqN8izl@n$@K z$sA0f0r6De@nVMJ6{+&EnO=&&%XJL<9*)al9B3U=({|hsaW#y~#jp4amJdl*n*}E3Tqf@K% zmk!_@On%OO9&T=u&)=?g75eFU{}sdm5NGAM2c82Dn45L^JU?nL?rBIqRkk9ZpBK{I z>yOW0P2?#q0{<@kzrBA!JOR(Ymsf|I5_9RQs}vua!1^ZR$grom{A%~DBi(mrh8sE; zj_8KU=bfLCApiz`8Xj_TGY=i_>x9LL$Nnj5ry%&QLHxJY|1_7wUO zhp=C%r1u)8@jPbo(&47gd0wv(7wnijP5v%S{dwv_6`s=`-`<4h7c!1_J8#7?D1QBf ze2%<-K6A3QbI+A-JN}LRu8eEYI)NW3ChQ+5_TOH}=O%N-zQWu>E}uQUP|OwPms`Ba zzIAgSBY!ug^X&`sMRQ&#s*t+Av1Y1Gm~xUEfn%CA*04Ls(o1@V?BP}DDyRX z=LY7B(Ax+RN#YljKK+*S*-1z=nnpQMrqSXTm|iN(QTf@-{Mo`_4nO0}lvr|jxq}`?w zagrE2S7d5>Iz7EGlY!7)(}*qIau^sfk60EW9r#tN6v?8$*L$*;?PB(shLAEAhkjzq zKZJ!v1;?aL(}=ohkJveb_2NraN_9ouF#0mk9m*wpE-NNG|T}sUef8o)5jCYI6E-Z zKZ@4Mzv-dWMF=p>0%f_&^4U%l7|fyIbRXr|M$&AdoYhRONI4agb5ry; zxRhO9kfKwC`D}V2vsBDw^2CTqhAkhIDX_!%F?2dJHML|Kl}_oHX()WNnm*(tWYvd} zA0gG{Vy2k2=+!06K47PBZt6^NsU4k@pIR=a>9@_<`SffqJ?CTP3&e`&r=$gtDBj9W zrt|359abMq7V`9qY51|W+}zwsF*A|RwhhcrWp8Jv#lFPH6xtfvG=mbg(;hlM1W-dFmD$`Yz zo-fR0mu9lA0Eq+b)WuSO(smEST$GTT%4YEmg2|$*v@*Yp4+6+EPpqdTEt|p}N##i# zv8dIF)~HyM4(=nuZInYn5GT~BQ|rGxVVnu?FO7gLF@!BZ`c7eGekKby!u7LDiAr0n zk>bRyOg@KRrzypqOdX<5DKD4mh{;my*&{s`BP~oYXR-n1Atk2)~xmZ}r@(e{aJ`T%p$rg$r zA#%%Ubjr+pc8V0jR%;h9+E(|;$yg;561xms7F;4+uakMxg{4W3|M^5aO zIe3Wl>Jlxka7NhUC~SvWSs?D}QrhZT=|G$sqz6!kVVxA`v4RqHe$*~OdT={IYc+<} zPP*Lz2Me#m#w}#$E!(&YC~M*lup_;&TW^{K(Qnh3rc-Iyz93g<*-mPS*Y)xolieph zj@^46b@&?Tj7gmq2=os(#G2wUY59C%S=KBknnb`{rZ_n(?ZJeTD;8!TnUI}Fd&5#o zqT23Q>?$M-YGjtuCJ7zMbf6Wa4xkZaQ!M;(NebGflIymGOasjA>~!s9hfK0InMkXV z)#_E(WF8_rU#e8e5+puZ2QXMpuSy2DGwzj;TGf(g`vPhmm&1Lih%8Ofs?0-*6~bMl zffqHImJ^r@VI9e^@g}!x+^cShvYHYmAv0ok3$}J_zEh@D;(QtkxF0F&j+M>fNzC2M zGR#qXntF*PxaTR&`P>rKak#xm-6g@w%P;1(%#%}WL@^$)<@U?r$99!11!P!(OScuM zZh+GAd)Teo-VmhRS77Z!fqlH?J(`_#5*;#8O?Z@pm6Jzhlsme-0DmfTgG|dwVDIFp zr=<&l77B;y(uHselZ3pVRVb~yPP19S>H|B6xA$%-me-KZtbsmOgmcwIzA$+c3nsRB za^{kEZz|1`*tO=lMpb6h*8P5Yw363*ij|YLFg-2XRPD*48C>h(*go1@gsgQ1-))*f zuWcrU+}y%ab`l?T;*BR98!gBZ_LWCdXH)5+{-N~Xz;Hk9Wcjct4_fBYYNH>QlNbphh$ti_}iiz6j3KC0NEP#bZ-mSd4;XBKcp+Ic!4b#_X=iPAQS67aWVn9s|o<>_`-souRQrbE_R}ts<2?(dgPNXquh0PcnxQxLIQOD6wcr=|l(F>rSMO&j5(5of9goc;Y@L)-a?tTsLfQE;tP_1f9|8S~zupbs` zxUf;fg_RmE?9^~!sfG(%HC$M$;lf@|TZd9(r~Lg%Jb!-@&)=WK^Y1w7go$XIw7&uC?DdxyAusB>me_x+15|c_i zG=P{)9aA;944xxgCzmmNjtzkkT*hes@qyFBwn<~DqoWQjr!p5L?XZlmJUWz0_ntdu zJA){v$I=6su)O{2pfA;T zqCcIWPJ(UgthCZ+RVs^|l!rmHIIc=qJ*O5lmcEdJO|`aIe@bzAjv#Tl$L#WCzgnJe zy`?O9+`Vem>JUUNQ4E{gKzqn)tW58qSxhdM+9)i};Bb2EvfC|k6k#ZOs@jqu7Me%n zYH>~v52CepyTT#G~1G~v#GQ2RNQo1i#)N_a=Y7z6RK{rko#W4g~Ce;}o}0HXeDbXQ5n5D=6opxGz}QLA(^T z5YMzke01R0IpEvEvz@T;Y$qk2;{(IMv(JzFdE|HeJn}n!UQ)v&zvGu9zvJhT-|_Rv zkE=Y_kC$@EkC$@EkC$>`r-lnlHC*!Jr6rIbFXfUSSGnXn$&dSa{{AF6e}59s-=D zXQ#5=myb<*b}1bDW3DWZ{q6dlYPNM^SZ993G#=%1#?s0>1##NOic2e#`0{He-?<{23&Q#5}RBZ!$g!wV^ z<5BaY<|nFy<{#pLQ)XcykBCnT8vUTwLHU#Br)mtt{4^fpPUq&Qg!vis!&KUzn8qfb zIz8PRU70_No@xo1e+Kvuoj!VQu)l?#j;tYzT0UofK4N~>`~sjxM?sD@h0Q<55`iaS z2;1Y~9d*O99IPwKVDj(^^DoRVlUaWWzwaLY_>sB!6%5f#w#egc8c(W2Wc7V%k!qj% z5F^aLHoq1%ziNIRn9R;E<5R$?$;s^Ua!v-I52)q)RM#x^Z_RH+(F=cP8fEAK(>Sgc z#0^zi{$DBi@6B&f@B9Nc4d~0+!c<>oayE;pveiE@B?!m-2(b>t8}DB~c|c#!L$%&z zdF>6E-=(9ffA+#z3qk;5VN2P?^jz5dSFg31*B~SkQxP`!lQt+ ztpHM^00?*#khT>-Y7{Wu9tEUr1uYa37+_U_(v|{|r8OjcV^3*YK|A&d45z0Cccdvx zQ-g1$6YfY8sZsEabiy5JA~g!WkxsZHO{7M_H_{1rq>0oh_(nS6jx>=P1>Z;~+>s_y zqk!RbeJv)`MPE0&BcITYd=kU!F83&4+*<(UTLVjEsRch$4ZpNj_~H3~jF>~rxzq(;GqhkY&{h}09N_q$_Hq(%Y5>8ZgT>HXS~ z-tQY}O4}xBM|!_+q$zDHXh(X#Z=@-0D`-c0zi*@|Z7XO;dcSX^DQzogM|!_+q$zDH zXh(X#Z=@-0D`-dhfN!KJZ7C30I&cSkBTZ>rK|9h1d?QV1TR}V02Ye$t#Td!i1!)m*z=r@z+X`9)9PlB4(zb#Y0S9~tptP-^MZf_c z0w`@OXc2J0hX6|33R(no`Vc^AOM%Ez1a$fkKxtb+i-1la0w`@OXc5rqLja|11uX(P zF`TM5p|q`_9qCTrNK@KY(2jJcZ=@-0D`-c$(>KzTwiUD^-HG9hASyGT#-rbCIPg7R zliYzPNzJhM2ENlBcp^&`x_kpqY0E+)H446g?{Wv8NR0wUH%6y%L=P}8r^97s-bQt~ zNFY*E0)~5?J+*mbl3om#g?+`i_~_E&qsxa6O507<;-d>72uWhpDrzax&RU}HCfENo!96q!bKDbYs>&n@TRHz;yB*Z zM+~Oy5nnkjvbwa$>hd9r(su7@k#!L8sX_bc1t8gea?1HaxNL{FW|FfCBC@mv2LY>+ zf@X8!vQ}R~E)q#aQxMRlI*5ZV@QBnX07o7L7h(ss5Ig9D7^UsDX(4tH(DBsZqKdLK zCIKh(;;{GS+;ACw$>Ax-Mb<$rvJL_){&J{Kdwu1&@FES3JphZRVi!jTwKzKH!x5$J zE&{n;6O{rgsyuNhFd}v!3balK0PyO7;pl+Y=>P*>9WWdn&^jH!z^em>qXSx}10;BL zz;JXx>vRAHuMQZF4rrYY2HvX!hNA;or-MQE>VVL)1j1E6C zh~enykDZ>n0fi^M^(Z)?OkF*G98k#8 zsYj0k3Q~IOQE)(+x_bOLpunY5j~)jUs`S>Q;D9o9_4si>;Yz0-Jq{=!>8Xbpwhqyn zdMG^U)lqOjnK~Um4k%>l)T74%1u4DtC^(=@T|F4;YCIY8JQyl_hrb{N92D8q732p8 z1vDLl_28forq^Hv9F(c6#}5t)YdZDl!9jscZ#@b)C{tID9~>0ibn4NAgW{FW(=BX( z5f|`OEAy?@glJ8*6wLJMC|IFPosJ(X6vMPlC0eKB#|nip9UVPZC~)buO@Rev>gw^s zf`XY&J$hJB_|jXC0t?F2)#HZ+g*2Ud^st~Hrnepi7L=*0#}5k%Y&!MmVL_oxPd&u2 zb%@r~L(xpHj)DWq)am$fKoL%-9z6~yyy>k+!2xCJ>ha@%LY_`NdK^%YQ~qFJdMTSt zPbOsGtn3H=$`x>shOTmqzxkl6rL%)KI2Q$B!BcoI3UBQA43pZ#@cX zC{tIDA2k#vb?VWhh61DBdK7?Armh|gwO2>M0R>KVI({5bywtHxj{}O3dh1beK$*IF z{5YVfsZ)<02NWFj)I$tghiFYb6e{)VC^(=@osJ&|6h3w8(c^#urrvrK98jjN9zPB! zi0ag%#{q>+z4a(KpiEsoejHFJ)u~610}7sc>rrq(nYw!XIFJEWYn3Njr{l)~MNS>t z^f;hcsmC^A*g8aO>Y;F{S4Y7CW$JYNIG~8BQ;!}86b<#(qu_utb@ljhK*3U{9z6~y z4C<{%!2xCJ>ha@%;-F4FdK^%k(_4>%1IpCZ zV%YT%t<&-2fTEXnrv1`nYw!X zXraKSQ;!xciFO}aB*W5?bZI?_b{|?K!_m>ACDHCfi)1)DTC^nEG5l&DNQR@M#YUnX zL+xz;wEM6l8IFz?JBfB5b|k~m(PPIS4NWM~P)TdL)E^B^DA7va6sp?Ifl zn?ClL@W(zS!_m=W#~=GlD6vmT>ukeNdoZfRJ`+Uibo@9_VxI}3bvk|=D6!83(K;PJ z4wTquf@qzN9|ub8GeNXQ#~=GlD6vmT>vZ5Il-HZ*!sQ?LbtDBq?E%vVLlYG5^mINk z939ZQiv3PS35F(!*6F}icsJ}q@09h9J*Zu+_?G&ho6h%#yp`Hqtf5Tr0B%DI@ z7?Ur0(o6N!RO_P#nbkB3!*3Tn{j3CB6Z(K_f?}%js{<4xu=*@Jw@|#po%S7ni3$?+ z;n)O4U%gf+NTf^+s{G;D1TpMx(1&9a6kPSzqaaZqc}-BD)vKc*kur6*0c=rz?_e&y zvJkGo3lrWl6lCfnu?Y&idUX`=QKqh5K*+13;7cEgO;F&~tE0e)GBx#3bk(DygkBT+ z&}+gUdX)@&y!4^h1VvZ9^(eT|hh7sDY4z$TxS&j3d;GYdaI0e*Xq}E97fR?gL9|W> z!|t_B!GS*XnxIIlS4Y7CW$Nnjbo@9_Lazy;H9G##YeEUVN?NA_cMu{s zl)0S?SNI2*Vz0KL`Z#QY!mgeUQR1*NQ&*`U6cl@P>d}LO!mi$W6i`s6t{y)qD9q~A zqXz{APrdahpwLHF6BJ1G>L{R~Or33hP*610u}u#O3Z#1L0mISJgTfzKO(>C7No#EL zM^+QWurrr^kE|vrkm}V@a6p+l+x$47XsTnI9tRXi_12@{fHF1p_#>+cC9*1MoeqZGQx8Q` zZQJyb)dU4ny*gkxI-qs+_;EndR7XdT0}7;i>rrq(nYw!XIG|{%Q;!}86iD^fqu_ut zb@ljhK+#mE9z6~yc(Nw1%Jq{?4>a9n?0cGmy@#BD^ zsZKq598e(DTaSVR%GA~4#{orCoqF^*@JCh?N@P{intCXZ>ak6UtR{%o>G*L#(Nx3J&yf)C7e^y*dgG^fA)}g-E?R3Jxe!*B(C(C{F6MM~?#vk$US&X`PM_2T2N|Iyzb$B>gc{$#8VE>q62W zGnEWSN9zxg6f;%(Kr$R1tv^Uoh|{a%;y`BV`oM>SBn3pBdbBu5`s1IH;pk{_ko3nt zCBxCt;vngde@cd<4@5+8cd#{PgoAsaQ=H8$V>%BaiqYnc9plq1krlff++ecPdrQPF zCuv<35Osu6;|{k*M^1ig-lryl$>2V+1gi+j1*SNLSMDWRAifY0SQKAz|xhZ^;cZR;_obVPZ42&TqV@IS*f>FT?9`A2dFYM-NZPSPv>(B_@0ieDmOow zUzy6bA<&eboXyP7XY6+=Z$ zZbhXEB6u!%o@%&&!J|*{_N4~#V>#z5QqH${FZ)Ycn6=Fn!E|r$ZtMVwGrmaPhi)UilU`Y zaZyhL!aVtMg5qn)O6g!h;U0I*Gf?=_t%)V{8eNtUv_DdfyNoO*WPgGSe-65F>DCf% zc*5G;8Vac#0<#+7LX_zq*sy!Hq zUG7Lcz%mhhUhwCs_n$9&Air$&K-tnV))F+|;-L92;^zMXJjK$jxyL&J=&rxdwUd<|Qz1g+|GTjdlfA#|UV>^om|BKWf4|DpE295qZ9 z=BINrD@$|%NP1?~G;X@>=%bIM_c$e|=ri4;S$w(N<;n6Li3g^9mS7g)m%{j0UVTu5 zAH8B-U0#~R)e4Jgstwa&E}NTKoh&Zp(+h>XoD*`xU^96=!EY0Zkp~Xe`C5cdD{4CJ z|MRwq;A?}gqh5YJdfDkAu9h|y_N`V*ugXc4ot(rsT_*9va`ej-O?e>OWdek6ytJ%hBk zWP(_AImP`qe1NWC5&S^#gVeweNsC=ij$M@e3aAZFO+OBJI*UB}sWs8!lV1}OH0)@y z#~w5p--Wbp3vgY}Ls%w)9}RwtT8TGj8vLc=CcVr`zSx4}U)j!G1K3gCR{|CY*MeOG zBKXPRr>NXd%k`nST3E)1k+3PnErWIfp!gF8#h(JZb2p{qG>tlJ@$A0!O#QGWg1S1~ z?i|f7uH^8IOxN{l)kX00!7s#&VDO8=Y%m)mMj-elVKx~9MBxpWuzmdk-qwQwr8h4K zbF;aHgue<2Tev0v)E#QqN-;}!^r4r|i{RISUynlWZ)BnH-nfR*Unp~1@Nv>d%txuv zZ^}X~wpyqNev6C4D=OH0Sl2diFS$lKw>b#2+1yG6{xd`zagUiI_%B@IcjeI7w>#j4 z9Z$P$J{kF#*+OOh05Zy55neO@jSKyu9AYT*Ef9D|#$T#^J%li~ncJz@|Adfwt%RrC zkSWUz1>ooSHVHa%r~`z$aFK*CcbGd-S}2Ho>)Hu#L18W^g71=|<67uHx;F(Me@(Z@ zuczBrfTUZpi`ZiC^j!PVv+KNRT=DXLjE4^@eRGLf_U#~S5gl&N3v-vmY-pV@A7`pY zn7f%;FU(e^>V&z6sd{1VWvWq_ZA>)@vz@6e!t7w`QDG*S+A7Q>Q!T>W$JBOV?q_PJ zFb^>GxG+1JY87S|Q+tJZkg0ZIb~BX_W)D;Qgn5Xm1HycQsV-q2W~y75N0>S!%qN*T zEX)*BPYSb_sa|3BF?Cd!N0~Y%%zma$2=f?ICxv;OsX<|$U}{*H15BL}=1HbTg?Wmp zv%(x?3jI99)J0(qGj&;*rV?9*!PJX{d6TIZ z3p3BuON2Sc)Jugq&(zC=Szzkr!dzhL6~bI(>XpJ=V(L}GTxROk!YnfNT4Am*^?G66 zV(JaTTxIGvg?XE)HwyC(Q*RdLQ%t>8m``Ks?ZUjv)Nc#(=}f&-nD>}^w=kc<)O&>a zOs3u|%x5w6eqlbFsSgVCCz$%MFrUNJ?+f!Mnfj16h4})ez9h^SGWBI){x7EfN|-NV>Z`*1 z1*X0x%oj8DH^TfyroJJ}moW8BVg7HXz9r0;GWCzb{3WKoEzFlO^&MgUAEv%5%$GCu zePRAGQ$G;qE13E>Vg3qJKN99Enfec5{;H(HhA>~nR6v-&##Fg5U(Hldn6F_fEX>z3 z6&2>|m=eN#JyTV}{B@?*3G)q1)d=%9m|8E)-(;#zn7_qTy)fU%RHHE8#8i_o-^|n& zVZMc_M}_%TrnU<6ZA`TY^X*J+7v?*d+9}N6X6kWa{ti>E!h9!FdxiNfrrL%1Zl)5# z{9UH@3G+Qn9T4X4G1Vo^_cGNj%=a;MNSNaZ|Bz|@n%{2)^ZDasX0e!SdW!{l8_ ze2)^Z7i$U)o@Sx#wCLzc8g*`6V!>_q5p*wOV!6lGkfRU#v(zK^k#Z%p*3+#`4{V|> zv}O}Y$lpY{C-Cw1{wa3>ba>{v_2x+-feN$(9>EV)xt zrrbfQ7qUFOXvf2gCLUfi`S7AvS3OL#_CI{72OeIulSLnYK)+fS$^2J@t-gJ@lC9o- zIAN=QA5Pfn;fE8p`uO35tzLdOVXL1XG|+VEO#8!)9*I6Aeo6Ep@k^o)iC+?ZNc@uM zL*kc29}>SLYW1tmZ}A;#_62O*sgLS#PT_jGZihv5%d+pfwg(8h7lN_ieT{Q2cVju- zx~tsz#zH!;>c0x^0rt6fXFb3^=Sr;y*vD50vCw^cn4XP1NW|Hs+;Q&M7%6Y$V;<*D)zo#3DII>1>4)2x>rwZ@?Hddp(FG+?rdQf?AtS>3#`WVtE! zxa+`f3Cep1xwtikQu+qEj_`pMlO)|z$b!20V^vHS_C2s(=T5^17U%A2*XTjz2E+$e zP4^ut*jWR;BhuHp14Jj@IppY^7d~)-msTrypip&IW_Ne{3mlLv;RK-bd%I zp!y+3aVo0sD`bH|&JaDEQ4-taR(aq4@GW8Ywcf?veGPliD(74+$wPGCs$5)ZorH4{ zB^RR|K}%-sRY|$Fl8e&%MgMJ<4==jL=2N}Dk)j4`Y(D*>YivIKqHAnE{i17ZKK-I= zY(D*>YaE_b(FY!$N`==rJe3Nsad;{fw)$7=yc8_3&1)QzEovUhDL^tBf4Yv313HM^#``hHb@0R6sJ8SjvD=VA|J-JsWpmv zFTe9FjAO=e%EtQ?VO;*2y^XR=<6&Pml`qoa1t@y&sY0sb*4YvZkKQ30C)vWWVrKGY zODK#lhiorxj7F61jtze^68^jJ-{Y-@1zezEHByBCA^hbi`2UEP4eUx+in)B-(8}$O z6R6|cc%Q?mL&=dvekT(C=kUMa1r6`LwWGPoS#%$?zlS#>e0QhXnX-U?jfB4+#@iT` zT)>&7EMC=t-oMd{bRM1*|4}6T!!W*F8pX(6z(v9f^!6&fO)wzB{~0l&;U7j!G=vrL zvgT~t0(vSlgPV3EWz-N&4iy}!h(yXG!Qhhy{}1l~*_}bJ9)%)N3@z@)y^xzK&WeZ# z{!ug%i&Wx0nS}!0qpa9@^ysdmB2pb$7ezU7yw^lmA?I5FBV2r&E6lfJr0`0t{WjHP zA)n7q7Ln1Go10rH(i@p=qx6bxkBHPp)B2@$VOZ<+>)8c z`<*NEEj9#OI$APQnS~;~Mt9hK%}Dbum97@R6ijv%$Z>=kt2QzaMQ<{(kAYqB_ z_DG~Hg15Q^?lJ0J$>pbxEfw%~{4V&ik}C0@IL2tQtxpjJD3g(WQ51ros92YMYb%xz z4n;aKG3Mx+??Ky4x4{otqXy<8axl^zjdVru-e5zC-2?E}j4Ir`vfHj#vC;!hW|P8B&O{`VPQ0jtIOvt|7aTLRh(IXa0-AMdYA=7+nC-+9~^JwsN!pA zDF{W5!BO#oWEs&imzi8Dv?OG^Y}?OgCw=cny6uuv+%$SzEJE7%F6Q9}X=@xx!gVN5 zxMh1CinPm})Xo&*0dxGxsmLJtlOfESVbj>VR%td!T@2BrIa34mk^6L2QW*T2ROGBM z_~od`d13I&QIU(n7?dv{L@o=1Uyh1g#o#MTyKIv%&KM(D*du9Sj53uG#u!tR!Z^!R zRv71)ni0l%rgFl#z!YA2uT~1C?xEjmxr3z}8ev>CE>X<|VO(KqQ5aXb#ARWOGqoa& zYfP;QBhA#E`^;;1opD_WEIFw20XkV1K61ly7`vkDMuu#Bx-cf0dWJBj*xF|aBg^*u zgfOO=_mjeyVct&(W0rY8Ba9qVKetA&I5pm2+2;!*&(sTrF~`)4gfY+5i-l2O>LtQh zVCtp9SY+yD!dPMoKZ#I>Tvj5CWur)q`W0cUu(7`?j9bk6HDRo>>T85?n{!_$j62Nx zbzwZka=#&rr!nuxX0Angz*f{eTOif$-LhY#5h4BWaqQdwMSxQt0<2RXCC5+!V)wYrs{?9 zR;C(-@iwNKgzY^|{!_;MA{0UQ6h4H6MT@%KiF?C%SpJi%77@uQmN*JGKYFZdyVEbl; z@kOrfhA{q|d3j-ciRI>n@fS=j2;<9~yCjUiWU45PzvA3m!uSePw}tUlrk)bUUo&-A z7++)Ro-n@7)H8+gH(biIh4Ht{dyX)^!PIkw@pnx9v@pKOaz87Kzh~-s!uS^FK3^FB zz|;$c@sCWsNErXbaxWIfx0!m0F#egTmkQ%MEcY^D{0sA5E{yLo^$KBpkEvG*F7I!8Q_xNEzC0Jy+@ekOubi_6`cEiVFsD^LA;CKKR?-Z8$S$*+dC_H z$nDGE_!|8P4wKGi&*9UaI{F8Z=L+1&hep>0f(gSq)^DEjfR zfj9PphjR0|;$ixYf^zDz4kkDto)^(SiheR0{Y3Orbj+Pw$mb?=#rz!+{o}|+oNuF_ zd8jG}POH(`X7 z--pqBjctw^J+UnqQ}^6+c6O<-D$k>_N25k-Y)jPWqJP@xpZ)YtLTYHDHUOjy;n44} z%5(Gd$IRg;Yu12SF)|ErVKue9T$rSv41F%PJ7PQ;Ll9w|J)@k+R}A5T8VAWXTPn3j zVr?;$zYQU@5{YR92?pkiXA6TlI$P(_2U}ywC^YuLqH`j4AaX5gG{@ydE_>6qbYe&28}o%o!cDq~_t zDq<(2v4Pkre1yU=0(Z~y#}9JFTl7Wf0s&?lAX@r06Qw}u-VnKL;g6r}b~}LXdqr%r zZD1b1(aX>(aW=Dr*k}Lk$*f#7La{T5$Jyp`5IhtcdGF8{T#Wn=fSF85qo~* z6;WeL>;?W>OAJK-)N2=gwaL>IxWj~Y_Bjq#XS@iMahJUW2B8w!O(m6e`71k!=#r;) z`skXEgTD1~(78Side_H6%Y7VJ zamL-o{Ez3M90(En_1GI|H9^=W%d_gY@C#+smsut=e!Nw3!(wlWy&3z!*jxOaL*Gg( zyG`o>{w*1lLNi<^}SQt7}ptDL;R<) zKclKXTOEvj?tV|q>_t?ntc!htzssdvxVf`EG4R-**BE87FJZS+G7{Lz59V%WL$NPo zAz8v_fR>iCBKB7%y8ACX7Savykun8#l9|Le-vDx z4)|Miz&F+f7kE?PZAJpS&`-wxzQ!nzeG79OPk&b?u|~r^_~mr)iTz{jpJK7E#lF49 z&|_hu>2RYZfhaXY_q&LiGrYdPwj#p|4h-;u)4DIbXz^PEP-KD)C=vS+!{Xo3EB{er zRK&g{Vn2`_g@aE}1Zs>Rb%obu(pL(79L#Gp3eP!SAR8sZh^@*gB=D$OImSw4IV;cq z*kfAQo)ZEdQ{Vx375wDNd}iqmouKDugs3KGdR(k4u^7{|{B{eiPxPl`QKGLZZgl1( z?Fkb5on8}lve(2$bWwec5kjwt8tyebkrqugMp)BpG;%ju_96bvebiiH6GoMc0Yd0c zX)nX6_-yKOZQ8*$;lZ!iRbxcRrpMT(R!_;K89lcLo09f5u zX*Q>t_b_5s+1ALzATNlMSWbS4L7OVcvve_rWY<9v{W@a~Pe*;Flnfi)`~oFfOX*Ju z)aaHMUm0v7eKnLcN=q!Yoed+@l16EXfR?uox<`dXt*S~&q&ri58kRX$Ri!1C*h%-C zpbkPA{V6T6#7?@UghaV2x@X4)yu2@1qLZT#!bT+C4FVS7sH+^$LM(~GnTVJch>ZUx z?Wh9+Ywm9`g~<3TrP~!a$h?ZF1=@Gv{PTQHE}OVDa#gR#!r?;>WFQczWT^j!6850tLjtED6VQ;5$KN`y`f_G2 zJB5Qgo|N)fzO}@P`NCWlkt3>P9ar)W6p4tA^wMI-MWocG0&JZx-#hx70}#b=Vooy#jbSC`VOnH=2D8i#P+d)tvu zW1LI>DxT@`V*NFBq&=m=mJhQuo^beVfm8HxN){u!9myvH;Q2Vf&HV#G))d8xM$4^F z6G);AG@bsyD|++kUL(ptr};804R-5v7nm=f9V{)Sz6=yaC881Zrv&lzfkDcEVLnCF zfegBSi~gW~Up}g8a1bPiz*<^prN+ZqIS7^Ico;1wXJrtNX_*&ZLzCM<_is_HrQn%@ zU%(!aYWO~vR)vSYOsy*cg)MCr$8Dtxw0vzT8DhG?hzuz0PaZ+K5R39l`jc)XqKuOM zq^pLIVT~|dK;^Z8?i`|g{PAV*2-3AulwX2jx+jP-N?Ju115pOrKz~Zw*ooIBexJu? zH(pC%Y7bsKV5+UekWM`6=e$xQyYMKV^Y-IWK2xPN9>i-VJXYNzuvL1hO1z%&X679h zfgQ|y5-*`J4-Y#6bU0$K1tLIaBu;_wc2yVr-{V}ufIt9^;@Fj^L|_l|hD4y9snb*r z`i;v05ujrSr$7Yg&I3-B0D!Iv+Th^6Z*J;LajE@ib`pp*1JXHFUcwuCT=x~cAi}(H zI;;YqT2(ZQMu;&-cVGFXI;;xHxL7<5sCEy%c*}b#D=Mcco)@c}xsNv2VZoEY=<7P< zyQ}l)@&cM=Ra(IM%iGzxAHoMMME3aYRb41_(^PVdL2bub55je!u&k`I^CBp$k zfG(}z6o>#_N5Lr&0Xp!>6cJi0(F+)BYtqsb)k|goIV7;Qo5T$2-x_imnzjR{~dJUh|s^VUIwFn&HmcN$T14FnyC5WNB zlBgx@Ug&l(Z^?9jlY2&?Xv)EM*ZMcI^>2cyZ>}*a$@(|2^=|{~?KMVKsr7Vg5^PP< ziR~@^>gakTGKVJ-T^!~$hpuVznUi$Qc`uvuKGgmG8l#%bc{iK$A+SDNW31DdgO@wex|-G0w0yN-{MAp53KLk80)Fg z{wh%yT8;0(MR_ECh!;GV`u9>{SVV>~UdZG4OT#$1M_g``8$GQmlNMH$R|l&qYK#qJ z;eSdCtHNMKYK%Iag{TG=c8b8T?C+{7>O}yEdl7`+2%c7SDH|CJAo&Ggzu(IJPCr(0 zw6Y4($|^)FH^Ry)j#gG7T3Ll?WxdWyO*K59$Z?PzfS2D6tm)5?SgUHm3!Y4E7l9FO z=T5xt%Dl&^#i)Q=Tv}5{JKo4;(KZn{%j!5_U0^CH0#}(rR|e>M7%m7zfNp}}6o>$Y zo@9y$pS2y>H~ubHg55@j3&gK30u-oXB@likhBCQI^a1%jzW{>Z@8MGM)`UNkfkys^ zw1Gaq0A}m&vGy=t+?9(`)fo|(V(Ui9Iw-SsApGhK5zGJ4jc_muq-MCUIHh*_p&L=BCx=vu28A4o=XMcHwA63Krok_l>A#dmuo1TLf-#)^m_Go5@d$s^@anPmv8a(1{4pJ=ts#i0Ug&d`5;; zLRE-g`OO3*Ud%oALJ_#b6}^Z`vXM@NUkS~XZ30H*-_r4=X;ZI_v*EQ*>7lTX&q>c& z^>Puo%N4)EeilvkimF%Q@k%UP^{e2v;O)P{y!@RnzKU-LrDSx&N&R;tqvu7{uT>$q z^v0^!h`_VhlGlmAbC~*d5%?LV5H)JHYnB180qc!b{B(&PC-OU{RR|?L=Gb&!ISP?g zy(v=l#;P}?c`*HKfzI>3SE2Y3RMlIn-WG-A+eP4cY|3v7;|6!$JMr@nrrwPofH3tQ zJd~=!PdVPj;6lVGKoJ573?c#)7T^?!07VBl1tLHp0ZxGkP>`Kdn%RaJ)(hC4KNNu% zG4)9i_(i5ZP3rc%BO*XS11=0ifFcE)DxLjFoUdNW#e4y;uQNdZ94~t_^%r>Ao7?u6 zc-fn&ui!UIOyQCGKQZ-nd^=V?1g}Df<}vjhGxdcTbo<$Y{Ix6I`rB6ZcX(B%>YE74 zUBGzb1uA>U9e7RWmX?b7HcO-GTUGxM{eR3|2b>hO_s{Ok?Css=?sn(O9v=Q|*g!;@ zfQYCFh)NR>5tVuZO794wqJRw*6%fG!ihzg|;iQOS#ol}Gy<@}1|0OS*+u6;A?5yYK zub(3?nS5Wq^O8w2nU^f7SM(j+O~Q#HX=9`sRijnZ@DD{lf_q`nPv8-b)ZxSJpD6vx zJ~4Ix_i>Ewf?YsZ&41G1BDB%pG4dRwAE{3f^yxig#tFlD*!h|4O%E1Z*A;{Kmc#H`_wv2-@*235- z3&vOrV~Z^qOYb+}Gg;d*lTbQ@|BOPSmbg7-on`ck z#&ywx4~y%Ak6|&aO7z0JgjI>jC^dpDPFQ?n8vZ2AejK0}M&Bt|D0G`s@hYrUOhW{W zSQp?`Xh*wHYQtWa*+=5ywlugJZ|pc4T#HgW8oU>!_B2?HQb%T?#8bzFAX`R4d$EUz ztrTI5Jw$AI2xA}w*(wpnKuGDdn>L}r%~(WF3hsE!skHbs8oVFVPN%^KQDQ?kTUA0u ztZLa(5|1$<$X1jv2105fFnM|y)eHh>X|zifY)j-%Vet?edly!zy!UJe+MrfpMJ3gxM!oXN$D41Sek_w&riPyH9Q0F>4LP2 z&0y|v30}M?{UXc;FU5_)74x#g*+YqejBlnqnCF3x%HvPhrDP@iqCyR~KIkqj8TaFw+6h*C8g! z)4s;b3G%>4&*JNfuLmFd;u~PM#U3)}70-w1LDh)S54GQSa!<6h^j`+|EFc6lM>EJM+iL7zoU4WOX?J})eQCGEfskIcpm9aP>7$uEAo_*oi! z0{aU1!c>@O;T)b<{30zL8@ZEJ=*vL)m1sWrJ+ohVH1H-n0*ZL9_;r`;Tl|J+qlozB zEWD%SDoXSCVhY|W0FH0d;Iqi_U0OU23B3=5K5)r?#c$By3wZHkT09XiehL>qbIJbf z;>&pPOIkbyFMbUdzj4U{?BZ*9@q1c4Ez*VEC#+Kx{}j!K)wX)<`kQ$DS6X}_UjH4g z?{dk3?BY9k@h@6D126sq7k9hlAa?P6elZ%P#WVTED6B6;VSV9XW{x0R^~YWqgdkh$ z#~2%V*!n)k*vP|{`7y>u9=4>6F%W`mWf@~^_+U%HJjR3|TM@=s`tZSKo3MBRpp*{1 z8H_6*A@NEYtw7>?1M&a4qD6n&UW4#lDqBUg*`k&DfdY|sn+|7_mHM-_Qm(%=_Z!jUxE7;mm6l+em0 z^|6FRt+3VH6n<>F#cv8Kg@;fbsae1zj0B7`mm!IE>3Lw{^)-^{K%>VYi4%cDCzmup z5{bsZ){;|8fV<*_L+$f0y$abZhLM0d)D=jgN4lD3H258oIE_ZTBZi2Z_ue(fFC0P^NGv(C?6e^o(EPjenb-I(C7fH@S#9rm`fTWiA2+9 zYtgACw4!--o&%3;bQElF$3n&cp+W3N2fdrIu*ad%anbQC{{$NR8Oxm%Af0MP5AT$g zzslE4aJ@fe$S=CT0D+~|@>oNAi%rq4QKeh-O9AiZI- z9y(PK)DTC(B_5{N80)fAP^<%Ix!H#&9;Ta?b;hYEux`?}F>fnQX|_BnlnXntZv?3t zh3VJ_gVal+YT4HVP7%y5$yJYV4WsDbR!0YB6M@av20TR-fc8_X*@Rav^Z*>j)d z6ROsSo747~4IQde%WO%lAhv9nZIvW^cE%5%&~M;`anLr;$%{S?%M0vPewuZr39Y_Mg54jz}`2w$rdA#@euWhU^@T-&aEQnBu+MvJ)u3VHs`^#Iw$8ErxbqPEJ!bZhrPzTn zPEBeGd*G49+vyjZQP@h$w%bMDqgF9WA5g0lCHC!!eU3q9AOzW08H|AtWFJ8=mR{#A zn^UU}s`(n`u8ArcJZSvznmYLwp7`|UHIaE}^&3H>-?O^-F8Tv23hazUflyh~*{7~p zu=kkg&jrMe{sI6v?+pBzJWfH1Us8mz^S9{l@Uw~0U9h$Y$8N&OaAOCI$cz37UaT-n zP9(6mB*^)9B>GqMACMw@!*4&v2pjC6w44sZriVm>dI$8f)OhEoaiU}rP2Aq%+S?qQ#XI9))sg(6lI zD~`kpWAGbPYx#Oa%!POB^f#Dsr%o8z6JGW5Vx`b24;(eQ=O|brtQx}3-z)cS?h)y& zX{d&6&8A)9@|CkQvFV=8P5*L9*ek>HdQkzG>EbXsJxuz-=5c1fPB6%@&v!L_I7>Z2{H`!` zb~9tM;3G*4zCV^GI`ZTkd9lk2Vpq_GVRB}eoJFnw@nJJ|b(ow@tphMJH%$6@CQ<1- z`x8C}_%q4rOFQY$OATQ@g`}|?V)NLbGru?#Td=2ni5wD*ErhO1J?M%4BC#~Y&$Emz za>)^~#bMH4b2Ds;m$(@kyA?UXN@Q$_OByrqpfDL6Ch!ED6DC8#WGIcy4h>+$?}ALL zqM-q*{e)QlJuYbyTLT;R(z@GDNvCMW*1-s!-rpr<;g8I{`LXq}4F$3F`OV?82s?YS zG)*n+w#w@Ig+K0q><|}^$kdU(L?nyA;O#3yO8>xOIot(gkubDLed{W z>c=i=#qz>&1&c5hc8SKmaLG|Dbu5i6M!nxa>bEXw%~HpO$%HVO7$%cw~+Bex*#54iBBOWLpt=ZDGEFqsx67tqM99Onj$L$2k*Obsqir;*z@$jyV) zuuIyq)C!{o9sxtvDsz-#-%wF6wzj$OMVOs))*tHNYXm|RV*gLrS?Hh?;nFA3Zc zN_uMfdHVUgICUGkheNHqjiC4=TyjEeF^$~I9jM%0Nhbz|uJk%e9kg{9r1@>h4JcQLh&#ybXg zUav`;CN+VpP}v+nUhR@onX-1MY%U~S=aL?*J*D7lyhz=7h=*~_UEq?Q4BrXyH$f7N zNxc;K8mB$?7R28QnQwE+X$;>P@wY?L9WLpuz$Z0{<%nManOC~xbcXMac=$r)!WXJO z@MMui{59QOOReM3ChODgNj~P(-GDkbf`V$7oWXSVLY8HRa+4OnDgBPs}UbOug zegNXZi`E4%+5yZai_s=;P^$yla3wB6L|%cT-HhwWBsosAKjTIgw65~3D*HI{^_ zg+7TT1z~ax(ZA6QaME%fOtiBW3;Dk`DYWR%LNH5H{ewWgy~Crp-53q8e3 z>QW0m#Y+B1t=X7iUus=}(*D$%gHkF$53k>O5lNNvn5Yjay+lt zl6KVEfS1~b$ueqf#>k1(x*sK2lDIQWmQxGeLrS`Y$qH(rlSfH+YN10%Ne^n_=h~89 z)WVOVCB4IBWthNumz{aDE$JI3tHR{&Fj);p#PTXF=?}+KE0YQ2es$5HDYlqOIMzjl^P3X=`g z!Y_y=FiF}7YXovw@oxQ=J$}gr{DCf+UK}d9&?N)e13jHT&?Pe<=@OR=Vm*E}yfncU znR@Wlj-w^BBh0U+WQ47B%mcZNxzxkD1OD+8HR&pJ+@atE} z4b;LiEcT`n2IbZw^AZ)ov*D7}(i1(U84a7!qGmkzU6 zDgI5qwH#QYAJT`n053Q08r z{-X9inC%~G@6V-DLg_mkPztXHrSN(%juo;!Odbl8hrj0^}Trz=8 zTWcO;PiZyVC`$K6(Ek9mk4q*(8zztOj;3_~Fxe3%Pf+_nw8}x$J_MyhsEw}$rS(&% zEX`}C(WQr>>V}~DaFHbf7VO; zL+SvROoRCg-H90oLsFGXE?~KS!Cdf(yc9l>PiMJ)$6Ui9X@pBIWV!ypT%#drj7u(p zT;v5TemtdrWB!S7ags|eX8CceOX>NLG}R?DSbny@97w_@yB#Q91P5B9G=thl;jO}p z>8o(MH73udb{kB-oZ82t1l{m!)NY3nSd)64+Bg*}g%_zesEre#(i_6$%`kZjj=kpJ z!b@+Yg+cy)QVLV-QkY_20xWHu3YFeMZJY{~-WDcrhsir(@@|;C2j|RVTYwSt{V@3; zOu$R|1EdBguziTq>M;2zOg;{iPv9hMOj=LLCn&*V`6(RBj%wf_w6{^(3?lkdXh z`!M+-OnwX#@J0R%i+F{3AcIfvPpIg1I4B&YH>r)&u+q0-eJL@EWj_SOerc-T@WJr9 zCa1Bb?*b^gqx3y$<8-YQ?6H&DIAbe?`-ctJW;0d4hsiElSjHdCGC0$!49>K=4BACuId9Nq4kU$Kayd(?;7rQ$A*sM6 zS3rXu3@F7IN7*`z7%#Shi$}TSI(G2_ya+DU&G2GdxOl8fu4jYYN4!OrwWIb$n5{jvFF~mz z943!fJ5hTUrkzY}+|^Om84iEv&Abdc&a3b$bey=cp{xfD{T=#;8LAf?Y>)YRQyVus zl=Y$Z0z|;l`RSQVrm~SVnd+j#fM>x$%4KK6iTX&PKeZQQ!2_v{Zw6(sf()-p>>&fT zL#U0f31!2mjjsu1=Ymq_K%>k)W#Qvvhmc$7lyKl)W-LNvdPrG z53w+T{+p8Dkje$r-h#;&QhOUp@HqkI8rv~)DV&CnS!YrE5ln;S!QIq;93xkTVY3A( zOEf9|0TPZ_YByjUeBCL#n!U&>udyZTvTO6pt|^V=aL)P zq#@~eaQv*2_KwO{vTLd#@v)@r#sVm9VK@*-EwJSgvg;^Yj0M8x=rVBEoCmXlK#&&p z=7UGsQb@hsCG*+(T+PPoc1gSYYZTqd`=GMr09oOZ1*{M1kB{^!NV?l4H$op208i#r zMYxN~O%Lg~O5OR=9A#OBV5O3$p7?+OA)t z{Xx_Y&ckKkJiM4`AB)-_g`~$^ax>E&f*&WqX7FS5G7T$tAbIG$)Wx3nyY`IA5|1Ja=zpsRguf5~jWlsqeVtHkJzK^i9T8n0lAN)O!g_ zrL=GgrhW>kpSfhI*ZfKQ-fM<{vM=$zz5)oG+ITyw$HH)+h!)~fdD#ze;YXL;k-pU0 zGO79fj9Oq*aT#nXUY5Sp+Nx%*TDA)_{{fl*bjh8H%ry&6W&dF2-Nm7D;*#Zx%ryu2 zm0LV>IXL^4gR}1nmN|7(Z_TZjhcP#dRpl^NtyJZ%nFf~^V(ucyUF?#(RJm)`4$EE4 zT>`mFU9t+AOVPf(xs<~m;&RwSd^cNXOC?uh(eg@EP#1FV?UL1s;u_aHjph4dZWsj1 zVGz7Wl{@Li55(LDLGFWHvWAg60m;>eB>3^VwF+{LYgQ4<4@U*?&7mB=Ijmy}PC^AR z&XvPBw_c^7rdG>apn{f=yOm4sWeQG11@M|s4zCFtR0@*pdn_t|*N1X=eb~qpoQ(?F zLsAEqRI3yu*|!raI07>~$l349b?3zf~EYg^57Ge0-w0vy& zIF~$F4jWPkQvOM^!LRm%}*y7*o{+Row_l3tjTKf_c*0 zc==*f0OM{sjJrFS0@3i7K+;l|JfTvM^yDl<1$RR3K9+SH;Hw?w)Fcd$f z$bDqeW3mQw!?IX8?ACc&l{@K~z;7YtFglmR==_W-cT$~iM%`N=_g0rY%WnK;v>Yss zmBZrLb8PDWB%f`SKTPfCP-Ou=eY0Hb<2jOwo_6tqaH102xHVL&g30sU2`U>z#>4wAli$!jVFN!9Wb zcikx83AulE$?HtP#%MLO47?|n{~nD(Wxc^{{VrM#rrWsYTn^LW&v{y$Q2QH{f^Z5l ze=y=Uo-JJS3m#)=Jj7R$<9XD^mEd?jjcyJ#fx_aE;!vDMLrq-rCcNfFw{i;cD5S<* z@)j%qS1h5F+PhFHr#3FD#w)?M}= zG86#!M&q6E&Q3~KU(;j7PeJvaL46mOyvzEDq|fD<{nBfU&>c0L3L1L2AxHug@D;y}L4lY5*`-cN%)WKEg_@Hp09DdpepMi7W=Z;Vs z3O{m$(z$pZL_N0gavY5h=igD{BfuMqeQ`Les~ER;$-UJGI0ES>fkbPd>Z%>s%wkJr(;cB2*1OG3NEIBGQ5FH;1`)tnwegY zHCZ>4Wj!Qm-r`o~gJ5Ku<3)-$D*)M<~=jnp|2rTeIJGD@4N(*>oi)aj1W zHtO_53C_a^fZ~Tx@x#>Vjp>h4=M0n{r=j|I^$F^ng=tSwr$0*100GZYs_cIG9+JHH z^T2x8U>biBYCZl!{3YrPLeMMVdz~=;q_OZLQ?TD;+~~acYX$MwS+;X9+nexxmR+E6 zw$KZg-l5Jgy!0MZ2+`pf{g8&(naYs%F?B{^+NadPCA>JCcac)ufWd>u=Ec7(h=0Y3 z!|&YjZ)k|k%^>YN>fjge_zyr~+_3YjX#6L0&TM{P6%kN5aKhMe<7q`9UMr#weqpbO0&2{tad1>VUV)Bh4x->&6nyI* zbRMmUvzGW(MFn;66MV&9)WJ{i6?M}L#RiMKivKYyj2}CYR_qIUEB2|_4}O>tBoeGR zfI9QBgahFMn4j#%3x`l=Azpy5MmGHZAMia4iUxE;>fnN1MI-9qf?Y-9q|Rn~^(szgQLrAa=2diIQLq>;=~Z;64zA}_^q>x|;#Ks5l_%CIs(19j zwmDkS8*V?kqvG`RE~{qdUvUQSvMTx(hbqo=$;WIIgIODF(K=_s$aKDi zU>gwIu>?P1c+N0*XZP%{NbFKNjaEEY@jPrws(1kg4tDk(?g;}S$d16n7zjajCLE73 zA;^w~!x#ubcH$exKnSvf-!KM3ke$|sF%W|6&^C;L5M-yXVGM*IJA@5mAOzWQXc%K$ zS|zwJ!QzLS<>ATTzy{*LLc~FWIPeg0kRT3BL>wfD0~Zko3F5#;#6f~M@DXv4AP$T~ z93+SXClLn;;=oG8IX|`o9OF!~_)c&U=ls|?zaq~0v6Fs9obzKR_KG;?$9Ct6IOoSs z?Gnz5ZWHn|-(1|$b$IizTan6tJeid=fk8ODs zan6tJa}{yUkDd1@;+!Ab)G6YeA3L2*#5q59tf7c=e(XF$j5En<%+4$nan6sO5-8%F zA3Nz!#5q59MxTgte(d-?5$F8a!FeLi`LVO>M4aV6LHRu9b$%YCRvTy zd1fNc`LPqtM4aO5OL0rolYj=oF6-=OvE`qb~=QJbAIgjD-q}X*eMSp z&iS!388FTyt1&wbOT;-pb{>|9bAIeZED`7Y*s)I{&iS#k6GWWzV`o5#IOoSsff8}f zkDUW0;+!8l&Pl{MKX#52#+hU_W;_2yobzKRGl@9o$IfOFan6sO5+dT9AKOYV;+!8l zDMZ9MKejzx#5q5<7hS|TKXzV-h;x2y*Ezn}9;+!Abwk+bD zAKMKr;+!AbgDm2lAKQg2;+!Abhb-cpAKNS};+!8FC`6p|V?%|AbAD`$z&Mkv#%#C{ zan6qo7$VO3u^~gmIX^aNh&boRh7A$t{Mf)D;+!8FIz*iFV}pl?bAD{p5OKz@2|E;% z$GHUY1V1i8Ji(7k5Kr*q62ueyxCHS8KQ2K$!H-K2Pw?Xs#1s6u1n~qvE?G_F_`xNJ zOMXm(xa7wqh)aGGF8MLZY9hxEE8gG&&X{FnrB$&X18m;9InamkNK5SRRz1aZlaNf4L(m;`aj zk4X@h{Fr1lk>dxKATIea3F49;lOQhnF$v<5ACn+1`7sINk{^>GF8MJD;*uYeATIea z$!a3U4=zDm@?#RjB|j!XT=HWQ#3er_L0s}<62v7xCP7^CV-mzAKPEw3^5gFxP38E( zC9A0%Kez<(1V1i8Ji(7k5Kr*q62ueyxCHS8KQ2K$!H-K2Pw?Xs#1s6uWHpuJ2bUn8 zupgHoF8T5Cqp2J}xCGZFKR$jmmE#AO;JW0;$B(9R{NNH?m;Ctn(NvBfT(X+V@qI#a$O)15?DAtY@<8N4Chee+pHO;|!pa3tdz#XHP*ZrKBkcuP=cSP{S&3nDJ?_kOG@z=yS-qqL1Rq&meQk9`kvBbQTmb66H(ep=_x4v zLTNXYextM}O1mgM9i=}hJrkwBDeaHaZb}DpsS@0d?XkC{@zJ)hO*v z3%8-P4=sESrTu8(_b44ei-IT}NQ=;Fl?T(JeK74%T66$P4QSEfC^e)-ZBS}Ni#npz zm=<+LsVOb$gHm%^geS^XwxC5rF|8FX!ow^pThpRxnAV0CU4v3v8r=(}<7o5{l-ki~ zbClZCXnU04XJ~t&)QLu`P&%1LN21i3MrWYZl}4{bsXL9{fKm?{U4c?B8oe8(-ZZ)v zr9L#efw#5FzBKv(TKp^;eGsL7G`bz70W|s$N`q+hVU((9^by1kq0tvGZ5WNdjM8~D z`UXnun_j)aRpYA0!Y{(Y$A?n}j2Jk00GxCLze!#5xWk9vQRh`P>XFDb7_)(=W=$UP zd)u-tQU=?FI}V-UB`ifm`d7mE#I3$5xIn4pmNIORn4)Nz`fOq{`7Q z`Lc2{d?4)sYqKj@P%+}JNT3_t_q?BJw{C!JE#hNpBJ>& zfn$=`huPDHjT;6^jvG00)c678*&+C_(hF|Q9vL6W&8~#Eh95@er8BZYX;fP+?Xiqxw@I=yyhbM{fWD%Ys!pBCau=O)FO-G-;cN2J6@ro>03#78H^+5L}6iH}W+v+~A~ z@!ZZ61mB4wJ}D_5(=%CIpOTc$@aK#CQ$;vUiiZk4WBHu+x=`7#HDe)O1 z-z6fvRD?4{I4dRpY>|GM2ro~$euYTCQiNBDaE=JC7U4BX^?ohznwt{8E=BM40(XP3 z!#okr7vTaC-YD`dOu^qI(ie$vu?TM#xLZX0RuSGN!X+YHD#F`E{yRi`nTX#h!sQ}d zA;Og+yi0_u1nzDTUoFCWMEV*Lt`*@r5v~{Ey&~Ko!i^%V7U6v&+$6%yBHSXvts=Z% zgxf^;fCwKH;dT)|B*KS9_(+mnSvz}Fq(3I^<8cx05aAQz`jaAjN~Aw6!e>PIY>M9J zQsU32#9t7&7e)Az2wxWADF>Xmm>U1T>n~x--z^YMfjZvzZchk5aEv^{7GEj zDdIni@D~yOD#G7H_`3*qCEY)(*FQx1pCbHAgnx_h9}(`x5WeT}@dUgVFbpQ?1A7K6 zk#397Nx2>p>3JdyCtU|Vf&8R6(^rrZkEFzDO1#k9zXXa>t{01PqiDZCOoVV~3G2TD zB_b>pVVMZaMZUO*SBS7qV6VhD7pMe$T|N#UAJ{waKhSr4V4uLgJlrp^KMxNG)Z^iS zfrEH>aNrOK-N2zZeh2D{uz?5<6JbLU9xlR0B0NHbjYZf*giS@*OoYuvc%%qhh_Iyy zTZ!-}5w;fL(IRXk!ed0(R)oij@Hi13FT!>rJVAu*Mc6@v9YrYSGl5PbF6K1>F|P@T zc};-NYrsxjM82*f>?XqQB0N=uJw(`3guO&~nh1M~@N^OO5#bpk>?^`EMR=A7&lX`n z5%w4101*xp;UEzX7GaeL&k^Ae5e^mMFcF?B!t+EpT!bS;I8ub8L^xW6V?;PsgyTdw zUW5}wI8lU?L^xT5Q$%>a2&am0ng}lt;dBvRD8h?Gc(Dj)i0~2-UMj+wBAg|{*&@75 zgqMr(3K3o@!mC6$M}$|4@EQ?bE5f-VyiSDIi|_^!&J*E$5iStnjUrqq!ka|6NQ8?; zc(Vv^5#g;OyiJ5lM7UIhw~O!&5iS$qog!Q=!WAN1DZ;x%xJra~i*U6F?-Ah|5v~>C zIuWiH;k_c6ybIeJ|x12S!mx!@&n)* z1#1~op?8}GZ#3+OMjCDjyhz9?4eD11Ug><;DxOssm@&dn9UH}Op*ds|u*UIVe@4lefA%_IM5BvbB z5wd^a$G}hU&H|;8E`gndR9*{dANVPR5xYc8+VLeX0*m!12%2z}8k~&3_>Z~ILd*Dn- z`D*AZJeKMzNp;hag6(*wqYM74HxwTAcorlzUlGJb=sS)O+{JbFt)_X{el%z)KNR2ip z<>zHIaIc5d7)ffZj?}nXCbhvsYP=*hK}Txhe@beSBsEz_YDz7W+UT*=`I6LB9jR&N zq^A4n(YJU=T_{Oiq$36U#Z5a;Uz5D89#S(TsY`UEV4u1vsabw3E{C*|wud&EQP zdP(XA9jSTdqUJHeJIqP>s=ntuq?Soicj`zjuVqrtdq}O2q*m%k z-DOV7SDSj-Lu!>Ib+?YxYI9P)s_$(Nse2@;H9Asj%}M#Pl$X>xNou{0)V=1Ud|B!p zkEJ$9QX6%os%x3lyB<>aNm841q&AzA@>PAGct~xLq_*lv-EU6HSM`1FA+=4CdO%0& zL32{REafG&U6Oi8N9y5PCgt@@ctnzVR7dKuS|;_4r}`e3q;}{?Jz-AjDL-#BUcZE= zC8=k0q@Jy1QeMA==On4;b);UXWl~KjSwTOFzIYME5X zLrS{o27l0z`mvTt<#|Z`BrUa5N9t#DQos6`B?QABQol)3zw1ctGAHF{>JrTNkorTC z`cp^hFLP49ELGqk^|vJTkB-!Cb5g!66-g##kpw9#pdn=ijY(OypElLNL&}k)LON1; z=A`odkUGOdsz8#8=txmxQog(wgTp+H zb0-y-q$+fz>X?(N^h0Wdr~2wjQhV!2{m-1#zCK8iQ#_>hlce_7kvgE3Np<#+swYVu zs3UcdIjKYZSZa=k)S;48eI2O==A;_>A$7Hfl=L08biTuux$m&QZBL6lq@?e#rSl!O z%zcM_b)LjaO8O34I^SW-+;=$OYul5@Bi3pm+f++kn`&j;ruP(Z-~# zWBfF9kN2Y0R+2haN9s6pQtkYZy2sPdPmrYA>qvDlC*@~8MLZ5TR!2$dL>;M4#-xIN zT$PB&*Tp(Xk~&#O>Xce0Rqd(1&XQCY9jUIhOzJ)lscw=~cO9uy%}Jf@r=f55km?~x z_0*B-Rm-G2u1eNvl2mUUDfsnd!`3_rI_zwH3z6U*|r0=k$^BuOb@E!I=YP*M&^c}WzzQa})zQevqJ>(%J zeTOZb@357H@31dY9#*9`#sC`VLz<-(kz#ci0;1r$_ga8YjDi z@wzTyLM?X*k9jOLQIeXZBLzQ%WZ0wovy_+A6iMoQ9jU3tr2Gvtk9#aNO_I7mM{2q; zDSwvol9Il|md=rC8=w4q^>n4<vW{9 zHzwuJQcru@)D4oX)IwuY{w(EjKDBO=q!#H&EjB0RXVW3^ zlDb)vx* zI#MglN%^vrm()s0>Mk9rRpz98S?WcPrS6ubR_jQ??=~9FJbfJuLSFKaS|drV)scc< zk2EFa%Tiua>m{jsb)+^Jld`J)yv=w?-6u(H(vjM1Ov+#Nd7R{}Et1q$9jW`xN%`5e zM!ck?@35uw9ky!OcbL5DY3R~-*wXn9TjsvQ{yu}e<{>40hb^7&u$6`Hu%Dff#7j#0 z4qH0kVawci*z)66l0r)Q4qH0kVawci*xyG;ucf5#u%+`IwzBXYF7VS&eduXZ(s$U> z`3_rI_zwH((LeT(lD@;1&Ue_#!gu&zAoaTJJm1iDo^P6Wp1!Kjvm3;EOOkq9N9rAO zQoiQsUQ*I`*wXn9TUq!H`}!_bB_(}_EuHVMm4)xHuP(t$O8O34I^SU{3*TX14c$vh`VLz< z-(f2Y-(f$el@Ko}={s!ce21+pe20BKecyW;`Y*Ec{8iU^{$|{HTD$xV$U8lx{*a{p z)RFqjoYX&lNd4>~wL3w|CK^(9pq5Gfl1$1DN>Y}NlwHfDe)W)&zQeZ8ci7Itci30; z{pKMRmX^xbS*oCxE#=wYXGbI{sv}ic%cMN}`|Kh~s#r%VTFaz7`}^#eB<1QzmDDmR z&;CBURFW#wkt(lcQl9;Nc3hIG(2=TROe*MS;|1~T@3Z%kq$+i!>Kc=>e02$){eAY{ zlGOinr1mi;<Tq*Q`FU{&L_O8lNRm22N2;+o zDPIRddPy~rq?+nTH8UsW=e;kmug6l&C8;BIq*|Dh^7A&6LaL=C)k;U|D05QNeaz7V z^*okpElC}%Bh{vsNgeDVb&Mp{R!8bsb5gzz2Jw3_uTijIXckMV=3vmZ|gkw?OOKS4|MX7lAimv&U4?+!gJrxXOO_j9#YbC z-`08V+qLYuAL!yCB|Z0To#(!th3CF6OLg;*lAimv&U4?+!gJrx>Ttlb;og>>`?k(= z-!}K$w@3LI`}%q;HCj$x#^|OlV~wXS_W0aMO^~D}>PSs8Cgtz7{Y;OgCQDLNbfnI& zWm0E(NKKWbrs+ssP|Kvw_K=z`NnNNTb&)YCe+|8#ht$QA)C?V|OUz06YUo~4mr7DI zb);sQlk(Li^!Hdwp89R;PW`scPyP1SC3s26Q@?H9so%Ewso(zE)BumALy8Qk&e`2b5g!6 zHP~Y*>5p#f{LyW5e{|cIrM#r1Kf0~+N4L%W(fzflDvzb4Kf0~+N4L%W(fwJzB z{^N%{I*8#vcvsfQ$~hjpYLsbx~SZ0NSBy#d`i1)xOT8*dy{04ex;ZI7zQZY`-jJl;)RB7YKPC0HB=wGt)Vs!{ z?DzdVeKF6|C;icFoj-yRd`5AFKb8VW$l=I zSqJ@ingzY2q?fg$^Rjlb@Ur%0sX87@NiS-WwU39C^s;tzUe=Dem$g4jd0Z+T>1FNcysVupysUj$YCn&q zq?fg$^Rjl#y{!FN>Oc=E>1FNcysRB_FYAAUl=QN8bY9kuxtFy+QU`e~CB3X2otL#^ z?q%)ERVmoOLrQvCJ323G$K1=>Y3gU{(#S)qnVe5G*UhJnG@noX3#3{|QZ03)TK%V_ zj*_HW>qs5_pOR`LNgbmj)%HInb*vLeYhlmAmvr$|zrb)>q~GN~pWOLdi`y6H%D|4&JsDoORwk?L8?q?&px z)k~5(O-HKte@g0fNve;I)ETu*s+q@9eI=R-#GntLoYK$04$ zBQ>a&Nge4SHCU3W(vdo+mPxhnkQyRM4b_nvR?DPXdPtosNu8%7HN2KdwepY}AxVwY zks4LYq>lEGl3v!1&db^{_pO8!T|YIumiws_Jf!6L=#K7ubjSRBbbpp=?;&-i zwA58POUPRiDWm2B~eU9`;cXa;fj=4X&zv}b+P^BaN(H)&Xx?}E-?vIpb z)1h;ltiC0>>RVdN)z{V2rldc*qw`01%>B{*S<15$(vkk?j?N$5G51IJN2JIoj+#lT^DbGG+NBW~X zI)8M>+#lWFH)JoVr)1~(w661f#<=tRH!St6B=ww*)bq7W%ClqJc|nqTQAg^f|CH3r zlGH0YQm>km^5s_IwbW~p)ayD@Zk^1mICH0Xc^|6lBC;ura>ACOdJog=Q&;5U+O?@FP^`*{IU;U?+`dX6u zMn~#fV^aQx8P7gs=Q~O2dmX7Cj7bH3ZRYWk`cablNk?j@IVnHK@&vu4m5HjE6;IH~To1;Tk;^_<7nx`-17(adfdUP+TkR+9-BNhHnN##pY1v*lZ z|CAJ!qzZMUivCkl#gbH1M=JK8l5!=f5*?}1|CCgjBvq~>6*ndos^iCZ*t6k2B=AH_kog`5e^VFFhWn7*;}FvAaR{03aqwp;FDbdlA*9>m z5HjE6;E&XZ~RQ$4hbD9e$w+O5`_N=3DvLM6gvEFkb;#Lus8|8zXe=* zIpzp83LODya4l4ybRvPu3qf`Q5Q&mNsBx%Cn#u;7LPxHJOlB&(r>blbYMG`ISRTFF zSY;Z^HlbsL%J#&9{|U*fUwPQ3P&+lveKCu?^E|wB1`D+%-9Z(zL4J_g01}uTP6)M6 zFQM+H(8;DHbYQmSC3Fm(Qd2?)D4`=Sp>wEFrwGw)SdWsS}&Es@+0qMDSa+Pu(w(WYu{t-)b%Yd5QlNWZlOqKI(l zMrJ*JYYWMExHZ-*!YwU;!fu4Wh5VLo3Ee6R98dD#e?p4tLxFYdI!>L?rqD`tu_t0q zY0;w4ZK1n(TP_MM39aJA7KN6wVwuL!?I5vwDhk~JlEbBCAcZ)oI-$^QKxhg4Erq|^ z;qMOkTgD0At!|-f)x^?U=xSz{?+N!>{2h=2t?(MS2X!~+QhKNETuDwg#E$%EI6>e-TK@B;8lYRkW>=4@p7*Fvu=_`I#wn8GJeM==s_ z2)%0nt{I5~brlxb5PILtA|Hf46!hCcZLs=?*545Ncn8eI?hAd+L`KG{oW}kofk@hf z6mKaio{>Q4i_n(}g??B<#St|TK^n*g-PpI%0Q20}p3V#j#rck&G75)VZcV(&Y z@6bQ#3iAk8m}k}BkVmppm}lp~;UK(?Csj&b9x5yVg?U*jjO0=AwAM@_8*B`{yAEpA z;Dvy63G4-g_~b9IQ1q+v4Cit>s@&r_qia%1RyW7Y7;Cpv0W88}qcpz~rz4LVR? z2`(`MH&BAh%)o~!!ErNiLnXM58TfD|xY7*VND1EC419zVypI{Uu@bzW8MuiOe1I9a zsS+YH=U z3GQPC?xFl;A7Pz(bVa zIcDIYO7Jyi;9*MeTr=>wO7Qh&;PaH=d1m0@O7H?R@CYS%p&59j61>O^JW2_^*$g~d z3BJ_~JVps#Vg??o1mA839;XB^GXsxTf|r|tCn&)y&A=0t;8kYeNlNf)Gw@_3c#Ro& ziW0oe41B&4e6JaJsuH}>3_MKg{D>L&5+(RCGw`KK@D4NZOeOe9Gw>`W_-QlnY$fK z;Omv(PtCwLD8Zkbf#)f~Uz&mEE5Tozffp#j-4N%qy+CY120m7 ze=!3uR)T*s1K+F!?=l15q6GhG2EJ7Z{@V1vg&a!}bvt+I6vD$h`>Y?Fh^zG{_ib5MDvTIF#$s60!pvRw`;&sM8! zpM%PNYLy*xP}yItvQrK!2dGt^oP)}NYL%UHP&r7gvTF`12dh{acY&La!@&5t#V8bDkrE_j>|#i zM77EZIjEeZRyipLm6O#fr{th=idyB=98{jKR(U}VDyOPdUYLW*X=;@h=b-WewaQC! zP&r+#a%K)HFI20XorB7Y)G9B}LFL72l~?AVa)w&voE%hMqE>lL4k|BItDKvI%9(1F z*XN*emRjY!98}I$t6Y$S%FEO$7v`Yya<$4uIjFost@7p^R9>l8d20?TuTraAl7q@Q zYL&O=pz><9%4IpIyhg2Zc@8SCRjXW?gUY#Tm8)`4d7WD2>Ks&FuU5Gx2bDLdRj$iH z)GB|>LFGoZ%AGl=tX8Z1B?p!Fsa5`#gUU^6mAi6Kxmm69&m2^4QLFqr2bEjZ zDtG6g@_wbta3BYj+tezp98^A_R_WxR@6#waT&_RPIo#jOU>83AM^PIjDS6t+Fx)l~1Wv?wy0m zr`0O=$wB2aYL)xtpz>L@$^&vx`J7tifjOvrUaj)r98|ubR(WU+DqmEqY>

m((g7 z=AiOrwaP|0sC-4OvT+V7UsbDYnuE&M)GC|jpz?LK$`(1Od_%3WRSqiORI6;AgUYwm zD%<3s@@=)swmGPLN3HU>98|umR@u(KN^(N@J#jp5%@Nca!XL0RdD9QmO*!(JbO-ZA_c!DGBThCc@>@gp3N9EAipAUOOry}i63m7AFSa{l*c6I_cGcPM3g5T=Jz%OpRC%B zl;6h;tUT#3zpoisdD3D2S!UpF`Ddp;8u|Tesw(-nY*HVMQX5b!5@L)4=PbE0R zZ<(ah?xh3|H7ofvB{;)Ro}`xCTL~U+R`TijBSc@4)Dh*6HUQ&6;Q1rr8S1N4GB!IU zXDV-Fynzy6aF!CB;m24~4S2Q^JlU+|eoFB9X5jw$Qx(>qZU7e6p9c3nNU7wa?34^v zR^beDC9EA(DQ$77ff4|pqhv740G!kkhbS3bW}pNZ3{^6?!T_AaV3< zKW7HMN(p|!3_M2(e#s1cwG#Y_8TcCIO!ajGu(0~8V7R$TC2wY@joBUo^QvF}A zw1vsR$O0w!Q?rt9R2uAaGw?!Xm3?UdPO7q- zlnnTfk?nEajVw}vzcpY0Rkm0O{@x6Hv(oB6nt^Xomb}vpe5(@tiy8PfCHOZp@De4M z|0>&_t7@qd{HIyTw=1pvw;A{jWy!nEz{^x67X-|}cPhb_8F;x8?3jU9D8c;a>GoVz zE0y4Uvy$&pf|C}7bt{dlR8>__Xjbyw%94xCz^j$um>KvUCG8S3@ERq!%nZC%367hA z*D1ku%)slF;7T*_y-M)jX5bA<@IGeXjY{x-X5eZi_y9BTeM<0wX5dXq@WE!_%}Vg0 zX5cMKa04^&RwcNh8TfuBxRDunn-bjE4E%r++|&&Gpc35N47^JYnp}gm$&%V0R z@<}DQlUd16DQTZ<27X!z?ra8rMhWg}27XrA(z+XflUmwyN+mtAQ}Vo0Nw4gbyr8s2 zZv!RJ=3i8T`?8f!|ev$C!cNQ_>!127X@&o?r(4 zKuLR&8Tdmbc#0YLBPDpM8Tex*_yRNVCra>zX5dei;ET<`pDC;A5;O4U%93ZAfxl3K zXPbe)RDv%z1AnEw=PS*?Un{|L%)s9$Xm1TQfI|EdJvZU+8M30`If{#^-PZU)|^ z9BNk@fRpB?e<&HOGEf3T?Vn2UYBTU(O7I#p@ZU=CIy3M;O7OjA;N42_Mk8>5D8cuc zfdfkLW;1Y5*;uw3fNSPu0ZYkXn}HG%2-r&SgJxhyN&g`Oa1#BHlEEVeN=TsK(a-?& z)UyeRGWTa|3;JAlWQ%=A7w~?~>}e+Jr^)NpdS>RFU!=C+s?2#)Zf#)Zoaq)jFLUM;wL>%K{G!M9g0HFz zzN;?y5jH}=Hit;f6EC+p1ZG<6#Wsh1;pq-p_ZIvFTLyycIZteJu(sef2fjhT39tV;AM5*Mj?37TYl7F&O zQmAqgi4b!o;As*lQZb1H&6P027OUDv#5Pa@;HYXk9toL&V@hz?4D2dt7np%dlwfKG zE>(hy%)n(zaMTQ3t~8iy297IBE;R#JD8c0h;OKFo*CO#WC*bNx9V0O55ZOxsu4@1$ zZs?QHrvh9Bd&8i!B>UFybIQZ@H-tXkL5k~dC9V6^zb~@S#?Z$N9$8L84IX)L9lSQ! zBu?r=UaN8%{98z@zeqff1W(9|?3c+~U|-P(yPz@pZ>KX|HxS6E-bPUE3)3k(5`>0$h<(NBJ&6q*_;)5;Kn@iuN4{2SY#d+ z*@P8&(8j#Hf2YW3oyftV$Hj)5Rj{{_?P46UKGF~-KK0i}n$)e1G_Q`dteFNykB&~v zII)NvT^(uLpl)*R7`ZrO_JU8UBkjD8l|_!OoX#E^Boa9UwobDDMnn!3+bKsx>XS}D z_(Di6v>-ziE=3_R3ionC1STkaOXa2FUOMRRrA>5dMsng_sw1Z;NVZ8L*&)(Fkn9lY zNO1pT2QSGEK(M1E**Ve$Ne0IN$q*rdt@W!Tbvqwc9qGL((&sR1TcmGwq~CIq-=$%7 zWYB{!J=-3sitdy2RuJtPJw7w@p`h;EN?@*lWu6#Eh9=cp*XT)^^&buThgW)XkI0z2 zAZc0(v@=h3Bcnj=7*0Ds0^+#j0(8wNGBG)4bWBDnyo9<(*>!Ag>B)6$Y3j&PP8|o0 z-4S;ECM%4QIU0>?p^tY+DTznA2Sx@i49tjh7rpoi#NG|vx&{4sATQE`z(3vF=SBMN z4h$wvA~A7cH_7uR2J`YeLgvZ~pqb-zxhrpT$3QGjLXjzv^WhP(N!`d)(h*9SLBf$~ zkmdx2ll>wWKpMQ22ewAKhK^v*4@m5>35cD%k*?9iBQssw8ZRnNFTVpr#);PncHA2zDH8~H0ywbJIB6gIzlPd4A;vH8`;How}~=2vHB^Q*J7`BwinPcErWujvJcnY9N7^hFt$PDNqDnn?`Iy9UK<^mxye11 z+&Hg|X8vlyUvr-EDvps$GivreAlF96X3QBG<>3$`muJqJ_Jmx^YYSiOcgiPZXJk8j zFJUI)&x(ApXKyImzd7Wi$F^kIiVVaWk5`r)KnwXqg_zaAH44VG;2l_+E>lNV@b`3!s~mcW|T~2&&`NB*^J>gaPwwE?jfE^!m$+}8sU9nek8e*nHN1jqXt^!$r&Tp zX3QG7+mkgqC}U0<^X43oF(>b5z4MTHF>+VN{N8>Rvd+(#HHAcs+>kM=w@(JGYcgj| z>yzizJWPRAi4G~Siss?N1ZkedR_K%Al2|#SuK$M#%M}k3ElE5~v^42qg5)S9z_Kna zGklo#%JeY(FZ08cOvT_~+DF;^_g6Rn`Fm>q30%hJKi|0d&o^)W^NpMT{OBH}f1%EN^T$duRm`7IwSZ}~M*%S#irydtD{3tAC9En{Uzo`TATslxH{ z^H#JXdAwc`&FuD+{DCV*Rz&+|EQO}IR;)-e?o!#FmS$>C?WA!BkT7r94eK}X^343@ zk;2-i_rTob(i_qfguxrq_Q?i60Sw-r8~j9#!QmrU+H2>^=+PNXk!J9CG@5)PE2CX9 zW0Srp#>mR(aT#-_8+>JU2Jf^dgRj(#Wa$P^F1;Z=NgDj*WP_gs20xh_{1lDB;ag*x z!SBk(;Kk8!vcc~%Huzmx8T_v74BmN92EQvSgD02Xkam#<@0x7zE@1Gk+~D1$!Cw_! z$`aY7z{jFAgRjcQ;CWWEy;m9AdsSBUUX^6;C9+3ZlBq}Oz9)OH%F5o!r8lIfN_+Q6 zw)d%E?;hOVJ*Bca0yGPpq(-fK?=zenRdlHQ{vm)?+` zCJo*@+2E&v!FzLqpRO^ustaF}O#@Hq!q*tL@HJVr@HN>Pyw9Etz9y>{o?LoEdWJN3 z-(-WI0S52O4SuG^;HoZsZ8io^>B83<8+>h6249<CRG={E*pb;yYO|!_Fk8jz1Jn#+n@^{uqS)3%gWx#r8lGlrM(9w z+j}6`dl0wx;Do({SCV4x9JFga9R^eB^Wbkp@`(3kv`xl|8mu;P&?wKk@K@0~84(4a zfc`PjaOKcwUVj!O7xh)NVMZVw3&8Oifth!t(6Qbk!kLSh1dH_ih7#@wbV>$y1mH3? z1DCI(hh#2+-9)Cl|6221%zG1GNB49SNgpo1j2@nu54&S+!oIH9CbGpcnFhTgGxvUwHFTqvkt3Zf+9eA32$)5=Dz5rz*%KXu+ zKq0A1nLir5-UoIiCOROg{n6-j`IKb+W%TQ$=dljGP&^TJ=tap-#D(xgT*RMo z;0_Yu^hRfRB7V?y3!e4jAEIqD+McZ!(@Q)L&JR(|mLm4xc%QQ$G#^#GtIIz``)A}) z@I^Y07@3hdf074hjQkMIyfuzO>q_#Sgv>E=Sw_9-AJKoPsldQ!*;GbnYATodZz@S8 zkvepyY$~&oo61aRDzkV~nJt^jv!sYOm4z9a%8$`wGTyLvRsTobfTrwbf7E_)*K{;L zYJ9e}-RzGsa!uyj@$|DlYSyb*yI>!9GWF(A%u3^8G$RIfZr-(%|KsjGz@#XefZ>_F ze4A!(?>HJxa*!MZBuO#=N;*)GU`9ni5fnvH5Oc`JexN-1ml_>FTQL>gww1>gpemOwDv;YNkx4Zm+ydp_V|V z?r>!4PApS*fK1&fWa_TS-A*t}SnPnWUlzIF6srdz=nr(oh2ahMz>Cq(8aqaEO49p#P85>b1U`#%Zpo7;)&P43$vny{G! zTRV?MW?Ni11!fZ-2T-ysG7o5&@5X(?zaA`$JQc8^{xbq!5(Ivc4E)pSfd3^G_-7pO zO8|Qw=+Q}FA1>+BgvXdimNaof%=37FKab|`xi0d2aO*la3yZaC&a_;gM?6;3LNG16 zf#O-tze72ZC+xY{3^4drAk1Sds=@sG0?fZ52rnvuV`e=CZ#xC?XsJVlrwf(`V@Z4X zw^TfO$pJ6nQQ7}n9{o;u^s>XFl`0;sN=3x#|F=Z^L5Nu65b=tNh_wz8D=cX}iAw7_ zKM|4F*F|10i)>sM*<2QRJ6It8wb=eisCmbsW{XA5NkL+}H5E16Qc$z~{}MHS5o+Fd zsM(>SW~W1qXAtfR#*z-gzeSU#nhn&0yJg__qyzq+RN(hI;D>+;<668K%w?drKX$>} zvxcr2$J1HE8z-e}sJ&)Eeg8=X!g=*91dSgP@P_k7_C-Fm=AdboH@UBMYOE~sIS>GQ zP4p04LJun}adC1CXg|RBsQib=^svCk+?(ucQU?s{E3-(xaIjl!VRvOJ>>|dQQX9d> z?jT->=n-R_v_{Y|Jw1YmxY8lwN*NJfIYcZs*IL@tR7AuQ+BPXp78SwA+!8v}-7l{@ zDxgzhh@PnqJyT`$d|eR%EKDVU-&6!zl~m9URRmhqRM5VS9JU6>U@#^4&~D1Zl%3IB zN41Rc1{~>iP;*Tq-=VPx|KRrE_m#H4E!cKc#Sf1C{Uf%&e*pXYN72$xDF~};)RBvj zkFdIgu%9tuKa1vmsXSpOY@4uO9m0OYg#8MH{U%!aJtbk6RGP3qFkukw2tD@ae?{0| z4q<;|!u|rn{uV7AaR?h?iDEM%iggp(XO*O^S>zu~**~JaqyG_QQO%|-sw2vv*nk4y zVKh{Muo}H761AXXZ*VHwI%c64Su@6`jmx50MBxuKI!Rf@UAEC2_~m)3$b-R%_emdU z43GjR@rv-J++RkHW5AacIF6wY6g)DA%%_ez|5T#RqxqHZY1|TvhMk^{;GPacPe(*g zN1eWDqeX-#NfS%{0DccLbQ%1EBVV=h5^ln_rk^NtB)l4ya0YCuCR(bVg0P_q z52>H9p@@%7qa~QI64Bf-l_$)EZ4*|*A*?1QtOgKPQ?yjeAuM?M8Jf^;m5REWvS!iR zn6lcUy*mFDWpy3Oc&Mp;Ml4zvD1#tV5RUq(Dce$M${JwG8i@89{#TUQ=|NajqzAE1 zzeOP_2o!M28q1xm(WbDpbDXTv=Gdqmk=n@`ZAmMn>15SMRM5!^6;e1^b$mKJ$jKUQ z<#2&I7ox|R%X8Du9BnF`tlsED{VX&DgPaS|HZt(-2>ABF;PGknK=2*xwPVKFuyYOh zQbJ?xwWB0oNJ1Z{*s%*k{*uVUFu8RKUfW-8)Q}5%XWZ+T8%g<>Je`Sk$US<3bXPgr zH5iHUMu8kOPr%~jF^P7UXN~|OPn5y$pn#8w9Ix1;r^eiPqq-C(HRdi?j4ulFa#h+; zd|p?-T(L!t_h>;jFykzUey6c@E%M-k;arejrHqEte zIk|s{eRH17<)}7pt)i2jJ>*Mv8^vgr7^l_oH z_3>hi^5igUwCw0f;B(B-p4LjDCxg#1q*v2&qo+VwmY%8Aj`oBy*tidkwT&xyk{DOL z?4g%=Jq*2EP0OgAa_CL`7ejBN%E%`}Z=z!7TpY}@hu*33q1VUlXe{to8MUQi941Iy zWmJ)WiOhcgmRjPun-Jt=&y?*M)_gGkLGE29HgwzDS{?wG@J z>|H6obtqF8lT^+S!jnlxu^bb^ldBbRDpXxuoi?AZQdrFt=0rtM7}*wuzN?tN$Z+(< zFnx@E8R(62{cU}#v#a-vmR(W3@oXA;19D3Fv<>JIGx-$XK6JMbb2Q%zh1O{dPJ|47 zB50k7+Cu#*y|F$~KV82Xw9lka7yatc1Nv2=HTuMiq&`V#p@E<%xE3mPw9r7Ig)Rdv zG)QQnGeHZT&b5%f70S+lvK)OSlnsV5nBOyM+nNcUB${bRMLZ^xkp&azF>%6e^&8B@ z6nY;R3&Z?L67=g;GZ#K&3STNB6%sHc-CSqU5qK_7^JNe5{3 z9Eyly8-Wbv>y0a=F#Ri^>qU2nb(h}9?rM0FboIHFUoD`PV1<94vkv0XlFmBlJXkG2 zoFuFkF0h?5p%JDSgpNgG0FM`9_DoSMWTQCDQJIlLcF3RwFCf` zdwQrdX^JsO+Lu%@PEmyXh&fm$;Zsy;(a3BvMG^8OmGCLX^->C`gile#@KZZsB>KDHuy^M+J<^HO`j=(WuO zqkRjbWL$vOnWnM|iC|AtISf5|b37i8(~L9ZJw&8xnjuesR7lzXE#wO3~{RE8@DG?&)=(>+-6K$k6>BXx{W!(W@&0 z?ZH&gCRGHQdG=qP>>_$iMWD?{h1<1u?tq-;un8X4w5*B548SQ*6Z%Yr9_A)}^m=oc z;ecDHBvewB@XRs_<$}%^5aZp7#9EnUv`|)zp6$=-q0drGh*U$*G8BFa zVuQ>wYDy8|ORVTLz6|0ibzu>7Q!}&%5(#0=$UG9htUsn)%K*q*I7l;fEC9WYWg)0y zy%h|#tpZWpDUTVAq+g2JPqG?(Oes3Fg`VmbxQ`4v&>R2qnCg%o(Y22$7wQ<7S&Bo0 zq61BCixn$y`wo_axSh*#-E}O6I@?GnDTMyZV|hX-?qYceVm`~4L9DBUC|g6%Hslw% zP`iG%(MH_@@ArUSnVt3wI9rwLjSQ>D6p>DJMLknx)$v_Ob3H{<1nILC*?Pk`=NWvd z*ncLjz}X6%xviF|Ptd37H^a(&qyB;ZVYoD-O~%O?z2NVy88b3wIV<(AwA`cm%~~d3 zMT4)-OgPF@HM=F5mUmA$>5giBwQT2OT!EHmxs}X%`h{99sfX+O-*_7Bt>ybFV*tT# z2@sJ%wGQ|2=`*#<^jZ2P`ePZIJ}aZKK0EUf{jtn-`pnF)_1R*IyIczcS8}6w!@@mN zAFtJj-UAEw4E;kWyBEr`^$oC?pAKbN8NHzFJ}Ar0XboleLs?G7EGT;b%JMUAhO!5t zERWBHw!xG&F*?~yzyf7FH5$$d2d@k$pElI!m^9%B{dS}s1hM@TgEaHh%GZmw6PF4 zQO{M0VP~jqWjvQnnsbejaseTe=3GUN{fJmiJ9a%?e#|wlmN$WJTuA71Rkk1*r*jqh zL!1%AhTB}_*z#WTsLvJR%#Xf67dWDOadf36x)=LJccmk`<&_s*vt3(sS39E1lf*hM z#?>IYkRBE$tyj_%-PdKJyHPH>7?m`pkxh>1hCuQ2!%G`L-rfpQ{PR=`3XkF^KACwc z{|Q+q%rl0_<&8+uJe6end@}P~xzIM)a-nUAZZ_ot%6ulqW=AgGuDo2B?b>qjjw2Ua zuw1+Yah~VPPdb4hxl1fZ4mysGP@Pp&`Ffldhf@8uAS;YG^G`xS;|(4xgsy zad;|ykHhEbc^sZHD(7){O0_>owC_`u^f)|~cE3NR>~|_5@+|0d0M1uLRnVS(N~Lgw zN8kj#kKP|V0{81r>I=iAp`#f_MiM*%r5WdETZ8p`rB`a{__PzD}>%zgHBow+Z%+nlbU%r{-{ zcBbn?m7lIj8qesr&UAekPuJhVbbVM%*WWqQwb~=_vuwKlJ!rZ%Jp%K=BY@FKW6J)+ zp0c&0mMQldOh11InR1Ja)1{ME7)-h7Kf$#Wr!UBYW0B(QAsxMojInY|e0H3fbX3^) z+H1C8Xs<>8wCuRo{DJ|Q*rAYH-T&ew4@q_!b58Qa@JSvhHlP4niiI4(P%celITFE$ z!M2bj@4JpsNi7tyh%FSM!4Sd29XnfO_Wfdo1BD9(vd5yttXix{B`xC4WQN>g#U4GK z&KE0gGoS*sSRvZf4YXJ_Z6P;MEH1O!h#P2eLc3Ec%G3=6pDHrqkaZ4xI$sKvyRmh> ziQZ8^!I_+d8|Vb(04q^Yc0LSH`d^l zHP&MP;y@10bIW4Rft(mVkORdA6!5W@W?J}|EG>LYo)%umsH8F0YC6OI4N$;5llA?k z{VxkLf7&=r-nYawds=105rKJHwf*B$s5r;%{dOm7_e+8mVznwix8jyqthPP3#_FKC z6^acg;N4o+=4EK4m8ovKI3gFTPmUu#qu5U4Cm3T5vvDF<{TY>Zm|z~l6FZFNMXa$# zlE7hXsy?fV(&t-VlIZ(q6``+G`#qb&^p7>6R6eUPvqh?>ao+Fc0Rtolo&IbEa?k;@ zQ|F-5F4L~pZg8~MaXi`04T^C3Y?6b{jf#&6pWidu`TDc^LfyrXm~;(Ut~D{Bw$_NJxBFE)$mi>>eoUR2-gD1+nrT z#e+M{Mr8l&cg6e&2#sCmJd zA~iJ4QFDnlNt;YZ&E!gq8Z+TGA2pL<)SNCx%_tZ(XIP`=6Q`p;k#+Ro%6BwQ_swEF ztGe0IXX1{A-0HD2MMn>HI(jo~+k(Q=djxf~D*v{v@XR1UOX&>{>^>S*_@dH3EuY_C zRAlj_M&pad7-^qVzvzn<8RRb}v>T*wO7WsvJ{qb2v4Oo!jizPBaZ-Ssvt&bYnJOrg`;aPaG&!e|&GEX-VJJ76 zE_IBi%hETR##Mg2nyuSL)8)>19goNBADlFdA>Yoye>~=G+l|h?ka!R zd6H+M(Xl+0z~iB`T;T!zPYvJYqz|ul`f!rfhvoL5(8^_l;+o3$q1n3Khu1oNI2rfh zwa|wU0t$xjbxt3yfhimoI@$+8efW|hKb;tUQ~1T(t^sy~x*KCRLC?S)-93k9@Jh}) z`If+SG8TZ??e3l4xOZPt99b5M@CLbC8$||! zR%x6h&Ue>EPzxqz$YBt>7yg6!dpOdeF9~tsNe2eNkiSES%L$-E?-b&4J1j=;g0d_K znynqX8_M{)@&iYNK9Gsfbf;sLgL6eH{~vf@9kcpDb+1OY;~rU&!g)m$>gz-+#lsed+CH1dlC$f5%43YnaUMsfy9_{(pQ#?t4pjD=CP*$ zkT_OF#`faCu?=X7o7`O=*fYUCF#a7TXSKrVA*?m?oQpWoGGt|J3Cl&NQp8!6pi@Pw z6_<(dSeD2bii7+t1>GuJt-K<9S!`+bve*h>TUQExqO`~8Qf0L=gahE3%n*lhfEh2d ze8h|}3kM(3S*^SbcbtngF!oMaYW15S3C&KT9 zwpH4Ohv5nBP5Gx@%wLv%nt#w4L#w@GD7K0ZbbBm0->ng8JkVH+tq%O|6{9LNi@$rt zQ5;bj3)ZS4n27g#t-|)AtK+qbQ&O?DpwQMCHOU^+TE)^{?7ocmRhS^7o(gKj8k}Jbns-AAiC> z+Y2A~68yl=TPL!hX~>Zj4g!HsR7pR8dy;HWu0w;TZPN5|NS?Af<12klWtasd1QyhKVL>eg3u=R~puU5$H=ry>+YMzKp)6ZJ z8Ok<6S)Sek$~Hq;ZV0vwVsAoOIMf-+-h#4xJ_*~_SJwR4V|+8;%9t01%Q&Ebo7HdI zGk0h@ME~;5FIb-j&D>kkn7Ln97~g#64qpLEVg%M?uctk8zwXsK0WFcn4sHYyfJe*JC>0&-(w+fD@|$a|8Bukni<+SABz!X})d6W%@mk z!3@~OFNtjj84PJ$rumf!SsIsVekDSt#$|dZl$kR90hICaGTN3^eY8wgciFNUJ|6f6 zQCPW~f@Sr?G-P#CN?A3RmwTa!kFm&ZQXH}2vvv%^=VPDI8rMm+KAo*MDbLox;296F z@%>!-CPiS0m?tPA@-y>vQrQ$N)6n`C)?p|31OGZ$7W<|w_FY-*C*00v#f}9J6N~)< zzu(dVG%8KbZC31})0{h-6_#`Cci=He4Z1m@-6lmL5(7H^B$Ow#hZLVXQ}Jezbk3QI z0gQ;=gw}=baK3xfI0nF>?`*ASes3>HL`nm&m;aF~K#b*EMk^_jT!h_?{l!)mhd=- zu&rJzJRY(5YKCQ7zJmyh@Z1ye7>gjnqAVJ`uzgphNcmht2zyu22b{3Dfra&5Wl*d` z*t=eViMgvYlSVNX;{~3j&NjuhvarT1-YHRRFid>Iyi zn|za;F2lAdmW00Pbz9nNwrx~emVyOjt;8~NGgw9m97GwGF(w0&NoFp~T%WlyT$;5j z>#M9o;nM7-*{^56;jG9;Ymu}K#L!P^tjO@$_}sYlpne9gzd|dV`};){bGG{Xp{bC=sW$bjJ5jP83*-uvbyP8vR>7sqi!(~J+;|bUi!-vd+VLvfF3y02nek$77iU1i%y?C9 z7iVsSG6rRNnJ+?FH7Lu?Is|3ap)8!W6Us`UEI<1VC_4tqqS-G%Sq&%yCv5H}+osOl zB(bUEHEo+Zakf^#E5)mUTJd{shLF$sO>%Vp0$@ zRCANxEs0^bLzQS8Lcim!u|(}qxD>c&JXL4zP?)l`Gk2tzZ^N`Rcc>B?k4AZHaobEZ&B4N^!=PF9Rwp2|E(nBDrnVnKZ6CL?dK@_G&^~Er(3}*gKTR zpUp)yJ=V0tFr=`3v;6x;Ed7tSE0T-T758=_n z=Q%ekKZf7FG@ATCu|D7n{Wu-SyA;RW#pXP?PU4+i)#DhUyA;}udQf&LbOoIyK2Z1p zyn3RGi4)n^+ig^n%0YlC-!1O-2-f^`b-G)z=8Sj4V`#S`mOu<4YqIj-Ex%EkSK|IF ztpA-(*sV%7N#tv{axID}*rhnXLZ|8v#5yFHf3#zF8uAP8kS}5%bt?~$GhW>5Mqn3K|T!R%4YTZrsEzV)27D~DF#)Zw{THTd{6QPR=&YMdhVY7i0J zt2j!7*3^6HDhb3ga7}GH3wovJEchtJnmTTzsr)`tT%t;q(nkvCq!_2rq(@bLtQgu< zU3{#tcbxGYq*Omvgtb%rKUS%EGWULz(56eZqR^^!fz)(nvriQIl4AFXVvePn;p4Oq ztAC_4?Ht|kk#8`$&JibJuPwKp^DX;~)>2MUJ9D2>Vim5GeTriU{G7Mnm9kHfz$ws` zvQH6HOkFAaQe^`Z_|OgZeM)yaaX{`ICxjV)kevy?-ukZWJ^BYZS^DnWbM;-hcj_PH zzNGKW{Z;=kuZF%S?^}Iu{-gRw`A77R!k6nGM_TG1MTYC2M7F~7@A^m4j{3gXclxJz z>pk8ZTqRbB(|CU< z%gcxKrttw#mYdIAFZ}M4a6Wgv@VigIMHH`QyI$heWUiM%w(BKp8hD9d>pinC%sc_E zmooxgFEnUwzhdjb+i0V!uzbTh^huHUicl7l|_;98gU3(N&l&2b7bqg7aS}QliC& zSmrxldLjJ$E*y-?7Ye@wMfwZXZ8&5F@P*MpI=Rs~`G6wekgfm@C>>X*4=S={8XuaT zz5ZqT_WJPj?Da3xv)8}$3ZitjI_RCPoamB_u^HEAz|s4RwHfbbyk~D7Xb)5%x+Ei= zyX;);eiWjOd`F<|*}?b1ttXu9W&qWGeWrdOl&gOc`cglTF-ZS1vyOfc2b;vrQ(_Qo z5*Me$GHw7(d^XqO8KXfHpTo6y#(Pk9F4y82YoP2ruEn{cwY76*Uzv73-`38sZ4%~{ zLN$Z6^T;%`^EWBAvsbNsleVV%#wQ@xTaKfPum>kTDlk;zkWpVgP`MgGu5VkTKm6?)dlOH;PoJkR zaK_m%er_YpC@y_+g{(jnko64&WF69f*1r{Fgdfx38&6|E_DxG?EXaOHUjXBQpVrFK z?}hQePiw(3jZjT{JcMe>#=|&!JV4?g*j0nG9XyCfSSx&=#8JQBd-)d^sYF2(t;=_v zFMkkskRXqzxnc_V@<$&`J8T3JC-d8E9hg7)z9eBI&UZh1zmsa!U%b6+pKUj_)c0CF z{Rgd|{-ZWY|4DmN|5`8G6Z`gYx|96C8se@su48tqzBRbk>uv2;8w_6suAJo9a>Z`(=LK@Hd z;h^d8_z$7m!Zb+;Q+x{9 zQu|xsp#}Gn=uAGaB!v8}I0a=9;=5P+Z$!u>ht-p0tez?(WEvslh$59;8iX8C`0!+e z9H|%~H_8aPnGo`iVroo-kbe{he`SRHQ!zqrkr8qmA>`=4>ye|C=#irpBjk2_D$9Na zrm_M}%jmv3e&A|FzuuS8PB3*UQ$w;?4QLR@+~W=l^=u{?{JSj z`->0m-(Eh%#U7gt*N*S|Eik^%f%}&a?h$dfJmPQUfS6KDQRo36`L6A$_Sw&W=)im%@bnu(m*31V-;y+Mn7H zI78H3AE=)Je`o5?=+D95A3~u}7Mv;S9U2xo3;xavEeoxHzkg)pXGCpdIRr@ok7|oj zoiU2PEshQKPuEy>zW1#?)r(T6UL_;iocdCasNKHw1F<-E;3$LDY*@;VD7-k>@=%Q) zpThsPVW#|$!cC-tizD#T`q+gp7ConE32+^+)N1IfAc690T1S1O)=Pg+8?1k>jnu!^ zCg|U3)8PFr`tRB<{SUpR{-=Js{+GT_|2x!PKN7l6|0i@%H)oDAm;@GS*+#BdEIp)^ z72fCFbnHOGYB3zIL;5pa&BjLe)$Mu~jBGisT7h$M>RSj+bu&4d}bTCrBmRxw&b zo~NjqLdAq~#CQ{OCeoc)MDp}Ru#aW99iGgt@LSp!eVCB zvrpq2_0eg3C^n#gv$%o7VviJvP=9xwB$0v))d^-x3cO3XP=-Vb8hWLmp+NCi2gRkp zQ!WKX&OAO0F?ld;6ue=$E!mzeq;s@rr)wWcLel4sxs?k?NHH zXmpxH+FjV$>B1AzADvw)KRPjsnbFl5o!#*0>F(Jh=w#Shu;L@N6HHayH7*83SS=&)-bMe{XfPeKTAX|qB3JLXGC9Z1W2Ks z1X-luJV#;LX60zDbMnGG|3&;glHV(oEBcaiiyVmqX3l)?(^pQv%IHn&PaEdBRp4ULtaJkDpL1S9P`4T3aetZ7U~N`Hoz* z1-arkMrXj*WQoCVjOJ^nlN~xwmdVw9_B5!sg8X8<(h+m#$G)ZzTbyCViCqY+6bHIQ zATjU+7DLvyB=GG)GN**Z}8YML75wK0Zqd7D8j3try#+3l#=H z1+*S`>d_X5l#3m0%lqyp{@cLo^;r9zJ+Y_#!Wn!?v`R|Eb?sY zlIEKm^DSOAHx{7gq1b=|-uz-)9Q6U_WjcBZu!@|W3q=(HH2mZcy04TZ7=nuZrI?d2 za`>{F{7abF%?JS+#0`~(ODmBeZuoMj^h**E2VctVFn$k|9e`kZrlM|N>m_zy!;{2A z_;jkij;fYm{=P=dYt4;koWACldfVxypx7|rI&bLfC3atHzgeQTUeS3mRf0SSP73Uj zm?9vQc1cWiU=AN^2*niPuKq5Gxm}{Ix4Q(MBwg~H(xVqd|;@~h}y5v{L{d}v zt*($Zi(b!4p}Jzi7RCu>PxbT{7S)Z(QcjYr4x#GCHPSD`;{rHY z9dYsxhm(KEIJwT@T{G)AIIX0*>61=YB?;|TX=jl$4}i*5(l2?pc7^pKxK`Shh1&=8af#c< z`h#{K!;@r6dd=zM^;REGPua)ED5AXr`uG@yAw~Q67=`fAK0ZciSwnXP_3<%^s99+r zAEOwKw2O~Xh!E}JV-%Z$qK6@ucMtA83+UftQ2$PM`ggjle_wa{cbwI~ol^F1jf(Ye zjkNo>M%w*bBhCJWd$sJ5NgOUUj7f?ygr~Y1|DrEypuXtj^hGCGU#z$7Fa2E0clQ28TdMIKvd=2;{NIx z+Ed!oj$cuPVmz(zEBbCQ4mA;s=6;~3!JYWF@B=*p?!@q%-`Y|VG`TB zNkW?`l^MUuX`*CWVa<)LmKE0Aco$n?TfqW*S6E@&>~RZP< z5^WAX%=e6%*;u5Tsm>8WZagOkpRb<$)}iny+Jfo?5&beLWva+jVCR;F*^JifEw2aC zPtwWy>MHI=!)KF1%~ZB%jN(%&#V7VV{qh9qmvq8tW^|H%>9ODV8Ig=oQ>{m+nX7X` zb#1YSC&~Q2-IhE33DEzrU!~UwkUMg`HZ)8%pe^g)))71WIwH@!HN#^t4@+owNU5a? zYM8?J!DYs?^Z>|@rVBZt-6Q?fVz~}FWw18XQCE$5v1J3TP~z`Wn_GdJVhl;7QVTyPU3TXHDIqlwCJmmEaSGji*zFD~xK|C&N`T z;qQ~-ii?W9fX6C(L~ZR3cSnOBa#$LYv2=H2wzU=>0v-^)@NXvel%fGAi9(~r@n5tD z;|qWrEm}8M{>{wRt(8Cbw8P5(LrHtaUN<7o+4C8bvb`~>+WRPSw@F{9A6OU=!L4@} z^o2|MG!Zf1pEPkozVmqOrG3o|pY{7S6Q6wA%=sj2o*l40B1@mKX?tA9rZhJ?X0a^M z^ye%KwJpvU1h*}Bt5Xd-R*_UMaNljL$R~~Z9QNHZKvc|7(k5C*?F3K^3$-$Bm7^f; z;76*fX`vkW4}z-A;OQ*q-*Df>y&`bEM9Z{3aX*7-SX2h#&iC?NdVV{`60MyW!5etS7bn zOnALWGnOTIX}z*UQ}KB-_w%zFFH5vGa>^2I`!;#X&yzIz_qxm|AKXu^=z{LBlESJCM*H)SD^Z8Skj&OC8c>gR5^Y{FijQKvw zpStk#E#m#{EXLpSgDvKJgFkiY2q#j+`wLluzb{|~*83!X>e8{06^i#gSRsF3#EPu< zRrphvj>XFoC$i$QM9-O8$?8OJNczBXSU!s~gC$v&mlJ*ZEYj*N(yA`fiWX@Fi!?au z60Md-~%$;8F(n^EY^=wxDy`(`wHb6GMm&V4ghyjhmGa#`YP z7@FIcC9Z|BXVkJ%0wk`pCLZGyk<`!{eu=4WaTS=D?cy7giJMH=;od9qE)RsA?E~Et zC%AM$_Y^rH5$Ky;&=XA1;nrn|+f9@?@(yI*ylM0zZQPYi-0kw<$^c+aQiQ~Heqg=v z8Sw-FyT=7~l>o-IT*s=cDxa_t(^*wCVKK(c88r-NER38ScU55YQDsvbl}y~{HZ#d> zrW&gznt6a#L(NoY;2PrdB5x)e-YWwJXc(oJ>4nRtaxdkvBzJWj?90z^!tFSw+ZTPC#$kvQ{cGQrH6Fh+rwcF(UyY zxX=O(B6y<-8p%nHLP!RZ2B2S`a#?Y6nn(^JFdQTYd68V?B6(|tkUX6<07x!&k-Qxt z>5gWXWSf&`;L8@=5qAd8f5tiaw2S1O0FPgf`eu0owqBMcR+J^m$`UWHOW0R97=uOn zTf2MK1ft>}{6j=`HoKO?uOUR;04lGzsN4+;`^WjOJn>pEDrbtcy*5xkDjUiY8*N?; zC7QuOV5nF7Y;qBp&Z9T^uRQTiFapDjC{l}R1cu`@qHbplCrSo5u*F3Htf<&({wq)X zFBpLlMm%i<&ccZ-Tm;S{Jp%~5=OXYRH2ydLl_z!vBXBl8UYbyI;2hGD(*@^vW#9uB zfrr7R3+gByDo^YQM&R5E$iR8Q2%P6dV6Th73}`%4{K^yif-yKh1qPCt_JUw}xWFq9 zpSsA*gsymn|H=~wf^iv{LLN9SK#iRW$es5uB#O)Fn+pk&ARJ$~*gOV+a`~@3@pUjZ z7vVF)DTL$V;J&%o+c)312!L{kNBFNi@m(+iqk_7|nkBe$Fz0-fK*qSlsD}m=sNL_) z_VRkJ$eZg^;6^vaG&5RwUTy6JpnkAGfvxx?0TqnO81D@5ql?OdK(!0J@b~Ok(uGdX zj`hmfPcCEOTHJUe{wq)X7R=a7gX9cNzrunrZw3pptS%$U7+CYW%bLYL(y$sIXEa3p z<4`lssEdk0PXBPJc?KwH$A9ICBf->MPIzG{F{PM{_x8;{Zr?0Pqi-j8W#gz@|9Mj1 z9I?(lYeC$+f)IaKvOsgW`J#`TQWbDz@CdxpGXe{Am$l1)t>8$EcP=jg|3HwnFfnKZ zqGbr~+p9=7IDLDSNO)+EqZ^Y2*)9SvLF14SGCsb%0FrJ7A#k-3Mv_7M)?|fpK{3h8 zTWdYf7kp(B-Xegu^>Gd9Z-=yN$T$GfA}(nw!9hEX|H=!DVA8I|sVD-}D<>~ti8nb| zAxtLR2V4>^F6Dp+>=hXk$_t8uak=i_DTM0@P9VZn%*HvJE8J&O2(tlLu?uW%z~Dmt zcusKRPmar1; zB`IjiN|2Z27

9C4o$Jj-Ml^j5|3BT0tEp)81X-iq0~)>8(8m?JQuh&0UBPHHtkvTAs8>ACa>er_BI^;kX8$VIFkYNS4^FJ<`biZXn*;`kC} z`0NTXe0GHxK3kDcUW99ubZo#H2wse04G=FHvWCG7pKYiaeu>NQ17wJBrRDlW17?#a zh>n7pX|y|BzXaKMep#elPUEtIv1Jjsf-7Cp@Q9V8bZjWj2>a21#_d|OBb z&w8ss+DxaFb@^YHCQV@kJvB)kFi=5y&hg|8dJ!>c~0Pg7s>0-R`VcBkt z888G4#^tOr;(HSYAu{H+nw0M=y@ai?2}{9uzMJ9No6uoz*cGLGf6H5CE3OjA_wC*) zAD}9j@4LNxUzRrCm!`+}=RJJqN<|2LQwCv2!2CVTjT{VjY{nq?2$*li7Z-dCBOAm6 z?niA~R`4knke8G<_$3QIvj!O$KR;L__2*>;Ujm5iR|>v@L17<#D(TpqH5ZtC!_C`V2xe>6TEP67wMH{ep{Ee-%^>}u?4ApY6@Cep90#)AaG{jgd7ve3c!$V}b;x>tdKla{e!S_IJrcllx-T&aA zZGhNWYA@vPALNW)A#zwe+A}x?G-PE`W9<>W9ask$y{l8v3&D6^dRJG7UfU<*rI#!( zRx1dOOz;q#jmASq)=>}~VjU5|omeLs!D~_x%*UXogV$7u;7rR25I_)!EY=zE=LB|wj6Z8DF;>^68mnBued@6b>mpFgVOs194#9GQ6x7MHrY4J!X9+KTyH$i3|>xRhe&brIUT$hT> zfFZdqO){xoURNP9fm(MgdkDruvIpxS2oAFzh~N|1i86v;OGR+NkbEsof=Rc&rl3~@ zqLXeVx|-7K8gFlQ?73cfd8TO?WSDk= zvxEw;U`}DD2wV+z3c|G~>nX!^L#nO}7@`}}=t^s(!5^b)ka`6dOE1<-;99_XAzXX2 z-Y%|2O`|GS!W$GWlkh0ok@iL^z66ZvH!8*#L&BFn z3{H;13{ZvjLAds1eO+9EF9znz8>%E9UcTU=-;ebZZB=FcP+R?3f442lmyN0T5-{{P zR*WyX621&z0|c(s*Z_oUDJykx1-|5BzHC&T!u0UPry{DmllC7eRK!3wP@r}U8;DRF z#0I&jQ8sR_go@alN=1kj+Ue|cfl5twIzr_Pc7}zDZ&n}728#-{*C(#m;iEG2(XVjmbWX+7I*rhZ1L%f zrmnvDOXv$B(C4%B1&Ynt`3S`e*aa?%WF@&(#Is4(rf&(5BiTp+vLzddKwii$l!ELa zU7UvTVQ_7$ajf*qWUFMdwds`eS)iOWDyUP4>KJ_@7jTShyXQL5LW7rr!9o#1*=orh!iaH(H zSXAdycBxAT5z1|c~fk;B2;mi3GICn7|{1Mn6o<+wp;$eWO9JZfgHD+uVdGVMh3F$P$So~>)l2~H&0k105{qtKLI+4k8?Od5`kkZ$@9Q>0Dr(v@_Kjos8)42 zLx{G=XepI>emQ+)4E!#|eZ5DK7@8z~1=w_{h9~eE50UyJ9`yQVoKm%qpcEd@ zBdF?t$B#Tw2g!@AQ5Ig2pCn>!jxsg0kG;@FxzLI#4DAyyG&_0*a4R;U@$~3^LH<;n zy9n-UuI{6qL|%2!vNyAvg_a$|Zbn-67Iup><3OIS=!x*_iaY01ReTDhP$$#Wr-~IF z&$k{6`cP=GC9FT=(hN!Ch@J_Zk=Vnl9>o zsX36!NVo|fSx#sNj8mjznNQ-8ao+FGQGAbyI-m#@i1f5&_da%?;M8PxAL7*g?0(Go zSQCoG7e*rm5`Lt=P$YPzfqGvUa^)?0f>Kyrj)plVu5-Jsw%Kh?yp9^ZAfPe6iB9&JX&J_c4 zXfO7V(L&8R`f)Y&$I0Y9E{E!yx)cWM1MC4ITGQDBNVFbg4`R`R?;c_giSO=b525cK zW)HjHVRQ~FRxVb&bLL@r?5(B6z}0w8Kg=& zKFS^yXg|yzMQG1tGfhT_vzRnjc9(HJswQ+cj91q@GKNP$1&Ev^RyOpG9vkeOshdSqZyBxobQg= zhQX?Fu?)x(2gq|S5I8;yejn@d<|o(_g7g>I6NvOVY>pt^yji6@xx&0y#l6d0`w6F7 z;H(5I%K|x<%@rVDW^)n9d2Aj5x!MQvXB`4T3KATTqLi8eB z1)WU3?h@OCfLXv62rwJi0t99uTS&lcw!pmUf@wy;JjI?8VBTg=Aux;BA_C@J3(Phb zObY^LFDwnV`Ege^fZpJUGvn4epi?RN=0PMnFbpghl>7f`-n&m$-= zuonmvIG_*oVa)#W$QBla7ukyf<{|bXg1M9}B{09YFmsQ!=eOmK88|oxSD+<-f)M}< zZ$n&V)^QnICP4nemLZVK*>V9=WQm0P%$#9;1Rt;Ck?;_GZxQ?wdr3h0jlF~*tzat% za)0><{s*5>6(Fy)Fg-#rEn{T@{!vzj;J?gX4#xDT7gMk;EKFCjl^jx`&Q>BwtJo@x zX(1e(rd^|JxNGb&iWZ=%Lk+raGypAaN6g=qEVNEbbCEvY92x0?3JKc+fy!}Zu!9d2 zbh*l1q-S`XHHEn@v`!{8bj|>s3s2~ojPVV(&R4j1H~0G)b(|H=!a zpt%DMndwWKPal2zXIWP7kGM9k)JfYL@9_-)k+etRiP2ZMPs(K2aLn0 z;!Xj+R)tYQNKu?S^SH?XCAs97o}5B6Z6wI%ihOX(71kA|dO0f>6IVl4jwY_vY_&TD zitpC2HR8KQYz_ME750kr9bU%hF@>Xq3R*0Mw~=hA0$h2tJe9MU(NQs!gxCb?%9v`o zN_1XK6+!?~04w~pY^~s06Sfv{?N#=w$ua2nR7qsgzO6R2m?rkU?@0A)s=h zf`hjG2A>teXCAvZUArUhNv>1a%AHqxTeDj97U-?xtnp97^>stBe?YGMgD-0;s1d!j zj;#~D)t0S8z4aPc#$IM80JEVgPpl><=3cR*oM;AbU6M)S2OA>q5wf&OBb^_b4lL?aB zex)!soXvmbg1Z;!xx{+*;Vp#bFR+S^@80yncD%NfI2Y z;I+7If68zuCZ?W?Lop!)_;9j|!x_+iL;fo->@C5eDqd^YI9LN!Vzn}FXP)YI=8$xx zp_&)KX)b`F=>VuscmZ}ZiV`uCAA@2L`*ji$O2~o&0H7$5v(mw!hKOvl#RmXb=`DDe z30x2rZ3^0HfEeT(*ak7k2eJ)lkiWs+2p;6MlzWr{;zqVnKs=poL=ZQzO)f-eeK58f zYAFK==$CDz8j;SWwG@Xbz!n5l$HVgL^RmJ-fgdAq8?{wFYrgUrM!%MO=S4ewn4g2i z8y(v&yudy5DzJ&S!LaLy)9%5G2TE-nk%TPxOMyj%@Be>Z7H{L_eI4~R6BM0G(qw)e z#lc&F4%a&u%a}68#}w!;t|i9FYFy!~f$^@Z*jzJ3VQc{N>#AJUl>6}MLsHI=I10V4 zYR?Sj-NK0k^MtlS3K$|D0Bvv}Tq9%4^=h^xv^P}1JU=rJf?iv zNxu|u`Ody$!`3s-mVWJpJ3{&;hYPmeX0}-|2a(B995nd>|;^#Olx=Q07lT+)9H72Bj#<{FAPGnpXs=@HY~P_-XUoH7kn z=aNi5zs24Xe7=*th4}n7dpnrV4b_^9_uM<|9Rcxf_6~x$g>8{RJYU*#5YAa>Zw>>y zFA(e&yXBU#u$65UZB1ueQCsh_cST!tu>qCCL2C6_VXOu-pD+4*CJXO#?X3%aIBa9v z1P%|dZ3u_|vH#ihMtFi!XS%~Z*+{XRvYNKD&I9=u`S+OOeTeA;-(v?3|c9C#G)Ep9haC*BU_t?V%eu#ovg?Sy$P|dU8}nZUf)8V#ljgT zaK8G0AizS%)Lb~Tybuzq1bUvE8u=h3w)tqSK7`+D0OSe~3gdnDzTm|i_CDgp4z|N5 z3f_36oouJ5Igjl`H9ue<_-mqgB;W|gS{Q7YvCUK_k_am)e9~pCwV|k1LCwAPzrFbi zLctA3o*X2alPt9E4&XNRc(cPy7QtIM7!mm#quDZb|6BJ3a97tA9&iwiR=5a*Yn>XL zn~y(#q6tn-^>JRRpLR##)#(6e;|1`H3*Z_7fNNIxwZxRPRk+gVtlL(lIJo7s1b&b` z(VZdN8Yd}q9sN?Z6_6}^!6jvKI=pD--FkV^1#o>j0FL(pSn2|pDrIJS#epWu%=RJ` zphOFEW-f={3e2MRir6yz@&9Bgk=ksxLvD!}o%O_iEqIEFNmBOUPO9zD?Ul`2gIFX0m zK@}Ke66fJJB*&DZLV}Js?3vO}!%GM!R98xSSdq|fmO~v!pc+?7zoatRLAYcj@@`HO z*3}+d|K60&5bfk0qHA5bz9k(1oju1L3SV^r+?Ec26TG(aIv2nl1b`XIt;*7#(7OH$ao7ai9-X(6j0ANig$6<-+t~gsr#jLw(f0;;G zcfn?f>~LNA2F0L@R3`aiEA}z`_QCHnT1&Bo(wTm#*n0Nho#v4Zy?csHYQEqq+(fWQ zXpc+D^e{T1JtqB>>bC9)?Jnu3T)Dw|XBXQg%*Qv`E@VFLX1i_spM>J;08$WOjig?9{`q#9?Y zj{cvkl4kgbb~42T9S74-_L6MfBgFOnOae=ykWTTc>+Nn2z+E%ZiRMDvo3F z0|Vacu%Uw7cA}GSPlc@)<~Gg_EKNNXd(eeD;p<)WYkANL?d6_EKk1O+d1k5B=&8t+ z>xC=Vasuv$6yNc3EQpJyry;l9L|)vIjvup+#W>x|K1Sp86ZVN1r)JW}!cR!^a5F)= z?ZX`l@=t+be!o^PMWiuhS}$=jEO??4{=+w9(@!sBm=r6zCEZK044}%qSGou4^j@kB zaWbX#Qj8~R@bye+OQj^a358+66~JenNC3;#!T*5b-pBR{f%uf|Ljv(B`_xq2JRkxm z3)Fin#u_A`;0}}sr^=hD#g3aS_})E+MN%`mA2_u+DD*X21NByEYOYPWV-M&XZ8=p4 z38yNyz{r)@`l*U$Vrx0E&<3ZN@3c8+Tgx{1ZQQaqsMMSjmUlDCeod6k3e4mPl$Z4rXjWMh};5kwTC11l2rg-bTj%~QN{pr{&B4*e@4CjC?{O4EWp=!(g_ATjxZeIdl; zYxV^alP}qq5-}N&hL}ut=yf#-;szo-CBModlhNmhPXp2y6TdDgO$v96Kii<9}Lm^epaA)y<;7N`);x1cob?pfHvpRHa0WZ^Hauq~88 z`BMhv9|y`&7s?_N3fh?BizR2jH~2!|gzml3x5&BykZ(sl4WS)f_^-SuQ?ec9z0wzU zU-+1X)dkrUG4Jq|n7?q$hsNy;QTl)2YZ8bt#ONfoCc(6Z*=D?@M!mKW8MVNp+wg=)n`mxgDmPD1kl8i-AdEXSj0 zm@2QL8Cr3W9pp1-Q3G}m&75Dcugsa#b5W8$Oxy)1(H3OUIW(dDDV2--gJ`5D;4`|E zY+P1!EQ~4G_JoDx*X(P7VPp0+!tfjRjlj@6XUo4k#14t?nzBRayKmXIE+zcnVp&m( zWKm03IX?>xHMbA<9cG6GjMnThg7F>u4z*QY1UJZe4)=}lgzWGj8|%E__w0KCvOW7A zf&78}KtOi(fjkSJW8=q!!C`KJ{E_`AKz3n2B9K3^p9shvK9Fa7&aH~gU(V?wGnwAc z>}LV@6!tTM`wRO8!R4I(mHjHd>&1RW-~GmZ6W@trpBAT`UOvZjqFZd0il$E$o%}od zU4ZPven%kxV1Ia;{*(PFzU#;SMBn|z{*pI+uE$padkCg{6`8TTf3v>@$bsx{1o8+w z;%WLH_K*1PboLMW?kGDdZ~8pkG(Vjh1NUvwVEFOySs~sVI_la6rfUN9F#hBKkHb41 zN_2_{l!l-*Bx;P{Pozc$lw^2OI>+gV3p^)V&A<$S(|HJ|On?H%nm{pJz@JD9Sx}PY z!zmj|vqg;y`4g#;10^{=oRUQsxx?@|m?(INh`?kN!Xy{!<_fr@`4fSg2PJtvO!A>L zU(^`OpGb``l!OB?xzxqv1sH0ZOhgJlM2JM7YD9n?$Dat;D3nBfh{T{YCTfi5Pozd1 zO5#35oNl_vt56DeS-iOdp=1CMLo_gvKamC!P?GSWQ~;#~qQ=$yiPT6!Nm7o|#UAb9 z_E#a?BSS_0hN{E2|84JEZP_jvD%snrqSu~^{;9Crt^(7n~(T42M8FQ@*c zVzp-n)7_OUvO_FkZTB)z-e+0S15gb#PFrzM%y&gu(F`!ioC~=UtICRIIl#x^Gdh(( zj^3UQ$UWxrausl5Z%X9m%8{EGgdAEsnmd)~dTWQQYstxZH?Hwo&t2<9^GtO4LUJ|m zav1-W7cImVY+{uIc5={V>(0U&rf>7gx}s+=O?dtDyk!9=i|mLn=)_mVuyqeyyKr8T z!GXKk%)~B5t6YA+YQjm2K~u?Qq|2bGtRsxp1BZP^fxMkal=cTF1rzrK4CmggrSYIMC&)D2k9mcB;m%l zVj@jRRFC63NUSXkmA73Af99*Q#(c9T($2``0x;6m1aLQ|aTyD;VJ2UV!n7(ggT!Fu;3oRIsgUkhBt4(7hx!$raFhi8cfQwIUDr2>ung zb3Ol+7rieL(CH!rsI5%>=OX1Que@lt1fl!!;>I2^ zh$(V}9v~4?Ebx0hzz~ue|7E2|^EIOT9t}JwyU{U4$MYkrRN>CoV#O zOnegml@~!Kpuk}Ahw-?p5JHa-PPhm?LPjDWWF;TsGV~8{NBqi*4oVQ3fh|%Sp#UZK zE!4NC-DJ^Wi|7q2!de9s_2Wd|T^b(uoXsuz&S7de1T-wVF4S&yiH0?~!njzM$&h zt8}^#)4s?fk038W)f1BHi#^g)7ONjry$Y_5Oo%GgY$uwVNKJnln>Aeb8*qZm*Bw=A zVwlLRdB#hTF(IfrxbFfPvc#jp%HmUksyD*bF-6S+D0&XVddq7P5lfb;ieUknrV=2DCj zADaf83HDbXh^u3i1_z+D%qL(c1;HFHg9+!CeXLvVCDRxg1al;YiO75juLlL0rw3KP z1XssoUK)VX3Tzz|K&Ep)+a`i9vC1_dk8ldb|8|P3wHy7dg+iDDAv>_0P|C!z!%?d8KoCr_pu{jA3xJu06&{Bf9vcLctOGI31pzR# zy{s#o83glbOgmy-4z@%&>%x%u-OqVgt+}HXRnPTKp5dU2%d0GxRL{c<5gpefsC9Dx zHflZJ+qwaFefW`o1-Fj*2?;K}V=)x=@Kda9c!*NC1RNlBz{ydEJ3y+e=TF1|QWr|< zy8aXqohB;PgBSHgr8oE!sZ<|I>f4pXZQ>-B4cr*+3c|vn0aR%qz-{7B1YAQXX=sCk z`^??l(@-Q;;Ef2x5(pm)P>vNXyvd(P3yq+pk=;UGPnXKZ@B%Od*1XN1NTnuF(!{Qm z9+gd@N>c%D3x6Wunn6i38=RL)#ZhigxEiqJOzCcvu?S4LhY14{+AQVguyI{p<$oY- zP71>C{_-k2Y#}4j^r1*Xds+%Dd3UnPPSc8oxP@>gEMW_RBzuocvOlpKbtnB$6x;e- z`|#9h{?W2u1``$mzR?oHwzq%@XGr`ovHedb{T~8g#>F{QYhlV^##;x${8fhMUjZ-; z43qmlgq$}AIchFs>+`^}0LJ3u%t>bfn&uX|EnX#v=c3T4MmZIIG z{E4*N3QAhJ?Ye`qHN0reD;4wopVp+(aZqxcT`8}p)l3^GZ6j*%{hv0ZMq4NWCn>)@ zz_XnjDo_N@!_IbDG2D*|$sEJmGe_EvRwqUSD$5T57K1y-sT@@G8tH%_kr&WNJ?WR? z^ttT{f-i&k-wudxCm6%Gh1wCu91kVO+l+xz=n#%lUL5xf>f)p=V{}lPsj7l^!lRHa zh$@t%;%p@eHV7q^q2jP)#c4g@>%nsN;23`R@^tB!ZlZ7aj3-aCMx-Of?SWJ61sC}i zPJ6<|4p7oTaM3ut&Ny6V{9wssM|jauRN}ih9Z985P}0d}y(7h)p|rE8!S`o6lNu*L z$qAx{nK7Zb1S{+!MPfEC$U+Bn0T5jTc)nNCg@Er0C0!Bt$i{V%&1Fe2_+5c7Qpd-b zjdTM*-9#gN2c;Wnq&t*!cc{i`6hlRdo36-#EBNv5p4IP15QBd8;K@!oh|S0vXJwGkj2z z#e-ejFJD!6ctG6|ZrzBe3;)NDrU-5i0NMr!y3giMgzi!(DJ676C^tFL9;&H0TOXN_ zEVgfD1DiEwx6N~nh_(j;{DGqF^Y{~Kdk~Zi^0u8UKHsIqu;EpCz=C%=)Hz+i8_Ayt zyfdKW3<@vaCRu!;D}Mz7o>;yVUknP}Tz0`2z63A0ipPMh17X76tK>p;4Y{#Wd>Nh~ z>Z&ZF@yTNMa;R{jMcH7$ez2hIO8!JB8v-RmFlAQ*&ftX+EIt!no+&EwsEIR4#i39# z6jy}7MkctTMXw8XD1z`RGjzf2nTCpU_>`iCieUKgI3GpswcV5=avgZ6p&~7|k04kX z*j2()I#!WR*~cB{ zI`rZjF#?U!;ZA^HG)kL0jnd?fA-EH#$W@3tRCXE}*GXCH<4%qvJA7zEETMNGG!~xs z;KfxKRtS(Os`%D``==e1)Ii)(=Nc~}b*j%S@B4s0q2Irs3b4j@I)uAR{cSzw) z5*z0c%nV`0IqYnT_hUso$yDS1ps3c}GZl0Vi*!3uX zBJ4T`O3o4NDxS5jcve~Q6J^Eot$OFeyK_aoh5U)s<7UTsxZYx@hlRa`;@F7rD_D)3 z4}i`WjXcAjNFx_O$pzjm{q+Xm~eT)GOmpq}~`P8RMx(7}-*l?!mbnHq_FGHAF-Ttj9tNV@2yL`4egV zQYg6;w+`KCV|^LCyG+z8=TD>_4Rk;bS9|Ia`i>JB^d&Q-E7`|+v5vR5u$}}hOcJg0t))q%^=qKy8r=Gu zF4ot=yK6-~zV~x2smE6$lRfna)@>Bp3i&eHc*i48{UmsO9kg(rXq|5rT}N8y%Z%%B z>%i-+>x#FP6>oQXu`N#VDK;EBT9Bte?I{BC4*oy&KoQc zuM685Hn(p82sa4mAMz&xeHxTZ3xxhr@WSVKqlZ-IlZ!n<$ET-Bj`!LKaWD@Z`MweG zyHVi&34bEE-vlK$VcZ>_?+eDgy~0w_&K}JSObOO<2Qs7I$yRMlaXm z^lQ16rJDzx)#>}oxb5dIH#@6t=O&v6ofYXM(YWpGl_SBi+kq>$3yvM+PlRK4K*=2* zj={+Kx~%vcep<@uixY6XsnZvCLhU;R?SjKb9YXI0V(u1%{=lCIq4z+^J%NP&Y!T{u zH_SVA9GAwg2wU?k1q@o37ED3cv?=HsNWr~;<-LM}-}w`vU^!9et~xUd>E4YKLgLvi(1WP-z{9yo^E!Q&xl>mh+h4u2weJPaid2jY?M zYIO(xiFk47z<&f_JR;yn_!EIY14?ED!jHQhZYS<8_}*#hq(F}HRpXEzN|I znSm|wix4x+?sedw97xG5fH6zJufm@Q{Kuf=u|W7N0RAahVEJ4n^y6%RFE)f>1;?HM zt~?<)b_{!)Mg>Im;k0OKVApT~i`MBuN0k`;mQPj$OKzycz< zqMVkvXS7VT)Q3NjmR^REmjhes8$ik6KuWmpbESaK1144y_^Y5~RUrHU0q}=l(RF5_ za)41T;1A?a1paC$S&iX??`6KlBRs#I8E7eRkK-Bv`E>q7Ain}7uVBdFy9k0jG!QcP zNv;);2lFQa`Bf-+RX{cq-&P$Oyya5$Z26YUFwdsCJglTDT!-gI?3>{?RY$tv6mYU% zSSj2)xlUkw5q}~WzXm0*VT|o4_}AgZ>!Q*a{zNLRhm!SXB@y{o+}&zv1H9NEDqYH- zNG0y;d;_-xym4I;XDO}-r&IG;ip^@|k~j-TF*yRa5s=s@5aiDIjRZmN4&8(ioFG)B zVBuzXw^`KVPT$R>-kVVJCayOWxBHovKaHXugl+87HRV9rEdiA~ zW#1xD--eR6F^?faDF7Pg;n^y)iO0n|6Uo__IgU1Y2bz3GpmQyMBIs;^k}a4>IC(5+ z*a|PUib_-Y6RGqrl)Nh{SsNZ`duJQG+a~I9H|RD}?|)G8KTkd4P9CYwFK1%*9zc0d zw7{K}?~xX^L&Tze``=lOs5%2KS^I$egv24PzX6NuH((G4I@)d6ONlPxih8JIpN_=ViHL3Islzby9`Ss8t zcy~zDdx}4idf!6Hx1M@rq>NHr%}r;mQR!%`!_eMgfeT;g9VWPZ2PNNOT#&~49^QQ~ z>hX2c_oUtrQ1XMPo`(i`#!W8`s&t5W?2J-&ztv+u0%ku7tod5$M}qZFQ1TPT8tJy5 z;oZ-o9$)$VOzQmtCBJy;QEC&42n!Fn32lZ{i0M}HDAhd$NDq$k>cMDNE4Lr$`do+L*P0(fLJuI&Z$#Q5e!q8}ZQj$;V(Gy+ZzXO2Z1@JQdM1cPRC4Ug$ zf4!fHP~BB zWF)I@SylCaA#IVC0WU;waarEULzZekXI9Bu zDvhc%4VPAZv+9RcKMI$!9qbGCRk*ZT$7-il>lZGqeoFNr)rW;kYmBIIX^qRnr8VEL zd7$ROaB1z*+UM845dQ9|eW><#;nF%S>U67fBK*Cn&O>!(giGt@)U8^#I{aN$cYWQB z;nI3f)>~e$EL>Xu!TJm8FAA47Sl(bmgH7SmhW#3z)A0Oo>9K8(J>}Tm;nGG88Xe!L zW4N^O$&Cj$9twYdXcB6Y6)tV^c$4RwEDe`7&1+h%=`rwkMbnK<-wc;FtKF=1v$pVe zOS6xgeHt!pKC1aO&98&MKefngkrOU$QLjbY7VY8hvKH%G03Iznwd~WfKm2{O<%cbS z-c|!!UC`G1c3vKHhdN{C%bE*0%o(m$qBbZbiG5 z@b{f|AGO;TE^XhS{qgOAzV>&upWS{A{9WFDLwn#uhqF3d)?qySeYL~34%@?}UF&yk z*R=!u-P`rsuHT1CyH)Adup9JWw~MNXkvPVY9i+kE)@a<|Rh-VT>`|MdT~cNTzA z9BUk(*(4Wo8@brUic{R(9b(wwgyQa2+zBBBcMTfc6N0-32_7C$pe-#F+R{RimKyK> zCAqL%_6k9h%e+_qv-`WbZ+GXD+1=Z_RQavSQ`u0xeD&JZ>!EF5y?=G=PxbB9PgFl8 z8*22ZF`~w3*-+EJW>C!*vcb24ZyjHQZ15}X=kHew?L@zDKkSd+*M1NE9?J&*oc^W! zv7i1u{73lXeg4t@$NXc^{uv+#ILd~AIsvT$;J1KT0V@O6puHFHJm7EH5Lhm-Rv^YV zFeGqJ;C!@q0-pswmkqTF)v80jxHG0z6rf~+@ z(70~n){WcAhM?j>HG=%mjtiO_v_LkrF4wwNYuIfQ(I&bL;7UkSGC{Kez$Dsd8g;Io_M|2fL@`!CZJv1YjdwC z+0d_Mzb5^#{r*k*ckbU!HVhmxaN@uzvSIL|!J7sn-i96>8aMQ+Y#8%zuv4&$YzST& z92p#qHYWI5@D158)_!cpv02cz8ryqpKeSO}kBmi}g=j;3LNcK(8d5E!CfbmYIUyL2 zkc}bxLk`J?acrFPIK<7k+~dlOE01>cxH;qS`nav*V#dLrq4*WO&;n?EL%#@Zg?343 zbSREH^g`&}P~_+M+~X^ZuZ%Wme6R6+(e4?4emvr4{DUwl4EYjPH>`bFC$yu(=7hm+ z*tM`1>(KzL!awZq$nBfrDL z!z06Syy5>Ya$TgC4U38`@>>*$cFdx=i{O_fcbELN8#5zQicMj*~3CPplcK>SAh81Z6-BpX)LUD198_IE|x ziXT@zkPR!pTp6}>||`_1a7tN)M< zYp$+&um*Xuw&B_?YrCUev3A$my|Q85h;=j9%|W|k-I;agWW)NV>wB#4EgQDf-_mJI zSJ@CbI&w}V#wT)Dbuc@ zPn$gh_Q3w0J$ugYfuHxT*t=^lj&EPbeM9%bkNeK=yR+|}Y}nsx|JePZXn#Loe*oJ% z(Bwe(1HI55I&kH{HQ8`5>%o!-VgKOhgL4kfmko!u9*Q}HcspF}aMQ!h(Jne1b$A=v ze~!2uahDB8n;q?Wv=7>?M`Mnn-?8vxk;f1Z$6FrncO3pc9&`NWam3GwJ}1VV2t)fk z#xcfOHpDcG=^2Cf#T<#b8uOKGIGO!q>67Kq2A`aFav|EACx1H$Kb|Ues@AExXz!o; z_cWIcr!$={b{gYnkAy=*v>|4fxL)zOYQGxrSQF4j3VXKWs{HDjB{ zwnRH3c4jR69Q#e|(^$mASP8w`CHOAhym9FPMG8x>drut@oZ6 zT+ckIi>>!QTjx@?cJf;<#G8HrQ||9%FUgF{+n7muw^ItI^Lsa}*l*{IEaUs$Z{xoE+S0&uXPH05242~Sw1#Eb^MVy*xDt;!x!xH4}ECL!}};3d)0NhKF0AWkMW~z@Ksk@Ij}q* z>rjn{8y`cw7t8lCH~i|xzhnhI_Qs7nKsD%-Y+MoGY&0wMDUM$`2A^yPlq2*>cj8S(so19+CFMwc@*R2oXboj0KJ{oR zM=VwB%KYBl~GO1UZ?Dqm8@nHB$R%D@Qy{?CfhtokcL;v@q(*kxw%_{3fICpH@a+>47x0)6VfDta^7v zZf>hRP9N~mJ(olH4y*r#Lq=TSTjrUp3;LBbx`yKA)d5U`*b_u`is(V6l-otj#N6+;tuRh z(z1o6c~j|8i#)hDOw(4D=vbw5E%pH4ByHPRs&|zhw&;U=qcmQ?+F7!rmCm;K1GS3x zq(l70OaSX(>3&uEHeDR-t4~L>aMme3xLE1tbaTM3pP}=yF6qVl$_PkT2mK#Wka*8( zBI}l(qCgo5>F&V)BN`I#f#qX8(pxkrBO+ZM{C`A6VvQKZdZovxP)0_&9f1Fcj>MYL zob^es(V>ixbUg^l3&+f?UwV!QWlW^|fiSt?%+30z_s~#=hZqd}?uAW!M8tpjKJoka zx7a`tB@LJ|QpA8DQfNS4ooEJ&I7t{5WvqxnNsJX5lvgJpZeT-2$h-_0W!Q*;QG`wM z&|zP&;UaWi4IO3ph`})l9~zujXRDDSev-$JGKRzesl<>Ed#p{*&o(5}Ot*L2beO*#9+N9$Y`)^ z69dh@V`89TfgS+g#6m@^y*}2I@g@fBP2vrGj5ieUU2h7tA}maV+M9)%GTg+VyvahlL4ed^7=PW>ZBJraTIjkthc6Eh3Qy(0HMn5RLYm=!}qOWz$7KzFj~n zgHjCQTLvW!qL~Y0sXm)2V)N}|QyHIPAm1fE@m`58W)f!b*&-<4Ehv?NDF*W$1Cs{R zD*lFILVWtOxgtK_JwBB&DhBjD#HeYUZesIAoW7qpRmQ3q)b|vtrtx}-Efn$k{^C^` zvtnT1W6YYy?N4lxh}-uYx60TRgZrLimj*ZKV(T}yLzyZI9A587~~HW%Zc$U+a|_yAd3+3{NdtR8Pj5*KVVGLKpUSENDS?YY^4b8 z4;$Lb@D_vpfx}xh#8-$_#Zj;RZIV7kpdq-1(azZ9PkO!Ao)}f zDe~ac zAfGltlu06-@rjd!oPo>hq>p@?upJ^lK7D>Db3`~K739c&OeniV!laIbQ6`OWN-9Yj zlL7 zP}-7Xtr92Tgg;1mQ{=5><*hPzg>x+|cau-OR!i8|B84q4g_UV69BhGUoG=yBmr7*% z4KXPL`&Q(!W#+LmmxYrpG?&TAuilKt9WF|2Z}y!?Y|Bk-WpWEgTX1s!M}prG32xa5 zu1s>_Yzt3vvx%OG{U8$E@)KQ|?84#cAlc0&yloM7UnG3`NO)z^3#X@(q$j6a)33TD z{k996&wdgqpMFwanfAi*=_u{V@y2gOu|kQz?8Gv&2O|5^SN1C_fN*{~s{rJD<4ya7 zDv9Y%YbXp6N*MrBR9;DxT zpsWcZ5Yll?Fs%!9*;7#$(sx}@)&>y_>AW^jFvNedpuD&EyC@N&L@3LI2nbOo7zKn4 zeE{*w4aflYTvQ8DEhspY6+;Ats2K49K|zu11miDJGDOKRmJDUt5P>1eMpD_Jz)(Bk z_(xO^Q9YE^Ls>yYaEJ=>N(G_dzzGO`&I?aZ#eanvPfxuNB}9}E(-NXABO*XV8A(<~ zC_r#RVk{+zk6<E;RTaLprmz2e(3$z#GkVmt4rc(OCz4}wGvTaEV4Mfub46x z84)aE7JY4^Bz;B2SZfl_WNgLtk+?p3lY(R{HX>j|v3YH=i4Umw%Hm+lj0Gq0SL@sB4|Y2d9%8MaZ;Y_#Q!o!!pRQq4&(2}h^wkM9>+ILQcLY;EIuM| zMDa;k(kM=T;tNpX$xi^s36ZElmRc`9)NvW>kO&@8hf-FDFkT7I>ES9&aVF#>s*tz@ zdz)%ytVAM!L?udbC8B`C2VVG^lCc^kJ*VR=3X&*DZ&Q%yJ;tIWf=Cplw<<~$L~5r= zuA(Z5s`R#1Nm-dhAc@NK)|H6@N%gd;5qB2_N))JfDNxEHC4xy5sdp+;35AO8Z!13b zPx$D~Lli1esNS_uDT|c|C{e85xmd*qWPD{(6s)A@l)OaE5;g06)GTG)5 z#qNU_yW|BApEJ-?wT*ZlQSd~;`xpgJS@cBEiK6#WiXH`B!f)F;(1)r?*R%OV)e}|k zV^uw6>a^D?}Q2ucx@sUaw7ACdx-<>uu?V2Z#@ErDqaPP*_GfhkTdKk0?HX;Mh^ zly<`JfL0JiQxr|p`1sW8#=jpSf>RXER8=@BF{~7SxT`*|BZ-Y#mRdN~)`aKaLpc7pGyeXUzpeK^Tjx^rwcWQ~5Ope5*QwMJ zd~drSFM~Ee_ZLAcs_fea(#L+E@k~|(t(YOw%nYIY6l*#U6!lir+mBs0-(`C*PZmb; z+9G&G@V-kheTw(K4B#%jt_WZez@H)r-*uZWgZL+I5J4=0_+10)Q@!7K2`7SBT*9T9 zOE}YHG5$0o?)S?6@sD@+;tfPq7FF3aP}0)6u`-K57L_^8R%S}L8S7?(eo%lx`VtEr$rA3uit9)sVy}VtQpEnahErL3&1+cmOHJ*Zq zpcbbfY5o+%805+)G-mPUqF#%7Z43=dXp>GxtRL_eBDh6xTS8DPkHL6SB7$3-lvvD3 zi7~*f6MqCH@uy0&@m8XQixO^(4ohl{Wn2WfDB~7a#wk%VP$^IN!|h6?p9jpx+laC* z%DN?$bLFuZOS=eiQQ9rCv{SI#sh9V}AAoJj+ldMgIb?h?t^(JQSL>#H!Y8rxNa=@ zBH%^IxA>Az32gK76hE=rf62RuYA>q2C02K}V=`8J5%i+sr;CbDNs#pC+Vb&kqS%XK zuT~f>l^F}Z2z*iS(@nvrWLAFtqYv*P>b|J^mRjS@9hb58i{KZvKV8*+N(jYCe=kw| zMe#RRBrTa6CjgNEVgg8a696T)@*>~`?<3{`F%MXBz5lOcGtLDf3B+8GF6RQ%`5+(f zC*}h&AN*I%wDi}EbAm_&F(;(kIf0V=)t_Tv3weJrHHfLf(#!wrj?Xwfh-47cL%N&6K}B!rkC()|QM39tOTp${J{<_R%Rq=$LnO^(qxSBRt# zbA^~I5@SGdQ883Z7Gkn^lR}za`WPn+kr-ma5EF(X2420$7%t`wF>j=oIpIx@(>QmC z^4s~>IDv=+5fg~G_)v*~q)EiUM~g}1 z?TU;j&XzxJ#)(8EiAoU>31ImN@FqT1-qG63gT;Iz!s1QBCcXAC&M6{M#GE27PW~eX zl=I4Z9wO!yF|VZ8Ipa-_+c>v~WciP}@}`3+`dN0tNxBK<&qKxJV%feHx%__oOA|~W zef-Ej=V2mg-p`1-rvBAAWa`*`7(jF z@u?z#(udPUa=%|czf7VQe7Z=Y_dB>ESkupVzD%SUe5OdG^y7GuUEV)Z0<)?RuNDASDS7*xxe2+*>5fq{@q@EafIcwJD`$Tf4 z9><6z5x#hJ_N>hhhy)cuAqqq4i-DK3=t_P_Bx&k%kVqQglUHZc-28}0R1p-SFr?lX zpjq_=lSQJ6AWgkNDtyy`;m^wX(qG78x%n|Msft(?Igz?!z&NprWEFoiRs22fR2~D$ zzX?8zpAhqF>aML~?i2o@7!*R2qr_P2#+Z&wnrh%l`2b@E9H| zCgAr|7{vr5#_?mtz{@*Ux%oMfz#{NIR^W;4yuVm8o=J-YPD5u=A_3lC0;Kh^OnR0o zAHN_bVG$Q;J)YC+{u?J^k;Gym7Pqw0XbdQyy=ufSiTPN}$LV!GvxMU|&dDN?(`Y@m zgdh~h@a~g{a$e5IQ%)~p+wUj-jMKD8=rmrUMMAuvgh=b-`0AzfH8D?%ut@76E%rYlhK+NzNNO=x zi%V(?i2;*K>v{YuF=dM>TTFSD69Y7D2PXcU-c6C*mg88Fq{5dJ1IF`mk=zz?P9_pt zB(^aIlD@z3IsaNr-XboHaUojEi3Q^XE)rZ!;Nr%b1;&8#L$SL2TQQGYPVE-+u<)fa z28?sLNOB9T<4Q-1<@B5Q%K5w#|4z*3={FQa8L)icD?dTIBa+?n9WIhOef!vW5-*Zn zoWzS8auyx~NuRLg=0AwZJ$)B`G4ZDl{~ITGk?L>;q%S6mH<>I% zAIpgokX^U2%HC*nPp6F0_l15pgp@w^}s+Hw;5U3_VB z>)V*Yq# z{t$_7S&9C({)~S6w;&&g@wcwAEQ97KpYbtIRr}9mJ}z z;b^C^s%$>m4XhfAL3@SOkgSRN-_=vY0Q!f2~8 zgUv*=i&=e}IJEaz0}Z&Q8f&N-f_5@%qyg7_#~RzZGOevAYhv3PZBN$Jb}`xr*39-> zw7;^T44IiWLk`wFLtC`H*%uj>qFu>aWcUv4Bi7O`E7RKLWUcJ5KX!dtYrExWSF<*D zchEj&ZM8X=R-1>l)AmI>inZ6`IJDbX2bnRg?8rLG7135>o#fGIr?SrS0klV17x@v> z+FP@(_T|ymW!>zDp`FUQ+h0d}kM(fyWLk%etfxa0v|U**hc#%ov)&GO(f-8xIO283 ze5|iyQ?%V!KgX45cd{>?teDoxne}(7g0?0b;4~KP3^vf|FxnGrkd8C0&W#P$wL;s2 z4bd$^yPOTx{e<>88|IvcX`KtQ;m)1W4rL>px1o(;BV8n>b#Z5-TL$ zF)qKNeZqoW3o)&0RW{ZY@!~p-g}CB4T<@`QZeC35mWhSBHACB-jdxp(b~g)id&;!# z8Q28(YG@m?N$yk8E@G4QE^LZElxg*o*fjlGw0qff{WY{dvzZ=cnAXFW&GHz;W_v`l zIUWzO{*=x0EXcH;RoHybUTDX#1)kV9&m(N1H+<(^oQ3-!_Iy^d#XdLD{=}Ak){`y! z4CDXVGZv8%`7GO%`5D`kr6}8+r32fNWgd&na*;)4wPul73$jgFYcg%tKo*^K zBie&(Teh5Rd$u8LM>ZT+wx8Lq>}%QX9P`=RCGQR}FR`cYby-Peyhq zuLslS&C8DDy~mE`XY5!3Pj};{xOk1oq zJ6F6cJ74^FcA*4vx_Y?NIwz z>OQ8edY;{{`Um@|8e*-QJNvmha;th#_KR;Z_N(tQruALL9{OHI`xATQmyv1x^0CK$ zBiIwa3s}Cwp89K<);}YA=5IjTp8f7W5AAyPhyT}TAF$^Em6mOY^)uYxDLzTl3CnXYg!atmfHU*5)}{8qf~o*;=mV zSz2D;Ia-JEEUj^Ttyl1ztuLaz!*jJo9<^=AbGOBQx4p{qw8L1pYryli+k^Hj&(|K? zX`hqlZ;yJ`{tI591NN&!E?%$$_Nzl@UZ_KNv?F=p4(Q+EEHBy-zU-Kh7wd@e?%0wS z?>GtV5?-Pc-ruP!FWCvc?X;ek>V$FV^fNErc?HvU-oeXsE623m>hN;iP*1x}<>kBW zLwlZA==Lwuc6a6#yVpY7idX86aqPa4SML55+Fy8;UKqb#y?NDMKcfAISL+Rb^bX|J zdn0yw|HfNKMOgkbg zZ!n@E+D^RD2-L|D+j!#?x!qJ}KO-JYA&BlcDrelutpx^-BEVw^! z5{%ppp23?3qpk(N;9rcb&s&UL$y<*7gSQIl$6JT|!rP4N!rP7en`uKiZy!1c?L^*T zJaTQkj&~d%!8?VOWZJNDymJ_0KI|CpGNC-vP6*&#C#*-ig?F3qlxZhg@$M7bqwT_b zOvIQ^jN-i}ai*Q5;a^Td3{0xa`%gk{Op4$`COt&^FCRJ?bHn7~eE8%pXov9;lTmjj zALS#bI5X{(%zV_8dT1N*(NiX%oyW&axy^&8=4aZeMR?d$jQ7+be8SYzeA2Yf_~dCl zn08uUK4lvAaoRyXbsCO$+A}_FI_8n-20nfI7_^~$#`Mc*Z}XWmklQmn`K%co(DviA zXJDUatmSiNJY(9K)_m^F5@^fv`7?vi_TY*i?qhB-C(#yRu(ra2Gz=DAh)mbtTeb>1)@J#P=+ zHs6Wwn4d?I<`0*w=I@oP7w9CL1??rxf}N7>g5RYK3rk9N3;Rpjh0&56o`Gq@9VGk3 zn2Q!qksKD^mK>Lql$@4KkaSCKNzO|fOD@ZTghkjRq3-eb(nTd11aMgRK(VmtvuPx5BYkj3G zYsaFUD`j1aF`iGO5Ilzoe2o8%d>hIZLH?#Y$y%=aS0r zX(mA1)^a z9G)!&9)2p-K5CHa99=KfJvK|Kcf7G=IDSy7f1-=j;KU=TVT?g)6thQae9}j1a&oBD z^kkgW>{KBs=+sK4J+)41e(Dn1Z>1Kef0kOt+DYxt4VF5b-y(IqFkR|=d9>8^@-3-b zTsf(G+;OSLmFzf27{QopTmFzovBIngGcY}$!5Xl}SaRik*#OoEOFCX3=fn-M;_nNuS;Mz!LoVR z0lNkExp!%>ufcNo1c7}6mdmF)*tcLgGxY|$4VEWU5ZHHMxijAb`yMP`=8Ir=!18A8 z0CpFwK-RioKY-=W`YYHyutHg{f!zlyn5`Pvk6=Zz6#)AQtZ=ruU_XNu%QhP90a(%O zK48CqmB?-b_A6NN?8m_#f|bg?1?&-6$sF6kegi9$V=35Uu+pEe273Zl?(^wjPr=IO zJOTC$tU}I6u;0PT=V}Y~2Uw+CwZNW(Rm|-V_9s}C+{M8D0;`;-EZE;*)$-&3`vczoo=8FWA!2I(q1hWG3%byX<8Z0osEtm~h zK>m|p8nD{=w}RP%)hbW|ECX2G0-3?=!0HtE7EB9fC~z7~2CG+a7??d+gMuBw9Kh-q zvITPlYgF(Nm=jpTLZ!iUU`+~T19JvzTnJ<8*132SupD6BidO;q z9IR{ciC{UwdKB*umJ6(V2{*9ZV7-d}3zi40XNf^zdBOUWXbYAPtapi}VEMuNm6!ll z0IY9`3t$Do`j^-PRtW6Nk~_f)gAFXX9IOb~fRf*U6$Kky@)TGxutB8}FJ8sLhL%RW zc$EMfQhEtkNwDFi!@x>`4J(b9^ePQDvNU4Ss|?tPGJU|xf{iZI9IPDJs4|zp%7X=$ z*#}kuY)qvxU=_haDrE<&1U9zPL$JzVp_Q(KRRJ4U8TG}hDp*)$)EBR6VB;$f1*;A= zv2q8n8ekJDM}XA?n_PJ^m@n9*%BR8nz@}E-2IdborOH#V0I=y*z6J{fn^wIZSS_%b z)ysm_2Affx#<>pI?CLbmb-`v;KLu6~Y;N^vFay|}8l%DLgUzqe4Xgp!yqYb*8iFmX z=?m5fY=N%WUGuY;U8DL$&HU<0*))g!=;4WApC27w)DhY$({l#_hm{fgNdF z8*Dh(;UGV-5n#uHih_*%5cB-u%*f_A*HjlwV!Opbp4>lg`T-#P)VPI$5(ilttyU>=#U?SN0c3*-`0=v|% zCD>%Ji|t2&O#zE*-xX{s*yZ-S!KQ)zul)+J>0noSlD!#V*Lsq@nP69YO#qt(_EoPh z!DfS9?-d0$2kd6AaIm>xH~P`|%>(3_T3C4D4>O3)ph7`(yqFivYV991XSt?5E(x zU@O6X488%j3hY7faj?~3Kab4%kt5r8!^&*yFJ@ z2W$lUEhH1zCa|X=8NfD!Jqf7^wgv3>kiuY*V9!D*PNTq{hfthu1^Xl95Lh(WUm@$k zwt@XQj%x9Cuzy0R7ViN2dt7<2onSA<LQ8Ig-3KeUbO6|oU`3V&f&Bzlc4-jHPr%AWGy;1H zRyHC6>={^vh)}TK!OE|Y!2STM6mb{qIatLNUL{spVCvNzZZuce0@VOcd(!> zUBUEVEwNBf+wPb=leuEIU}|t;lKb9AMqHBB#AS z2kRPL0xTz3k7zHjTwvX!dw}Hz>lJMP%LCSP+hDM~V12f=0Lur~ds`$}ez1PqW`h*~ z>$|-fSV6G<+be(-0{e3Nbg;r;1Go1FD*`rPhZ9&)u)*6OgB1fCw8IyyIM~n~`M^qm z4cT!FtR&d*9c#f#feqW48LTwe$emVTWxz)491d0%Z1m1HVCBF@?J5IS9xQlQMz9KC zV|G0Ps|XgdD-Ns@*x22)-O6C0yJ@>sz{c&NVx>yM#M zdUpU@cN}%iyCc}fa%wjuuUhzz`BA(p6CJA4Qxw{Ggx=9 zttXy>^#F^Cp?&EIwk?MCr59Lq%vWH&!FI$P0P6#`{bV_?zF@mfW(MmAw)5mdurI;( zoE!<(A8hwYsyPF|_MN1fGZ1X=sk&f;zz&=$1~wRM|7i|31nkhMJ77b>4xXm`9R_yf zH0AGbu*0XjfsFt=cDgRuNU)=4?7>EXojCmvY&6*MGu6SyfSo*(8!Q+s<_zWv@3CN~ z&tR_b4gotAn+I$hSZu5VSSZ+;*p^`9!Oq221q%Z^8%s580@#IEs$mns&c{-noCJ0$ zmg?kWu#0Cg=Xp;7i#v-s&wDD^<+Bvy)4=|BmSTK5*p;(Sz-EA5J9`yuCfL<;6l=4< zzB)&-HXH2vxwBw%z;2$40-FnV<2(bK2ln;3@4@DS-8%mT*aEO`&sPRp2=>kS^I+j% z-<^*JTLgCd0?rw{7lYk7k8=j^C1BrQC=0d}?1u}Pz?OmCy$}hu9PIvu*@i^Feu!ooC zfo%YLd}$ciMzG&5(LQeidwPlXc{A9P%WJ^4fc<`X5?CbIvp71AD6r?3={UB6{Sikw z7!CGU9Od9Pus`Fbfo%u-C+!wKIzJrf2VV%o!&>bU`?XWs+opyXnDFX$Fd~!l5^wU8vRcz=fM)a zu9fq8AH_RHV%fn89dvj}C+B54Y$(vKmbncbH{DQ$S2k2LX+yrOnc9YGVk5rB4at|~ zxMUmhNjRby(+y2~WkYpoOyZB@AoEw-P+e@~VA6*EHou`$rW=}WWva4x7wx=%VR{mYCU4g!v6cByDKF`3+q*-Oy4q8`^1pLpzf;bk6*S zu9$9UnVAj6nBP!L(uN+I-_TXl4MmvQ&^7ZLx@O#vqm}s$T{qp(YBL-9)BJ}1OxnJUg)jRbUeS&zN1_eDO8)mdSQl-T3Y36FSMp3S<{w zNqKxFwUcD3JMmT2d5Kxe-PqKnIg-s!_8WjOfBC}ndJp$EnAyfewZ@LE6iHfm|A|6BFknqotyjRLBhnuzRW@@>A$}F!kI~saZ%fnMf%ih$o-pZ`y z9Hy2NuEXBgat5=ObDCOC+1Z!g!K~%nrj}E7_N8|*YdNo}<&>R$>3z&v&TnctWoKXd zEM_eiG_|}TMLDSd+^prorj|FR%yL1qmW!HNPT6^#zLZ(Z#Z4`z?7U82*{tP~rj}E7 zUZ?jrYq_+k<&>S*>Fb!aT-MZbRLVTq#H{7=rk1y+%yMh9mMfZCj!v274rVP^HnqGh zWtNASwOrN2vLvOvzF_aTF#I%%bU$wu4ii5E@hU@UU&P{H?}l)^ybfUgG?W)UDY2~e>E+WK zH;?J#Z&!TaOCMs}=H2Zxn8n|%!{^>UqkzUR9dpD#XJ&_P?x>`8%oD8_S|7BZq0NLg zGuo_Zv!Ts__H(qkSRR%aJ@GvfRsb6)gtjo+qG*eu#rF?bNwlTWmO)z%ZF#g6v0a8O zR>n8Kt1&yD(O8qvjzKHqbuIqM{g}N^Fn0Y0`;o^o&X3#SQFJ>`yNq_3?XuWqwabow znG;#v7pc;3n@Yb!D*cYB^t-6i?}|#l$144PPu|Z_lf0j!R;8cH_&J)6pT=p4({lWa zi1%+^M(dkQ)}u_;x0|f*Hd)_qvVK^xo`D~CigUVRj0r3uPQboZVLzy_2P*8b(~~57 zPZI6@nVjjE3e%{t%qpxv^6l!%s`Lv`VL>XatBSo)6*k}Wy>_0?^PCqLj~7evIqJMz zg>7)&nAC4$V!wkb?3DBAq<*Ip`<+)|aVqS(3j11xeXp|J`zq`gmG?eV>GyZ?elFI@ z`?=Vu^m9__=cd9ks<0d?tVr^FbSaTMA6?3;^sB7WuZC$qJ5QHD6=qP`m&PjnnyavW zD(@X^+E2dgGF)Zrv3s`Q(x(r>0pzj-SC!d3b$Q|Y%-rQbT0ew$SK?NI5rN2T9E zm3~K6`khqi7pu}wWj=6GnGak?CAa4~Hn~05MJoN4sq|Z|(r>+KKYrXb%CzQy?M_~s zTo0>;R9Hn7 zd(~8!p9*W?*3wvuu@rv}<<{2JN7(0%D*d{u^y{h8udhnKK`Q-*sq_m^UN_u+O&(Wn zk5%6LT&3SX$@{rmB_9`e+vNM_E~~uPNu{5wNGyYXrspaw+4;4dr(Ra+r&D3>rcA!8_ewri`b?(% zu#N00EVn6xz5FWnRL(*4D(4{jvMTl}s@SWh($80=UoF#qI1Ym;!#+1sd2f(Pzm_Wf z+L`vlHaeR!Y@@r$do}u=`ab%eN!K>GmemhedEaQ0_ffxbrv1Psnlfy2s>*xksPtQ) z(r<}MzX+9nYfSrLUpA_+C>56M90%{+YuXRTaZqKu=S}kp{VuEYyRKsImP)_xRQmm( z(l1$#W4jMk`aLzh=0U&b`ag~HCzj%`jXWGY9E}W1@pGz&i%P$;$!o4h4Hc$x@57^^ zO1~f#HX->wdrVQ;m)XhR>)AquwKZk@xaU^Wa~!Z;D(sNyT!elnl+0%UyNA0!b#Z6s zzWl=8%HGR9qkUfcf({EEmN-N@Y;!o|aLnPN!xe|e4!=8U9JP*Kju~+`VUtso({`ub zPWzn><68e)ryraiI6ZdyQ^#}~U1nVYU0Gd#E=bo^7pj|&YuV+_8=Mb1pK?C$9Or!9 z`D^Fzo$ovU;{43{Zx?G9I~OMxH2GU)>(NJ$L)Z-OAn8U3PbJcXju0_i@kcp548KdpY+i?sMFixUX%P%_tNRZ3 zeeQ?dkGo%Tzv_O|{kHoL?mxT#=Kj0;-+Hds=w-c5@2>aKXVPca=ho-f7txo{m(^F) zSJV6IYv~R8M*1LqOMN?iXMJ~6ui^U9`f>V+`linPEV|Jxi&4~sdz5`1*7zIHJ5+GUdi|l z4VV9bdHFoOfCsv{yq{jcw|KZ5OWQ3^FN~tys6eYlXcZ46bNez_)m^p!mV4seUd2Z& zTz3ge^pObHT|<88u9MufpTu=PLgba$E`8;K%j5Ajc`NPEc4GLl1ef<<)!qg#*i$&V z;41^%UW0I?Z-Q}q3P*byY!^K7&+RkQ3;5<1wVn7MxqUTyhaaut z*>!I3Pph?P6%U+q``WZxk5=)NIDQzBRvXeP4Xiw!>@^}r)yD-7Wpn#xwE6|D;z??5 z--1?K(<&Z+=Jsu9bs~<^ej$~IT~r=skd?EvN~2+agXC*s_c2UvcrupT|46Gpk^D^Z z!2TC*{}86+nY8+tR-cmPKWOPsTKb2UC~F-g9It~r`Z?&q96af5v*g*_!G~5eQkN{W zl#P}?r=?u9lovbcP=us7Ng3=5J(tSm1!T{UR`DHU`krK$1ZugGngR z9X4VN=;1{!FQnDw@C%@c<%s*g-Gg8)MvIH@$F{UcmE%+~EYhfNywl zhtu@JuXuqT|Kko<=>>cvi{pEhtGF8;_~i~->f%7FcuJQ$#D~QNOZ ztmY2mc{KQdC@)DqlKdnEND7h^A}LH#grq1*F_PjWB}ht=lp-ljQih}~NjZ}8Bo#<1 zl2n4Q7IrNew}P7ROdy#^GKpj|$rO^QB-2QylguEQ zNivIMHpv{4xg_&Q=94TSSx6F2vWR3c$r6&KB+E#alSGiLAX!PWiexp(8j`gn>qyp< zY#`Z4vWa9f$rh4Gk|>g`5KS}kZ#1oLBiT-}gJdVkE|T3Odr0<@>?1i$VoQOkA=yu> z2S^S=SbWqSq9uwt79W3~(W?xd*%o>Sr=`shO#@9s#)jA}W|~r((o9obQ-f)`AtE%G zyP2jnEp>vhrgl9T8x7$Si6e=F9X^4cK`(SB8ATFHGM}V7NpF&~Bx7ik&uJ-ymIl(& zIFd=U+Lx9F(NZs3>Pa%3qz}mvlCgM|J5iTIBqvD@l60Y02Sd0Gi9LxOiA!{B_!c62Gq!@>(T+l;HOX9h#~hOBv^tff zBMotTl1|jQ14%f^LXwswOGsLgEG1b?5&OX5f3PZB^9 zNK%WWHc1_lx+L{T3?%hQ8jv(3X++YPqzOq=l4c}9B+W^_AZbC;lB5+$Ymzo3ZAsdZ zv?u96(vhSSNoSHSBwb0mk#r~NLDG|?7fElDJ|ulf`jLD|(w}4i$v~1pB!fwYkPIam zMlzga1j$H}Q6!^D#*hS)j3o&nnLsj*B$Q-4Nf^mQl1U_!Nv4oYC7DJton!{dOp;k7 zvq|QV%q5veGM{7t$wHEFl0_toNtTc-C0RzYoFsx|1<6X1RV1rP){v|vSx2&-WCO`Y zl1(I=Nw$zgl0=bgC5a~4MzWn`2gy#7T_n3n_K@r)*+;UUlB{@cN zoa6*a49Q87QzWNJ&XB~CoFzF&a-QS@$wiV&B$r9zNUo6lkK`)JO_FOQ*Gax2xdGvx z$O`U8;z8m{;zHt#OyN2bcUskBNpnT>KZxwXSoI9I7<+^l*fo;7B>$2;CV4{gJIObA z8@o;Nf>xiBJR|vz*d3BTX!RH^{Y^_ZXz3=&C6XUV?veaL@_^(g>i3Z33a$P|a+TyC zVqcN`N^*f4{B!ANCVUie<6U2Td`5%NI;rM9}Z-`YblDZ`INg9zfC23C5 zlB5kudy-BNDKjl~CFw!Zo1{O!uoTF zRE(B#ljI{QNK%BPI7umzvLqEqDw9-$NF`~hCW$`@dC;0XXiXlpCJ$PZ2d&A2*5pBJ z@}N|PmdK6P?YYqa*!lGFsstiagviHXGt!QTqgM+$#s&O zB;-8nA0ScZvC7j-eoVaWFwJ~%WTM7HsmeIkCw<~HsmrJa+wXe%!XWM zLoTz)MnW#LA#d4`x1>N?BA3~a%WTLgHZ;UGG*&irTmNG_7Zkz6JDisTl_w-AX!)aH8<@}

Bo9a)k~}6MhuV-sZT==9 zhe{OB8uF^fh9m=tOyWr5OyWl3LGl?1IaKOIOXO7zc~zoZkSG_V-X#4<29OLUVI;#y zXmlkSU5U~_qBM{w4J1kfX*S6`l7%EzB#TLwk*pwDO|p(;Bgqz$tt8t?c9GB_NP9^R zkQ^pCMiN63OLCs%63G>kYa|XNH%PuFxlMA18uo}>y%O%i{Irh=v-V+}DMX-3m^ z)IH68uK8JWhijf`e&?D$v1Ds)Yr}0b5c@~-FSq5i6sd_~ngg1HOtXQmy!Ma`Bm=`~ zX&Nof*34m=Uo{W8<}tlvoF