diff --git a/EL2_IC_DATA.fir b/EL2_IC_DATA.fir index 974c6874..028c5b2b 100644 --- a/EL2_IC_DATA.fir +++ b/EL2_IC_DATA.fir @@ -5,83 +5,83 @@ circuit EL2_IC_DATA : input reset : UInt<1> output io : {flip rst_l : UInt<1>, flip clk_override : UInt<1>, flip ic_rw_addr : UInt<13>, flip ic_wr_en : UInt<2>, flip ic_rd_en : UInt<1>, flip ic_wr_data : UInt<71>[2], ic_rd_data : UInt<64>, flip ic_debug_wr_data : UInt<71>, ic_debug_rd_data : UInt<71>, ic_parerr : UInt<2>, ic_eccerr : UInt<2>, flip ic_debug_addr : UInt<13>, flip ic_debug_rd_en : UInt<1>, flip ic_debug_wr_en : UInt<1>, flip ic_debug_tag_array : UInt<1>, flip ic_debug_way : UInt<2>, flip ic_premux_data : UInt<64>, flip ic_sel_premux_data : UInt<1>, flip ic_rd_hit : UInt<2>, flip scan_mode : UInt<1>, test_port2 : UInt, test_port : UInt<71>[2][2]} - node _T = not(io.ic_debug_tag_array) @[el2_ifu_ic_mem.scala 210:70] - node _T_1 = and(io.ic_debug_rd_en, _T) @[el2_ifu_ic_mem.scala 210:68] - wire _T_2 : UInt<1>[2] @[el2_lib.scala 187:48] - _T_2[0] <= _T_1 @[el2_lib.scala 187:48] - _T_2[1] <= _T_1 @[el2_lib.scala 187:48] + node _T = not(io.ic_debug_tag_array) @[el2_ifu_ic_mem.scala 195:70] + node _T_1 = and(io.ic_debug_rd_en, _T) @[el2_ifu_ic_mem.scala 195:68] + wire _T_2 : UInt<1>[2] @[el2_lib.scala 188:48] + _T_2[0] <= _T_1 @[el2_lib.scala 188:48] + _T_2[1] <= _T_1 @[el2_lib.scala 188:48] node _T_3 = cat(_T_2[0], _T_2[1]) @[Cat.scala 29:58] - node ic_debug_rd_way_en = and(_T_3, io.ic_debug_way) @[el2_ifu_ic_mem.scala 210:94] - node _T_4 = not(io.ic_debug_tag_array) @[el2_ifu_ic_mem.scala 211:70] - node _T_5 = and(io.ic_debug_wr_en, _T_4) @[el2_ifu_ic_mem.scala 211:68] - wire _T_6 : UInt<1>[2] @[el2_lib.scala 187:48] - _T_6[0] <= _T_5 @[el2_lib.scala 187:48] - _T_6[1] <= _T_5 @[el2_lib.scala 187:48] + node ic_debug_rd_way_en = and(_T_3, io.ic_debug_way) @[el2_ifu_ic_mem.scala 195:94] + node _T_4 = not(io.ic_debug_tag_array) @[el2_ifu_ic_mem.scala 196:70] + node _T_5 = and(io.ic_debug_wr_en, _T_4) @[el2_ifu_ic_mem.scala 196:68] + wire _T_6 : UInt<1>[2] @[el2_lib.scala 188:48] + _T_6[0] <= _T_5 @[el2_lib.scala 188:48] + _T_6[1] <= _T_5 @[el2_lib.scala 188:48] node _T_7 = cat(_T_6[0], _T_6[1]) @[Cat.scala 29:58] - node ic_debug_wr_way_en = and(_T_7, io.ic_debug_way) @[el2_ifu_ic_mem.scala 211:94] - node _T_8 = bits(io.ic_debug_addr, 3, 3) @[el2_ifu_ic_mem.scala 213:76] - node _T_9 = eq(_T_8, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 213:107] - wire _T_10 : UInt<1>[2] @[el2_lib.scala 187:48] - _T_10[0] <= _T_9 @[el2_lib.scala 187:48] - _T_10[1] <= _T_9 @[el2_lib.scala 187:48] + node ic_debug_wr_way_en = and(_T_7, io.ic_debug_way) @[el2_ifu_ic_mem.scala 196:94] + node _T_8 = bits(io.ic_debug_addr, 3, 3) @[el2_ifu_ic_mem.scala 198:76] + node _T_9 = eq(_T_8, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 198:107] + wire _T_10 : UInt<1>[2] @[el2_lib.scala 188:48] + _T_10[0] <= _T_9 @[el2_lib.scala 188:48] + _T_10[1] <= _T_9 @[el2_lib.scala 188:48] node _T_11 = cat(_T_10[0], _T_10[1]) @[Cat.scala 29:58] - node _T_12 = and(ic_debug_wr_way_en, _T_11) @[el2_ifu_ic_mem.scala 213:36] - node _T_13 = or(io.ic_wr_en, _T_12) @[el2_ifu_ic_mem.scala 213:16] - node _T_14 = bits(io.ic_debug_addr, 3, 3) @[el2_ifu_ic_mem.scala 213:76] - node _T_15 = eq(_T_14, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 213:107] - wire _T_16 : UInt<1>[2] @[el2_lib.scala 187:48] - _T_16[0] <= _T_15 @[el2_lib.scala 187:48] - _T_16[1] <= _T_15 @[el2_lib.scala 187:48] + node _T_12 = and(ic_debug_wr_way_en, _T_11) @[el2_ifu_ic_mem.scala 198:36] + node _T_13 = or(io.ic_wr_en, _T_12) @[el2_ifu_ic_mem.scala 198:16] + node _T_14 = bits(io.ic_debug_addr, 3, 3) @[el2_ifu_ic_mem.scala 198:76] + node _T_15 = eq(_T_14, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 198:107] + wire _T_16 : UInt<1>[2] @[el2_lib.scala 188:48] + _T_16[0] <= _T_15 @[el2_lib.scala 188:48] + _T_16[1] <= _T_15 @[el2_lib.scala 188:48] node _T_17 = cat(_T_16[0], _T_16[1]) @[Cat.scala 29:58] - node _T_18 = and(ic_debug_wr_way_en, _T_17) @[el2_ifu_ic_mem.scala 213:36] - node _T_19 = or(io.ic_wr_en, _T_18) @[el2_ifu_ic_mem.scala 213:16] - wire _T_20 : UInt<2>[2] @[el2_ifu_ic_mem.scala 212:55] - _T_20[0] <= _T_13 @[el2_ifu_ic_mem.scala 212:55] - _T_20[1] <= _T_19 @[el2_ifu_ic_mem.scala 212:55] - node _T_21 = bits(io.ic_debug_addr, 3, 3) @[el2_ifu_ic_mem.scala 215:27] - node _T_22 = eq(_T_21, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 215:58] - node _T_23 = and(_T_22, io.ic_debug_wr_en) @[el2_ifu_ic_mem.scala 215:66] - node _T_24 = bits(_T_23, 0, 0) @[el2_ifu_ic_mem.scala 215:87] - node _T_25 = mux(_T_24, io.ic_debug_wr_data, io.ic_wr_data[0]) @[el2_ifu_ic_mem.scala 215:8] - node _T_26 = bits(io.ic_debug_addr, 3, 3) @[el2_ifu_ic_mem.scala 215:27] - node _T_27 = eq(_T_26, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 215:58] - node _T_28 = and(_T_27, io.ic_debug_wr_en) @[el2_ifu_ic_mem.scala 215:66] - node _T_29 = bits(_T_28, 0, 0) @[el2_ifu_ic_mem.scala 215:87] - node _T_30 = mux(_T_29, io.ic_debug_wr_data, io.ic_wr_data[1]) @[el2_ifu_ic_mem.scala 215:8] - wire _T_31 : UInt<71>[2] @[el2_ifu_ic_mem.scala 214:56] - _T_31[0] <= _T_25 @[el2_ifu_ic_mem.scala 214:56] - _T_31[1] <= _T_30 @[el2_ifu_ic_mem.scala 214:56] - node _T_32 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_ic_mem.scala 216:49] - node _T_33 = bits(_T_32, 0, 0) @[el2_ifu_ic_mem.scala 216:70] - node _T_34 = bits(io.ic_debug_addr, 12, 3) @[el2_ifu_ic_mem.scala 216:98] + node _T_18 = and(ic_debug_wr_way_en, _T_17) @[el2_ifu_ic_mem.scala 198:36] + node _T_19 = or(io.ic_wr_en, _T_18) @[el2_ifu_ic_mem.scala 198:16] + wire _T_20 : UInt<2>[2] @[el2_ifu_ic_mem.scala 197:55] + _T_20[0] <= _T_13 @[el2_ifu_ic_mem.scala 197:55] + _T_20[1] <= _T_19 @[el2_ifu_ic_mem.scala 197:55] + node _T_21 = bits(io.ic_debug_addr, 3, 3) @[el2_ifu_ic_mem.scala 200:27] + node _T_22 = eq(_T_21, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 200:58] + node _T_23 = and(_T_22, io.ic_debug_wr_en) @[el2_ifu_ic_mem.scala 200:66] + node _T_24 = bits(_T_23, 0, 0) @[el2_ifu_ic_mem.scala 200:87] + node _T_25 = mux(_T_24, io.ic_debug_wr_data, io.ic_wr_data[0]) @[el2_ifu_ic_mem.scala 200:8] + node _T_26 = bits(io.ic_debug_addr, 3, 3) @[el2_ifu_ic_mem.scala 200:27] + node _T_27 = eq(_T_26, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 200:58] + node _T_28 = and(_T_27, io.ic_debug_wr_en) @[el2_ifu_ic_mem.scala 200:66] + node _T_29 = bits(_T_28, 0, 0) @[el2_ifu_ic_mem.scala 200:87] + node _T_30 = mux(_T_29, io.ic_debug_wr_data, io.ic_wr_data[1]) @[el2_ifu_ic_mem.scala 200:8] + wire _T_31 : UInt<71>[2] @[el2_ifu_ic_mem.scala 199:56] + _T_31[0] <= _T_25 @[el2_ifu_ic_mem.scala 199:56] + _T_31[1] <= _T_30 @[el2_ifu_ic_mem.scala 199:56] + node _T_32 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_ic_mem.scala 201:49] + node _T_33 = bits(_T_32, 0, 0) @[el2_ifu_ic_mem.scala 201:70] + node _T_34 = bits(io.ic_debug_addr, 12, 3) @[el2_ifu_ic_mem.scala 201:98] node _T_35 = cat(_T_34, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_36 = bits(io.ic_rw_addr, 12, 1) @[el2_ifu_ic_mem.scala 216:142] - node _T_37 = mux(_T_33, _T_35, _T_36) @[el2_ifu_ic_mem.scala 216:29] + node _T_36 = bits(io.ic_rw_addr, 12, 1) @[el2_ifu_ic_mem.scala 201:142] + node _T_37 = mux(_T_33, _T_35, _T_36) @[el2_ifu_ic_mem.scala 201:29] node ic_rw_addr_q = cat(_T_37, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_38 = or(io.ic_rd_en, io.ic_debug_rd_en) @[el2_ifu_ic_mem.scala 217:44] - node _T_39 = orr(io.ic_wr_en) @[el2_ifu_ic_mem.scala 217:82] - node _T_40 = not(_T_39) @[el2_ifu_ic_mem.scala 217:68] - node ic_rd_en_with_debug = and(_T_38, _T_40) @[el2_ifu_ic_mem.scala 217:66] - node _T_41 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 219:28] - node _T_42 = bits(_T_41, 0, 0) @[el2_ifu_ic_mem.scala 219:45] - node _T_43 = not(_T_42) @[el2_ifu_ic_mem.scala 219:15] - node _T_44 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 219:60] - node _T_45 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 220:20] - node _T_46 = bits(ic_rw_addr_q, 2, 1) @[el2_ifu_ic_mem.scala 220:50] - node _T_47 = eq(_T_46, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 220:55] - node _T_48 = and(_T_45, _T_47) @[el2_ifu_ic_mem.scala 220:36] - node _T_49 = bits(_T_48, 0, 0) @[el2_ifu_ic_mem.scala 220:64] - node _T_50 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 220:79] - node _T_51 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 221:19] - node _T_52 = bits(_T_51, 0, 0) @[el2_ifu_ic_mem.scala 221:36] - node _T_53 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 221:51] - node _T_54 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 222:21] - node _T_55 = not(_T_54) @[el2_ifu_ic_mem.scala 222:8] - node _T_56 = bits(ic_rw_addr_q, 2, 1) @[el2_ifu_ic_mem.scala 222:51] - node _T_57 = eq(_T_56, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 222:56] - node _T_58 = and(_T_55, _T_57) @[el2_ifu_ic_mem.scala 222:37] - node _T_59 = bits(_T_58, 0, 0) @[el2_ifu_ic_mem.scala 222:65] - node _T_60 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 222:80] + node _T_38 = or(io.ic_rd_en, io.ic_debug_rd_en) @[el2_ifu_ic_mem.scala 202:44] + node _T_39 = orr(io.ic_wr_en) @[el2_ifu_ic_mem.scala 202:82] + node _T_40 = not(_T_39) @[el2_ifu_ic_mem.scala 202:68] + node ic_rd_en_with_debug = and(_T_38, _T_40) @[el2_ifu_ic_mem.scala 202:66] + node _T_41 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 204:28] + node _T_42 = bits(_T_41, 0, 0) @[el2_ifu_ic_mem.scala 204:45] + node _T_43 = not(_T_42) @[el2_ifu_ic_mem.scala 204:15] + node _T_44 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 204:60] + node _T_45 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 205:20] + node _T_46 = bits(ic_rw_addr_q, 2, 1) @[el2_ifu_ic_mem.scala 205:50] + node _T_47 = eq(_T_46, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 205:55] + node _T_48 = and(_T_45, _T_47) @[el2_ifu_ic_mem.scala 205:36] + node _T_49 = bits(_T_48, 0, 0) @[el2_ifu_ic_mem.scala 205:64] + node _T_50 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 205:79] + node _T_51 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 206:19] + node _T_52 = bits(_T_51, 0, 0) @[el2_ifu_ic_mem.scala 206:36] + node _T_53 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 206:51] + node _T_54 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 207:21] + node _T_55 = not(_T_54) @[el2_ifu_ic_mem.scala 207:8] + node _T_56 = bits(ic_rw_addr_q, 2, 1) @[el2_ifu_ic_mem.scala 207:51] + node _T_57 = eq(_T_56, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 207:56] + node _T_58 = and(_T_55, _T_57) @[el2_ifu_ic_mem.scala 207:37] + node _T_59 = bits(_T_58, 0, 0) @[el2_ifu_ic_mem.scala 207:65] + node _T_60 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 207:80] node _T_61 = mux(_T_43, _T_44, UInt<1>("h00")) @[Mux.scala 27:72] node _T_62 = mux(_T_49, _T_50, UInt<1>("h00")) @[Mux.scala 27:72] node _T_63 = mux(_T_52, _T_53, UInt<1>("h00")) @[Mux.scala 27:72] @@ -91,26 +91,26 @@ circuit EL2_IC_DATA : node _T_67 = or(_T_66, _T_64) @[Mux.scala 27:72] wire _T_68 : UInt<1> @[Mux.scala 27:72] _T_68 <= _T_67 @[Mux.scala 27:72] - node _T_69 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 219:28] - node _T_70 = bits(_T_69, 0, 0) @[el2_ifu_ic_mem.scala 219:45] - node _T_71 = not(_T_70) @[el2_ifu_ic_mem.scala 219:15] - node _T_72 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 219:60] - node _T_73 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 220:20] - node _T_74 = bits(ic_rw_addr_q, 2, 1) @[el2_ifu_ic_mem.scala 220:50] - node _T_75 = eq(_T_74, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 220:55] - node _T_76 = and(_T_73, _T_75) @[el2_ifu_ic_mem.scala 220:36] - node _T_77 = bits(_T_76, 0, 0) @[el2_ifu_ic_mem.scala 220:64] - node _T_78 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 220:79] - node _T_79 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 221:19] - node _T_80 = bits(_T_79, 0, 0) @[el2_ifu_ic_mem.scala 221:36] - node _T_81 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 221:51] - node _T_82 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 222:21] - node _T_83 = not(_T_82) @[el2_ifu_ic_mem.scala 222:8] - node _T_84 = bits(ic_rw_addr_q, 2, 1) @[el2_ifu_ic_mem.scala 222:51] - node _T_85 = eq(_T_84, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 222:56] - node _T_86 = and(_T_83, _T_85) @[el2_ifu_ic_mem.scala 222:37] - node _T_87 = bits(_T_86, 0, 0) @[el2_ifu_ic_mem.scala 222:65] - node _T_88 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 222:80] + node _T_69 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 204:28] + node _T_70 = bits(_T_69, 0, 0) @[el2_ifu_ic_mem.scala 204:45] + node _T_71 = not(_T_70) @[el2_ifu_ic_mem.scala 204:15] + node _T_72 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 204:60] + node _T_73 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 205:20] + node _T_74 = bits(ic_rw_addr_q, 2, 1) @[el2_ifu_ic_mem.scala 205:50] + node _T_75 = eq(_T_74, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 205:55] + node _T_76 = and(_T_73, _T_75) @[el2_ifu_ic_mem.scala 205:36] + node _T_77 = bits(_T_76, 0, 0) @[el2_ifu_ic_mem.scala 205:64] + node _T_78 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 205:79] + node _T_79 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 206:19] + node _T_80 = bits(_T_79, 0, 0) @[el2_ifu_ic_mem.scala 206:36] + node _T_81 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 206:51] + node _T_82 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 207:21] + node _T_83 = not(_T_82) @[el2_ifu_ic_mem.scala 207:8] + node _T_84 = bits(ic_rw_addr_q, 2, 1) @[el2_ifu_ic_mem.scala 207:51] + node _T_85 = eq(_T_84, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 207:56] + node _T_86 = and(_T_83, _T_85) @[el2_ifu_ic_mem.scala 207:37] + node _T_87 = bits(_T_86, 0, 0) @[el2_ifu_ic_mem.scala 207:65] + node _T_88 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 207:80] node _T_89 = mux(_T_71, _T_72, UInt<1>("h00")) @[Mux.scala 27:72] node _T_90 = mux(_T_77, _T_78, UInt<1>("h00")) @[Mux.scala 27:72] node _T_91 = mux(_T_80, _T_81, UInt<1>("h00")) @[Mux.scala 27:72] @@ -120,190 +120,190 @@ circuit EL2_IC_DATA : node _T_95 = or(_T_94, _T_92) @[Mux.scala 27:72] wire _T_96 : UInt<1> @[Mux.scala 27:72] _T_96 <= _T_95 @[Mux.scala 27:72] - wire _T_97 : UInt<1>[2] @[el2_ifu_ic_mem.scala 218:54] - _T_97[0] <= _T_68 @[el2_ifu_ic_mem.scala 218:54] - _T_97[1] <= _T_96 @[el2_ifu_ic_mem.scala 218:54] - node ic_b_rden_0 = and(_T_97[1], ic_rd_en_with_debug) @[el2_ifu_ic_mem.scala 222:107] - node ic_b_rden_1 = and(_T_97[0], ic_rd_en_with_debug) @[el2_ifu_ic_mem.scala 222:107] - wire _T_98 : UInt<1>[2] @[el2_lib.scala 187:48] - _T_98[0] <= ic_b_rden_0 @[el2_lib.scala 187:48] - _T_98[1] <= ic_b_rden_0 @[el2_lib.scala 187:48] + wire _T_97 : UInt<1>[2] @[el2_ifu_ic_mem.scala 203:54] + _T_97[0] <= _T_68 @[el2_ifu_ic_mem.scala 203:54] + _T_97[1] <= _T_96 @[el2_ifu_ic_mem.scala 203:54] + node ic_b_rden_0 = and(_T_97[1], ic_rd_en_with_debug) @[el2_ifu_ic_mem.scala 207:107] + node ic_b_rden_1 = and(_T_97[0], ic_rd_en_with_debug) @[el2_ifu_ic_mem.scala 207:107] + wire _T_98 : UInt<1>[2] @[el2_lib.scala 188:48] + _T_98[0] <= ic_b_rden_0 @[el2_lib.scala 188:48] + _T_98[1] <= ic_b_rden_0 @[el2_lib.scala 188:48] node _T_99 = cat(_T_98[0], _T_98[1]) @[Cat.scala 29:58] - node _T_100 = or(_T_99, io.clk_override) @[el2_ifu_ic_mem.scala 225:62] - node _T_101 = or(_T_100, _T_20[1]) @[el2_ifu_ic_mem.scala 225:80] - wire _T_102 : UInt<1>[2] @[el2_lib.scala 187:48] - _T_102[0] <= ic_b_rden_0 @[el2_lib.scala 187:48] - _T_102[1] <= ic_b_rden_0 @[el2_lib.scala 187:48] + node _T_100 = or(_T_99, io.clk_override) @[el2_ifu_ic_mem.scala 210:62] + node _T_101 = or(_T_100, _T_20[1]) @[el2_ifu_ic_mem.scala 210:80] + wire _T_102 : UInt<1>[2] @[el2_lib.scala 188:48] + _T_102[0] <= ic_b_rden_0 @[el2_lib.scala 188:48] + _T_102[1] <= ic_b_rden_0 @[el2_lib.scala 188:48] node _T_103 = cat(_T_102[0], _T_102[1]) @[Cat.scala 29:58] - node _T_104 = or(_T_103, io.clk_override) @[el2_ifu_ic_mem.scala 227:64] - node _T_105 = or(_T_104, _T_20[0]) @[el2_ifu_ic_mem.scala 227:82] - node _T_106 = or(_T_105, _T_101) @[el2_ifu_ic_mem.scala 227:101] - node _T_107 = bits(ic_rw_addr_q, 12, 4) @[el2_ifu_ic_mem.scala 230:38] - node _T_108 = add(_T_107, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 230:77] - node ic_rw_addr_q_inc = tail(_T_108, 1) @[el2_ifu_ic_mem.scala 230:77] - node _T_109 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 231:37] - node _T_110 = bits(ic_rw_addr_q, 2, 1) @[el2_ifu_ic_mem.scala 231:69] - node _T_111 = eq(_T_110, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 231:74] - node _T_112 = and(_T_109, _T_111) @[el2_ifu_ic_mem.scala 231:54] - node _T_113 = and(_T_112, ic_rd_en_with_debug) @[el2_ifu_ic_mem.scala 231:82] - node _T_114 = orr(io.ic_wr_en) @[el2_ifu_ic_mem.scala 231:120] - node _T_115 = not(_T_114) @[el2_ifu_ic_mem.scala 231:106] - node ic_rw_addr_wrap = and(_T_113, _T_115) @[el2_ifu_ic_mem.scala 231:104] - reg ic_rw_addr_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 234:30] - ic_rw_addr_ff <= ic_rw_addr_q @[el2_ifu_ic_mem.scala 234:30] + node _T_104 = or(_T_103, io.clk_override) @[el2_ifu_ic_mem.scala 212:64] + node _T_105 = or(_T_104, _T_20[0]) @[el2_ifu_ic_mem.scala 212:82] + node _T_106 = or(_T_105, _T_101) @[el2_ifu_ic_mem.scala 212:101] + node _T_107 = bits(ic_rw_addr_q, 12, 4) @[el2_ifu_ic_mem.scala 215:38] + node _T_108 = add(_T_107, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 215:77] + node ic_rw_addr_q_inc = tail(_T_108, 1) @[el2_ifu_ic_mem.scala 215:77] + node _T_109 = bits(ic_rw_addr_q, 3, 3) @[el2_ifu_ic_mem.scala 216:37] + node _T_110 = bits(ic_rw_addr_q, 2, 1) @[el2_ifu_ic_mem.scala 216:69] + node _T_111 = eq(_T_110, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 216:74] + node _T_112 = and(_T_109, _T_111) @[el2_ifu_ic_mem.scala 216:54] + node _T_113 = and(_T_112, ic_rd_en_with_debug) @[el2_ifu_ic_mem.scala 216:82] + node _T_114 = orr(io.ic_wr_en) @[el2_ifu_ic_mem.scala 216:120] + node _T_115 = not(_T_114) @[el2_ifu_ic_mem.scala 216:106] + node ic_rw_addr_wrap = and(_T_113, _T_115) @[el2_ifu_ic_mem.scala 216:104] + reg ic_rw_addr_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 219:30] + ic_rw_addr_ff <= ic_rw_addr_q @[el2_ifu_ic_mem.scala 219:30] node _T_116 = cat(ic_b_rden_1, ic_b_rden_0) @[Cat.scala 29:58] - reg ic_b_rden_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 235:29] - ic_b_rden_ff <= _T_116 @[el2_ifu_ic_mem.scala 235:29] - reg ic_debug_rd_way_en_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 236:38] - ic_debug_rd_way_en_ff <= ic_debug_rd_way_en @[el2_ifu_ic_mem.scala 236:38] - reg ic_debug_rd_en_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 237:34] - ic_debug_rd_en_ff <= io.ic_debug_rd_en @[el2_ifu_ic_mem.scala 237:34] - node _T_117 = bits(ic_rw_addr_ff, 5, 3) @[el2_ifu_ic_mem.scala 238:43] - wire _T_118 : UInt<1>[3] @[el2_lib.scala 187:48] - _T_118[0] <= UInt<1>("h01") @[el2_lib.scala 187:48] - _T_118[1] <= UInt<1>("h01") @[el2_lib.scala 187:48] - _T_118[2] <= UInt<1>("h01") @[el2_lib.scala 187:48] + reg ic_b_rden_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 220:29] + ic_b_rden_ff <= _T_116 @[el2_ifu_ic_mem.scala 220:29] + reg ic_debug_rd_way_en_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 221:38] + ic_debug_rd_way_en_ff <= ic_debug_rd_way_en @[el2_ifu_ic_mem.scala 221:38] + reg ic_debug_rd_en_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 222:34] + ic_debug_rd_en_ff <= io.ic_debug_rd_en @[el2_ifu_ic_mem.scala 222:34] + node _T_117 = bits(ic_rw_addr_ff, 5, 3) @[el2_ifu_ic_mem.scala 223:43] + wire _T_118 : UInt<1>[3] @[el2_lib.scala 188:48] + _T_118[0] <= UInt<1>("h01") @[el2_lib.scala 188:48] + _T_118[1] <= UInt<1>("h01") @[el2_lib.scala 188:48] + _T_118[2] <= UInt<1>("h01") @[el2_lib.scala 188:48] node _T_119 = cat(_T_118[0], _T_118[1]) @[Cat.scala 29:58] node _T_120 = cat(_T_119, _T_118[2]) @[Cat.scala 29:58] - node ic_cacheline_wrap_ff = eq(_T_117, _T_120) @[el2_ifu_ic_mem.scala 238:82] - wire ic_rw_addr_bank_q : UInt<13>[2] @[el2_ifu_ic_mem.scala 240:31] - node _T_121 = bits(ic_rw_addr_wrap, 0, 0) @[el2_ifu_ic_mem.scala 241:48] - node _T_122 = not(_T_121) @[el2_ifu_ic_mem.scala 241:31] - node _T_123 = bits(ic_rw_addr_q, 12, 4) @[el2_ifu_ic_mem.scala 241:68] - node _T_124 = bits(ic_rw_addr_q, 12, 6) @[el2_ifu_ic_mem.scala 241:124] - node _T_125 = bits(ic_rw_addr_q_inc, 5, 4) @[el2_ifu_ic_mem.scala 241:180] + node ic_cacheline_wrap_ff = eq(_T_117, _T_120) @[el2_ifu_ic_mem.scala 223:82] + wire ic_rw_addr_bank_q : UInt<13>[2] @[el2_ifu_ic_mem.scala 225:31] + node _T_121 = bits(ic_rw_addr_wrap, 0, 0) @[el2_ifu_ic_mem.scala 226:48] + node _T_122 = not(_T_121) @[el2_ifu_ic_mem.scala 226:31] + node _T_123 = bits(ic_rw_addr_q, 12, 4) @[el2_ifu_ic_mem.scala 226:68] + node _T_124 = bits(ic_rw_addr_q, 12, 6) @[el2_ifu_ic_mem.scala 226:124] + node _T_125 = bits(ic_rw_addr_q_inc, 5, 4) @[el2_ifu_ic_mem.scala 226:180] node _T_126 = cat(_T_124, _T_125) @[Cat.scala 29:58] - node _T_127 = mux(_T_122, _T_123, _T_126) @[el2_ifu_ic_mem.scala 241:30] - ic_rw_addr_bank_q[0] <= _T_127 @[el2_ifu_ic_mem.scala 241:24] - node _T_128 = bits(ic_rw_addr_q, 12, 4) @[el2_ifu_ic_mem.scala 242:39] - ic_rw_addr_bank_q[1] <= _T_128 @[el2_ifu_ic_mem.scala 242:24] - smem data_mem : UInt<71>[2][2][512], undefined @[el2_ifu_ic_mem.scala 245:29] - node _T_129 = bits(ic_rw_addr_bank_q[0], 12, 4) @[el2_ifu_ic_mem.scala 246:32] - infer mport _T_130 = data_mem[_T_129], clock @[el2_ifu_ic_mem.scala 246:11] - _T_130[0][0] <= _T_31[1] @[el2_ifu_ic_mem.scala 246:77] - wire wb_dout : UInt<71>[2][2] @[el2_ifu_ic_mem.scala 247:21] - wb_dout[0][0] <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 250:19] - node _T_131 = bits(_T_31[1], 0, 0) @[el2_ifu_ic_mem.scala 251:28] - node _T_132 = bits(_T_101, 0, 0) @[el2_ifu_ic_mem.scala 251:54] - node _T_133 = and(_T_131, _T_132) @[el2_ifu_ic_mem.scala 251:32] - when _T_133 : @[el2_ifu_ic_mem.scala 251:58] - node _T_134 = bits(ic_rw_addr_bank_q[0], 12, 4) @[el2_ifu_ic_mem.scala 252:38] - infer mport _T_135 = data_mem[_T_134], clock @[el2_ifu_ic_mem.scala 252:17] - _T_135[0][0] <= _T_31[1] @[el2_ifu_ic_mem.scala 252:84] - skip @[el2_ifu_ic_mem.scala 251:58] - node _T_136 = bits(ic_rw_addr_bank_q[0], 12, 4) @[el2_ifu_ic_mem.scala 254:51] - infer mport _T_137 = data_mem[_T_136], clock @[el2_ifu_ic_mem.scala 254:30] - wb_dout[0][0] <= _T_137[0][0] @[el2_ifu_ic_mem.scala 254:19] - wb_dout[0][1] <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 250:19] - node _T_138 = bits(_T_31[1], 1, 1) @[el2_ifu_ic_mem.scala 251:28] - node _T_139 = bits(_T_101, 1, 1) @[el2_ifu_ic_mem.scala 251:54] - node _T_140 = and(_T_138, _T_139) @[el2_ifu_ic_mem.scala 251:32] - when _T_140 : @[el2_ifu_ic_mem.scala 251:58] - node _T_141 = bits(ic_rw_addr_bank_q[1], 12, 4) @[el2_ifu_ic_mem.scala 252:38] - infer mport _T_142 = data_mem[_T_141], clock @[el2_ifu_ic_mem.scala 252:17] - _T_142[1][0] <= _T_31[0] @[el2_ifu_ic_mem.scala 252:84] - skip @[el2_ifu_ic_mem.scala 251:58] - node _T_143 = bits(ic_rw_addr_bank_q[1], 12, 4) @[el2_ifu_ic_mem.scala 254:51] - infer mport _T_144 = data_mem[_T_143], clock @[el2_ifu_ic_mem.scala 254:30] - wb_dout[0][1] <= _T_144[0][1] @[el2_ifu_ic_mem.scala 254:19] - wb_dout[1][0] <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 250:19] - node _T_145 = bits(_T_31[0], 0, 0) @[el2_ifu_ic_mem.scala 251:28] - node _T_146 = bits(_T_106, 0, 0) @[el2_ifu_ic_mem.scala 251:54] - node _T_147 = and(_T_145, _T_146) @[el2_ifu_ic_mem.scala 251:32] - when _T_147 : @[el2_ifu_ic_mem.scala 251:58] - node _T_148 = bits(ic_rw_addr_bank_q[0], 12, 4) @[el2_ifu_ic_mem.scala 252:38] - infer mport _T_149 = data_mem[_T_148], clock @[el2_ifu_ic_mem.scala 252:17] - _T_149[0][1] <= _T_31[1] @[el2_ifu_ic_mem.scala 252:84] - skip @[el2_ifu_ic_mem.scala 251:58] - node _T_150 = bits(ic_rw_addr_bank_q[0], 12, 4) @[el2_ifu_ic_mem.scala 254:51] - infer mport _T_151 = data_mem[_T_150], clock @[el2_ifu_ic_mem.scala 254:30] - wb_dout[1][0] <= _T_151[1][0] @[el2_ifu_ic_mem.scala 254:19] - wb_dout[1][1] <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 250:19] - node _T_152 = bits(_T_31[0], 1, 1) @[el2_ifu_ic_mem.scala 251:28] - node _T_153 = bits(_T_106, 1, 1) @[el2_ifu_ic_mem.scala 251:54] - node _T_154 = and(_T_152, _T_153) @[el2_ifu_ic_mem.scala 251:32] - when _T_154 : @[el2_ifu_ic_mem.scala 251:58] - node _T_155 = bits(ic_rw_addr_bank_q[1], 12, 4) @[el2_ifu_ic_mem.scala 252:38] - infer mport _T_156 = data_mem[_T_155], clock @[el2_ifu_ic_mem.scala 252:17] - _T_156[1][1] <= _T_31[0] @[el2_ifu_ic_mem.scala 252:84] - skip @[el2_ifu_ic_mem.scala 251:58] - node _T_157 = bits(ic_rw_addr_bank_q[1], 12, 4) @[el2_ifu_ic_mem.scala 254:51] - infer mport _T_158 = data_mem[_T_157], clock @[el2_ifu_ic_mem.scala 254:30] - wb_dout[1][1] <= _T_158[1][1] @[el2_ifu_ic_mem.scala 254:19] - node _T_159 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 259:39] - node _T_160 = eq(_T_159, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 259:71] - wire _T_161 : UInt<1>[71] @[el2_lib.scala 187:48] - _T_161[0] <= _T_160 @[el2_lib.scala 187:48] - _T_161[1] <= _T_160 @[el2_lib.scala 187:48] - _T_161[2] <= _T_160 @[el2_lib.scala 187:48] - _T_161[3] <= _T_160 @[el2_lib.scala 187:48] - _T_161[4] <= _T_160 @[el2_lib.scala 187:48] - _T_161[5] <= _T_160 @[el2_lib.scala 187:48] - _T_161[6] <= _T_160 @[el2_lib.scala 187:48] - _T_161[7] <= _T_160 @[el2_lib.scala 187:48] - _T_161[8] <= _T_160 @[el2_lib.scala 187:48] - _T_161[9] <= _T_160 @[el2_lib.scala 187:48] - _T_161[10] <= _T_160 @[el2_lib.scala 187:48] - _T_161[11] <= _T_160 @[el2_lib.scala 187:48] - _T_161[12] <= _T_160 @[el2_lib.scala 187:48] - _T_161[13] <= _T_160 @[el2_lib.scala 187:48] - _T_161[14] <= _T_160 @[el2_lib.scala 187:48] - _T_161[15] <= _T_160 @[el2_lib.scala 187:48] - _T_161[16] <= _T_160 @[el2_lib.scala 187:48] - _T_161[17] <= _T_160 @[el2_lib.scala 187:48] - _T_161[18] <= _T_160 @[el2_lib.scala 187:48] - _T_161[19] <= _T_160 @[el2_lib.scala 187:48] - _T_161[20] <= _T_160 @[el2_lib.scala 187:48] - _T_161[21] <= _T_160 @[el2_lib.scala 187:48] - _T_161[22] <= _T_160 @[el2_lib.scala 187:48] - _T_161[23] <= _T_160 @[el2_lib.scala 187:48] - _T_161[24] <= _T_160 @[el2_lib.scala 187:48] - _T_161[25] <= _T_160 @[el2_lib.scala 187:48] - _T_161[26] <= _T_160 @[el2_lib.scala 187:48] - _T_161[27] <= _T_160 @[el2_lib.scala 187:48] - _T_161[28] <= _T_160 @[el2_lib.scala 187:48] - _T_161[29] <= _T_160 @[el2_lib.scala 187:48] - _T_161[30] <= _T_160 @[el2_lib.scala 187:48] - _T_161[31] <= _T_160 @[el2_lib.scala 187:48] - _T_161[32] <= _T_160 @[el2_lib.scala 187:48] - _T_161[33] <= _T_160 @[el2_lib.scala 187:48] - _T_161[34] <= _T_160 @[el2_lib.scala 187:48] - _T_161[35] <= _T_160 @[el2_lib.scala 187:48] - _T_161[36] <= _T_160 @[el2_lib.scala 187:48] - _T_161[37] <= _T_160 @[el2_lib.scala 187:48] - _T_161[38] <= _T_160 @[el2_lib.scala 187:48] - _T_161[39] <= _T_160 @[el2_lib.scala 187:48] - _T_161[40] <= _T_160 @[el2_lib.scala 187:48] - _T_161[41] <= _T_160 @[el2_lib.scala 187:48] - _T_161[42] <= _T_160 @[el2_lib.scala 187:48] - _T_161[43] <= _T_160 @[el2_lib.scala 187:48] - _T_161[44] <= _T_160 @[el2_lib.scala 187:48] - _T_161[45] <= _T_160 @[el2_lib.scala 187:48] - _T_161[46] <= _T_160 @[el2_lib.scala 187:48] - _T_161[47] <= _T_160 @[el2_lib.scala 187:48] - _T_161[48] <= _T_160 @[el2_lib.scala 187:48] - _T_161[49] <= _T_160 @[el2_lib.scala 187:48] - _T_161[50] <= _T_160 @[el2_lib.scala 187:48] - _T_161[51] <= _T_160 @[el2_lib.scala 187:48] - _T_161[52] <= _T_160 @[el2_lib.scala 187:48] - _T_161[53] <= _T_160 @[el2_lib.scala 187:48] - _T_161[54] <= _T_160 @[el2_lib.scala 187:48] - _T_161[55] <= _T_160 @[el2_lib.scala 187:48] - _T_161[56] <= _T_160 @[el2_lib.scala 187:48] - _T_161[57] <= _T_160 @[el2_lib.scala 187:48] - _T_161[58] <= _T_160 @[el2_lib.scala 187:48] - _T_161[59] <= _T_160 @[el2_lib.scala 187:48] - _T_161[60] <= _T_160 @[el2_lib.scala 187:48] - _T_161[61] <= _T_160 @[el2_lib.scala 187:48] - _T_161[62] <= _T_160 @[el2_lib.scala 187:48] - _T_161[63] <= _T_160 @[el2_lib.scala 187:48] - _T_161[64] <= _T_160 @[el2_lib.scala 187:48] - _T_161[65] <= _T_160 @[el2_lib.scala 187:48] - _T_161[66] <= _T_160 @[el2_lib.scala 187:48] - _T_161[67] <= _T_160 @[el2_lib.scala 187:48] - _T_161[68] <= _T_160 @[el2_lib.scala 187:48] - _T_161[69] <= _T_160 @[el2_lib.scala 187:48] - _T_161[70] <= _T_160 @[el2_lib.scala 187:48] + node _T_127 = mux(_T_122, _T_123, _T_126) @[el2_ifu_ic_mem.scala 226:30] + ic_rw_addr_bank_q[0] <= _T_127 @[el2_ifu_ic_mem.scala 226:24] + node _T_128 = bits(ic_rw_addr_q, 12, 4) @[el2_ifu_ic_mem.scala 227:39] + ic_rw_addr_bank_q[1] <= _T_128 @[el2_ifu_ic_mem.scala 227:24] + smem data_mem : UInt<71>[2][2][512], undefined @[el2_ifu_ic_mem.scala 230:29] + node _T_129 = bits(ic_rw_addr_bank_q[0], 12, 4) @[el2_ifu_ic_mem.scala 231:32] + infer mport _T_130 = data_mem[_T_129], clock @[el2_ifu_ic_mem.scala 231:11] + _T_130[0][0] <= _T_31[1] @[el2_ifu_ic_mem.scala 231:77] + wire wb_dout : UInt<71>[2][2] @[el2_ifu_ic_mem.scala 232:21] + wb_dout[0][0] <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 235:19] + node _T_131 = bits(_T_31[1], 0, 0) @[el2_ifu_ic_mem.scala 236:28] + node _T_132 = bits(_T_101, 0, 0) @[el2_ifu_ic_mem.scala 236:54] + node _T_133 = and(_T_131, _T_132) @[el2_ifu_ic_mem.scala 236:32] + when _T_133 : @[el2_ifu_ic_mem.scala 236:58] + node _T_134 = bits(ic_rw_addr_bank_q[0], 12, 4) @[el2_ifu_ic_mem.scala 237:38] + infer mport _T_135 = data_mem[_T_134], clock @[el2_ifu_ic_mem.scala 237:17] + _T_135[0][0] <= _T_31[1] @[el2_ifu_ic_mem.scala 237:84] + skip @[el2_ifu_ic_mem.scala 236:58] + node _T_136 = bits(ic_rw_addr_bank_q[0], 12, 4) @[el2_ifu_ic_mem.scala 239:51] + infer mport _T_137 = data_mem[_T_136], clock @[el2_ifu_ic_mem.scala 239:30] + wb_dout[0][0] <= _T_137[0][0] @[el2_ifu_ic_mem.scala 239:19] + wb_dout[0][1] <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 235:19] + node _T_138 = bits(_T_31[1], 1, 1) @[el2_ifu_ic_mem.scala 236:28] + node _T_139 = bits(_T_101, 1, 1) @[el2_ifu_ic_mem.scala 236:54] + node _T_140 = and(_T_138, _T_139) @[el2_ifu_ic_mem.scala 236:32] + when _T_140 : @[el2_ifu_ic_mem.scala 236:58] + node _T_141 = bits(ic_rw_addr_bank_q[1], 12, 4) @[el2_ifu_ic_mem.scala 237:38] + infer mport _T_142 = data_mem[_T_141], clock @[el2_ifu_ic_mem.scala 237:17] + _T_142[1][0] <= _T_31[0] @[el2_ifu_ic_mem.scala 237:84] + skip @[el2_ifu_ic_mem.scala 236:58] + node _T_143 = bits(ic_rw_addr_bank_q[1], 12, 4) @[el2_ifu_ic_mem.scala 239:51] + infer mport _T_144 = data_mem[_T_143], clock @[el2_ifu_ic_mem.scala 239:30] + wb_dout[0][1] <= _T_144[0][1] @[el2_ifu_ic_mem.scala 239:19] + wb_dout[1][0] <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 235:19] + node _T_145 = bits(_T_31[0], 0, 0) @[el2_ifu_ic_mem.scala 236:28] + node _T_146 = bits(_T_106, 0, 0) @[el2_ifu_ic_mem.scala 236:54] + node _T_147 = and(_T_145, _T_146) @[el2_ifu_ic_mem.scala 236:32] + when _T_147 : @[el2_ifu_ic_mem.scala 236:58] + node _T_148 = bits(ic_rw_addr_bank_q[0], 12, 4) @[el2_ifu_ic_mem.scala 237:38] + infer mport _T_149 = data_mem[_T_148], clock @[el2_ifu_ic_mem.scala 237:17] + _T_149[0][1] <= _T_31[1] @[el2_ifu_ic_mem.scala 237:84] + skip @[el2_ifu_ic_mem.scala 236:58] + node _T_150 = bits(ic_rw_addr_bank_q[0], 12, 4) @[el2_ifu_ic_mem.scala 239:51] + infer mport _T_151 = data_mem[_T_150], clock @[el2_ifu_ic_mem.scala 239:30] + wb_dout[1][0] <= _T_151[1][0] @[el2_ifu_ic_mem.scala 239:19] + wb_dout[1][1] <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 235:19] + node _T_152 = bits(_T_31[0], 1, 1) @[el2_ifu_ic_mem.scala 236:28] + node _T_153 = bits(_T_106, 1, 1) @[el2_ifu_ic_mem.scala 236:54] + node _T_154 = and(_T_152, _T_153) @[el2_ifu_ic_mem.scala 236:32] + when _T_154 : @[el2_ifu_ic_mem.scala 236:58] + node _T_155 = bits(ic_rw_addr_bank_q[1], 12, 4) @[el2_ifu_ic_mem.scala 237:38] + infer mport _T_156 = data_mem[_T_155], clock @[el2_ifu_ic_mem.scala 237:17] + _T_156[1][1] <= _T_31[0] @[el2_ifu_ic_mem.scala 237:84] + skip @[el2_ifu_ic_mem.scala 236:58] + node _T_157 = bits(ic_rw_addr_bank_q[1], 12, 4) @[el2_ifu_ic_mem.scala 239:51] + infer mport _T_158 = data_mem[_T_157], clock @[el2_ifu_ic_mem.scala 239:30] + wb_dout[1][1] <= _T_158[1][1] @[el2_ifu_ic_mem.scala 239:19] + node _T_159 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 244:39] + node _T_160 = eq(_T_159, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 244:71] + wire _T_161 : UInt<1>[71] @[el2_lib.scala 188:48] + _T_161[0] <= _T_160 @[el2_lib.scala 188:48] + _T_161[1] <= _T_160 @[el2_lib.scala 188:48] + _T_161[2] <= _T_160 @[el2_lib.scala 188:48] + _T_161[3] <= _T_160 @[el2_lib.scala 188:48] + _T_161[4] <= _T_160 @[el2_lib.scala 188:48] + _T_161[5] <= _T_160 @[el2_lib.scala 188:48] + _T_161[6] <= _T_160 @[el2_lib.scala 188:48] + _T_161[7] <= _T_160 @[el2_lib.scala 188:48] + _T_161[8] <= _T_160 @[el2_lib.scala 188:48] + _T_161[9] <= _T_160 @[el2_lib.scala 188:48] + _T_161[10] <= _T_160 @[el2_lib.scala 188:48] + _T_161[11] <= _T_160 @[el2_lib.scala 188:48] + _T_161[12] <= _T_160 @[el2_lib.scala 188:48] + _T_161[13] <= _T_160 @[el2_lib.scala 188:48] + _T_161[14] <= _T_160 @[el2_lib.scala 188:48] + _T_161[15] <= _T_160 @[el2_lib.scala 188:48] + _T_161[16] <= _T_160 @[el2_lib.scala 188:48] + _T_161[17] <= _T_160 @[el2_lib.scala 188:48] + _T_161[18] <= _T_160 @[el2_lib.scala 188:48] + _T_161[19] <= _T_160 @[el2_lib.scala 188:48] + _T_161[20] <= _T_160 @[el2_lib.scala 188:48] + _T_161[21] <= _T_160 @[el2_lib.scala 188:48] + _T_161[22] <= _T_160 @[el2_lib.scala 188:48] + _T_161[23] <= _T_160 @[el2_lib.scala 188:48] + _T_161[24] <= _T_160 @[el2_lib.scala 188:48] + _T_161[25] <= _T_160 @[el2_lib.scala 188:48] + _T_161[26] <= _T_160 @[el2_lib.scala 188:48] + _T_161[27] <= _T_160 @[el2_lib.scala 188:48] + _T_161[28] <= _T_160 @[el2_lib.scala 188:48] + _T_161[29] <= _T_160 @[el2_lib.scala 188:48] + _T_161[30] <= _T_160 @[el2_lib.scala 188:48] + _T_161[31] <= _T_160 @[el2_lib.scala 188:48] + _T_161[32] <= _T_160 @[el2_lib.scala 188:48] + _T_161[33] <= _T_160 @[el2_lib.scala 188:48] + _T_161[34] <= _T_160 @[el2_lib.scala 188:48] + _T_161[35] <= _T_160 @[el2_lib.scala 188:48] + _T_161[36] <= _T_160 @[el2_lib.scala 188:48] + _T_161[37] <= _T_160 @[el2_lib.scala 188:48] + _T_161[38] <= _T_160 @[el2_lib.scala 188:48] + _T_161[39] <= _T_160 @[el2_lib.scala 188:48] + _T_161[40] <= _T_160 @[el2_lib.scala 188:48] + _T_161[41] <= _T_160 @[el2_lib.scala 188:48] + _T_161[42] <= _T_160 @[el2_lib.scala 188:48] + _T_161[43] <= _T_160 @[el2_lib.scala 188:48] + _T_161[44] <= _T_160 @[el2_lib.scala 188:48] + _T_161[45] <= _T_160 @[el2_lib.scala 188:48] + _T_161[46] <= _T_160 @[el2_lib.scala 188:48] + _T_161[47] <= _T_160 @[el2_lib.scala 188:48] + _T_161[48] <= _T_160 @[el2_lib.scala 188:48] + _T_161[49] <= _T_160 @[el2_lib.scala 188:48] + _T_161[50] <= _T_160 @[el2_lib.scala 188:48] + _T_161[51] <= _T_160 @[el2_lib.scala 188:48] + _T_161[52] <= _T_160 @[el2_lib.scala 188:48] + _T_161[53] <= _T_160 @[el2_lib.scala 188:48] + _T_161[54] <= _T_160 @[el2_lib.scala 188:48] + _T_161[55] <= _T_160 @[el2_lib.scala 188:48] + _T_161[56] <= _T_160 @[el2_lib.scala 188:48] + _T_161[57] <= _T_160 @[el2_lib.scala 188:48] + _T_161[58] <= _T_160 @[el2_lib.scala 188:48] + _T_161[59] <= _T_160 @[el2_lib.scala 188:48] + _T_161[60] <= _T_160 @[el2_lib.scala 188:48] + _T_161[61] <= _T_160 @[el2_lib.scala 188:48] + _T_161[62] <= _T_160 @[el2_lib.scala 188:48] + _T_161[63] <= _T_160 @[el2_lib.scala 188:48] + _T_161[64] <= _T_160 @[el2_lib.scala 188:48] + _T_161[65] <= _T_160 @[el2_lib.scala 188:48] + _T_161[66] <= _T_160 @[el2_lib.scala 188:48] + _T_161[67] <= _T_160 @[el2_lib.scala 188:48] + _T_161[68] <= _T_160 @[el2_lib.scala 188:48] + _T_161[69] <= _T_160 @[el2_lib.scala 188:48] + _T_161[70] <= _T_160 @[el2_lib.scala 188:48] node _T_162 = cat(_T_161[0], _T_161[1]) @[Cat.scala 29:58] node _T_163 = cat(_T_162, _T_161[2]) @[Cat.scala 29:58] node _T_164 = cat(_T_163, _T_161[3]) @[Cat.scala 29:58] @@ -374,81 +374,81 @@ circuit EL2_IC_DATA : node _T_229 = cat(_T_228, _T_161[68]) @[Cat.scala 29:58] node _T_230 = cat(_T_229, _T_161[69]) @[Cat.scala 29:58] node _T_231 = cat(_T_230, _T_161[70]) @[Cat.scala 29:58] - node _T_232 = and(_T_231, wb_dout[0][0]) @[el2_ifu_ic_mem.scala 259:78] - node _T_233 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 259:39] - node _T_234 = eq(_T_233, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 259:71] - wire _T_235 : UInt<1>[71] @[el2_lib.scala 187:48] - _T_235[0] <= _T_234 @[el2_lib.scala 187:48] - _T_235[1] <= _T_234 @[el2_lib.scala 187:48] - _T_235[2] <= _T_234 @[el2_lib.scala 187:48] - _T_235[3] <= _T_234 @[el2_lib.scala 187:48] - _T_235[4] <= _T_234 @[el2_lib.scala 187:48] - _T_235[5] <= _T_234 @[el2_lib.scala 187:48] - _T_235[6] <= _T_234 @[el2_lib.scala 187:48] - _T_235[7] <= _T_234 @[el2_lib.scala 187:48] - _T_235[8] <= _T_234 @[el2_lib.scala 187:48] - _T_235[9] <= _T_234 @[el2_lib.scala 187:48] - _T_235[10] <= _T_234 @[el2_lib.scala 187:48] - _T_235[11] <= _T_234 @[el2_lib.scala 187:48] - _T_235[12] <= _T_234 @[el2_lib.scala 187:48] - _T_235[13] <= _T_234 @[el2_lib.scala 187:48] - _T_235[14] <= _T_234 @[el2_lib.scala 187:48] - _T_235[15] <= _T_234 @[el2_lib.scala 187:48] - _T_235[16] <= _T_234 @[el2_lib.scala 187:48] - _T_235[17] <= _T_234 @[el2_lib.scala 187:48] - _T_235[18] <= _T_234 @[el2_lib.scala 187:48] - _T_235[19] <= _T_234 @[el2_lib.scala 187:48] - _T_235[20] <= _T_234 @[el2_lib.scala 187:48] - _T_235[21] <= _T_234 @[el2_lib.scala 187:48] - _T_235[22] <= _T_234 @[el2_lib.scala 187:48] - _T_235[23] <= _T_234 @[el2_lib.scala 187:48] - _T_235[24] <= _T_234 @[el2_lib.scala 187:48] - _T_235[25] <= _T_234 @[el2_lib.scala 187:48] - _T_235[26] <= _T_234 @[el2_lib.scala 187:48] - _T_235[27] <= _T_234 @[el2_lib.scala 187:48] - _T_235[28] <= _T_234 @[el2_lib.scala 187:48] - _T_235[29] <= _T_234 @[el2_lib.scala 187:48] - _T_235[30] <= _T_234 @[el2_lib.scala 187:48] - _T_235[31] <= _T_234 @[el2_lib.scala 187:48] - _T_235[32] <= _T_234 @[el2_lib.scala 187:48] - _T_235[33] <= _T_234 @[el2_lib.scala 187:48] - _T_235[34] <= _T_234 @[el2_lib.scala 187:48] - _T_235[35] <= _T_234 @[el2_lib.scala 187:48] - _T_235[36] <= _T_234 @[el2_lib.scala 187:48] - _T_235[37] <= _T_234 @[el2_lib.scala 187:48] - _T_235[38] <= _T_234 @[el2_lib.scala 187:48] - _T_235[39] <= _T_234 @[el2_lib.scala 187:48] - _T_235[40] <= _T_234 @[el2_lib.scala 187:48] - _T_235[41] <= _T_234 @[el2_lib.scala 187:48] - _T_235[42] <= _T_234 @[el2_lib.scala 187:48] - _T_235[43] <= _T_234 @[el2_lib.scala 187:48] - _T_235[44] <= _T_234 @[el2_lib.scala 187:48] - _T_235[45] <= _T_234 @[el2_lib.scala 187:48] - _T_235[46] <= _T_234 @[el2_lib.scala 187:48] - _T_235[47] <= _T_234 @[el2_lib.scala 187:48] - _T_235[48] <= _T_234 @[el2_lib.scala 187:48] - _T_235[49] <= _T_234 @[el2_lib.scala 187:48] - _T_235[50] <= _T_234 @[el2_lib.scala 187:48] - _T_235[51] <= _T_234 @[el2_lib.scala 187:48] - _T_235[52] <= _T_234 @[el2_lib.scala 187:48] - _T_235[53] <= _T_234 @[el2_lib.scala 187:48] - _T_235[54] <= _T_234 @[el2_lib.scala 187:48] - _T_235[55] <= _T_234 @[el2_lib.scala 187:48] - _T_235[56] <= _T_234 @[el2_lib.scala 187:48] - _T_235[57] <= _T_234 @[el2_lib.scala 187:48] - _T_235[58] <= _T_234 @[el2_lib.scala 187:48] - _T_235[59] <= _T_234 @[el2_lib.scala 187:48] - _T_235[60] <= _T_234 @[el2_lib.scala 187:48] - _T_235[61] <= _T_234 @[el2_lib.scala 187:48] - _T_235[62] <= _T_234 @[el2_lib.scala 187:48] - _T_235[63] <= _T_234 @[el2_lib.scala 187:48] - _T_235[64] <= _T_234 @[el2_lib.scala 187:48] - _T_235[65] <= _T_234 @[el2_lib.scala 187:48] - _T_235[66] <= _T_234 @[el2_lib.scala 187:48] - _T_235[67] <= _T_234 @[el2_lib.scala 187:48] - _T_235[68] <= _T_234 @[el2_lib.scala 187:48] - _T_235[69] <= _T_234 @[el2_lib.scala 187:48] - _T_235[70] <= _T_234 @[el2_lib.scala 187:48] + node _T_232 = and(_T_231, wb_dout[0][0]) @[el2_ifu_ic_mem.scala 244:78] + node _T_233 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 244:39] + node _T_234 = eq(_T_233, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 244:71] + wire _T_235 : UInt<1>[71] @[el2_lib.scala 188:48] + _T_235[0] <= _T_234 @[el2_lib.scala 188:48] + _T_235[1] <= _T_234 @[el2_lib.scala 188:48] + _T_235[2] <= _T_234 @[el2_lib.scala 188:48] + _T_235[3] <= _T_234 @[el2_lib.scala 188:48] + _T_235[4] <= _T_234 @[el2_lib.scala 188:48] + _T_235[5] <= _T_234 @[el2_lib.scala 188:48] + _T_235[6] <= _T_234 @[el2_lib.scala 188:48] + _T_235[7] <= _T_234 @[el2_lib.scala 188:48] + _T_235[8] <= _T_234 @[el2_lib.scala 188:48] + _T_235[9] <= _T_234 @[el2_lib.scala 188:48] + _T_235[10] <= _T_234 @[el2_lib.scala 188:48] + _T_235[11] <= _T_234 @[el2_lib.scala 188:48] + _T_235[12] <= _T_234 @[el2_lib.scala 188:48] + _T_235[13] <= _T_234 @[el2_lib.scala 188:48] + _T_235[14] <= _T_234 @[el2_lib.scala 188:48] + _T_235[15] <= _T_234 @[el2_lib.scala 188:48] + _T_235[16] <= _T_234 @[el2_lib.scala 188:48] + _T_235[17] <= _T_234 @[el2_lib.scala 188:48] + _T_235[18] <= _T_234 @[el2_lib.scala 188:48] + _T_235[19] <= _T_234 @[el2_lib.scala 188:48] + _T_235[20] <= _T_234 @[el2_lib.scala 188:48] + _T_235[21] <= _T_234 @[el2_lib.scala 188:48] + _T_235[22] <= _T_234 @[el2_lib.scala 188:48] + _T_235[23] <= _T_234 @[el2_lib.scala 188:48] + _T_235[24] <= _T_234 @[el2_lib.scala 188:48] + _T_235[25] <= _T_234 @[el2_lib.scala 188:48] + _T_235[26] <= _T_234 @[el2_lib.scala 188:48] + _T_235[27] <= _T_234 @[el2_lib.scala 188:48] + _T_235[28] <= _T_234 @[el2_lib.scala 188:48] + _T_235[29] <= _T_234 @[el2_lib.scala 188:48] + _T_235[30] <= _T_234 @[el2_lib.scala 188:48] + _T_235[31] <= _T_234 @[el2_lib.scala 188:48] + _T_235[32] <= _T_234 @[el2_lib.scala 188:48] + _T_235[33] <= _T_234 @[el2_lib.scala 188:48] + _T_235[34] <= _T_234 @[el2_lib.scala 188:48] + _T_235[35] <= _T_234 @[el2_lib.scala 188:48] + _T_235[36] <= _T_234 @[el2_lib.scala 188:48] + _T_235[37] <= _T_234 @[el2_lib.scala 188:48] + _T_235[38] <= _T_234 @[el2_lib.scala 188:48] + _T_235[39] <= _T_234 @[el2_lib.scala 188:48] + _T_235[40] <= _T_234 @[el2_lib.scala 188:48] + _T_235[41] <= _T_234 @[el2_lib.scala 188:48] + _T_235[42] <= _T_234 @[el2_lib.scala 188:48] + _T_235[43] <= _T_234 @[el2_lib.scala 188:48] + _T_235[44] <= _T_234 @[el2_lib.scala 188:48] + _T_235[45] <= _T_234 @[el2_lib.scala 188:48] + _T_235[46] <= _T_234 @[el2_lib.scala 188:48] + _T_235[47] <= _T_234 @[el2_lib.scala 188:48] + _T_235[48] <= _T_234 @[el2_lib.scala 188:48] + _T_235[49] <= _T_234 @[el2_lib.scala 188:48] + _T_235[50] <= _T_234 @[el2_lib.scala 188:48] + _T_235[51] <= _T_234 @[el2_lib.scala 188:48] + _T_235[52] <= _T_234 @[el2_lib.scala 188:48] + _T_235[53] <= _T_234 @[el2_lib.scala 188:48] + _T_235[54] <= _T_234 @[el2_lib.scala 188:48] + _T_235[55] <= _T_234 @[el2_lib.scala 188:48] + _T_235[56] <= _T_234 @[el2_lib.scala 188:48] + _T_235[57] <= _T_234 @[el2_lib.scala 188:48] + _T_235[58] <= _T_234 @[el2_lib.scala 188:48] + _T_235[59] <= _T_234 @[el2_lib.scala 188:48] + _T_235[60] <= _T_234 @[el2_lib.scala 188:48] + _T_235[61] <= _T_234 @[el2_lib.scala 188:48] + _T_235[62] <= _T_234 @[el2_lib.scala 188:48] + _T_235[63] <= _T_234 @[el2_lib.scala 188:48] + _T_235[64] <= _T_234 @[el2_lib.scala 188:48] + _T_235[65] <= _T_234 @[el2_lib.scala 188:48] + _T_235[66] <= _T_234 @[el2_lib.scala 188:48] + _T_235[67] <= _T_234 @[el2_lib.scala 188:48] + _T_235[68] <= _T_234 @[el2_lib.scala 188:48] + _T_235[69] <= _T_234 @[el2_lib.scala 188:48] + _T_235[70] <= _T_234 @[el2_lib.scala 188:48] node _T_236 = cat(_T_235[0], _T_235[1]) @[Cat.scala 29:58] node _T_237 = cat(_T_236, _T_235[2]) @[Cat.scala 29:58] node _T_238 = cat(_T_237, _T_235[3]) @[Cat.scala 29:58] @@ -519,82 +519,82 @@ circuit EL2_IC_DATA : node _T_303 = cat(_T_302, _T_235[68]) @[Cat.scala 29:58] node _T_304 = cat(_T_303, _T_235[69]) @[Cat.scala 29:58] node _T_305 = cat(_T_304, _T_235[70]) @[Cat.scala 29:58] - node _T_306 = and(_T_305, wb_dout[0][1]) @[el2_ifu_ic_mem.scala 259:78] - node wb_dout_way_pre_lower_0 = or(_T_232, _T_306) @[el2_ifu_ic_mem.scala 259:102] - node _T_307 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 259:39] - node _T_308 = eq(_T_307, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 259:71] - wire _T_309 : UInt<1>[71] @[el2_lib.scala 187:48] - _T_309[0] <= _T_308 @[el2_lib.scala 187:48] - _T_309[1] <= _T_308 @[el2_lib.scala 187:48] - _T_309[2] <= _T_308 @[el2_lib.scala 187:48] - _T_309[3] <= _T_308 @[el2_lib.scala 187:48] - _T_309[4] <= _T_308 @[el2_lib.scala 187:48] - _T_309[5] <= _T_308 @[el2_lib.scala 187:48] - _T_309[6] <= _T_308 @[el2_lib.scala 187:48] - _T_309[7] <= _T_308 @[el2_lib.scala 187:48] - _T_309[8] <= _T_308 @[el2_lib.scala 187:48] - _T_309[9] <= _T_308 @[el2_lib.scala 187:48] - _T_309[10] <= _T_308 @[el2_lib.scala 187:48] - _T_309[11] <= _T_308 @[el2_lib.scala 187:48] - _T_309[12] <= _T_308 @[el2_lib.scala 187:48] - _T_309[13] <= _T_308 @[el2_lib.scala 187:48] - _T_309[14] <= _T_308 @[el2_lib.scala 187:48] - _T_309[15] <= _T_308 @[el2_lib.scala 187:48] - _T_309[16] <= _T_308 @[el2_lib.scala 187:48] - _T_309[17] <= _T_308 @[el2_lib.scala 187:48] - _T_309[18] <= _T_308 @[el2_lib.scala 187:48] - _T_309[19] <= _T_308 @[el2_lib.scala 187:48] - _T_309[20] <= _T_308 @[el2_lib.scala 187:48] - _T_309[21] <= _T_308 @[el2_lib.scala 187:48] - _T_309[22] <= _T_308 @[el2_lib.scala 187:48] - _T_309[23] <= _T_308 @[el2_lib.scala 187:48] - _T_309[24] <= _T_308 @[el2_lib.scala 187:48] - _T_309[25] <= _T_308 @[el2_lib.scala 187:48] - _T_309[26] <= _T_308 @[el2_lib.scala 187:48] - _T_309[27] <= _T_308 @[el2_lib.scala 187:48] - _T_309[28] <= _T_308 @[el2_lib.scala 187:48] - _T_309[29] <= _T_308 @[el2_lib.scala 187:48] - _T_309[30] <= _T_308 @[el2_lib.scala 187:48] - _T_309[31] <= _T_308 @[el2_lib.scala 187:48] - _T_309[32] <= _T_308 @[el2_lib.scala 187:48] - _T_309[33] <= _T_308 @[el2_lib.scala 187:48] - _T_309[34] <= _T_308 @[el2_lib.scala 187:48] - _T_309[35] <= _T_308 @[el2_lib.scala 187:48] - _T_309[36] <= _T_308 @[el2_lib.scala 187:48] - _T_309[37] <= _T_308 @[el2_lib.scala 187:48] - _T_309[38] <= _T_308 @[el2_lib.scala 187:48] - _T_309[39] <= _T_308 @[el2_lib.scala 187:48] - _T_309[40] <= _T_308 @[el2_lib.scala 187:48] - _T_309[41] <= _T_308 @[el2_lib.scala 187:48] - _T_309[42] <= _T_308 @[el2_lib.scala 187:48] - _T_309[43] <= _T_308 @[el2_lib.scala 187:48] - _T_309[44] <= _T_308 @[el2_lib.scala 187:48] - _T_309[45] <= _T_308 @[el2_lib.scala 187:48] - _T_309[46] <= _T_308 @[el2_lib.scala 187:48] - _T_309[47] <= _T_308 @[el2_lib.scala 187:48] - _T_309[48] <= _T_308 @[el2_lib.scala 187:48] - _T_309[49] <= _T_308 @[el2_lib.scala 187:48] - _T_309[50] <= _T_308 @[el2_lib.scala 187:48] - _T_309[51] <= _T_308 @[el2_lib.scala 187:48] - _T_309[52] <= _T_308 @[el2_lib.scala 187:48] - _T_309[53] <= _T_308 @[el2_lib.scala 187:48] - _T_309[54] <= _T_308 @[el2_lib.scala 187:48] - _T_309[55] <= _T_308 @[el2_lib.scala 187:48] - _T_309[56] <= _T_308 @[el2_lib.scala 187:48] - _T_309[57] <= _T_308 @[el2_lib.scala 187:48] - _T_309[58] <= _T_308 @[el2_lib.scala 187:48] - _T_309[59] <= _T_308 @[el2_lib.scala 187:48] - _T_309[60] <= _T_308 @[el2_lib.scala 187:48] - _T_309[61] <= _T_308 @[el2_lib.scala 187:48] - _T_309[62] <= _T_308 @[el2_lib.scala 187:48] - _T_309[63] <= _T_308 @[el2_lib.scala 187:48] - _T_309[64] <= _T_308 @[el2_lib.scala 187:48] - _T_309[65] <= _T_308 @[el2_lib.scala 187:48] - _T_309[66] <= _T_308 @[el2_lib.scala 187:48] - _T_309[67] <= _T_308 @[el2_lib.scala 187:48] - _T_309[68] <= _T_308 @[el2_lib.scala 187:48] - _T_309[69] <= _T_308 @[el2_lib.scala 187:48] - _T_309[70] <= _T_308 @[el2_lib.scala 187:48] + node _T_306 = and(_T_305, wb_dout[0][1]) @[el2_ifu_ic_mem.scala 244:78] + node wb_dout_way_pre_lower_0 = or(_T_232, _T_306) @[el2_ifu_ic_mem.scala 244:102] + node _T_307 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 244:39] + node _T_308 = eq(_T_307, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 244:71] + wire _T_309 : UInt<1>[71] @[el2_lib.scala 188:48] + _T_309[0] <= _T_308 @[el2_lib.scala 188:48] + _T_309[1] <= _T_308 @[el2_lib.scala 188:48] + _T_309[2] <= _T_308 @[el2_lib.scala 188:48] + _T_309[3] <= _T_308 @[el2_lib.scala 188:48] + _T_309[4] <= _T_308 @[el2_lib.scala 188:48] + _T_309[5] <= _T_308 @[el2_lib.scala 188:48] + _T_309[6] <= _T_308 @[el2_lib.scala 188:48] + _T_309[7] <= _T_308 @[el2_lib.scala 188:48] + _T_309[8] <= _T_308 @[el2_lib.scala 188:48] + _T_309[9] <= _T_308 @[el2_lib.scala 188:48] + _T_309[10] <= _T_308 @[el2_lib.scala 188:48] + _T_309[11] <= _T_308 @[el2_lib.scala 188:48] + _T_309[12] <= _T_308 @[el2_lib.scala 188:48] + _T_309[13] <= _T_308 @[el2_lib.scala 188:48] + _T_309[14] <= _T_308 @[el2_lib.scala 188:48] + _T_309[15] <= _T_308 @[el2_lib.scala 188:48] + _T_309[16] <= _T_308 @[el2_lib.scala 188:48] + _T_309[17] <= _T_308 @[el2_lib.scala 188:48] + _T_309[18] <= _T_308 @[el2_lib.scala 188:48] + _T_309[19] <= _T_308 @[el2_lib.scala 188:48] + _T_309[20] <= _T_308 @[el2_lib.scala 188:48] + _T_309[21] <= _T_308 @[el2_lib.scala 188:48] + _T_309[22] <= _T_308 @[el2_lib.scala 188:48] + _T_309[23] <= _T_308 @[el2_lib.scala 188:48] + _T_309[24] <= _T_308 @[el2_lib.scala 188:48] + _T_309[25] <= _T_308 @[el2_lib.scala 188:48] + _T_309[26] <= _T_308 @[el2_lib.scala 188:48] + _T_309[27] <= _T_308 @[el2_lib.scala 188:48] + _T_309[28] <= _T_308 @[el2_lib.scala 188:48] + _T_309[29] <= _T_308 @[el2_lib.scala 188:48] + _T_309[30] <= _T_308 @[el2_lib.scala 188:48] + _T_309[31] <= _T_308 @[el2_lib.scala 188:48] + _T_309[32] <= _T_308 @[el2_lib.scala 188:48] + _T_309[33] <= _T_308 @[el2_lib.scala 188:48] + _T_309[34] <= _T_308 @[el2_lib.scala 188:48] + _T_309[35] <= _T_308 @[el2_lib.scala 188:48] + _T_309[36] <= _T_308 @[el2_lib.scala 188:48] + _T_309[37] <= _T_308 @[el2_lib.scala 188:48] + _T_309[38] <= _T_308 @[el2_lib.scala 188:48] + _T_309[39] <= _T_308 @[el2_lib.scala 188:48] + _T_309[40] <= _T_308 @[el2_lib.scala 188:48] + _T_309[41] <= _T_308 @[el2_lib.scala 188:48] + _T_309[42] <= _T_308 @[el2_lib.scala 188:48] + _T_309[43] <= _T_308 @[el2_lib.scala 188:48] + _T_309[44] <= _T_308 @[el2_lib.scala 188:48] + _T_309[45] <= _T_308 @[el2_lib.scala 188:48] + _T_309[46] <= _T_308 @[el2_lib.scala 188:48] + _T_309[47] <= _T_308 @[el2_lib.scala 188:48] + _T_309[48] <= _T_308 @[el2_lib.scala 188:48] + _T_309[49] <= _T_308 @[el2_lib.scala 188:48] + _T_309[50] <= _T_308 @[el2_lib.scala 188:48] + _T_309[51] <= _T_308 @[el2_lib.scala 188:48] + _T_309[52] <= _T_308 @[el2_lib.scala 188:48] + _T_309[53] <= _T_308 @[el2_lib.scala 188:48] + _T_309[54] <= _T_308 @[el2_lib.scala 188:48] + _T_309[55] <= _T_308 @[el2_lib.scala 188:48] + _T_309[56] <= _T_308 @[el2_lib.scala 188:48] + _T_309[57] <= _T_308 @[el2_lib.scala 188:48] + _T_309[58] <= _T_308 @[el2_lib.scala 188:48] + _T_309[59] <= _T_308 @[el2_lib.scala 188:48] + _T_309[60] <= _T_308 @[el2_lib.scala 188:48] + _T_309[61] <= _T_308 @[el2_lib.scala 188:48] + _T_309[62] <= _T_308 @[el2_lib.scala 188:48] + _T_309[63] <= _T_308 @[el2_lib.scala 188:48] + _T_309[64] <= _T_308 @[el2_lib.scala 188:48] + _T_309[65] <= _T_308 @[el2_lib.scala 188:48] + _T_309[66] <= _T_308 @[el2_lib.scala 188:48] + _T_309[67] <= _T_308 @[el2_lib.scala 188:48] + _T_309[68] <= _T_308 @[el2_lib.scala 188:48] + _T_309[69] <= _T_308 @[el2_lib.scala 188:48] + _T_309[70] <= _T_308 @[el2_lib.scala 188:48] node _T_310 = cat(_T_309[0], _T_309[1]) @[Cat.scala 29:58] node _T_311 = cat(_T_310, _T_309[2]) @[Cat.scala 29:58] node _T_312 = cat(_T_311, _T_309[3]) @[Cat.scala 29:58] @@ -665,81 +665,81 @@ circuit EL2_IC_DATA : node _T_377 = cat(_T_376, _T_309[68]) @[Cat.scala 29:58] node _T_378 = cat(_T_377, _T_309[69]) @[Cat.scala 29:58] node _T_379 = cat(_T_378, _T_309[70]) @[Cat.scala 29:58] - node _T_380 = and(_T_379, wb_dout[1][0]) @[el2_ifu_ic_mem.scala 259:78] - node _T_381 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 259:39] - node _T_382 = eq(_T_381, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 259:71] - wire _T_383 : UInt<1>[71] @[el2_lib.scala 187:48] - _T_383[0] <= _T_382 @[el2_lib.scala 187:48] - _T_383[1] <= _T_382 @[el2_lib.scala 187:48] - _T_383[2] <= _T_382 @[el2_lib.scala 187:48] - _T_383[3] <= _T_382 @[el2_lib.scala 187:48] - _T_383[4] <= _T_382 @[el2_lib.scala 187:48] - _T_383[5] <= _T_382 @[el2_lib.scala 187:48] - _T_383[6] <= _T_382 @[el2_lib.scala 187:48] - _T_383[7] <= _T_382 @[el2_lib.scala 187:48] - _T_383[8] <= _T_382 @[el2_lib.scala 187:48] - _T_383[9] <= _T_382 @[el2_lib.scala 187:48] - _T_383[10] <= _T_382 @[el2_lib.scala 187:48] - _T_383[11] <= _T_382 @[el2_lib.scala 187:48] - _T_383[12] <= _T_382 @[el2_lib.scala 187:48] - _T_383[13] <= _T_382 @[el2_lib.scala 187:48] - _T_383[14] <= _T_382 @[el2_lib.scala 187:48] - _T_383[15] <= _T_382 @[el2_lib.scala 187:48] - _T_383[16] <= _T_382 @[el2_lib.scala 187:48] - _T_383[17] <= _T_382 @[el2_lib.scala 187:48] - _T_383[18] <= _T_382 @[el2_lib.scala 187:48] - _T_383[19] <= _T_382 @[el2_lib.scala 187:48] - _T_383[20] <= _T_382 @[el2_lib.scala 187:48] - _T_383[21] <= _T_382 @[el2_lib.scala 187:48] - _T_383[22] <= _T_382 @[el2_lib.scala 187:48] - _T_383[23] <= _T_382 @[el2_lib.scala 187:48] - _T_383[24] <= _T_382 @[el2_lib.scala 187:48] - _T_383[25] <= _T_382 @[el2_lib.scala 187:48] - _T_383[26] <= _T_382 @[el2_lib.scala 187:48] - _T_383[27] <= _T_382 @[el2_lib.scala 187:48] - _T_383[28] <= _T_382 @[el2_lib.scala 187:48] - _T_383[29] <= _T_382 @[el2_lib.scala 187:48] - _T_383[30] <= _T_382 @[el2_lib.scala 187:48] - _T_383[31] <= _T_382 @[el2_lib.scala 187:48] - _T_383[32] <= _T_382 @[el2_lib.scala 187:48] - _T_383[33] <= _T_382 @[el2_lib.scala 187:48] - _T_383[34] <= _T_382 @[el2_lib.scala 187:48] - _T_383[35] <= _T_382 @[el2_lib.scala 187:48] - _T_383[36] <= _T_382 @[el2_lib.scala 187:48] - _T_383[37] <= _T_382 @[el2_lib.scala 187:48] - _T_383[38] <= _T_382 @[el2_lib.scala 187:48] - _T_383[39] <= _T_382 @[el2_lib.scala 187:48] - _T_383[40] <= _T_382 @[el2_lib.scala 187:48] - _T_383[41] <= _T_382 @[el2_lib.scala 187:48] - _T_383[42] <= _T_382 @[el2_lib.scala 187:48] - _T_383[43] <= _T_382 @[el2_lib.scala 187:48] - _T_383[44] <= _T_382 @[el2_lib.scala 187:48] - _T_383[45] <= _T_382 @[el2_lib.scala 187:48] - _T_383[46] <= _T_382 @[el2_lib.scala 187:48] - _T_383[47] <= _T_382 @[el2_lib.scala 187:48] - _T_383[48] <= _T_382 @[el2_lib.scala 187:48] - _T_383[49] <= _T_382 @[el2_lib.scala 187:48] - _T_383[50] <= _T_382 @[el2_lib.scala 187:48] - _T_383[51] <= _T_382 @[el2_lib.scala 187:48] - _T_383[52] <= _T_382 @[el2_lib.scala 187:48] - _T_383[53] <= _T_382 @[el2_lib.scala 187:48] - _T_383[54] <= _T_382 @[el2_lib.scala 187:48] - _T_383[55] <= _T_382 @[el2_lib.scala 187:48] - _T_383[56] <= _T_382 @[el2_lib.scala 187:48] - _T_383[57] <= _T_382 @[el2_lib.scala 187:48] - _T_383[58] <= _T_382 @[el2_lib.scala 187:48] - _T_383[59] <= _T_382 @[el2_lib.scala 187:48] - _T_383[60] <= _T_382 @[el2_lib.scala 187:48] - _T_383[61] <= _T_382 @[el2_lib.scala 187:48] - _T_383[62] <= _T_382 @[el2_lib.scala 187:48] - _T_383[63] <= _T_382 @[el2_lib.scala 187:48] - _T_383[64] <= _T_382 @[el2_lib.scala 187:48] - _T_383[65] <= _T_382 @[el2_lib.scala 187:48] - _T_383[66] <= _T_382 @[el2_lib.scala 187:48] - _T_383[67] <= _T_382 @[el2_lib.scala 187:48] - _T_383[68] <= _T_382 @[el2_lib.scala 187:48] - _T_383[69] <= _T_382 @[el2_lib.scala 187:48] - _T_383[70] <= _T_382 @[el2_lib.scala 187:48] + node _T_380 = and(_T_379, wb_dout[1][0]) @[el2_ifu_ic_mem.scala 244:78] + node _T_381 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 244:39] + node _T_382 = eq(_T_381, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 244:71] + wire _T_383 : UInt<1>[71] @[el2_lib.scala 188:48] + _T_383[0] <= _T_382 @[el2_lib.scala 188:48] + _T_383[1] <= _T_382 @[el2_lib.scala 188:48] + _T_383[2] <= _T_382 @[el2_lib.scala 188:48] + _T_383[3] <= _T_382 @[el2_lib.scala 188:48] + _T_383[4] <= _T_382 @[el2_lib.scala 188:48] + _T_383[5] <= _T_382 @[el2_lib.scala 188:48] + _T_383[6] <= _T_382 @[el2_lib.scala 188:48] + _T_383[7] <= _T_382 @[el2_lib.scala 188:48] + _T_383[8] <= _T_382 @[el2_lib.scala 188:48] + _T_383[9] <= _T_382 @[el2_lib.scala 188:48] + _T_383[10] <= _T_382 @[el2_lib.scala 188:48] + _T_383[11] <= _T_382 @[el2_lib.scala 188:48] + _T_383[12] <= _T_382 @[el2_lib.scala 188:48] + _T_383[13] <= _T_382 @[el2_lib.scala 188:48] + _T_383[14] <= _T_382 @[el2_lib.scala 188:48] + _T_383[15] <= _T_382 @[el2_lib.scala 188:48] + _T_383[16] <= _T_382 @[el2_lib.scala 188:48] + _T_383[17] <= _T_382 @[el2_lib.scala 188:48] + _T_383[18] <= _T_382 @[el2_lib.scala 188:48] + _T_383[19] <= _T_382 @[el2_lib.scala 188:48] + _T_383[20] <= _T_382 @[el2_lib.scala 188:48] + _T_383[21] <= _T_382 @[el2_lib.scala 188:48] + _T_383[22] <= _T_382 @[el2_lib.scala 188:48] + _T_383[23] <= _T_382 @[el2_lib.scala 188:48] + _T_383[24] <= _T_382 @[el2_lib.scala 188:48] + _T_383[25] <= _T_382 @[el2_lib.scala 188:48] + _T_383[26] <= _T_382 @[el2_lib.scala 188:48] + _T_383[27] <= _T_382 @[el2_lib.scala 188:48] + _T_383[28] <= _T_382 @[el2_lib.scala 188:48] + _T_383[29] <= _T_382 @[el2_lib.scala 188:48] + _T_383[30] <= _T_382 @[el2_lib.scala 188:48] + _T_383[31] <= _T_382 @[el2_lib.scala 188:48] + _T_383[32] <= _T_382 @[el2_lib.scala 188:48] + _T_383[33] <= _T_382 @[el2_lib.scala 188:48] + _T_383[34] <= _T_382 @[el2_lib.scala 188:48] + _T_383[35] <= _T_382 @[el2_lib.scala 188:48] + _T_383[36] <= _T_382 @[el2_lib.scala 188:48] + _T_383[37] <= _T_382 @[el2_lib.scala 188:48] + _T_383[38] <= _T_382 @[el2_lib.scala 188:48] + _T_383[39] <= _T_382 @[el2_lib.scala 188:48] + _T_383[40] <= _T_382 @[el2_lib.scala 188:48] + _T_383[41] <= _T_382 @[el2_lib.scala 188:48] + _T_383[42] <= _T_382 @[el2_lib.scala 188:48] + _T_383[43] <= _T_382 @[el2_lib.scala 188:48] + _T_383[44] <= _T_382 @[el2_lib.scala 188:48] + _T_383[45] <= _T_382 @[el2_lib.scala 188:48] + _T_383[46] <= _T_382 @[el2_lib.scala 188:48] + _T_383[47] <= _T_382 @[el2_lib.scala 188:48] + _T_383[48] <= _T_382 @[el2_lib.scala 188:48] + _T_383[49] <= _T_382 @[el2_lib.scala 188:48] + _T_383[50] <= _T_382 @[el2_lib.scala 188:48] + _T_383[51] <= _T_382 @[el2_lib.scala 188:48] + _T_383[52] <= _T_382 @[el2_lib.scala 188:48] + _T_383[53] <= _T_382 @[el2_lib.scala 188:48] + _T_383[54] <= _T_382 @[el2_lib.scala 188:48] + _T_383[55] <= _T_382 @[el2_lib.scala 188:48] + _T_383[56] <= _T_382 @[el2_lib.scala 188:48] + _T_383[57] <= _T_382 @[el2_lib.scala 188:48] + _T_383[58] <= _T_382 @[el2_lib.scala 188:48] + _T_383[59] <= _T_382 @[el2_lib.scala 188:48] + _T_383[60] <= _T_382 @[el2_lib.scala 188:48] + _T_383[61] <= _T_382 @[el2_lib.scala 188:48] + _T_383[62] <= _T_382 @[el2_lib.scala 188:48] + _T_383[63] <= _T_382 @[el2_lib.scala 188:48] + _T_383[64] <= _T_382 @[el2_lib.scala 188:48] + _T_383[65] <= _T_382 @[el2_lib.scala 188:48] + _T_383[66] <= _T_382 @[el2_lib.scala 188:48] + _T_383[67] <= _T_382 @[el2_lib.scala 188:48] + _T_383[68] <= _T_382 @[el2_lib.scala 188:48] + _T_383[69] <= _T_382 @[el2_lib.scala 188:48] + _T_383[70] <= _T_382 @[el2_lib.scala 188:48] node _T_384 = cat(_T_383[0], _T_383[1]) @[Cat.scala 29:58] node _T_385 = cat(_T_384, _T_383[2]) @[Cat.scala 29:58] node _T_386 = cat(_T_385, _T_383[3]) @[Cat.scala 29:58] @@ -810,84 +810,84 @@ circuit EL2_IC_DATA : node _T_451 = cat(_T_450, _T_383[68]) @[Cat.scala 29:58] node _T_452 = cat(_T_451, _T_383[69]) @[Cat.scala 29:58] node _T_453 = cat(_T_452, _T_383[70]) @[Cat.scala 29:58] - node _T_454 = and(_T_453, wb_dout[1][1]) @[el2_ifu_ic_mem.scala 259:78] - node wb_dout_way_pre_lower_1 = or(_T_380, _T_454) @[el2_ifu_ic_mem.scala 259:102] - node _T_455 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 263:39] - node _T_456 = sub(UInt<1>("h00"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 263:77] - node _T_457 = tail(_T_456, 1) @[el2_ifu_ic_mem.scala 263:77] - node _T_458 = eq(_T_455, _T_457) @[el2_ifu_ic_mem.scala 263:71] - wire _T_459 : UInt<1>[71] @[el2_lib.scala 187:48] - _T_459[0] <= _T_458 @[el2_lib.scala 187:48] - _T_459[1] <= _T_458 @[el2_lib.scala 187:48] - _T_459[2] <= _T_458 @[el2_lib.scala 187:48] - _T_459[3] <= _T_458 @[el2_lib.scala 187:48] - _T_459[4] <= _T_458 @[el2_lib.scala 187:48] - _T_459[5] <= _T_458 @[el2_lib.scala 187:48] - _T_459[6] <= _T_458 @[el2_lib.scala 187:48] - _T_459[7] <= _T_458 @[el2_lib.scala 187:48] - _T_459[8] <= _T_458 @[el2_lib.scala 187:48] - _T_459[9] <= _T_458 @[el2_lib.scala 187:48] - _T_459[10] <= _T_458 @[el2_lib.scala 187:48] - _T_459[11] <= _T_458 @[el2_lib.scala 187:48] - _T_459[12] <= _T_458 @[el2_lib.scala 187:48] - _T_459[13] <= _T_458 @[el2_lib.scala 187:48] - _T_459[14] <= _T_458 @[el2_lib.scala 187:48] - _T_459[15] <= _T_458 @[el2_lib.scala 187:48] - _T_459[16] <= _T_458 @[el2_lib.scala 187:48] - _T_459[17] <= _T_458 @[el2_lib.scala 187:48] - _T_459[18] <= _T_458 @[el2_lib.scala 187:48] - _T_459[19] <= _T_458 @[el2_lib.scala 187:48] - _T_459[20] <= _T_458 @[el2_lib.scala 187:48] - _T_459[21] <= _T_458 @[el2_lib.scala 187:48] - _T_459[22] <= _T_458 @[el2_lib.scala 187:48] - _T_459[23] <= _T_458 @[el2_lib.scala 187:48] - _T_459[24] <= _T_458 @[el2_lib.scala 187:48] - _T_459[25] <= _T_458 @[el2_lib.scala 187:48] - _T_459[26] <= _T_458 @[el2_lib.scala 187:48] - _T_459[27] <= _T_458 @[el2_lib.scala 187:48] - _T_459[28] <= _T_458 @[el2_lib.scala 187:48] - _T_459[29] <= _T_458 @[el2_lib.scala 187:48] - _T_459[30] <= _T_458 @[el2_lib.scala 187:48] - _T_459[31] <= _T_458 @[el2_lib.scala 187:48] - _T_459[32] <= _T_458 @[el2_lib.scala 187:48] - _T_459[33] <= _T_458 @[el2_lib.scala 187:48] - _T_459[34] <= _T_458 @[el2_lib.scala 187:48] - _T_459[35] <= _T_458 @[el2_lib.scala 187:48] - _T_459[36] <= _T_458 @[el2_lib.scala 187:48] - _T_459[37] <= _T_458 @[el2_lib.scala 187:48] - _T_459[38] <= _T_458 @[el2_lib.scala 187:48] - _T_459[39] <= _T_458 @[el2_lib.scala 187:48] - _T_459[40] <= _T_458 @[el2_lib.scala 187:48] - _T_459[41] <= _T_458 @[el2_lib.scala 187:48] - _T_459[42] <= _T_458 @[el2_lib.scala 187:48] - _T_459[43] <= _T_458 @[el2_lib.scala 187:48] - _T_459[44] <= _T_458 @[el2_lib.scala 187:48] - _T_459[45] <= _T_458 @[el2_lib.scala 187:48] - _T_459[46] <= _T_458 @[el2_lib.scala 187:48] - _T_459[47] <= _T_458 @[el2_lib.scala 187:48] - _T_459[48] <= _T_458 @[el2_lib.scala 187:48] - _T_459[49] <= _T_458 @[el2_lib.scala 187:48] - _T_459[50] <= _T_458 @[el2_lib.scala 187:48] - _T_459[51] <= _T_458 @[el2_lib.scala 187:48] - _T_459[52] <= _T_458 @[el2_lib.scala 187:48] - _T_459[53] <= _T_458 @[el2_lib.scala 187:48] - _T_459[54] <= _T_458 @[el2_lib.scala 187:48] - _T_459[55] <= _T_458 @[el2_lib.scala 187:48] - _T_459[56] <= _T_458 @[el2_lib.scala 187:48] - _T_459[57] <= _T_458 @[el2_lib.scala 187:48] - _T_459[58] <= _T_458 @[el2_lib.scala 187:48] - _T_459[59] <= _T_458 @[el2_lib.scala 187:48] - _T_459[60] <= _T_458 @[el2_lib.scala 187:48] - _T_459[61] <= _T_458 @[el2_lib.scala 187:48] - _T_459[62] <= _T_458 @[el2_lib.scala 187:48] - _T_459[63] <= _T_458 @[el2_lib.scala 187:48] - _T_459[64] <= _T_458 @[el2_lib.scala 187:48] - _T_459[65] <= _T_458 @[el2_lib.scala 187:48] - _T_459[66] <= _T_458 @[el2_lib.scala 187:48] - _T_459[67] <= _T_458 @[el2_lib.scala 187:48] - _T_459[68] <= _T_458 @[el2_lib.scala 187:48] - _T_459[69] <= _T_458 @[el2_lib.scala 187:48] - _T_459[70] <= _T_458 @[el2_lib.scala 187:48] + node _T_454 = and(_T_453, wb_dout[1][1]) @[el2_ifu_ic_mem.scala 244:78] + node wb_dout_way_pre_lower_1 = or(_T_380, _T_454) @[el2_ifu_ic_mem.scala 244:102] + node _T_455 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 248:39] + node _T_456 = sub(UInt<1>("h00"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 248:77] + node _T_457 = tail(_T_456, 1) @[el2_ifu_ic_mem.scala 248:77] + node _T_458 = eq(_T_455, _T_457) @[el2_ifu_ic_mem.scala 248:71] + wire _T_459 : UInt<1>[71] @[el2_lib.scala 188:48] + _T_459[0] <= _T_458 @[el2_lib.scala 188:48] + _T_459[1] <= _T_458 @[el2_lib.scala 188:48] + _T_459[2] <= _T_458 @[el2_lib.scala 188:48] + _T_459[3] <= _T_458 @[el2_lib.scala 188:48] + _T_459[4] <= _T_458 @[el2_lib.scala 188:48] + _T_459[5] <= _T_458 @[el2_lib.scala 188:48] + _T_459[6] <= _T_458 @[el2_lib.scala 188:48] + _T_459[7] <= _T_458 @[el2_lib.scala 188:48] + _T_459[8] <= _T_458 @[el2_lib.scala 188:48] + _T_459[9] <= _T_458 @[el2_lib.scala 188:48] + _T_459[10] <= _T_458 @[el2_lib.scala 188:48] + _T_459[11] <= _T_458 @[el2_lib.scala 188:48] + _T_459[12] <= _T_458 @[el2_lib.scala 188:48] + _T_459[13] <= _T_458 @[el2_lib.scala 188:48] + _T_459[14] <= _T_458 @[el2_lib.scala 188:48] + _T_459[15] <= _T_458 @[el2_lib.scala 188:48] + _T_459[16] <= _T_458 @[el2_lib.scala 188:48] + _T_459[17] <= _T_458 @[el2_lib.scala 188:48] + _T_459[18] <= _T_458 @[el2_lib.scala 188:48] + _T_459[19] <= _T_458 @[el2_lib.scala 188:48] + _T_459[20] <= _T_458 @[el2_lib.scala 188:48] + _T_459[21] <= _T_458 @[el2_lib.scala 188:48] + _T_459[22] <= _T_458 @[el2_lib.scala 188:48] + _T_459[23] <= _T_458 @[el2_lib.scala 188:48] + _T_459[24] <= _T_458 @[el2_lib.scala 188:48] + _T_459[25] <= _T_458 @[el2_lib.scala 188:48] + _T_459[26] <= _T_458 @[el2_lib.scala 188:48] + _T_459[27] <= _T_458 @[el2_lib.scala 188:48] + _T_459[28] <= _T_458 @[el2_lib.scala 188:48] + _T_459[29] <= _T_458 @[el2_lib.scala 188:48] + _T_459[30] <= _T_458 @[el2_lib.scala 188:48] + _T_459[31] <= _T_458 @[el2_lib.scala 188:48] + _T_459[32] <= _T_458 @[el2_lib.scala 188:48] + _T_459[33] <= _T_458 @[el2_lib.scala 188:48] + _T_459[34] <= _T_458 @[el2_lib.scala 188:48] + _T_459[35] <= _T_458 @[el2_lib.scala 188:48] + _T_459[36] <= _T_458 @[el2_lib.scala 188:48] + _T_459[37] <= _T_458 @[el2_lib.scala 188:48] + _T_459[38] <= _T_458 @[el2_lib.scala 188:48] + _T_459[39] <= _T_458 @[el2_lib.scala 188:48] + _T_459[40] <= _T_458 @[el2_lib.scala 188:48] + _T_459[41] <= _T_458 @[el2_lib.scala 188:48] + _T_459[42] <= _T_458 @[el2_lib.scala 188:48] + _T_459[43] <= _T_458 @[el2_lib.scala 188:48] + _T_459[44] <= _T_458 @[el2_lib.scala 188:48] + _T_459[45] <= _T_458 @[el2_lib.scala 188:48] + _T_459[46] <= _T_458 @[el2_lib.scala 188:48] + _T_459[47] <= _T_458 @[el2_lib.scala 188:48] + _T_459[48] <= _T_458 @[el2_lib.scala 188:48] + _T_459[49] <= _T_458 @[el2_lib.scala 188:48] + _T_459[50] <= _T_458 @[el2_lib.scala 188:48] + _T_459[51] <= _T_458 @[el2_lib.scala 188:48] + _T_459[52] <= _T_458 @[el2_lib.scala 188:48] + _T_459[53] <= _T_458 @[el2_lib.scala 188:48] + _T_459[54] <= _T_458 @[el2_lib.scala 188:48] + _T_459[55] <= _T_458 @[el2_lib.scala 188:48] + _T_459[56] <= _T_458 @[el2_lib.scala 188:48] + _T_459[57] <= _T_458 @[el2_lib.scala 188:48] + _T_459[58] <= _T_458 @[el2_lib.scala 188:48] + _T_459[59] <= _T_458 @[el2_lib.scala 188:48] + _T_459[60] <= _T_458 @[el2_lib.scala 188:48] + _T_459[61] <= _T_458 @[el2_lib.scala 188:48] + _T_459[62] <= _T_458 @[el2_lib.scala 188:48] + _T_459[63] <= _T_458 @[el2_lib.scala 188:48] + _T_459[64] <= _T_458 @[el2_lib.scala 188:48] + _T_459[65] <= _T_458 @[el2_lib.scala 188:48] + _T_459[66] <= _T_458 @[el2_lib.scala 188:48] + _T_459[67] <= _T_458 @[el2_lib.scala 188:48] + _T_459[68] <= _T_458 @[el2_lib.scala 188:48] + _T_459[69] <= _T_458 @[el2_lib.scala 188:48] + _T_459[70] <= _T_458 @[el2_lib.scala 188:48] node _T_460 = cat(_T_459[0], _T_459[1]) @[Cat.scala 29:58] node _T_461 = cat(_T_460, _T_459[2]) @[Cat.scala 29:58] node _T_462 = cat(_T_461, _T_459[3]) @[Cat.scala 29:58] @@ -958,83 +958,83 @@ circuit EL2_IC_DATA : node _T_527 = cat(_T_526, _T_459[68]) @[Cat.scala 29:58] node _T_528 = cat(_T_527, _T_459[69]) @[Cat.scala 29:58] node _T_529 = cat(_T_528, _T_459[70]) @[Cat.scala 29:58] - node _T_530 = and(_T_529, wb_dout[0][0]) @[el2_ifu_ic_mem.scala 263:82] - node _T_531 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 263:39] - node _T_532 = sub(UInt<1>("h01"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 263:77] - node _T_533 = tail(_T_532, 1) @[el2_ifu_ic_mem.scala 263:77] - node _T_534 = eq(_T_531, _T_533) @[el2_ifu_ic_mem.scala 263:71] - wire _T_535 : UInt<1>[71] @[el2_lib.scala 187:48] - _T_535[0] <= _T_534 @[el2_lib.scala 187:48] - _T_535[1] <= _T_534 @[el2_lib.scala 187:48] - _T_535[2] <= _T_534 @[el2_lib.scala 187:48] - _T_535[3] <= _T_534 @[el2_lib.scala 187:48] - _T_535[4] <= _T_534 @[el2_lib.scala 187:48] - _T_535[5] <= _T_534 @[el2_lib.scala 187:48] - _T_535[6] <= _T_534 @[el2_lib.scala 187:48] - _T_535[7] <= _T_534 @[el2_lib.scala 187:48] - _T_535[8] <= _T_534 @[el2_lib.scala 187:48] - _T_535[9] <= _T_534 @[el2_lib.scala 187:48] - _T_535[10] <= _T_534 @[el2_lib.scala 187:48] - _T_535[11] <= _T_534 @[el2_lib.scala 187:48] - _T_535[12] <= _T_534 @[el2_lib.scala 187:48] - _T_535[13] <= _T_534 @[el2_lib.scala 187:48] - _T_535[14] <= _T_534 @[el2_lib.scala 187:48] - _T_535[15] <= _T_534 @[el2_lib.scala 187:48] - _T_535[16] <= _T_534 @[el2_lib.scala 187:48] - _T_535[17] <= _T_534 @[el2_lib.scala 187:48] - _T_535[18] <= _T_534 @[el2_lib.scala 187:48] - _T_535[19] <= _T_534 @[el2_lib.scala 187:48] - _T_535[20] <= _T_534 @[el2_lib.scala 187:48] - _T_535[21] <= _T_534 @[el2_lib.scala 187:48] - _T_535[22] <= _T_534 @[el2_lib.scala 187:48] - _T_535[23] <= _T_534 @[el2_lib.scala 187:48] - _T_535[24] <= _T_534 @[el2_lib.scala 187:48] - _T_535[25] <= _T_534 @[el2_lib.scala 187:48] - _T_535[26] <= _T_534 @[el2_lib.scala 187:48] - _T_535[27] <= _T_534 @[el2_lib.scala 187:48] - _T_535[28] <= _T_534 @[el2_lib.scala 187:48] - _T_535[29] <= _T_534 @[el2_lib.scala 187:48] - _T_535[30] <= _T_534 @[el2_lib.scala 187:48] - _T_535[31] <= _T_534 @[el2_lib.scala 187:48] - _T_535[32] <= _T_534 @[el2_lib.scala 187:48] - _T_535[33] <= _T_534 @[el2_lib.scala 187:48] - _T_535[34] <= _T_534 @[el2_lib.scala 187:48] - _T_535[35] <= _T_534 @[el2_lib.scala 187:48] - _T_535[36] <= _T_534 @[el2_lib.scala 187:48] - _T_535[37] <= _T_534 @[el2_lib.scala 187:48] - _T_535[38] <= _T_534 @[el2_lib.scala 187:48] - _T_535[39] <= _T_534 @[el2_lib.scala 187:48] - _T_535[40] <= _T_534 @[el2_lib.scala 187:48] - _T_535[41] <= _T_534 @[el2_lib.scala 187:48] - _T_535[42] <= _T_534 @[el2_lib.scala 187:48] - _T_535[43] <= _T_534 @[el2_lib.scala 187:48] - _T_535[44] <= _T_534 @[el2_lib.scala 187:48] - _T_535[45] <= _T_534 @[el2_lib.scala 187:48] - _T_535[46] <= _T_534 @[el2_lib.scala 187:48] - _T_535[47] <= _T_534 @[el2_lib.scala 187:48] - _T_535[48] <= _T_534 @[el2_lib.scala 187:48] - _T_535[49] <= _T_534 @[el2_lib.scala 187:48] - _T_535[50] <= _T_534 @[el2_lib.scala 187:48] - _T_535[51] <= _T_534 @[el2_lib.scala 187:48] - _T_535[52] <= _T_534 @[el2_lib.scala 187:48] - _T_535[53] <= _T_534 @[el2_lib.scala 187:48] - _T_535[54] <= _T_534 @[el2_lib.scala 187:48] - _T_535[55] <= _T_534 @[el2_lib.scala 187:48] - _T_535[56] <= _T_534 @[el2_lib.scala 187:48] - _T_535[57] <= _T_534 @[el2_lib.scala 187:48] - _T_535[58] <= _T_534 @[el2_lib.scala 187:48] - _T_535[59] <= _T_534 @[el2_lib.scala 187:48] - _T_535[60] <= _T_534 @[el2_lib.scala 187:48] - _T_535[61] <= _T_534 @[el2_lib.scala 187:48] - _T_535[62] <= _T_534 @[el2_lib.scala 187:48] - _T_535[63] <= _T_534 @[el2_lib.scala 187:48] - _T_535[64] <= _T_534 @[el2_lib.scala 187:48] - _T_535[65] <= _T_534 @[el2_lib.scala 187:48] - _T_535[66] <= _T_534 @[el2_lib.scala 187:48] - _T_535[67] <= _T_534 @[el2_lib.scala 187:48] - _T_535[68] <= _T_534 @[el2_lib.scala 187:48] - _T_535[69] <= _T_534 @[el2_lib.scala 187:48] - _T_535[70] <= _T_534 @[el2_lib.scala 187:48] + node _T_530 = and(_T_529, wb_dout[0][0]) @[el2_ifu_ic_mem.scala 248:82] + node _T_531 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 248:39] + node _T_532 = sub(UInt<1>("h01"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 248:77] + node _T_533 = tail(_T_532, 1) @[el2_ifu_ic_mem.scala 248:77] + node _T_534 = eq(_T_531, _T_533) @[el2_ifu_ic_mem.scala 248:71] + wire _T_535 : UInt<1>[71] @[el2_lib.scala 188:48] + _T_535[0] <= _T_534 @[el2_lib.scala 188:48] + _T_535[1] <= _T_534 @[el2_lib.scala 188:48] + _T_535[2] <= _T_534 @[el2_lib.scala 188:48] + _T_535[3] <= _T_534 @[el2_lib.scala 188:48] + _T_535[4] <= _T_534 @[el2_lib.scala 188:48] + _T_535[5] <= _T_534 @[el2_lib.scala 188:48] + _T_535[6] <= _T_534 @[el2_lib.scala 188:48] + _T_535[7] <= _T_534 @[el2_lib.scala 188:48] + _T_535[8] <= _T_534 @[el2_lib.scala 188:48] + _T_535[9] <= _T_534 @[el2_lib.scala 188:48] + _T_535[10] <= _T_534 @[el2_lib.scala 188:48] + _T_535[11] <= _T_534 @[el2_lib.scala 188:48] + _T_535[12] <= _T_534 @[el2_lib.scala 188:48] + _T_535[13] <= _T_534 @[el2_lib.scala 188:48] + _T_535[14] <= _T_534 @[el2_lib.scala 188:48] + _T_535[15] <= _T_534 @[el2_lib.scala 188:48] + _T_535[16] <= _T_534 @[el2_lib.scala 188:48] + _T_535[17] <= _T_534 @[el2_lib.scala 188:48] + _T_535[18] <= _T_534 @[el2_lib.scala 188:48] + _T_535[19] <= _T_534 @[el2_lib.scala 188:48] + _T_535[20] <= _T_534 @[el2_lib.scala 188:48] + _T_535[21] <= _T_534 @[el2_lib.scala 188:48] + _T_535[22] <= _T_534 @[el2_lib.scala 188:48] + _T_535[23] <= _T_534 @[el2_lib.scala 188:48] + _T_535[24] <= _T_534 @[el2_lib.scala 188:48] + _T_535[25] <= _T_534 @[el2_lib.scala 188:48] + _T_535[26] <= _T_534 @[el2_lib.scala 188:48] + _T_535[27] <= _T_534 @[el2_lib.scala 188:48] + _T_535[28] <= _T_534 @[el2_lib.scala 188:48] + _T_535[29] <= _T_534 @[el2_lib.scala 188:48] + _T_535[30] <= _T_534 @[el2_lib.scala 188:48] + _T_535[31] <= _T_534 @[el2_lib.scala 188:48] + _T_535[32] <= _T_534 @[el2_lib.scala 188:48] + _T_535[33] <= _T_534 @[el2_lib.scala 188:48] + _T_535[34] <= _T_534 @[el2_lib.scala 188:48] + _T_535[35] <= _T_534 @[el2_lib.scala 188:48] + _T_535[36] <= _T_534 @[el2_lib.scala 188:48] + _T_535[37] <= _T_534 @[el2_lib.scala 188:48] + _T_535[38] <= _T_534 @[el2_lib.scala 188:48] + _T_535[39] <= _T_534 @[el2_lib.scala 188:48] + _T_535[40] <= _T_534 @[el2_lib.scala 188:48] + _T_535[41] <= _T_534 @[el2_lib.scala 188:48] + _T_535[42] <= _T_534 @[el2_lib.scala 188:48] + _T_535[43] <= _T_534 @[el2_lib.scala 188:48] + _T_535[44] <= _T_534 @[el2_lib.scala 188:48] + _T_535[45] <= _T_534 @[el2_lib.scala 188:48] + _T_535[46] <= _T_534 @[el2_lib.scala 188:48] + _T_535[47] <= _T_534 @[el2_lib.scala 188:48] + _T_535[48] <= _T_534 @[el2_lib.scala 188:48] + _T_535[49] <= _T_534 @[el2_lib.scala 188:48] + _T_535[50] <= _T_534 @[el2_lib.scala 188:48] + _T_535[51] <= _T_534 @[el2_lib.scala 188:48] + _T_535[52] <= _T_534 @[el2_lib.scala 188:48] + _T_535[53] <= _T_534 @[el2_lib.scala 188:48] + _T_535[54] <= _T_534 @[el2_lib.scala 188:48] + _T_535[55] <= _T_534 @[el2_lib.scala 188:48] + _T_535[56] <= _T_534 @[el2_lib.scala 188:48] + _T_535[57] <= _T_534 @[el2_lib.scala 188:48] + _T_535[58] <= _T_534 @[el2_lib.scala 188:48] + _T_535[59] <= _T_534 @[el2_lib.scala 188:48] + _T_535[60] <= _T_534 @[el2_lib.scala 188:48] + _T_535[61] <= _T_534 @[el2_lib.scala 188:48] + _T_535[62] <= _T_534 @[el2_lib.scala 188:48] + _T_535[63] <= _T_534 @[el2_lib.scala 188:48] + _T_535[64] <= _T_534 @[el2_lib.scala 188:48] + _T_535[65] <= _T_534 @[el2_lib.scala 188:48] + _T_535[66] <= _T_534 @[el2_lib.scala 188:48] + _T_535[67] <= _T_534 @[el2_lib.scala 188:48] + _T_535[68] <= _T_534 @[el2_lib.scala 188:48] + _T_535[69] <= _T_534 @[el2_lib.scala 188:48] + _T_535[70] <= _T_534 @[el2_lib.scala 188:48] node _T_536 = cat(_T_535[0], _T_535[1]) @[Cat.scala 29:58] node _T_537 = cat(_T_536, _T_535[2]) @[Cat.scala 29:58] node _T_538 = cat(_T_537, _T_535[3]) @[Cat.scala 29:58] @@ -1105,84 +1105,84 @@ circuit EL2_IC_DATA : node _T_603 = cat(_T_602, _T_535[68]) @[Cat.scala 29:58] node _T_604 = cat(_T_603, _T_535[69]) @[Cat.scala 29:58] node _T_605 = cat(_T_604, _T_535[70]) @[Cat.scala 29:58] - node _T_606 = and(_T_605, wb_dout[0][1]) @[el2_ifu_ic_mem.scala 263:82] - node wb_dout_way_pre_upper_0 = or(_T_530, _T_606) @[el2_ifu_ic_mem.scala 263:106] - node _T_607 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 263:39] - node _T_608 = sub(UInt<1>("h00"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 263:77] - node _T_609 = tail(_T_608, 1) @[el2_ifu_ic_mem.scala 263:77] - node _T_610 = eq(_T_607, _T_609) @[el2_ifu_ic_mem.scala 263:71] - wire _T_611 : UInt<1>[71] @[el2_lib.scala 187:48] - _T_611[0] <= _T_610 @[el2_lib.scala 187:48] - _T_611[1] <= _T_610 @[el2_lib.scala 187:48] - _T_611[2] <= _T_610 @[el2_lib.scala 187:48] - _T_611[3] <= _T_610 @[el2_lib.scala 187:48] - _T_611[4] <= _T_610 @[el2_lib.scala 187:48] - _T_611[5] <= _T_610 @[el2_lib.scala 187:48] - _T_611[6] <= _T_610 @[el2_lib.scala 187:48] - _T_611[7] <= _T_610 @[el2_lib.scala 187:48] - _T_611[8] <= _T_610 @[el2_lib.scala 187:48] - _T_611[9] <= _T_610 @[el2_lib.scala 187:48] - _T_611[10] <= _T_610 @[el2_lib.scala 187:48] - _T_611[11] <= _T_610 @[el2_lib.scala 187:48] - _T_611[12] <= _T_610 @[el2_lib.scala 187:48] - _T_611[13] <= _T_610 @[el2_lib.scala 187:48] - _T_611[14] <= _T_610 @[el2_lib.scala 187:48] - _T_611[15] <= _T_610 @[el2_lib.scala 187:48] - _T_611[16] <= _T_610 @[el2_lib.scala 187:48] - _T_611[17] <= _T_610 @[el2_lib.scala 187:48] - _T_611[18] <= _T_610 @[el2_lib.scala 187:48] - _T_611[19] <= _T_610 @[el2_lib.scala 187:48] - _T_611[20] <= _T_610 @[el2_lib.scala 187:48] - _T_611[21] <= _T_610 @[el2_lib.scala 187:48] - _T_611[22] <= _T_610 @[el2_lib.scala 187:48] - _T_611[23] <= _T_610 @[el2_lib.scala 187:48] - _T_611[24] <= _T_610 @[el2_lib.scala 187:48] - _T_611[25] <= _T_610 @[el2_lib.scala 187:48] - _T_611[26] <= _T_610 @[el2_lib.scala 187:48] - _T_611[27] <= _T_610 @[el2_lib.scala 187:48] - _T_611[28] <= _T_610 @[el2_lib.scala 187:48] - _T_611[29] <= _T_610 @[el2_lib.scala 187:48] - _T_611[30] <= _T_610 @[el2_lib.scala 187:48] - _T_611[31] <= _T_610 @[el2_lib.scala 187:48] - _T_611[32] <= _T_610 @[el2_lib.scala 187:48] - _T_611[33] <= _T_610 @[el2_lib.scala 187:48] - _T_611[34] <= _T_610 @[el2_lib.scala 187:48] - _T_611[35] <= _T_610 @[el2_lib.scala 187:48] - _T_611[36] <= _T_610 @[el2_lib.scala 187:48] - _T_611[37] <= _T_610 @[el2_lib.scala 187:48] - _T_611[38] <= _T_610 @[el2_lib.scala 187:48] - _T_611[39] <= _T_610 @[el2_lib.scala 187:48] - _T_611[40] <= _T_610 @[el2_lib.scala 187:48] - _T_611[41] <= _T_610 @[el2_lib.scala 187:48] - _T_611[42] <= _T_610 @[el2_lib.scala 187:48] - _T_611[43] <= _T_610 @[el2_lib.scala 187:48] - _T_611[44] <= _T_610 @[el2_lib.scala 187:48] - _T_611[45] <= _T_610 @[el2_lib.scala 187:48] - _T_611[46] <= _T_610 @[el2_lib.scala 187:48] - _T_611[47] <= _T_610 @[el2_lib.scala 187:48] - _T_611[48] <= _T_610 @[el2_lib.scala 187:48] - _T_611[49] <= _T_610 @[el2_lib.scala 187:48] - _T_611[50] <= _T_610 @[el2_lib.scala 187:48] - _T_611[51] <= _T_610 @[el2_lib.scala 187:48] - _T_611[52] <= _T_610 @[el2_lib.scala 187:48] - _T_611[53] <= _T_610 @[el2_lib.scala 187:48] - _T_611[54] <= _T_610 @[el2_lib.scala 187:48] - _T_611[55] <= _T_610 @[el2_lib.scala 187:48] - _T_611[56] <= _T_610 @[el2_lib.scala 187:48] - _T_611[57] <= _T_610 @[el2_lib.scala 187:48] - _T_611[58] <= _T_610 @[el2_lib.scala 187:48] - _T_611[59] <= _T_610 @[el2_lib.scala 187:48] - _T_611[60] <= _T_610 @[el2_lib.scala 187:48] - _T_611[61] <= _T_610 @[el2_lib.scala 187:48] - _T_611[62] <= _T_610 @[el2_lib.scala 187:48] - _T_611[63] <= _T_610 @[el2_lib.scala 187:48] - _T_611[64] <= _T_610 @[el2_lib.scala 187:48] - _T_611[65] <= _T_610 @[el2_lib.scala 187:48] - _T_611[66] <= _T_610 @[el2_lib.scala 187:48] - _T_611[67] <= _T_610 @[el2_lib.scala 187:48] - _T_611[68] <= _T_610 @[el2_lib.scala 187:48] - _T_611[69] <= _T_610 @[el2_lib.scala 187:48] - _T_611[70] <= _T_610 @[el2_lib.scala 187:48] + node _T_606 = and(_T_605, wb_dout[0][1]) @[el2_ifu_ic_mem.scala 248:82] + node wb_dout_way_pre_upper_0 = or(_T_530, _T_606) @[el2_ifu_ic_mem.scala 248:106] + node _T_607 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 248:39] + node _T_608 = sub(UInt<1>("h00"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 248:77] + node _T_609 = tail(_T_608, 1) @[el2_ifu_ic_mem.scala 248:77] + node _T_610 = eq(_T_607, _T_609) @[el2_ifu_ic_mem.scala 248:71] + wire _T_611 : UInt<1>[71] @[el2_lib.scala 188:48] + _T_611[0] <= _T_610 @[el2_lib.scala 188:48] + _T_611[1] <= _T_610 @[el2_lib.scala 188:48] + _T_611[2] <= _T_610 @[el2_lib.scala 188:48] + _T_611[3] <= _T_610 @[el2_lib.scala 188:48] + _T_611[4] <= _T_610 @[el2_lib.scala 188:48] + _T_611[5] <= _T_610 @[el2_lib.scala 188:48] + _T_611[6] <= _T_610 @[el2_lib.scala 188:48] + _T_611[7] <= _T_610 @[el2_lib.scala 188:48] + _T_611[8] <= _T_610 @[el2_lib.scala 188:48] + _T_611[9] <= _T_610 @[el2_lib.scala 188:48] + _T_611[10] <= _T_610 @[el2_lib.scala 188:48] + _T_611[11] <= _T_610 @[el2_lib.scala 188:48] + _T_611[12] <= _T_610 @[el2_lib.scala 188:48] + _T_611[13] <= _T_610 @[el2_lib.scala 188:48] + _T_611[14] <= _T_610 @[el2_lib.scala 188:48] + _T_611[15] <= _T_610 @[el2_lib.scala 188:48] + _T_611[16] <= _T_610 @[el2_lib.scala 188:48] + _T_611[17] <= _T_610 @[el2_lib.scala 188:48] + _T_611[18] <= _T_610 @[el2_lib.scala 188:48] + _T_611[19] <= _T_610 @[el2_lib.scala 188:48] + _T_611[20] <= _T_610 @[el2_lib.scala 188:48] + _T_611[21] <= _T_610 @[el2_lib.scala 188:48] + _T_611[22] <= _T_610 @[el2_lib.scala 188:48] + _T_611[23] <= _T_610 @[el2_lib.scala 188:48] + _T_611[24] <= _T_610 @[el2_lib.scala 188:48] + _T_611[25] <= _T_610 @[el2_lib.scala 188:48] + _T_611[26] <= _T_610 @[el2_lib.scala 188:48] + _T_611[27] <= _T_610 @[el2_lib.scala 188:48] + _T_611[28] <= _T_610 @[el2_lib.scala 188:48] + _T_611[29] <= _T_610 @[el2_lib.scala 188:48] + _T_611[30] <= _T_610 @[el2_lib.scala 188:48] + _T_611[31] <= _T_610 @[el2_lib.scala 188:48] + _T_611[32] <= _T_610 @[el2_lib.scala 188:48] + _T_611[33] <= _T_610 @[el2_lib.scala 188:48] + _T_611[34] <= _T_610 @[el2_lib.scala 188:48] + _T_611[35] <= _T_610 @[el2_lib.scala 188:48] + _T_611[36] <= _T_610 @[el2_lib.scala 188:48] + _T_611[37] <= _T_610 @[el2_lib.scala 188:48] + _T_611[38] <= _T_610 @[el2_lib.scala 188:48] + _T_611[39] <= _T_610 @[el2_lib.scala 188:48] + _T_611[40] <= _T_610 @[el2_lib.scala 188:48] + _T_611[41] <= _T_610 @[el2_lib.scala 188:48] + _T_611[42] <= _T_610 @[el2_lib.scala 188:48] + _T_611[43] <= _T_610 @[el2_lib.scala 188:48] + _T_611[44] <= _T_610 @[el2_lib.scala 188:48] + _T_611[45] <= _T_610 @[el2_lib.scala 188:48] + _T_611[46] <= _T_610 @[el2_lib.scala 188:48] + _T_611[47] <= _T_610 @[el2_lib.scala 188:48] + _T_611[48] <= _T_610 @[el2_lib.scala 188:48] + _T_611[49] <= _T_610 @[el2_lib.scala 188:48] + _T_611[50] <= _T_610 @[el2_lib.scala 188:48] + _T_611[51] <= _T_610 @[el2_lib.scala 188:48] + _T_611[52] <= _T_610 @[el2_lib.scala 188:48] + _T_611[53] <= _T_610 @[el2_lib.scala 188:48] + _T_611[54] <= _T_610 @[el2_lib.scala 188:48] + _T_611[55] <= _T_610 @[el2_lib.scala 188:48] + _T_611[56] <= _T_610 @[el2_lib.scala 188:48] + _T_611[57] <= _T_610 @[el2_lib.scala 188:48] + _T_611[58] <= _T_610 @[el2_lib.scala 188:48] + _T_611[59] <= _T_610 @[el2_lib.scala 188:48] + _T_611[60] <= _T_610 @[el2_lib.scala 188:48] + _T_611[61] <= _T_610 @[el2_lib.scala 188:48] + _T_611[62] <= _T_610 @[el2_lib.scala 188:48] + _T_611[63] <= _T_610 @[el2_lib.scala 188:48] + _T_611[64] <= _T_610 @[el2_lib.scala 188:48] + _T_611[65] <= _T_610 @[el2_lib.scala 188:48] + _T_611[66] <= _T_610 @[el2_lib.scala 188:48] + _T_611[67] <= _T_610 @[el2_lib.scala 188:48] + _T_611[68] <= _T_610 @[el2_lib.scala 188:48] + _T_611[69] <= _T_610 @[el2_lib.scala 188:48] + _T_611[70] <= _T_610 @[el2_lib.scala 188:48] node _T_612 = cat(_T_611[0], _T_611[1]) @[Cat.scala 29:58] node _T_613 = cat(_T_612, _T_611[2]) @[Cat.scala 29:58] node _T_614 = cat(_T_613, _T_611[3]) @[Cat.scala 29:58] @@ -1253,83 +1253,83 @@ circuit EL2_IC_DATA : node _T_679 = cat(_T_678, _T_611[68]) @[Cat.scala 29:58] node _T_680 = cat(_T_679, _T_611[69]) @[Cat.scala 29:58] node _T_681 = cat(_T_680, _T_611[70]) @[Cat.scala 29:58] - node _T_682 = and(_T_681, wb_dout[1][0]) @[el2_ifu_ic_mem.scala 263:82] - node _T_683 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 263:39] - node _T_684 = sub(UInt<1>("h01"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 263:77] - node _T_685 = tail(_T_684, 1) @[el2_ifu_ic_mem.scala 263:77] - node _T_686 = eq(_T_683, _T_685) @[el2_ifu_ic_mem.scala 263:71] - wire _T_687 : UInt<1>[71] @[el2_lib.scala 187:48] - _T_687[0] <= _T_686 @[el2_lib.scala 187:48] - _T_687[1] <= _T_686 @[el2_lib.scala 187:48] - _T_687[2] <= _T_686 @[el2_lib.scala 187:48] - _T_687[3] <= _T_686 @[el2_lib.scala 187:48] - _T_687[4] <= _T_686 @[el2_lib.scala 187:48] - _T_687[5] <= _T_686 @[el2_lib.scala 187:48] - _T_687[6] <= _T_686 @[el2_lib.scala 187:48] - _T_687[7] <= _T_686 @[el2_lib.scala 187:48] - _T_687[8] <= _T_686 @[el2_lib.scala 187:48] - _T_687[9] <= _T_686 @[el2_lib.scala 187:48] - _T_687[10] <= _T_686 @[el2_lib.scala 187:48] - _T_687[11] <= _T_686 @[el2_lib.scala 187:48] - _T_687[12] <= _T_686 @[el2_lib.scala 187:48] - _T_687[13] <= _T_686 @[el2_lib.scala 187:48] - _T_687[14] <= _T_686 @[el2_lib.scala 187:48] - _T_687[15] <= _T_686 @[el2_lib.scala 187:48] - _T_687[16] <= _T_686 @[el2_lib.scala 187:48] - _T_687[17] <= _T_686 @[el2_lib.scala 187:48] - _T_687[18] <= _T_686 @[el2_lib.scala 187:48] - _T_687[19] <= _T_686 @[el2_lib.scala 187:48] - _T_687[20] <= _T_686 @[el2_lib.scala 187:48] - _T_687[21] <= _T_686 @[el2_lib.scala 187:48] - _T_687[22] <= _T_686 @[el2_lib.scala 187:48] - _T_687[23] <= _T_686 @[el2_lib.scala 187:48] - _T_687[24] <= _T_686 @[el2_lib.scala 187:48] - _T_687[25] <= _T_686 @[el2_lib.scala 187:48] - _T_687[26] <= _T_686 @[el2_lib.scala 187:48] - _T_687[27] <= _T_686 @[el2_lib.scala 187:48] - _T_687[28] <= _T_686 @[el2_lib.scala 187:48] - _T_687[29] <= _T_686 @[el2_lib.scala 187:48] - _T_687[30] <= _T_686 @[el2_lib.scala 187:48] - _T_687[31] <= _T_686 @[el2_lib.scala 187:48] - _T_687[32] <= _T_686 @[el2_lib.scala 187:48] - _T_687[33] <= _T_686 @[el2_lib.scala 187:48] - _T_687[34] <= _T_686 @[el2_lib.scala 187:48] - _T_687[35] <= _T_686 @[el2_lib.scala 187:48] - _T_687[36] <= _T_686 @[el2_lib.scala 187:48] - _T_687[37] <= _T_686 @[el2_lib.scala 187:48] - _T_687[38] <= _T_686 @[el2_lib.scala 187:48] - _T_687[39] <= _T_686 @[el2_lib.scala 187:48] - _T_687[40] <= _T_686 @[el2_lib.scala 187:48] - _T_687[41] <= _T_686 @[el2_lib.scala 187:48] - _T_687[42] <= _T_686 @[el2_lib.scala 187:48] - _T_687[43] <= _T_686 @[el2_lib.scala 187:48] - _T_687[44] <= _T_686 @[el2_lib.scala 187:48] - _T_687[45] <= _T_686 @[el2_lib.scala 187:48] - _T_687[46] <= _T_686 @[el2_lib.scala 187:48] - _T_687[47] <= _T_686 @[el2_lib.scala 187:48] - _T_687[48] <= _T_686 @[el2_lib.scala 187:48] - _T_687[49] <= _T_686 @[el2_lib.scala 187:48] - _T_687[50] <= _T_686 @[el2_lib.scala 187:48] - _T_687[51] <= _T_686 @[el2_lib.scala 187:48] - _T_687[52] <= _T_686 @[el2_lib.scala 187:48] - _T_687[53] <= _T_686 @[el2_lib.scala 187:48] - _T_687[54] <= _T_686 @[el2_lib.scala 187:48] - _T_687[55] <= _T_686 @[el2_lib.scala 187:48] - _T_687[56] <= _T_686 @[el2_lib.scala 187:48] - _T_687[57] <= _T_686 @[el2_lib.scala 187:48] - _T_687[58] <= _T_686 @[el2_lib.scala 187:48] - _T_687[59] <= _T_686 @[el2_lib.scala 187:48] - _T_687[60] <= _T_686 @[el2_lib.scala 187:48] - _T_687[61] <= _T_686 @[el2_lib.scala 187:48] - _T_687[62] <= _T_686 @[el2_lib.scala 187:48] - _T_687[63] <= _T_686 @[el2_lib.scala 187:48] - _T_687[64] <= _T_686 @[el2_lib.scala 187:48] - _T_687[65] <= _T_686 @[el2_lib.scala 187:48] - _T_687[66] <= _T_686 @[el2_lib.scala 187:48] - _T_687[67] <= _T_686 @[el2_lib.scala 187:48] - _T_687[68] <= _T_686 @[el2_lib.scala 187:48] - _T_687[69] <= _T_686 @[el2_lib.scala 187:48] - _T_687[70] <= _T_686 @[el2_lib.scala 187:48] + node _T_682 = and(_T_681, wb_dout[1][0]) @[el2_ifu_ic_mem.scala 248:82] + node _T_683 = bits(ic_rw_addr_ff, 3, 3) @[el2_ifu_ic_mem.scala 248:39] + node _T_684 = sub(UInt<1>("h01"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 248:77] + node _T_685 = tail(_T_684, 1) @[el2_ifu_ic_mem.scala 248:77] + node _T_686 = eq(_T_683, _T_685) @[el2_ifu_ic_mem.scala 248:71] + wire _T_687 : UInt<1>[71] @[el2_lib.scala 188:48] + _T_687[0] <= _T_686 @[el2_lib.scala 188:48] + _T_687[1] <= _T_686 @[el2_lib.scala 188:48] + _T_687[2] <= _T_686 @[el2_lib.scala 188:48] + _T_687[3] <= _T_686 @[el2_lib.scala 188:48] + _T_687[4] <= _T_686 @[el2_lib.scala 188:48] + _T_687[5] <= _T_686 @[el2_lib.scala 188:48] + _T_687[6] <= _T_686 @[el2_lib.scala 188:48] + _T_687[7] <= _T_686 @[el2_lib.scala 188:48] + _T_687[8] <= _T_686 @[el2_lib.scala 188:48] + _T_687[9] <= _T_686 @[el2_lib.scala 188:48] + _T_687[10] <= _T_686 @[el2_lib.scala 188:48] + _T_687[11] <= _T_686 @[el2_lib.scala 188:48] + _T_687[12] <= _T_686 @[el2_lib.scala 188:48] + _T_687[13] <= _T_686 @[el2_lib.scala 188:48] + _T_687[14] <= _T_686 @[el2_lib.scala 188:48] + _T_687[15] <= _T_686 @[el2_lib.scala 188:48] + _T_687[16] <= _T_686 @[el2_lib.scala 188:48] + _T_687[17] <= _T_686 @[el2_lib.scala 188:48] + _T_687[18] <= _T_686 @[el2_lib.scala 188:48] + _T_687[19] <= _T_686 @[el2_lib.scala 188:48] + _T_687[20] <= _T_686 @[el2_lib.scala 188:48] + _T_687[21] <= _T_686 @[el2_lib.scala 188:48] + _T_687[22] <= _T_686 @[el2_lib.scala 188:48] + _T_687[23] <= _T_686 @[el2_lib.scala 188:48] + _T_687[24] <= _T_686 @[el2_lib.scala 188:48] + _T_687[25] <= _T_686 @[el2_lib.scala 188:48] + _T_687[26] <= _T_686 @[el2_lib.scala 188:48] + _T_687[27] <= _T_686 @[el2_lib.scala 188:48] + _T_687[28] <= _T_686 @[el2_lib.scala 188:48] + _T_687[29] <= _T_686 @[el2_lib.scala 188:48] + _T_687[30] <= _T_686 @[el2_lib.scala 188:48] + _T_687[31] <= _T_686 @[el2_lib.scala 188:48] + _T_687[32] <= _T_686 @[el2_lib.scala 188:48] + _T_687[33] <= _T_686 @[el2_lib.scala 188:48] + _T_687[34] <= _T_686 @[el2_lib.scala 188:48] + _T_687[35] <= _T_686 @[el2_lib.scala 188:48] + _T_687[36] <= _T_686 @[el2_lib.scala 188:48] + _T_687[37] <= _T_686 @[el2_lib.scala 188:48] + _T_687[38] <= _T_686 @[el2_lib.scala 188:48] + _T_687[39] <= _T_686 @[el2_lib.scala 188:48] + _T_687[40] <= _T_686 @[el2_lib.scala 188:48] + _T_687[41] <= _T_686 @[el2_lib.scala 188:48] + _T_687[42] <= _T_686 @[el2_lib.scala 188:48] + _T_687[43] <= _T_686 @[el2_lib.scala 188:48] + _T_687[44] <= _T_686 @[el2_lib.scala 188:48] + _T_687[45] <= _T_686 @[el2_lib.scala 188:48] + _T_687[46] <= _T_686 @[el2_lib.scala 188:48] + _T_687[47] <= _T_686 @[el2_lib.scala 188:48] + _T_687[48] <= _T_686 @[el2_lib.scala 188:48] + _T_687[49] <= _T_686 @[el2_lib.scala 188:48] + _T_687[50] <= _T_686 @[el2_lib.scala 188:48] + _T_687[51] <= _T_686 @[el2_lib.scala 188:48] + _T_687[52] <= _T_686 @[el2_lib.scala 188:48] + _T_687[53] <= _T_686 @[el2_lib.scala 188:48] + _T_687[54] <= _T_686 @[el2_lib.scala 188:48] + _T_687[55] <= _T_686 @[el2_lib.scala 188:48] + _T_687[56] <= _T_686 @[el2_lib.scala 188:48] + _T_687[57] <= _T_686 @[el2_lib.scala 188:48] + _T_687[58] <= _T_686 @[el2_lib.scala 188:48] + _T_687[59] <= _T_686 @[el2_lib.scala 188:48] + _T_687[60] <= _T_686 @[el2_lib.scala 188:48] + _T_687[61] <= _T_686 @[el2_lib.scala 188:48] + _T_687[62] <= _T_686 @[el2_lib.scala 188:48] + _T_687[63] <= _T_686 @[el2_lib.scala 188:48] + _T_687[64] <= _T_686 @[el2_lib.scala 188:48] + _T_687[65] <= _T_686 @[el2_lib.scala 188:48] + _T_687[66] <= _T_686 @[el2_lib.scala 188:48] + _T_687[67] <= _T_686 @[el2_lib.scala 188:48] + _T_687[68] <= _T_686 @[el2_lib.scala 188:48] + _T_687[69] <= _T_686 @[el2_lib.scala 188:48] + _T_687[70] <= _T_686 @[el2_lib.scala 188:48] node _T_688 = cat(_T_687[0], _T_687[1]) @[Cat.scala 29:58] node _T_689 = cat(_T_688, _T_687[2]) @[Cat.scala 29:58] node _T_690 = cat(_T_689, _T_687[3]) @[Cat.scala 29:58] @@ -1400,77 +1400,77 @@ circuit EL2_IC_DATA : node _T_755 = cat(_T_754, _T_687[68]) @[Cat.scala 29:58] node _T_756 = cat(_T_755, _T_687[69]) @[Cat.scala 29:58] node _T_757 = cat(_T_756, _T_687[70]) @[Cat.scala 29:58] - node _T_758 = and(_T_757, wb_dout[1][1]) @[el2_ifu_ic_mem.scala 263:82] - node wb_dout_way_pre_upper_1 = or(_T_682, _T_758) @[el2_ifu_ic_mem.scala 263:106] + node _T_758 = and(_T_757, wb_dout[1][1]) @[el2_ifu_ic_mem.scala 248:82] + node wb_dout_way_pre_upper_1 = or(_T_682, _T_758) @[el2_ifu_ic_mem.scala 248:106] node wb_dout_way_pre_0 = cat(wb_dout_way_pre_upper_0, wb_dout_way_pre_lower_0) @[Cat.scala 29:58] node wb_dout_way_pre_1 = cat(wb_dout_way_pre_upper_1, wb_dout_way_pre_lower_1) @[Cat.scala 29:58] - node _T_759 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 269:31] - node _T_760 = eq(_T_759, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 269:36] - wire _T_761 : UInt<1>[64] @[el2_lib.scala 187:48] - _T_761[0] <= _T_760 @[el2_lib.scala 187:48] - _T_761[1] <= _T_760 @[el2_lib.scala 187:48] - _T_761[2] <= _T_760 @[el2_lib.scala 187:48] - _T_761[3] <= _T_760 @[el2_lib.scala 187:48] - _T_761[4] <= _T_760 @[el2_lib.scala 187:48] - _T_761[5] <= _T_760 @[el2_lib.scala 187:48] - _T_761[6] <= _T_760 @[el2_lib.scala 187:48] - _T_761[7] <= _T_760 @[el2_lib.scala 187:48] - _T_761[8] <= _T_760 @[el2_lib.scala 187:48] - _T_761[9] <= _T_760 @[el2_lib.scala 187:48] - _T_761[10] <= _T_760 @[el2_lib.scala 187:48] - _T_761[11] <= _T_760 @[el2_lib.scala 187:48] - _T_761[12] <= _T_760 @[el2_lib.scala 187:48] - _T_761[13] <= _T_760 @[el2_lib.scala 187:48] - _T_761[14] <= _T_760 @[el2_lib.scala 187:48] - _T_761[15] <= _T_760 @[el2_lib.scala 187:48] - _T_761[16] <= _T_760 @[el2_lib.scala 187:48] - _T_761[17] <= _T_760 @[el2_lib.scala 187:48] - _T_761[18] <= _T_760 @[el2_lib.scala 187:48] - _T_761[19] <= _T_760 @[el2_lib.scala 187:48] - _T_761[20] <= _T_760 @[el2_lib.scala 187:48] - _T_761[21] <= _T_760 @[el2_lib.scala 187:48] - _T_761[22] <= _T_760 @[el2_lib.scala 187:48] - _T_761[23] <= _T_760 @[el2_lib.scala 187:48] - _T_761[24] <= _T_760 @[el2_lib.scala 187:48] - _T_761[25] <= _T_760 @[el2_lib.scala 187:48] - _T_761[26] <= _T_760 @[el2_lib.scala 187:48] - _T_761[27] <= _T_760 @[el2_lib.scala 187:48] - _T_761[28] <= _T_760 @[el2_lib.scala 187:48] - _T_761[29] <= _T_760 @[el2_lib.scala 187:48] - _T_761[30] <= _T_760 @[el2_lib.scala 187:48] - _T_761[31] <= _T_760 @[el2_lib.scala 187:48] - _T_761[32] <= _T_760 @[el2_lib.scala 187:48] - _T_761[33] <= _T_760 @[el2_lib.scala 187:48] - _T_761[34] <= _T_760 @[el2_lib.scala 187:48] - _T_761[35] <= _T_760 @[el2_lib.scala 187:48] - _T_761[36] <= _T_760 @[el2_lib.scala 187:48] - _T_761[37] <= _T_760 @[el2_lib.scala 187:48] - _T_761[38] <= _T_760 @[el2_lib.scala 187:48] - _T_761[39] <= _T_760 @[el2_lib.scala 187:48] - _T_761[40] <= _T_760 @[el2_lib.scala 187:48] - _T_761[41] <= _T_760 @[el2_lib.scala 187:48] - _T_761[42] <= _T_760 @[el2_lib.scala 187:48] - _T_761[43] <= _T_760 @[el2_lib.scala 187:48] - _T_761[44] <= _T_760 @[el2_lib.scala 187:48] - _T_761[45] <= _T_760 @[el2_lib.scala 187:48] - _T_761[46] <= _T_760 @[el2_lib.scala 187:48] - _T_761[47] <= _T_760 @[el2_lib.scala 187:48] - _T_761[48] <= _T_760 @[el2_lib.scala 187:48] - _T_761[49] <= _T_760 @[el2_lib.scala 187:48] - _T_761[50] <= _T_760 @[el2_lib.scala 187:48] - _T_761[51] <= _T_760 @[el2_lib.scala 187:48] - _T_761[52] <= _T_760 @[el2_lib.scala 187:48] - _T_761[53] <= _T_760 @[el2_lib.scala 187:48] - _T_761[54] <= _T_760 @[el2_lib.scala 187:48] - _T_761[55] <= _T_760 @[el2_lib.scala 187:48] - _T_761[56] <= _T_760 @[el2_lib.scala 187:48] - _T_761[57] <= _T_760 @[el2_lib.scala 187:48] - _T_761[58] <= _T_760 @[el2_lib.scala 187:48] - _T_761[59] <= _T_760 @[el2_lib.scala 187:48] - _T_761[60] <= _T_760 @[el2_lib.scala 187:48] - _T_761[61] <= _T_760 @[el2_lib.scala 187:48] - _T_761[62] <= _T_760 @[el2_lib.scala 187:48] - _T_761[63] <= _T_760 @[el2_lib.scala 187:48] + node _T_759 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 254:31] + node _T_760 = eq(_T_759, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 254:36] + wire _T_761 : UInt<1>[64] @[el2_lib.scala 188:48] + _T_761[0] <= _T_760 @[el2_lib.scala 188:48] + _T_761[1] <= _T_760 @[el2_lib.scala 188:48] + _T_761[2] <= _T_760 @[el2_lib.scala 188:48] + _T_761[3] <= _T_760 @[el2_lib.scala 188:48] + _T_761[4] <= _T_760 @[el2_lib.scala 188:48] + _T_761[5] <= _T_760 @[el2_lib.scala 188:48] + _T_761[6] <= _T_760 @[el2_lib.scala 188:48] + _T_761[7] <= _T_760 @[el2_lib.scala 188:48] + _T_761[8] <= _T_760 @[el2_lib.scala 188:48] + _T_761[9] <= _T_760 @[el2_lib.scala 188:48] + _T_761[10] <= _T_760 @[el2_lib.scala 188:48] + _T_761[11] <= _T_760 @[el2_lib.scala 188:48] + _T_761[12] <= _T_760 @[el2_lib.scala 188:48] + _T_761[13] <= _T_760 @[el2_lib.scala 188:48] + _T_761[14] <= _T_760 @[el2_lib.scala 188:48] + _T_761[15] <= _T_760 @[el2_lib.scala 188:48] + _T_761[16] <= _T_760 @[el2_lib.scala 188:48] + _T_761[17] <= _T_760 @[el2_lib.scala 188:48] + _T_761[18] <= _T_760 @[el2_lib.scala 188:48] + _T_761[19] <= _T_760 @[el2_lib.scala 188:48] + _T_761[20] <= _T_760 @[el2_lib.scala 188:48] + _T_761[21] <= _T_760 @[el2_lib.scala 188:48] + _T_761[22] <= _T_760 @[el2_lib.scala 188:48] + _T_761[23] <= _T_760 @[el2_lib.scala 188:48] + _T_761[24] <= _T_760 @[el2_lib.scala 188:48] + _T_761[25] <= _T_760 @[el2_lib.scala 188:48] + _T_761[26] <= _T_760 @[el2_lib.scala 188:48] + _T_761[27] <= _T_760 @[el2_lib.scala 188:48] + _T_761[28] <= _T_760 @[el2_lib.scala 188:48] + _T_761[29] <= _T_760 @[el2_lib.scala 188:48] + _T_761[30] <= _T_760 @[el2_lib.scala 188:48] + _T_761[31] <= _T_760 @[el2_lib.scala 188:48] + _T_761[32] <= _T_760 @[el2_lib.scala 188:48] + _T_761[33] <= _T_760 @[el2_lib.scala 188:48] + _T_761[34] <= _T_760 @[el2_lib.scala 188:48] + _T_761[35] <= _T_760 @[el2_lib.scala 188:48] + _T_761[36] <= _T_760 @[el2_lib.scala 188:48] + _T_761[37] <= _T_760 @[el2_lib.scala 188:48] + _T_761[38] <= _T_760 @[el2_lib.scala 188:48] + _T_761[39] <= _T_760 @[el2_lib.scala 188:48] + _T_761[40] <= _T_760 @[el2_lib.scala 188:48] + _T_761[41] <= _T_760 @[el2_lib.scala 188:48] + _T_761[42] <= _T_760 @[el2_lib.scala 188:48] + _T_761[43] <= _T_760 @[el2_lib.scala 188:48] + _T_761[44] <= _T_760 @[el2_lib.scala 188:48] + _T_761[45] <= _T_760 @[el2_lib.scala 188:48] + _T_761[46] <= _T_760 @[el2_lib.scala 188:48] + _T_761[47] <= _T_760 @[el2_lib.scala 188:48] + _T_761[48] <= _T_760 @[el2_lib.scala 188:48] + _T_761[49] <= _T_760 @[el2_lib.scala 188:48] + _T_761[50] <= _T_760 @[el2_lib.scala 188:48] + _T_761[51] <= _T_760 @[el2_lib.scala 188:48] + _T_761[52] <= _T_760 @[el2_lib.scala 188:48] + _T_761[53] <= _T_760 @[el2_lib.scala 188:48] + _T_761[54] <= _T_760 @[el2_lib.scala 188:48] + _T_761[55] <= _T_760 @[el2_lib.scala 188:48] + _T_761[56] <= _T_760 @[el2_lib.scala 188:48] + _T_761[57] <= _T_760 @[el2_lib.scala 188:48] + _T_761[58] <= _T_760 @[el2_lib.scala 188:48] + _T_761[59] <= _T_760 @[el2_lib.scala 188:48] + _T_761[60] <= _T_760 @[el2_lib.scala 188:48] + _T_761[61] <= _T_760 @[el2_lib.scala 188:48] + _T_761[62] <= _T_760 @[el2_lib.scala 188:48] + _T_761[63] <= _T_760 @[el2_lib.scala 188:48] node _T_762 = cat(_T_761[0], _T_761[1]) @[Cat.scala 29:58] node _T_763 = cat(_T_762, _T_761[2]) @[Cat.scala 29:58] node _T_764 = cat(_T_763, _T_761[3]) @[Cat.scala 29:58] @@ -1534,75 +1534,75 @@ circuit EL2_IC_DATA : node _T_822 = cat(_T_821, _T_761[61]) @[Cat.scala 29:58] node _T_823 = cat(_T_822, _T_761[62]) @[Cat.scala 29:58] node _T_824 = cat(_T_823, _T_761[63]) @[Cat.scala 29:58] - node _T_825 = bits(wb_dout_way_pre_0, 63, 0) @[el2_ifu_ic_mem.scala 269:64] - node _T_826 = and(_T_824, _T_825) @[el2_ifu_ic_mem.scala 269:44] - node _T_827 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 270:31] - node _T_828 = eq(_T_827, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 270:36] - wire _T_829 : UInt<1>[64] @[el2_lib.scala 187:48] - _T_829[0] <= _T_828 @[el2_lib.scala 187:48] - _T_829[1] <= _T_828 @[el2_lib.scala 187:48] - _T_829[2] <= _T_828 @[el2_lib.scala 187:48] - _T_829[3] <= _T_828 @[el2_lib.scala 187:48] - _T_829[4] <= _T_828 @[el2_lib.scala 187:48] - _T_829[5] <= _T_828 @[el2_lib.scala 187:48] - _T_829[6] <= _T_828 @[el2_lib.scala 187:48] - _T_829[7] <= _T_828 @[el2_lib.scala 187:48] - _T_829[8] <= _T_828 @[el2_lib.scala 187:48] - _T_829[9] <= _T_828 @[el2_lib.scala 187:48] - _T_829[10] <= _T_828 @[el2_lib.scala 187:48] - _T_829[11] <= _T_828 @[el2_lib.scala 187:48] - _T_829[12] <= _T_828 @[el2_lib.scala 187:48] - _T_829[13] <= _T_828 @[el2_lib.scala 187:48] - _T_829[14] <= _T_828 @[el2_lib.scala 187:48] - _T_829[15] <= _T_828 @[el2_lib.scala 187:48] - _T_829[16] <= _T_828 @[el2_lib.scala 187:48] - _T_829[17] <= _T_828 @[el2_lib.scala 187:48] - _T_829[18] <= _T_828 @[el2_lib.scala 187:48] - _T_829[19] <= _T_828 @[el2_lib.scala 187:48] - _T_829[20] <= _T_828 @[el2_lib.scala 187:48] - _T_829[21] <= _T_828 @[el2_lib.scala 187:48] - _T_829[22] <= _T_828 @[el2_lib.scala 187:48] - _T_829[23] <= _T_828 @[el2_lib.scala 187:48] - _T_829[24] <= _T_828 @[el2_lib.scala 187:48] - _T_829[25] <= _T_828 @[el2_lib.scala 187:48] - _T_829[26] <= _T_828 @[el2_lib.scala 187:48] - _T_829[27] <= _T_828 @[el2_lib.scala 187:48] - _T_829[28] <= _T_828 @[el2_lib.scala 187:48] - _T_829[29] <= _T_828 @[el2_lib.scala 187:48] - _T_829[30] <= _T_828 @[el2_lib.scala 187:48] - _T_829[31] <= _T_828 @[el2_lib.scala 187:48] - _T_829[32] <= _T_828 @[el2_lib.scala 187:48] - _T_829[33] <= _T_828 @[el2_lib.scala 187:48] - _T_829[34] <= _T_828 @[el2_lib.scala 187:48] - _T_829[35] <= _T_828 @[el2_lib.scala 187:48] - _T_829[36] <= _T_828 @[el2_lib.scala 187:48] - _T_829[37] <= _T_828 @[el2_lib.scala 187:48] - _T_829[38] <= _T_828 @[el2_lib.scala 187:48] - _T_829[39] <= _T_828 @[el2_lib.scala 187:48] - _T_829[40] <= _T_828 @[el2_lib.scala 187:48] - _T_829[41] <= _T_828 @[el2_lib.scala 187:48] - _T_829[42] <= _T_828 @[el2_lib.scala 187:48] - _T_829[43] <= _T_828 @[el2_lib.scala 187:48] - _T_829[44] <= _T_828 @[el2_lib.scala 187:48] - _T_829[45] <= _T_828 @[el2_lib.scala 187:48] - _T_829[46] <= _T_828 @[el2_lib.scala 187:48] - _T_829[47] <= _T_828 @[el2_lib.scala 187:48] - _T_829[48] <= _T_828 @[el2_lib.scala 187:48] - _T_829[49] <= _T_828 @[el2_lib.scala 187:48] - _T_829[50] <= _T_828 @[el2_lib.scala 187:48] - _T_829[51] <= _T_828 @[el2_lib.scala 187:48] - _T_829[52] <= _T_828 @[el2_lib.scala 187:48] - _T_829[53] <= _T_828 @[el2_lib.scala 187:48] - _T_829[54] <= _T_828 @[el2_lib.scala 187:48] - _T_829[55] <= _T_828 @[el2_lib.scala 187:48] - _T_829[56] <= _T_828 @[el2_lib.scala 187:48] - _T_829[57] <= _T_828 @[el2_lib.scala 187:48] - _T_829[58] <= _T_828 @[el2_lib.scala 187:48] - _T_829[59] <= _T_828 @[el2_lib.scala 187:48] - _T_829[60] <= _T_828 @[el2_lib.scala 187:48] - _T_829[61] <= _T_828 @[el2_lib.scala 187:48] - _T_829[62] <= _T_828 @[el2_lib.scala 187:48] - _T_829[63] <= _T_828 @[el2_lib.scala 187:48] + node _T_825 = bits(wb_dout_way_pre_0, 63, 0) @[el2_ifu_ic_mem.scala 254:64] + node _T_826 = and(_T_824, _T_825) @[el2_ifu_ic_mem.scala 254:44] + node _T_827 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 255:31] + node _T_828 = eq(_T_827, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 255:36] + wire _T_829 : UInt<1>[64] @[el2_lib.scala 188:48] + _T_829[0] <= _T_828 @[el2_lib.scala 188:48] + _T_829[1] <= _T_828 @[el2_lib.scala 188:48] + _T_829[2] <= _T_828 @[el2_lib.scala 188:48] + _T_829[3] <= _T_828 @[el2_lib.scala 188:48] + _T_829[4] <= _T_828 @[el2_lib.scala 188:48] + _T_829[5] <= _T_828 @[el2_lib.scala 188:48] + _T_829[6] <= _T_828 @[el2_lib.scala 188:48] + _T_829[7] <= _T_828 @[el2_lib.scala 188:48] + _T_829[8] <= _T_828 @[el2_lib.scala 188:48] + _T_829[9] <= _T_828 @[el2_lib.scala 188:48] + _T_829[10] <= _T_828 @[el2_lib.scala 188:48] + _T_829[11] <= _T_828 @[el2_lib.scala 188:48] + _T_829[12] <= _T_828 @[el2_lib.scala 188:48] + _T_829[13] <= _T_828 @[el2_lib.scala 188:48] + _T_829[14] <= _T_828 @[el2_lib.scala 188:48] + _T_829[15] <= _T_828 @[el2_lib.scala 188:48] + _T_829[16] <= _T_828 @[el2_lib.scala 188:48] + _T_829[17] <= _T_828 @[el2_lib.scala 188:48] + _T_829[18] <= _T_828 @[el2_lib.scala 188:48] + _T_829[19] <= _T_828 @[el2_lib.scala 188:48] + _T_829[20] <= _T_828 @[el2_lib.scala 188:48] + _T_829[21] <= _T_828 @[el2_lib.scala 188:48] + _T_829[22] <= _T_828 @[el2_lib.scala 188:48] + _T_829[23] <= _T_828 @[el2_lib.scala 188:48] + _T_829[24] <= _T_828 @[el2_lib.scala 188:48] + _T_829[25] <= _T_828 @[el2_lib.scala 188:48] + _T_829[26] <= _T_828 @[el2_lib.scala 188:48] + _T_829[27] <= _T_828 @[el2_lib.scala 188:48] + _T_829[28] <= _T_828 @[el2_lib.scala 188:48] + _T_829[29] <= _T_828 @[el2_lib.scala 188:48] + _T_829[30] <= _T_828 @[el2_lib.scala 188:48] + _T_829[31] <= _T_828 @[el2_lib.scala 188:48] + _T_829[32] <= _T_828 @[el2_lib.scala 188:48] + _T_829[33] <= _T_828 @[el2_lib.scala 188:48] + _T_829[34] <= _T_828 @[el2_lib.scala 188:48] + _T_829[35] <= _T_828 @[el2_lib.scala 188:48] + _T_829[36] <= _T_828 @[el2_lib.scala 188:48] + _T_829[37] <= _T_828 @[el2_lib.scala 188:48] + _T_829[38] <= _T_828 @[el2_lib.scala 188:48] + _T_829[39] <= _T_828 @[el2_lib.scala 188:48] + _T_829[40] <= _T_828 @[el2_lib.scala 188:48] + _T_829[41] <= _T_828 @[el2_lib.scala 188:48] + _T_829[42] <= _T_828 @[el2_lib.scala 188:48] + _T_829[43] <= _T_828 @[el2_lib.scala 188:48] + _T_829[44] <= _T_828 @[el2_lib.scala 188:48] + _T_829[45] <= _T_828 @[el2_lib.scala 188:48] + _T_829[46] <= _T_828 @[el2_lib.scala 188:48] + _T_829[47] <= _T_828 @[el2_lib.scala 188:48] + _T_829[48] <= _T_828 @[el2_lib.scala 188:48] + _T_829[49] <= _T_828 @[el2_lib.scala 188:48] + _T_829[50] <= _T_828 @[el2_lib.scala 188:48] + _T_829[51] <= _T_828 @[el2_lib.scala 188:48] + _T_829[52] <= _T_828 @[el2_lib.scala 188:48] + _T_829[53] <= _T_828 @[el2_lib.scala 188:48] + _T_829[54] <= _T_828 @[el2_lib.scala 188:48] + _T_829[55] <= _T_828 @[el2_lib.scala 188:48] + _T_829[56] <= _T_828 @[el2_lib.scala 188:48] + _T_829[57] <= _T_828 @[el2_lib.scala 188:48] + _T_829[58] <= _T_828 @[el2_lib.scala 188:48] + _T_829[59] <= _T_828 @[el2_lib.scala 188:48] + _T_829[60] <= _T_828 @[el2_lib.scala 188:48] + _T_829[61] <= _T_828 @[el2_lib.scala 188:48] + _T_829[62] <= _T_828 @[el2_lib.scala 188:48] + _T_829[63] <= _T_828 @[el2_lib.scala 188:48] node _T_830 = cat(_T_829[0], _T_829[1]) @[Cat.scala 29:58] node _T_831 = cat(_T_830, _T_829[2]) @[Cat.scala 29:58] node _T_832 = cat(_T_831, _T_829[3]) @[Cat.scala 29:58] @@ -1666,78 +1666,78 @@ circuit EL2_IC_DATA : node _T_890 = cat(_T_889, _T_829[61]) @[Cat.scala 29:58] node _T_891 = cat(_T_890, _T_829[62]) @[Cat.scala 29:58] node _T_892 = cat(_T_891, _T_829[63]) @[Cat.scala 29:58] - node _T_893 = bits(wb_dout_way_pre_0, 86, 71) @[el2_ifu_ic_mem.scala 270:68] - node _T_894 = bits(wb_dout_way_pre_0, 63, 16) @[el2_ifu_ic_mem.scala 270:113] + node _T_893 = bits(wb_dout_way_pre_0, 86, 71) @[el2_ifu_ic_mem.scala 255:68] + node _T_894 = bits(wb_dout_way_pre_0, 63, 16) @[el2_ifu_ic_mem.scala 255:113] node _T_895 = cat(_T_893, _T_894) @[Cat.scala 29:58] - node _T_896 = and(_T_892, _T_895) @[el2_ifu_ic_mem.scala 270:44] - node _T_897 = or(_T_826, _T_896) @[el2_ifu_ic_mem.scala 269:71] - node _T_898 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 271:31] - node _T_899 = eq(_T_898, UInt<2>("h02")) @[el2_ifu_ic_mem.scala 271:36] - wire _T_900 : UInt<1>[64] @[el2_lib.scala 187:48] - _T_900[0] <= _T_899 @[el2_lib.scala 187:48] - _T_900[1] <= _T_899 @[el2_lib.scala 187:48] - _T_900[2] <= _T_899 @[el2_lib.scala 187:48] - _T_900[3] <= _T_899 @[el2_lib.scala 187:48] - _T_900[4] <= _T_899 @[el2_lib.scala 187:48] - _T_900[5] <= _T_899 @[el2_lib.scala 187:48] - _T_900[6] <= _T_899 @[el2_lib.scala 187:48] - _T_900[7] <= _T_899 @[el2_lib.scala 187:48] - _T_900[8] <= _T_899 @[el2_lib.scala 187:48] - _T_900[9] <= _T_899 @[el2_lib.scala 187:48] - _T_900[10] <= _T_899 @[el2_lib.scala 187:48] - _T_900[11] <= _T_899 @[el2_lib.scala 187:48] - _T_900[12] <= _T_899 @[el2_lib.scala 187:48] - _T_900[13] <= _T_899 @[el2_lib.scala 187:48] - _T_900[14] <= _T_899 @[el2_lib.scala 187:48] - _T_900[15] <= _T_899 @[el2_lib.scala 187:48] - _T_900[16] <= _T_899 @[el2_lib.scala 187:48] - _T_900[17] <= _T_899 @[el2_lib.scala 187:48] - _T_900[18] <= _T_899 @[el2_lib.scala 187:48] - _T_900[19] <= _T_899 @[el2_lib.scala 187:48] - _T_900[20] <= _T_899 @[el2_lib.scala 187:48] - _T_900[21] <= _T_899 @[el2_lib.scala 187:48] - _T_900[22] <= _T_899 @[el2_lib.scala 187:48] - _T_900[23] <= _T_899 @[el2_lib.scala 187:48] - _T_900[24] <= _T_899 @[el2_lib.scala 187:48] - _T_900[25] <= _T_899 @[el2_lib.scala 187:48] - _T_900[26] <= _T_899 @[el2_lib.scala 187:48] - _T_900[27] <= _T_899 @[el2_lib.scala 187:48] - _T_900[28] <= _T_899 @[el2_lib.scala 187:48] - _T_900[29] <= _T_899 @[el2_lib.scala 187:48] - _T_900[30] <= _T_899 @[el2_lib.scala 187:48] - _T_900[31] <= _T_899 @[el2_lib.scala 187:48] - _T_900[32] <= _T_899 @[el2_lib.scala 187:48] - _T_900[33] <= _T_899 @[el2_lib.scala 187:48] - _T_900[34] <= _T_899 @[el2_lib.scala 187:48] - _T_900[35] <= _T_899 @[el2_lib.scala 187:48] - _T_900[36] <= _T_899 @[el2_lib.scala 187:48] - _T_900[37] <= _T_899 @[el2_lib.scala 187:48] - _T_900[38] <= _T_899 @[el2_lib.scala 187:48] - _T_900[39] <= _T_899 @[el2_lib.scala 187:48] - _T_900[40] <= _T_899 @[el2_lib.scala 187:48] - _T_900[41] <= _T_899 @[el2_lib.scala 187:48] - _T_900[42] <= _T_899 @[el2_lib.scala 187:48] - _T_900[43] <= _T_899 @[el2_lib.scala 187:48] - _T_900[44] <= _T_899 @[el2_lib.scala 187:48] - _T_900[45] <= _T_899 @[el2_lib.scala 187:48] - _T_900[46] <= _T_899 @[el2_lib.scala 187:48] - _T_900[47] <= _T_899 @[el2_lib.scala 187:48] - _T_900[48] <= _T_899 @[el2_lib.scala 187:48] - _T_900[49] <= _T_899 @[el2_lib.scala 187:48] - _T_900[50] <= _T_899 @[el2_lib.scala 187:48] - _T_900[51] <= _T_899 @[el2_lib.scala 187:48] - _T_900[52] <= _T_899 @[el2_lib.scala 187:48] - _T_900[53] <= _T_899 @[el2_lib.scala 187:48] - _T_900[54] <= _T_899 @[el2_lib.scala 187:48] - _T_900[55] <= _T_899 @[el2_lib.scala 187:48] - _T_900[56] <= _T_899 @[el2_lib.scala 187:48] - _T_900[57] <= _T_899 @[el2_lib.scala 187:48] - _T_900[58] <= _T_899 @[el2_lib.scala 187:48] - _T_900[59] <= _T_899 @[el2_lib.scala 187:48] - _T_900[60] <= _T_899 @[el2_lib.scala 187:48] - _T_900[61] <= _T_899 @[el2_lib.scala 187:48] - _T_900[62] <= _T_899 @[el2_lib.scala 187:48] - _T_900[63] <= _T_899 @[el2_lib.scala 187:48] + node _T_896 = and(_T_892, _T_895) @[el2_ifu_ic_mem.scala 255:44] + node _T_897 = or(_T_826, _T_896) @[el2_ifu_ic_mem.scala 254:71] + node _T_898 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 256:31] + node _T_899 = eq(_T_898, UInt<2>("h02")) @[el2_ifu_ic_mem.scala 256:36] + wire _T_900 : UInt<1>[64] @[el2_lib.scala 188:48] + _T_900[0] <= _T_899 @[el2_lib.scala 188:48] + _T_900[1] <= _T_899 @[el2_lib.scala 188:48] + _T_900[2] <= _T_899 @[el2_lib.scala 188:48] + _T_900[3] <= _T_899 @[el2_lib.scala 188:48] + _T_900[4] <= _T_899 @[el2_lib.scala 188:48] + _T_900[5] <= _T_899 @[el2_lib.scala 188:48] + _T_900[6] <= _T_899 @[el2_lib.scala 188:48] + _T_900[7] <= _T_899 @[el2_lib.scala 188:48] + _T_900[8] <= _T_899 @[el2_lib.scala 188:48] + _T_900[9] <= _T_899 @[el2_lib.scala 188:48] + _T_900[10] <= _T_899 @[el2_lib.scala 188:48] + _T_900[11] <= _T_899 @[el2_lib.scala 188:48] + _T_900[12] <= _T_899 @[el2_lib.scala 188:48] + _T_900[13] <= _T_899 @[el2_lib.scala 188:48] + _T_900[14] <= _T_899 @[el2_lib.scala 188:48] + _T_900[15] <= _T_899 @[el2_lib.scala 188:48] + _T_900[16] <= _T_899 @[el2_lib.scala 188:48] + _T_900[17] <= _T_899 @[el2_lib.scala 188:48] + _T_900[18] <= _T_899 @[el2_lib.scala 188:48] + _T_900[19] <= _T_899 @[el2_lib.scala 188:48] + _T_900[20] <= _T_899 @[el2_lib.scala 188:48] + _T_900[21] <= _T_899 @[el2_lib.scala 188:48] + _T_900[22] <= _T_899 @[el2_lib.scala 188:48] + _T_900[23] <= _T_899 @[el2_lib.scala 188:48] + _T_900[24] <= _T_899 @[el2_lib.scala 188:48] + _T_900[25] <= _T_899 @[el2_lib.scala 188:48] + _T_900[26] <= _T_899 @[el2_lib.scala 188:48] + _T_900[27] <= _T_899 @[el2_lib.scala 188:48] + _T_900[28] <= _T_899 @[el2_lib.scala 188:48] + _T_900[29] <= _T_899 @[el2_lib.scala 188:48] + _T_900[30] <= _T_899 @[el2_lib.scala 188:48] + _T_900[31] <= _T_899 @[el2_lib.scala 188:48] + _T_900[32] <= _T_899 @[el2_lib.scala 188:48] + _T_900[33] <= _T_899 @[el2_lib.scala 188:48] + _T_900[34] <= _T_899 @[el2_lib.scala 188:48] + _T_900[35] <= _T_899 @[el2_lib.scala 188:48] + _T_900[36] <= _T_899 @[el2_lib.scala 188:48] + _T_900[37] <= _T_899 @[el2_lib.scala 188:48] + _T_900[38] <= _T_899 @[el2_lib.scala 188:48] + _T_900[39] <= _T_899 @[el2_lib.scala 188:48] + _T_900[40] <= _T_899 @[el2_lib.scala 188:48] + _T_900[41] <= _T_899 @[el2_lib.scala 188:48] + _T_900[42] <= _T_899 @[el2_lib.scala 188:48] + _T_900[43] <= _T_899 @[el2_lib.scala 188:48] + _T_900[44] <= _T_899 @[el2_lib.scala 188:48] + _T_900[45] <= _T_899 @[el2_lib.scala 188:48] + _T_900[46] <= _T_899 @[el2_lib.scala 188:48] + _T_900[47] <= _T_899 @[el2_lib.scala 188:48] + _T_900[48] <= _T_899 @[el2_lib.scala 188:48] + _T_900[49] <= _T_899 @[el2_lib.scala 188:48] + _T_900[50] <= _T_899 @[el2_lib.scala 188:48] + _T_900[51] <= _T_899 @[el2_lib.scala 188:48] + _T_900[52] <= _T_899 @[el2_lib.scala 188:48] + _T_900[53] <= _T_899 @[el2_lib.scala 188:48] + _T_900[54] <= _T_899 @[el2_lib.scala 188:48] + _T_900[55] <= _T_899 @[el2_lib.scala 188:48] + _T_900[56] <= _T_899 @[el2_lib.scala 188:48] + _T_900[57] <= _T_899 @[el2_lib.scala 188:48] + _T_900[58] <= _T_899 @[el2_lib.scala 188:48] + _T_900[59] <= _T_899 @[el2_lib.scala 188:48] + _T_900[60] <= _T_899 @[el2_lib.scala 188:48] + _T_900[61] <= _T_899 @[el2_lib.scala 188:48] + _T_900[62] <= _T_899 @[el2_lib.scala 188:48] + _T_900[63] <= _T_899 @[el2_lib.scala 188:48] node _T_901 = cat(_T_900[0], _T_900[1]) @[Cat.scala 29:58] node _T_902 = cat(_T_901, _T_900[2]) @[Cat.scala 29:58] node _T_903 = cat(_T_902, _T_900[3]) @[Cat.scala 29:58] @@ -1801,78 +1801,78 @@ circuit EL2_IC_DATA : node _T_961 = cat(_T_960, _T_900[61]) @[Cat.scala 29:58] node _T_962 = cat(_T_961, _T_900[62]) @[Cat.scala 29:58] node _T_963 = cat(_T_962, _T_900[63]) @[Cat.scala 29:58] - node _T_964 = bits(wb_dout_way_pre_0, 102, 71) @[el2_ifu_ic_mem.scala 271:68] - node _T_965 = bits(wb_dout_way_pre_0, 63, 32) @[el2_ifu_ic_mem.scala 271:113] + node _T_964 = bits(wb_dout_way_pre_0, 102, 71) @[el2_ifu_ic_mem.scala 256:68] + node _T_965 = bits(wb_dout_way_pre_0, 63, 32) @[el2_ifu_ic_mem.scala 256:113] node _T_966 = cat(_T_964, _T_965) @[Cat.scala 29:58] - node _T_967 = and(_T_963, _T_966) @[el2_ifu_ic_mem.scala 271:44] - node _T_968 = or(_T_897, _T_967) @[el2_ifu_ic_mem.scala 270:122] - node _T_969 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 272:31] - node _T_970 = eq(_T_969, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 272:36] - wire _T_971 : UInt<1>[64] @[el2_lib.scala 187:48] - _T_971[0] <= _T_970 @[el2_lib.scala 187:48] - _T_971[1] <= _T_970 @[el2_lib.scala 187:48] - _T_971[2] <= _T_970 @[el2_lib.scala 187:48] - _T_971[3] <= _T_970 @[el2_lib.scala 187:48] - _T_971[4] <= _T_970 @[el2_lib.scala 187:48] - _T_971[5] <= _T_970 @[el2_lib.scala 187:48] - _T_971[6] <= _T_970 @[el2_lib.scala 187:48] - _T_971[7] <= _T_970 @[el2_lib.scala 187:48] - _T_971[8] <= _T_970 @[el2_lib.scala 187:48] - _T_971[9] <= _T_970 @[el2_lib.scala 187:48] - _T_971[10] <= _T_970 @[el2_lib.scala 187:48] - _T_971[11] <= _T_970 @[el2_lib.scala 187:48] - _T_971[12] <= _T_970 @[el2_lib.scala 187:48] - _T_971[13] <= _T_970 @[el2_lib.scala 187:48] - _T_971[14] <= _T_970 @[el2_lib.scala 187:48] - _T_971[15] <= _T_970 @[el2_lib.scala 187:48] - _T_971[16] <= _T_970 @[el2_lib.scala 187:48] - _T_971[17] <= _T_970 @[el2_lib.scala 187:48] - _T_971[18] <= _T_970 @[el2_lib.scala 187:48] - _T_971[19] <= _T_970 @[el2_lib.scala 187:48] - _T_971[20] <= _T_970 @[el2_lib.scala 187:48] - _T_971[21] <= _T_970 @[el2_lib.scala 187:48] - _T_971[22] <= _T_970 @[el2_lib.scala 187:48] - _T_971[23] <= _T_970 @[el2_lib.scala 187:48] - _T_971[24] <= _T_970 @[el2_lib.scala 187:48] - _T_971[25] <= _T_970 @[el2_lib.scala 187:48] - _T_971[26] <= _T_970 @[el2_lib.scala 187:48] - _T_971[27] <= _T_970 @[el2_lib.scala 187:48] - _T_971[28] <= _T_970 @[el2_lib.scala 187:48] - _T_971[29] <= _T_970 @[el2_lib.scala 187:48] - _T_971[30] <= _T_970 @[el2_lib.scala 187:48] - _T_971[31] <= _T_970 @[el2_lib.scala 187:48] - _T_971[32] <= _T_970 @[el2_lib.scala 187:48] - _T_971[33] <= _T_970 @[el2_lib.scala 187:48] - _T_971[34] <= _T_970 @[el2_lib.scala 187:48] - _T_971[35] <= _T_970 @[el2_lib.scala 187:48] - _T_971[36] <= _T_970 @[el2_lib.scala 187:48] - _T_971[37] <= _T_970 @[el2_lib.scala 187:48] - _T_971[38] <= _T_970 @[el2_lib.scala 187:48] - _T_971[39] <= _T_970 @[el2_lib.scala 187:48] - _T_971[40] <= _T_970 @[el2_lib.scala 187:48] - _T_971[41] <= _T_970 @[el2_lib.scala 187:48] - _T_971[42] <= _T_970 @[el2_lib.scala 187:48] - _T_971[43] <= _T_970 @[el2_lib.scala 187:48] - _T_971[44] <= _T_970 @[el2_lib.scala 187:48] - _T_971[45] <= _T_970 @[el2_lib.scala 187:48] - _T_971[46] <= _T_970 @[el2_lib.scala 187:48] - _T_971[47] <= _T_970 @[el2_lib.scala 187:48] - _T_971[48] <= _T_970 @[el2_lib.scala 187:48] - _T_971[49] <= _T_970 @[el2_lib.scala 187:48] - _T_971[50] <= _T_970 @[el2_lib.scala 187:48] - _T_971[51] <= _T_970 @[el2_lib.scala 187:48] - _T_971[52] <= _T_970 @[el2_lib.scala 187:48] - _T_971[53] <= _T_970 @[el2_lib.scala 187:48] - _T_971[54] <= _T_970 @[el2_lib.scala 187:48] - _T_971[55] <= _T_970 @[el2_lib.scala 187:48] - _T_971[56] <= _T_970 @[el2_lib.scala 187:48] - _T_971[57] <= _T_970 @[el2_lib.scala 187:48] - _T_971[58] <= _T_970 @[el2_lib.scala 187:48] - _T_971[59] <= _T_970 @[el2_lib.scala 187:48] - _T_971[60] <= _T_970 @[el2_lib.scala 187:48] - _T_971[61] <= _T_970 @[el2_lib.scala 187:48] - _T_971[62] <= _T_970 @[el2_lib.scala 187:48] - _T_971[63] <= _T_970 @[el2_lib.scala 187:48] + node _T_967 = and(_T_963, _T_966) @[el2_ifu_ic_mem.scala 256:44] + node _T_968 = or(_T_897, _T_967) @[el2_ifu_ic_mem.scala 255:122] + node _T_969 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 257:31] + node _T_970 = eq(_T_969, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 257:36] + wire _T_971 : UInt<1>[64] @[el2_lib.scala 188:48] + _T_971[0] <= _T_970 @[el2_lib.scala 188:48] + _T_971[1] <= _T_970 @[el2_lib.scala 188:48] + _T_971[2] <= _T_970 @[el2_lib.scala 188:48] + _T_971[3] <= _T_970 @[el2_lib.scala 188:48] + _T_971[4] <= _T_970 @[el2_lib.scala 188:48] + _T_971[5] <= _T_970 @[el2_lib.scala 188:48] + _T_971[6] <= _T_970 @[el2_lib.scala 188:48] + _T_971[7] <= _T_970 @[el2_lib.scala 188:48] + _T_971[8] <= _T_970 @[el2_lib.scala 188:48] + _T_971[9] <= _T_970 @[el2_lib.scala 188:48] + _T_971[10] <= _T_970 @[el2_lib.scala 188:48] + _T_971[11] <= _T_970 @[el2_lib.scala 188:48] + _T_971[12] <= _T_970 @[el2_lib.scala 188:48] + _T_971[13] <= _T_970 @[el2_lib.scala 188:48] + _T_971[14] <= _T_970 @[el2_lib.scala 188:48] + _T_971[15] <= _T_970 @[el2_lib.scala 188:48] + _T_971[16] <= _T_970 @[el2_lib.scala 188:48] + _T_971[17] <= _T_970 @[el2_lib.scala 188:48] + _T_971[18] <= _T_970 @[el2_lib.scala 188:48] + _T_971[19] <= _T_970 @[el2_lib.scala 188:48] + _T_971[20] <= _T_970 @[el2_lib.scala 188:48] + _T_971[21] <= _T_970 @[el2_lib.scala 188:48] + _T_971[22] <= _T_970 @[el2_lib.scala 188:48] + _T_971[23] <= _T_970 @[el2_lib.scala 188:48] + _T_971[24] <= _T_970 @[el2_lib.scala 188:48] + _T_971[25] <= _T_970 @[el2_lib.scala 188:48] + _T_971[26] <= _T_970 @[el2_lib.scala 188:48] + _T_971[27] <= _T_970 @[el2_lib.scala 188:48] + _T_971[28] <= _T_970 @[el2_lib.scala 188:48] + _T_971[29] <= _T_970 @[el2_lib.scala 188:48] + _T_971[30] <= _T_970 @[el2_lib.scala 188:48] + _T_971[31] <= _T_970 @[el2_lib.scala 188:48] + _T_971[32] <= _T_970 @[el2_lib.scala 188:48] + _T_971[33] <= _T_970 @[el2_lib.scala 188:48] + _T_971[34] <= _T_970 @[el2_lib.scala 188:48] + _T_971[35] <= _T_970 @[el2_lib.scala 188:48] + _T_971[36] <= _T_970 @[el2_lib.scala 188:48] + _T_971[37] <= _T_970 @[el2_lib.scala 188:48] + _T_971[38] <= _T_970 @[el2_lib.scala 188:48] + _T_971[39] <= _T_970 @[el2_lib.scala 188:48] + _T_971[40] <= _T_970 @[el2_lib.scala 188:48] + _T_971[41] <= _T_970 @[el2_lib.scala 188:48] + _T_971[42] <= _T_970 @[el2_lib.scala 188:48] + _T_971[43] <= _T_970 @[el2_lib.scala 188:48] + _T_971[44] <= _T_970 @[el2_lib.scala 188:48] + _T_971[45] <= _T_970 @[el2_lib.scala 188:48] + _T_971[46] <= _T_970 @[el2_lib.scala 188:48] + _T_971[47] <= _T_970 @[el2_lib.scala 188:48] + _T_971[48] <= _T_970 @[el2_lib.scala 188:48] + _T_971[49] <= _T_970 @[el2_lib.scala 188:48] + _T_971[50] <= _T_970 @[el2_lib.scala 188:48] + _T_971[51] <= _T_970 @[el2_lib.scala 188:48] + _T_971[52] <= _T_970 @[el2_lib.scala 188:48] + _T_971[53] <= _T_970 @[el2_lib.scala 188:48] + _T_971[54] <= _T_970 @[el2_lib.scala 188:48] + _T_971[55] <= _T_970 @[el2_lib.scala 188:48] + _T_971[56] <= _T_970 @[el2_lib.scala 188:48] + _T_971[57] <= _T_970 @[el2_lib.scala 188:48] + _T_971[58] <= _T_970 @[el2_lib.scala 188:48] + _T_971[59] <= _T_970 @[el2_lib.scala 188:48] + _T_971[60] <= _T_970 @[el2_lib.scala 188:48] + _T_971[61] <= _T_970 @[el2_lib.scala 188:48] + _T_971[62] <= _T_970 @[el2_lib.scala 188:48] + _T_971[63] <= _T_970 @[el2_lib.scala 188:48] node _T_972 = cat(_T_971[0], _T_971[1]) @[Cat.scala 29:58] node _T_973 = cat(_T_972, _T_971[2]) @[Cat.scala 29:58] node _T_974 = cat(_T_973, _T_971[3]) @[Cat.scala 29:58] @@ -1936,78 +1936,78 @@ circuit EL2_IC_DATA : node _T_1032 = cat(_T_1031, _T_971[61]) @[Cat.scala 29:58] node _T_1033 = cat(_T_1032, _T_971[62]) @[Cat.scala 29:58] node _T_1034 = cat(_T_1033, _T_971[63]) @[Cat.scala 29:58] - node _T_1035 = bits(wb_dout_way_pre_0, 118, 71) @[el2_ifu_ic_mem.scala 272:68] - node _T_1036 = bits(wb_dout_way_pre_0, 63, 48) @[el2_ifu_ic_mem.scala 272:113] + node _T_1035 = bits(wb_dout_way_pre_0, 118, 71) @[el2_ifu_ic_mem.scala 257:68] + node _T_1036 = bits(wb_dout_way_pre_0, 63, 48) @[el2_ifu_ic_mem.scala 257:113] node _T_1037 = cat(_T_1035, _T_1036) @[Cat.scala 29:58] - node _T_1038 = and(_T_1034, _T_1037) @[el2_ifu_ic_mem.scala 272:44] - node wb_dout_way_0 = or(_T_968, _T_1038) @[el2_ifu_ic_mem.scala 271:122] - node _T_1039 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 269:31] - node _T_1040 = eq(_T_1039, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 269:36] - wire _T_1041 : UInt<1>[64] @[el2_lib.scala 187:48] - _T_1041[0] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[1] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[2] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[3] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[4] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[5] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[6] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[7] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[8] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[9] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[10] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[11] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[12] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[13] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[14] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[15] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[16] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[17] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[18] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[19] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[20] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[21] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[22] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[23] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[24] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[25] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[26] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[27] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[28] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[29] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[30] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[31] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[32] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[33] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[34] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[35] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[36] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[37] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[38] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[39] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[40] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[41] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[42] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[43] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[44] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[45] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[46] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[47] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[48] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[49] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[50] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[51] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[52] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[53] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[54] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[55] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[56] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[57] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[58] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[59] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[60] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[61] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[62] <= _T_1040 @[el2_lib.scala 187:48] - _T_1041[63] <= _T_1040 @[el2_lib.scala 187:48] + node _T_1038 = and(_T_1034, _T_1037) @[el2_ifu_ic_mem.scala 257:44] + node wb_dout_way_0 = or(_T_968, _T_1038) @[el2_ifu_ic_mem.scala 256:122] + node _T_1039 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 254:31] + node _T_1040 = eq(_T_1039, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 254:36] + wire _T_1041 : UInt<1>[64] @[el2_lib.scala 188:48] + _T_1041[0] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[1] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[2] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[3] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[4] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[5] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[6] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[7] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[8] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[9] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[10] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[11] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[12] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[13] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[14] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[15] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[16] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[17] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[18] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[19] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[20] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[21] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[22] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[23] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[24] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[25] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[26] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[27] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[28] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[29] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[30] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[31] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[32] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[33] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[34] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[35] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[36] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[37] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[38] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[39] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[40] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[41] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[42] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[43] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[44] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[45] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[46] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[47] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[48] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[49] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[50] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[51] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[52] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[53] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[54] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[55] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[56] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[57] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[58] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[59] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[60] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[61] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[62] <= _T_1040 @[el2_lib.scala 188:48] + _T_1041[63] <= _T_1040 @[el2_lib.scala 188:48] node _T_1042 = cat(_T_1041[0], _T_1041[1]) @[Cat.scala 29:58] node _T_1043 = cat(_T_1042, _T_1041[2]) @[Cat.scala 29:58] node _T_1044 = cat(_T_1043, _T_1041[3]) @[Cat.scala 29:58] @@ -2071,75 +2071,75 @@ circuit EL2_IC_DATA : node _T_1102 = cat(_T_1101, _T_1041[61]) @[Cat.scala 29:58] node _T_1103 = cat(_T_1102, _T_1041[62]) @[Cat.scala 29:58] node _T_1104 = cat(_T_1103, _T_1041[63]) @[Cat.scala 29:58] - node _T_1105 = bits(wb_dout_way_pre_1, 63, 0) @[el2_ifu_ic_mem.scala 269:64] - node _T_1106 = and(_T_1104, _T_1105) @[el2_ifu_ic_mem.scala 269:44] - node _T_1107 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 270:31] - node _T_1108 = eq(_T_1107, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 270:36] - wire _T_1109 : UInt<1>[64] @[el2_lib.scala 187:48] - _T_1109[0] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[1] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[2] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[3] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[4] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[5] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[6] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[7] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[8] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[9] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[10] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[11] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[12] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[13] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[14] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[15] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[16] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[17] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[18] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[19] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[20] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[21] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[22] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[23] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[24] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[25] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[26] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[27] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[28] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[29] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[30] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[31] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[32] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[33] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[34] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[35] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[36] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[37] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[38] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[39] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[40] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[41] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[42] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[43] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[44] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[45] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[46] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[47] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[48] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[49] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[50] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[51] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[52] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[53] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[54] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[55] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[56] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[57] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[58] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[59] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[60] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[61] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[62] <= _T_1108 @[el2_lib.scala 187:48] - _T_1109[63] <= _T_1108 @[el2_lib.scala 187:48] + node _T_1105 = bits(wb_dout_way_pre_1, 63, 0) @[el2_ifu_ic_mem.scala 254:64] + node _T_1106 = and(_T_1104, _T_1105) @[el2_ifu_ic_mem.scala 254:44] + node _T_1107 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 255:31] + node _T_1108 = eq(_T_1107, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 255:36] + wire _T_1109 : UInt<1>[64] @[el2_lib.scala 188:48] + _T_1109[0] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[1] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[2] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[3] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[4] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[5] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[6] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[7] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[8] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[9] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[10] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[11] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[12] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[13] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[14] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[15] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[16] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[17] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[18] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[19] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[20] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[21] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[22] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[23] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[24] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[25] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[26] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[27] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[28] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[29] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[30] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[31] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[32] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[33] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[34] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[35] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[36] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[37] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[38] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[39] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[40] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[41] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[42] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[43] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[44] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[45] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[46] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[47] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[48] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[49] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[50] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[51] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[52] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[53] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[54] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[55] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[56] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[57] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[58] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[59] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[60] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[61] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[62] <= _T_1108 @[el2_lib.scala 188:48] + _T_1109[63] <= _T_1108 @[el2_lib.scala 188:48] node _T_1110 = cat(_T_1109[0], _T_1109[1]) @[Cat.scala 29:58] node _T_1111 = cat(_T_1110, _T_1109[2]) @[Cat.scala 29:58] node _T_1112 = cat(_T_1111, _T_1109[3]) @[Cat.scala 29:58] @@ -2203,78 +2203,78 @@ circuit EL2_IC_DATA : node _T_1170 = cat(_T_1169, _T_1109[61]) @[Cat.scala 29:58] node _T_1171 = cat(_T_1170, _T_1109[62]) @[Cat.scala 29:58] node _T_1172 = cat(_T_1171, _T_1109[63]) @[Cat.scala 29:58] - node _T_1173 = bits(wb_dout_way_pre_1, 86, 71) @[el2_ifu_ic_mem.scala 270:68] - node _T_1174 = bits(wb_dout_way_pre_1, 63, 16) @[el2_ifu_ic_mem.scala 270:113] + node _T_1173 = bits(wb_dout_way_pre_1, 86, 71) @[el2_ifu_ic_mem.scala 255:68] + node _T_1174 = bits(wb_dout_way_pre_1, 63, 16) @[el2_ifu_ic_mem.scala 255:113] node _T_1175 = cat(_T_1173, _T_1174) @[Cat.scala 29:58] - node _T_1176 = and(_T_1172, _T_1175) @[el2_ifu_ic_mem.scala 270:44] - node _T_1177 = or(_T_1106, _T_1176) @[el2_ifu_ic_mem.scala 269:71] - node _T_1178 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 271:31] - node _T_1179 = eq(_T_1178, UInt<2>("h02")) @[el2_ifu_ic_mem.scala 271:36] - wire _T_1180 : UInt<1>[64] @[el2_lib.scala 187:48] - _T_1180[0] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[1] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[2] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[3] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[4] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[5] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[6] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[7] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[8] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[9] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[10] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[11] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[12] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[13] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[14] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[15] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[16] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[17] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[18] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[19] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[20] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[21] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[22] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[23] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[24] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[25] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[26] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[27] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[28] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[29] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[30] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[31] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[32] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[33] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[34] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[35] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[36] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[37] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[38] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[39] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[40] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[41] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[42] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[43] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[44] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[45] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[46] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[47] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[48] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[49] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[50] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[51] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[52] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[53] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[54] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[55] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[56] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[57] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[58] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[59] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[60] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[61] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[62] <= _T_1179 @[el2_lib.scala 187:48] - _T_1180[63] <= _T_1179 @[el2_lib.scala 187:48] + node _T_1176 = and(_T_1172, _T_1175) @[el2_ifu_ic_mem.scala 255:44] + node _T_1177 = or(_T_1106, _T_1176) @[el2_ifu_ic_mem.scala 254:71] + node _T_1178 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 256:31] + node _T_1179 = eq(_T_1178, UInt<2>("h02")) @[el2_ifu_ic_mem.scala 256:36] + wire _T_1180 : UInt<1>[64] @[el2_lib.scala 188:48] + _T_1180[0] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[1] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[2] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[3] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[4] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[5] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[6] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[7] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[8] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[9] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[10] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[11] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[12] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[13] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[14] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[15] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[16] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[17] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[18] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[19] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[20] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[21] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[22] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[23] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[24] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[25] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[26] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[27] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[28] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[29] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[30] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[31] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[32] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[33] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[34] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[35] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[36] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[37] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[38] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[39] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[40] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[41] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[42] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[43] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[44] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[45] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[46] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[47] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[48] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[49] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[50] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[51] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[52] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[53] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[54] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[55] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[56] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[57] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[58] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[59] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[60] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[61] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[62] <= _T_1179 @[el2_lib.scala 188:48] + _T_1180[63] <= _T_1179 @[el2_lib.scala 188:48] node _T_1181 = cat(_T_1180[0], _T_1180[1]) @[Cat.scala 29:58] node _T_1182 = cat(_T_1181, _T_1180[2]) @[Cat.scala 29:58] node _T_1183 = cat(_T_1182, _T_1180[3]) @[Cat.scala 29:58] @@ -2338,78 +2338,78 @@ circuit EL2_IC_DATA : node _T_1241 = cat(_T_1240, _T_1180[61]) @[Cat.scala 29:58] node _T_1242 = cat(_T_1241, _T_1180[62]) @[Cat.scala 29:58] node _T_1243 = cat(_T_1242, _T_1180[63]) @[Cat.scala 29:58] - node _T_1244 = bits(wb_dout_way_pre_1, 102, 71) @[el2_ifu_ic_mem.scala 271:68] - node _T_1245 = bits(wb_dout_way_pre_1, 63, 32) @[el2_ifu_ic_mem.scala 271:113] + node _T_1244 = bits(wb_dout_way_pre_1, 102, 71) @[el2_ifu_ic_mem.scala 256:68] + node _T_1245 = bits(wb_dout_way_pre_1, 63, 32) @[el2_ifu_ic_mem.scala 256:113] node _T_1246 = cat(_T_1244, _T_1245) @[Cat.scala 29:58] - node _T_1247 = and(_T_1243, _T_1246) @[el2_ifu_ic_mem.scala 271:44] - node _T_1248 = or(_T_1177, _T_1247) @[el2_ifu_ic_mem.scala 270:122] - node _T_1249 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 272:31] - node _T_1250 = eq(_T_1249, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 272:36] - wire _T_1251 : UInt<1>[64] @[el2_lib.scala 187:48] - _T_1251[0] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[1] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[2] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[3] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[4] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[5] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[6] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[7] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[8] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[9] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[10] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[11] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[12] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[13] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[14] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[15] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[16] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[17] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[18] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[19] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[20] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[21] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[22] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[23] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[24] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[25] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[26] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[27] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[28] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[29] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[30] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[31] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[32] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[33] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[34] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[35] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[36] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[37] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[38] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[39] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[40] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[41] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[42] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[43] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[44] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[45] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[46] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[47] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[48] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[49] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[50] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[51] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[52] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[53] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[54] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[55] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[56] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[57] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[58] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[59] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[60] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[61] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[62] <= _T_1250 @[el2_lib.scala 187:48] - _T_1251[63] <= _T_1250 @[el2_lib.scala 187:48] + node _T_1247 = and(_T_1243, _T_1246) @[el2_ifu_ic_mem.scala 256:44] + node _T_1248 = or(_T_1177, _T_1247) @[el2_ifu_ic_mem.scala 255:122] + node _T_1249 = bits(ic_rw_addr_ff, 2, 1) @[el2_ifu_ic_mem.scala 257:31] + node _T_1250 = eq(_T_1249, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 257:36] + wire _T_1251 : UInt<1>[64] @[el2_lib.scala 188:48] + _T_1251[0] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[1] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[2] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[3] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[4] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[5] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[6] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[7] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[8] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[9] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[10] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[11] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[12] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[13] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[14] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[15] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[16] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[17] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[18] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[19] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[20] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[21] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[22] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[23] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[24] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[25] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[26] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[27] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[28] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[29] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[30] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[31] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[32] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[33] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[34] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[35] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[36] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[37] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[38] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[39] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[40] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[41] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[42] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[43] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[44] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[45] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[46] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[47] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[48] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[49] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[50] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[51] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[52] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[53] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[54] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[55] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[56] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[57] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[58] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[59] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[60] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[61] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[62] <= _T_1250 @[el2_lib.scala 188:48] + _T_1251[63] <= _T_1250 @[el2_lib.scala 188:48] node _T_1252 = cat(_T_1251[0], _T_1251[1]) @[Cat.scala 29:58] node _T_1253 = cat(_T_1252, _T_1251[2]) @[Cat.scala 29:58] node _T_1254 = cat(_T_1253, _T_1251[3]) @[Cat.scala 29:58] @@ -2473,87 +2473,87 @@ circuit EL2_IC_DATA : node _T_1312 = cat(_T_1311, _T_1251[61]) @[Cat.scala 29:58] node _T_1313 = cat(_T_1312, _T_1251[62]) @[Cat.scala 29:58] node _T_1314 = cat(_T_1313, _T_1251[63]) @[Cat.scala 29:58] - node _T_1315 = bits(wb_dout_way_pre_1, 118, 71) @[el2_ifu_ic_mem.scala 272:68] - node _T_1316 = bits(wb_dout_way_pre_1, 63, 48) @[el2_ifu_ic_mem.scala 272:113] + node _T_1315 = bits(wb_dout_way_pre_1, 118, 71) @[el2_ifu_ic_mem.scala 257:68] + node _T_1316 = bits(wb_dout_way_pre_1, 63, 48) @[el2_ifu_ic_mem.scala 257:113] node _T_1317 = cat(_T_1315, _T_1316) @[Cat.scala 29:58] - node _T_1318 = and(_T_1314, _T_1317) @[el2_ifu_ic_mem.scala 272:44] - node wb_dout_way_1 = or(_T_1248, _T_1318) @[el2_ifu_ic_mem.scala 271:122] - node _T_1319 = eq(ic_debug_rd_en_ff, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 275:42] - node ic_rd_hit_q = mux(_T_1319, ic_debug_rd_way_en_ff, io.ic_rd_hit) @[el2_ifu_ic_mem.scala 275:24] - node wb_dout_way_with_premux_0 = mux(io.ic_sel_premux_data, io.ic_premux_data, wb_dout_way_0) @[el2_ifu_ic_mem.scala 276:52] - node wb_dout_way_with_premux_1 = mux(io.ic_sel_premux_data, io.ic_premux_data, wb_dout_way_1) @[el2_ifu_ic_mem.scala 276:52] - io.ic_debug_rd_data <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 278:23] - io.ic_parerr <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 279:16] - io.ic_eccerr <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 280:16] - node _T_1320 = bits(ic_rd_hit_q, 0, 0) @[el2_ifu_ic_mem.scala 282:75] - node _T_1321 = or(_T_1320, io.ic_sel_premux_data) @[el2_ifu_ic_mem.scala 282:79] - node _T_1322 = bits(ic_rd_hit_q, 1, 1) @[el2_ifu_ic_mem.scala 282:75] - node _T_1323 = or(_T_1322, io.ic_sel_premux_data) @[el2_ifu_ic_mem.scala 282:79] - wire _T_1324 : UInt<1>[64] @[el2_lib.scala 187:48] - _T_1324[0] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[1] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[2] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[3] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[4] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[5] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[6] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[7] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[8] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[9] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[10] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[11] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[12] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[13] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[14] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[15] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[16] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[17] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[18] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[19] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[20] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[21] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[22] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[23] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[24] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[25] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[26] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[27] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[28] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[29] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[30] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[31] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[32] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[33] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[34] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[35] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[36] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[37] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[38] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[39] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[40] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[41] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[42] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[43] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[44] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[45] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[46] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[47] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[48] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[49] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[50] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[51] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[52] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[53] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[54] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[55] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[56] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[57] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[58] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[59] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[60] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[61] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[62] <= _T_1321 @[el2_lib.scala 187:48] - _T_1324[63] <= _T_1321 @[el2_lib.scala 187:48] + node _T_1318 = and(_T_1314, _T_1317) @[el2_ifu_ic_mem.scala 257:44] + node wb_dout_way_1 = or(_T_1248, _T_1318) @[el2_ifu_ic_mem.scala 256:122] + node _T_1319 = eq(ic_debug_rd_en_ff, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 260:42] + node ic_rd_hit_q = mux(_T_1319, ic_debug_rd_way_en_ff, io.ic_rd_hit) @[el2_ifu_ic_mem.scala 260:24] + node wb_dout_way_with_premux_0 = mux(io.ic_sel_premux_data, io.ic_premux_data, wb_dout_way_0) @[el2_ifu_ic_mem.scala 261:52] + node wb_dout_way_with_premux_1 = mux(io.ic_sel_premux_data, io.ic_premux_data, wb_dout_way_1) @[el2_ifu_ic_mem.scala 261:52] + io.ic_debug_rd_data <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 263:23] + io.ic_parerr <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 264:16] + io.ic_eccerr <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 265:16] + node _T_1320 = bits(ic_rd_hit_q, 0, 0) @[el2_ifu_ic_mem.scala 267:75] + node _T_1321 = or(_T_1320, io.ic_sel_premux_data) @[el2_ifu_ic_mem.scala 267:79] + node _T_1322 = bits(ic_rd_hit_q, 1, 1) @[el2_ifu_ic_mem.scala 267:75] + node _T_1323 = or(_T_1322, io.ic_sel_premux_data) @[el2_ifu_ic_mem.scala 267:79] + wire _T_1324 : UInt<1>[64] @[el2_lib.scala 188:48] + _T_1324[0] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[1] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[2] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[3] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[4] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[5] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[6] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[7] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[8] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[9] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[10] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[11] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[12] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[13] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[14] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[15] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[16] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[17] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[18] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[19] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[20] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[21] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[22] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[23] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[24] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[25] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[26] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[27] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[28] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[29] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[30] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[31] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[32] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[33] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[34] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[35] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[36] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[37] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[38] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[39] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[40] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[41] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[42] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[43] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[44] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[45] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[46] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[47] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[48] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[49] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[50] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[51] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[52] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[53] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[54] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[55] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[56] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[57] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[58] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[59] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[60] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[61] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[62] <= _T_1321 @[el2_lib.scala 188:48] + _T_1324[63] <= _T_1321 @[el2_lib.scala 188:48] node _T_1325 = cat(_T_1324[0], _T_1324[1]) @[Cat.scala 29:58] node _T_1326 = cat(_T_1325, _T_1324[2]) @[Cat.scala 29:58] node _T_1327 = cat(_T_1326, _T_1324[3]) @[Cat.scala 29:58] @@ -2617,72 +2617,72 @@ circuit EL2_IC_DATA : node _T_1385 = cat(_T_1384, _T_1324[61]) @[Cat.scala 29:58] node _T_1386 = cat(_T_1385, _T_1324[62]) @[Cat.scala 29:58] node _T_1387 = cat(_T_1386, _T_1324[63]) @[Cat.scala 29:58] - node _T_1388 = and(_T_1387, wb_dout_way_with_premux_0) @[el2_lib.scala 189:94] - wire _T_1389 : UInt<1>[64] @[el2_lib.scala 187:48] - _T_1389[0] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[1] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[2] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[3] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[4] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[5] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[6] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[7] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[8] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[9] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[10] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[11] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[12] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[13] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[14] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[15] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[16] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[17] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[18] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[19] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[20] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[21] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[22] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[23] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[24] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[25] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[26] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[27] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[28] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[29] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[30] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[31] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[32] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[33] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[34] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[35] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[36] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[37] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[38] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[39] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[40] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[41] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[42] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[43] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[44] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[45] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[46] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[47] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[48] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[49] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[50] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[51] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[52] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[53] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[54] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[55] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[56] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[57] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[58] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[59] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[60] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[61] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[62] <= _T_1323 @[el2_lib.scala 187:48] - _T_1389[63] <= _T_1323 @[el2_lib.scala 187:48] + node _T_1388 = and(_T_1387, wb_dout_way_with_premux_0) @[el2_lib.scala 190:94] + wire _T_1389 : UInt<1>[64] @[el2_lib.scala 188:48] + _T_1389[0] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[1] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[2] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[3] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[4] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[5] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[6] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[7] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[8] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[9] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[10] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[11] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[12] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[13] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[14] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[15] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[16] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[17] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[18] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[19] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[20] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[21] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[22] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[23] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[24] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[25] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[26] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[27] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[28] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[29] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[30] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[31] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[32] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[33] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[34] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[35] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[36] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[37] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[38] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[39] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[40] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[41] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[42] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[43] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[44] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[45] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[46] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[47] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[48] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[49] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[50] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[51] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[52] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[53] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[54] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[55] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[56] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[57] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[58] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[59] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[60] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[61] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[62] <= _T_1323 @[el2_lib.scala 188:48] + _T_1389[63] <= _T_1323 @[el2_lib.scala 188:48] node _T_1390 = cat(_T_1389[0], _T_1389[1]) @[Cat.scala 29:58] node _T_1391 = cat(_T_1390, _T_1389[2]) @[Cat.scala 29:58] node _T_1392 = cat(_T_1391, _T_1389[3]) @[Cat.scala 29:58] @@ -2746,85 +2746,85 @@ circuit EL2_IC_DATA : node _T_1450 = cat(_T_1449, _T_1389[61]) @[Cat.scala 29:58] node _T_1451 = cat(_T_1450, _T_1389[62]) @[Cat.scala 29:58] node _T_1452 = cat(_T_1451, _T_1389[63]) @[Cat.scala 29:58] - node _T_1453 = and(_T_1452, wb_dout_way_with_premux_1) @[el2_lib.scala 189:94] - node _T_1454 = or(_T_1388, _T_1453) @[el2_lib.scala 189:110] - io.ic_rd_data <= _T_1454 @[el2_ifu_ic_mem.scala 282:17] - node _T_1455 = bits(ic_rd_hit_q, 0, 0) @[el2_ifu_ic_mem.scala 284:81] - node _T_1456 = bits(ic_rd_hit_q, 1, 1) @[el2_ifu_ic_mem.scala 284:81] - node _T_1457 = bits(wb_dout_way_pre_0, 70, 0) @[el2_ifu_ic_mem.scala 285:53] - node _T_1458 = bits(wb_dout_way_pre_1, 70, 0) @[el2_ifu_ic_mem.scala 285:53] - wire _T_1459 : UInt<1>[71] @[el2_lib.scala 187:48] - _T_1459[0] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[1] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[2] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[3] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[4] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[5] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[6] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[7] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[8] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[9] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[10] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[11] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[12] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[13] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[14] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[15] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[16] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[17] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[18] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[19] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[20] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[21] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[22] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[23] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[24] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[25] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[26] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[27] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[28] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[29] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[30] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[31] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[32] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[33] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[34] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[35] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[36] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[37] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[38] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[39] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[40] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[41] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[42] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[43] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[44] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[45] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[46] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[47] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[48] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[49] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[50] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[51] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[52] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[53] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[54] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[55] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[56] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[57] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[58] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[59] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[60] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[61] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[62] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[63] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[64] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[65] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[66] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[67] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[68] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[69] <= _T_1455 @[el2_lib.scala 187:48] - _T_1459[70] <= _T_1455 @[el2_lib.scala 187:48] + node _T_1453 = and(_T_1452, wb_dout_way_with_premux_1) @[el2_lib.scala 190:94] + node _T_1454 = or(_T_1388, _T_1453) @[el2_lib.scala 190:110] + io.ic_rd_data <= _T_1454 @[el2_ifu_ic_mem.scala 267:17] + node _T_1455 = bits(ic_rd_hit_q, 0, 0) @[el2_ifu_ic_mem.scala 269:81] + node _T_1456 = bits(ic_rd_hit_q, 1, 1) @[el2_ifu_ic_mem.scala 269:81] + node _T_1457 = bits(wb_dout_way_pre_0, 70, 0) @[el2_ifu_ic_mem.scala 270:53] + node _T_1458 = bits(wb_dout_way_pre_1, 70, 0) @[el2_ifu_ic_mem.scala 270:53] + wire _T_1459 : UInt<1>[71] @[el2_lib.scala 188:48] + _T_1459[0] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[1] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[2] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[3] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[4] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[5] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[6] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[7] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[8] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[9] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[10] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[11] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[12] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[13] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[14] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[15] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[16] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[17] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[18] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[19] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[20] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[21] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[22] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[23] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[24] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[25] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[26] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[27] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[28] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[29] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[30] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[31] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[32] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[33] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[34] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[35] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[36] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[37] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[38] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[39] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[40] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[41] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[42] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[43] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[44] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[45] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[46] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[47] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[48] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[49] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[50] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[51] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[52] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[53] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[54] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[55] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[56] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[57] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[58] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[59] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[60] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[61] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[62] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[63] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[64] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[65] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[66] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[67] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[68] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[69] <= _T_1455 @[el2_lib.scala 188:48] + _T_1459[70] <= _T_1455 @[el2_lib.scala 188:48] node _T_1460 = cat(_T_1459[0], _T_1459[1]) @[Cat.scala 29:58] node _T_1461 = cat(_T_1460, _T_1459[2]) @[Cat.scala 29:58] node _T_1462 = cat(_T_1461, _T_1459[3]) @[Cat.scala 29:58] @@ -2895,79 +2895,79 @@ circuit EL2_IC_DATA : node _T_1527 = cat(_T_1526, _T_1459[68]) @[Cat.scala 29:58] node _T_1528 = cat(_T_1527, _T_1459[69]) @[Cat.scala 29:58] node _T_1529 = cat(_T_1528, _T_1459[70]) @[Cat.scala 29:58] - node _T_1530 = and(_T_1529, _T_1457) @[el2_lib.scala 189:94] - wire _T_1531 : UInt<1>[71] @[el2_lib.scala 187:48] - _T_1531[0] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[1] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[2] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[3] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[4] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[5] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[6] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[7] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[8] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[9] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[10] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[11] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[12] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[13] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[14] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[15] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[16] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[17] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[18] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[19] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[20] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[21] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[22] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[23] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[24] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[25] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[26] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[27] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[28] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[29] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[30] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[31] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[32] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[33] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[34] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[35] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[36] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[37] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[38] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[39] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[40] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[41] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[42] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[43] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[44] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[45] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[46] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[47] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[48] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[49] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[50] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[51] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[52] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[53] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[54] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[55] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[56] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[57] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[58] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[59] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[60] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[61] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[62] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[63] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[64] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[65] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[66] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[67] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[68] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[69] <= _T_1456 @[el2_lib.scala 187:48] - _T_1531[70] <= _T_1456 @[el2_lib.scala 187:48] + node _T_1530 = and(_T_1529, _T_1457) @[el2_lib.scala 190:94] + wire _T_1531 : UInt<1>[71] @[el2_lib.scala 188:48] + _T_1531[0] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[1] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[2] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[3] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[4] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[5] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[6] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[7] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[8] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[9] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[10] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[11] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[12] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[13] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[14] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[15] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[16] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[17] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[18] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[19] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[20] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[21] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[22] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[23] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[24] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[25] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[26] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[27] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[28] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[29] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[30] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[31] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[32] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[33] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[34] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[35] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[36] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[37] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[38] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[39] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[40] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[41] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[42] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[43] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[44] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[45] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[46] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[47] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[48] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[49] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[50] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[51] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[52] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[53] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[54] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[55] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[56] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[57] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[58] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[59] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[60] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[61] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[62] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[63] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[64] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[65] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[66] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[67] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[68] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[69] <= _T_1456 @[el2_lib.scala 188:48] + _T_1531[70] <= _T_1456 @[el2_lib.scala 188:48] node _T_1532 = cat(_T_1531[0], _T_1531[1]) @[Cat.scala 29:58] node _T_1533 = cat(_T_1532, _T_1531[2]) @[Cat.scala 29:58] node _T_1534 = cat(_T_1533, _T_1531[3]) @[Cat.scala 29:58] @@ -3038,154 +3038,154 @@ circuit EL2_IC_DATA : node _T_1599 = cat(_T_1598, _T_1531[68]) @[Cat.scala 29:58] node _T_1600 = cat(_T_1599, _T_1531[69]) @[Cat.scala 29:58] node _T_1601 = cat(_T_1600, _T_1531[70]) @[Cat.scala 29:58] - node _T_1602 = and(_T_1601, _T_1458) @[el2_lib.scala 189:94] - node _T_1603 = or(_T_1530, _T_1602) @[el2_lib.scala 189:110] - io.ic_debug_rd_data <= _T_1603 @[el2_ifu_ic_mem.scala 284:23] - node _T_1604 = bits(ic_rd_hit_q, 0, 0) @[el2_ifu_ic_mem.scala 286:76] - node _T_1605 = bits(ic_rd_hit_q, 1, 1) @[el2_ifu_ic_mem.scala 286:76] - wire _T_1606 : UInt<1>[142] @[el2_lib.scala 187:48] - _T_1606[0] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[1] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[2] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[3] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[4] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[5] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[6] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[7] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[8] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[9] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[10] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[11] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[12] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[13] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[14] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[15] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[16] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[17] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[18] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[19] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[20] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[21] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[22] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[23] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[24] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[25] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[26] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[27] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[28] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[29] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[30] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[31] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[32] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[33] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[34] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[35] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[36] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[37] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[38] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[39] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[40] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[41] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[42] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[43] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[44] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[45] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[46] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[47] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[48] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[49] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[50] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[51] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[52] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[53] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[54] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[55] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[56] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[57] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[58] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[59] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[60] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[61] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[62] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[63] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[64] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[65] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[66] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[67] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[68] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[69] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[70] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[71] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[72] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[73] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[74] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[75] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[76] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[77] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[78] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[79] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[80] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[81] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[82] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[83] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[84] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[85] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[86] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[87] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[88] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[89] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[90] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[91] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[92] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[93] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[94] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[95] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[96] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[97] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[98] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[99] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[100] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[101] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[102] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[103] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[104] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[105] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[106] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[107] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[108] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[109] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[110] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[111] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[112] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[113] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[114] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[115] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[116] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[117] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[118] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[119] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[120] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[121] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[122] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[123] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[124] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[125] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[126] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[127] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[128] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[129] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[130] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[131] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[132] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[133] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[134] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[135] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[136] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[137] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[138] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[139] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[140] <= _T_1604 @[el2_lib.scala 187:48] - _T_1606[141] <= _T_1604 @[el2_lib.scala 187:48] + node _T_1602 = and(_T_1601, _T_1458) @[el2_lib.scala 190:94] + node _T_1603 = or(_T_1530, _T_1602) @[el2_lib.scala 190:110] + io.ic_debug_rd_data <= _T_1603 @[el2_ifu_ic_mem.scala 269:23] + node _T_1604 = bits(ic_rd_hit_q, 0, 0) @[el2_ifu_ic_mem.scala 271:76] + node _T_1605 = bits(ic_rd_hit_q, 1, 1) @[el2_ifu_ic_mem.scala 271:76] + wire _T_1606 : UInt<1>[142] @[el2_lib.scala 188:48] + _T_1606[0] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[1] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[2] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[3] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[4] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[5] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[6] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[7] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[8] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[9] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[10] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[11] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[12] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[13] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[14] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[15] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[16] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[17] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[18] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[19] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[20] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[21] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[22] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[23] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[24] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[25] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[26] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[27] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[28] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[29] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[30] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[31] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[32] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[33] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[34] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[35] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[36] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[37] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[38] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[39] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[40] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[41] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[42] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[43] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[44] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[45] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[46] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[47] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[48] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[49] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[50] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[51] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[52] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[53] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[54] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[55] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[56] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[57] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[58] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[59] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[60] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[61] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[62] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[63] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[64] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[65] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[66] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[67] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[68] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[69] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[70] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[71] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[72] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[73] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[74] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[75] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[76] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[77] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[78] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[79] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[80] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[81] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[82] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[83] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[84] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[85] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[86] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[87] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[88] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[89] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[90] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[91] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[92] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[93] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[94] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[95] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[96] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[97] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[98] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[99] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[100] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[101] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[102] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[103] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[104] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[105] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[106] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[107] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[108] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[109] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[110] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[111] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[112] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[113] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[114] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[115] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[116] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[117] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[118] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[119] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[120] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[121] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[122] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[123] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[124] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[125] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[126] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[127] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[128] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[129] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[130] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[131] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[132] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[133] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[134] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[135] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[136] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[137] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[138] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[139] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[140] <= _T_1604 @[el2_lib.scala 188:48] + _T_1606[141] <= _T_1604 @[el2_lib.scala 188:48] node _T_1607 = cat(_T_1606[0], _T_1606[1]) @[Cat.scala 29:58] node _T_1608 = cat(_T_1607, _T_1606[2]) @[Cat.scala 29:58] node _T_1609 = cat(_T_1608, _T_1606[3]) @[Cat.scala 29:58] @@ -3327,150 +3327,150 @@ circuit EL2_IC_DATA : node _T_1745 = cat(_T_1744, _T_1606[139]) @[Cat.scala 29:58] node _T_1746 = cat(_T_1745, _T_1606[140]) @[Cat.scala 29:58] node _T_1747 = cat(_T_1746, _T_1606[141]) @[Cat.scala 29:58] - node _T_1748 = and(_T_1747, wb_dout_way_pre_0) @[el2_lib.scala 189:94] - wire _T_1749 : UInt<1>[142] @[el2_lib.scala 187:48] - _T_1749[0] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[1] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[2] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[3] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[4] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[5] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[6] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[7] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[8] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[9] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[10] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[11] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[12] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[13] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[14] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[15] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[16] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[17] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[18] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[19] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[20] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[21] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[22] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[23] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[24] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[25] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[26] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[27] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[28] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[29] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[30] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[31] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[32] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[33] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[34] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[35] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[36] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[37] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[38] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[39] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[40] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[41] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[42] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[43] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[44] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[45] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[46] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[47] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[48] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[49] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[50] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[51] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[52] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[53] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[54] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[55] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[56] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[57] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[58] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[59] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[60] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[61] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[62] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[63] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[64] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[65] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[66] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[67] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[68] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[69] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[70] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[71] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[72] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[73] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[74] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[75] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[76] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[77] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[78] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[79] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[80] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[81] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[82] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[83] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[84] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[85] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[86] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[87] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[88] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[89] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[90] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[91] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[92] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[93] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[94] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[95] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[96] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[97] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[98] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[99] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[100] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[101] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[102] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[103] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[104] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[105] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[106] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[107] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[108] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[109] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[110] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[111] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[112] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[113] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[114] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[115] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[116] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[117] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[118] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[119] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[120] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[121] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[122] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[123] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[124] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[125] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[126] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[127] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[128] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[129] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[130] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[131] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[132] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[133] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[134] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[135] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[136] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[137] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[138] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[139] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[140] <= _T_1605 @[el2_lib.scala 187:48] - _T_1749[141] <= _T_1605 @[el2_lib.scala 187:48] + node _T_1748 = and(_T_1747, wb_dout_way_pre_0) @[el2_lib.scala 190:94] + wire _T_1749 : UInt<1>[142] @[el2_lib.scala 188:48] + _T_1749[0] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[1] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[2] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[3] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[4] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[5] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[6] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[7] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[8] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[9] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[10] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[11] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[12] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[13] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[14] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[15] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[16] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[17] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[18] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[19] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[20] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[21] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[22] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[23] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[24] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[25] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[26] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[27] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[28] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[29] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[30] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[31] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[32] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[33] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[34] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[35] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[36] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[37] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[38] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[39] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[40] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[41] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[42] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[43] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[44] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[45] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[46] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[47] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[48] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[49] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[50] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[51] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[52] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[53] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[54] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[55] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[56] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[57] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[58] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[59] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[60] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[61] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[62] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[63] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[64] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[65] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[66] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[67] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[68] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[69] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[70] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[71] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[72] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[73] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[74] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[75] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[76] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[77] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[78] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[79] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[80] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[81] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[82] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[83] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[84] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[85] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[86] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[87] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[88] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[89] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[90] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[91] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[92] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[93] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[94] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[95] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[96] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[97] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[98] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[99] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[100] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[101] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[102] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[103] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[104] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[105] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[106] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[107] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[108] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[109] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[110] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[111] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[112] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[113] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[114] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[115] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[116] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[117] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[118] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[119] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[120] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[121] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[122] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[123] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[124] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[125] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[126] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[127] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[128] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[129] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[130] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[131] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[132] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[133] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[134] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[135] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[136] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[137] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[138] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[139] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[140] <= _T_1605 @[el2_lib.scala 188:48] + _T_1749[141] <= _T_1605 @[el2_lib.scala 188:48] node _T_1750 = cat(_T_1749[0], _T_1749[1]) @[Cat.scala 29:58] node _T_1751 = cat(_T_1750, _T_1749[2]) @[Cat.scala 29:58] node _T_1752 = cat(_T_1751, _T_1749[3]) @[Cat.scala 29:58] @@ -3612,11 +3612,11 @@ circuit EL2_IC_DATA : node _T_1888 = cat(_T_1887, _T_1749[139]) @[Cat.scala 29:58] node _T_1889 = cat(_T_1888, _T_1749[140]) @[Cat.scala 29:58] node _T_1890 = cat(_T_1889, _T_1749[141]) @[Cat.scala 29:58] - node _T_1891 = and(_T_1890, wb_dout_way_pre_1) @[el2_lib.scala 189:94] - node wb_dout_ecc = or(_T_1748, _T_1891) @[el2_lib.scala 189:110] - io.test_port2 <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 288:17] - io.test_port[0][0] <= wb_dout[0][0] @[el2_ifu_ic_mem.scala 289:16] - io.test_port[0][1] <= wb_dout[0][1] @[el2_ifu_ic_mem.scala 289:16] - io.test_port[1][0] <= wb_dout[1][0] @[el2_ifu_ic_mem.scala 289:16] - io.test_port[1][1] <= wb_dout[1][1] @[el2_ifu_ic_mem.scala 289:16] + node _T_1891 = and(_T_1890, wb_dout_way_pre_1) @[el2_lib.scala 190:94] + node wb_dout_ecc = or(_T_1748, _T_1891) @[el2_lib.scala 190:110] + io.test_port2 <= UInt<1>("h00") @[el2_ifu_ic_mem.scala 273:17] + io.test_port[0][0] <= wb_dout[0][0] @[el2_ifu_ic_mem.scala 274:16] + io.test_port[0][1] <= wb_dout[0][1] @[el2_ifu_ic_mem.scala 274:16] + io.test_port[1][0] <= wb_dout[1][0] @[el2_ifu_ic_mem.scala 274:16] + io.test_port[1][1] <= wb_dout[1][1] @[el2_ifu_ic_mem.scala 274:16] diff --git a/EL2_IC_DATA.v b/EL2_IC_DATA.v index ae6836c9..5ede3ca2 100644 --- a/EL2_IC_DATA.v +++ b/EL2_IC_DATA.v @@ -55,188 +55,188 @@ module EL2_IC_DATA( reg [31:0] _RAND_21; reg [31:0] _RAND_22; `endif // RANDOMIZE_REG_INIT - reg [70:0] data_mem_0_0 [0:511]; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_0__T_137_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_0__T_137_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_0__T_144_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_0__T_144_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_0__T_151_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_0__T_151_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_0__T_158_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_0__T_158_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_0__T_130_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_0__T_130_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_0__T_130_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_0__T_130_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_0__T_135_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_0__T_135_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_0__T_135_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_0__T_135_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_0__T_142_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_0__T_142_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_0__T_142_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_0__T_142_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_0__T_149_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_0__T_149_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_0__T_149_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_0__T_149_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_0__T_156_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_0__T_156_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_0__T_156_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_0__T_156_en; // @[el2_ifu_ic_mem.scala 245:29] + reg [70:0] data_mem_0_0 [0:511]; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_0__T_137_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_0__T_137_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_0__T_144_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_0__T_144_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_0__T_151_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_0__T_151_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_0__T_158_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_0__T_158_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_0__T_130_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_0__T_130_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_0__T_130_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_0__T_130_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_0__T_135_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_0__T_135_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_0__T_135_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_0__T_135_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_0__T_142_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_0__T_142_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_0__T_142_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_0__T_142_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_0__T_149_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_0__T_149_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_0__T_149_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_0__T_149_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_0__T_156_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_0__T_156_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_0__T_156_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_0__T_156_en; // @[el2_ifu_ic_mem.scala 230:29] reg [8:0] data_mem_0_0__T_137_addr_pipe_0; reg [8:0] data_mem_0_0__T_144_addr_pipe_0; reg [8:0] data_mem_0_0__T_151_addr_pipe_0; reg [8:0] data_mem_0_0__T_158_addr_pipe_0; - reg [70:0] data_mem_0_1 [0:511]; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_1__T_137_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_1__T_137_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_1__T_144_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_1__T_144_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_1__T_151_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_1__T_151_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_1__T_158_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_1__T_158_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_1__T_130_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_1__T_130_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_1__T_130_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_1__T_130_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_1__T_135_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_1__T_135_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_1__T_135_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_1__T_135_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_1__T_142_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_1__T_142_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_1__T_142_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_1__T_142_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_1__T_149_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_1__T_149_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_1__T_149_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_1__T_149_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_0_1__T_156_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_0_1__T_156_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_1__T_156_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_0_1__T_156_en; // @[el2_ifu_ic_mem.scala 245:29] + reg [70:0] data_mem_0_1 [0:511]; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_1__T_137_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_1__T_137_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_1__T_144_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_1__T_144_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_1__T_151_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_1__T_151_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_1__T_158_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_1__T_158_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_1__T_130_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_1__T_130_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_1__T_130_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_1__T_130_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_1__T_135_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_1__T_135_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_1__T_135_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_1__T_135_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_1__T_142_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_1__T_142_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_1__T_142_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_1__T_142_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_1__T_149_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_1__T_149_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_1__T_149_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_1__T_149_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_0_1__T_156_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_0_1__T_156_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_1__T_156_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_0_1__T_156_en; // @[el2_ifu_ic_mem.scala 230:29] reg [8:0] data_mem_0_1__T_137_addr_pipe_0; reg [8:0] data_mem_0_1__T_144_addr_pipe_0; reg [8:0] data_mem_0_1__T_151_addr_pipe_0; reg [8:0] data_mem_0_1__T_158_addr_pipe_0; - reg [70:0] data_mem_1_0 [0:511]; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_0__T_137_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_0__T_137_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_0__T_144_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_0__T_144_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_0__T_151_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_0__T_151_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_0__T_158_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_0__T_158_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_0__T_130_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_0__T_130_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_0__T_130_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_0__T_130_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_0__T_135_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_0__T_135_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_0__T_135_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_0__T_135_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_0__T_142_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_0__T_142_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_0__T_142_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_0__T_142_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_0__T_149_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_0__T_149_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_0__T_149_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_0__T_149_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_0__T_156_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_0__T_156_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_0__T_156_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_0__T_156_en; // @[el2_ifu_ic_mem.scala 245:29] + reg [70:0] data_mem_1_0 [0:511]; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_0__T_137_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_0__T_137_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_0__T_144_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_0__T_144_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_0__T_151_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_0__T_151_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_0__T_158_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_0__T_158_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_0__T_130_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_0__T_130_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_0__T_130_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_0__T_130_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_0__T_135_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_0__T_135_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_0__T_135_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_0__T_135_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_0__T_142_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_0__T_142_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_0__T_142_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_0__T_142_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_0__T_149_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_0__T_149_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_0__T_149_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_0__T_149_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_0__T_156_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_0__T_156_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_0__T_156_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_0__T_156_en; // @[el2_ifu_ic_mem.scala 230:29] reg [8:0] data_mem_1_0__T_137_addr_pipe_0; reg [8:0] data_mem_1_0__T_144_addr_pipe_0; reg [8:0] data_mem_1_0__T_151_addr_pipe_0; reg [8:0] data_mem_1_0__T_158_addr_pipe_0; - reg [70:0] data_mem_1_1 [0:511]; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_1__T_137_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_1__T_137_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_1__T_144_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_1__T_144_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_1__T_151_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_1__T_151_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_1__T_158_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_1__T_158_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_1__T_130_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_1__T_130_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_1__T_130_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_1__T_130_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_1__T_135_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_1__T_135_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_1__T_135_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_1__T_135_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_1__T_142_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_1__T_142_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_1__T_142_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_1__T_142_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_1__T_149_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_1__T_149_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_1__T_149_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_1__T_149_en; // @[el2_ifu_ic_mem.scala 245:29] - wire [70:0] data_mem_1_1__T_156_data; // @[el2_ifu_ic_mem.scala 245:29] - wire [8:0] data_mem_1_1__T_156_addr; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_1__T_156_mask; // @[el2_ifu_ic_mem.scala 245:29] - wire data_mem_1_1__T_156_en; // @[el2_ifu_ic_mem.scala 245:29] + reg [70:0] data_mem_1_1 [0:511]; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_1__T_137_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_1__T_137_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_1__T_144_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_1__T_144_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_1__T_151_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_1__T_151_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_1__T_158_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_1__T_158_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_1__T_130_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_1__T_130_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_1__T_130_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_1__T_130_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_1__T_135_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_1__T_135_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_1__T_135_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_1__T_135_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_1__T_142_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_1__T_142_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_1__T_142_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_1__T_142_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_1__T_149_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_1__T_149_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_1__T_149_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_1__T_149_en; // @[el2_ifu_ic_mem.scala 230:29] + wire [70:0] data_mem_1_1__T_156_data; // @[el2_ifu_ic_mem.scala 230:29] + wire [8:0] data_mem_1_1__T_156_addr; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_1__T_156_mask; // @[el2_ifu_ic_mem.scala 230:29] + wire data_mem_1_1__T_156_en; // @[el2_ifu_ic_mem.scala 230:29] reg [8:0] data_mem_1_1__T_137_addr_pipe_0; reg [8:0] data_mem_1_1__T_144_addr_pipe_0; reg [8:0] data_mem_1_1__T_151_addr_pipe_0; reg [8:0] data_mem_1_1__T_158_addr_pipe_0; - wire _T = ~io_ic_debug_tag_array; // @[el2_ifu_ic_mem.scala 210:70] - wire _T_1 = io_ic_debug_rd_en & _T; // @[el2_ifu_ic_mem.scala 210:68] + wire _T = ~io_ic_debug_tag_array; // @[el2_ifu_ic_mem.scala 195:70] + wire _T_1 = io_ic_debug_rd_en & _T; // @[el2_ifu_ic_mem.scala 195:68] wire [1:0] _T_3 = {_T_1,_T_1}; // @[Cat.scala 29:58] - wire [1:0] ic_debug_rd_way_en = _T_3 & io_ic_debug_way; // @[el2_ifu_ic_mem.scala 210:94] - wire _T_5 = io_ic_debug_wr_en & _T; // @[el2_ifu_ic_mem.scala 211:68] + wire [1:0] ic_debug_rd_way_en = _T_3 & io_ic_debug_way; // @[el2_ifu_ic_mem.scala 195:94] + wire _T_5 = io_ic_debug_wr_en & _T; // @[el2_ifu_ic_mem.scala 196:68] wire [1:0] _T_7 = {_T_5,_T_5}; // @[Cat.scala 29:58] - wire [1:0] ic_debug_wr_way_en = _T_7 & io_ic_debug_way; // @[el2_ifu_ic_mem.scala 211:94] - wire _T_9 = ~io_ic_debug_addr[3]; // @[el2_ifu_ic_mem.scala 213:107] + wire [1:0] ic_debug_wr_way_en = _T_7 & io_ic_debug_way; // @[el2_ifu_ic_mem.scala 196:94] + wire _T_9 = ~io_ic_debug_addr[3]; // @[el2_ifu_ic_mem.scala 198:107] wire [1:0] _T_11 = {_T_9,_T_9}; // @[Cat.scala 29:58] - wire [1:0] _T_12 = ic_debug_wr_way_en & _T_11; // @[el2_ifu_ic_mem.scala 213:36] - wire [1:0] _T_13 = io_ic_wr_en | _T_12; // @[el2_ifu_ic_mem.scala 213:16] + wire [1:0] _T_12 = ic_debug_wr_way_en & _T_11; // @[el2_ifu_ic_mem.scala 198:36] + wire [1:0] _T_13 = io_ic_wr_en | _T_12; // @[el2_ifu_ic_mem.scala 198:16] wire [1:0] _T_17 = {io_ic_debug_addr[3],io_ic_debug_addr[3]}; // @[Cat.scala 29:58] - wire [1:0] _T_18 = ic_debug_wr_way_en & _T_17; // @[el2_ifu_ic_mem.scala 213:36] - wire [1:0] _T_19 = io_ic_wr_en | _T_18; // @[el2_ifu_ic_mem.scala 213:16] - wire _T_23 = _T_9 & io_ic_debug_wr_en; // @[el2_ifu_ic_mem.scala 215:66] - wire [70:0] _T_25 = _T_23 ? io_ic_debug_wr_data : io_ic_wr_data_0; // @[el2_ifu_ic_mem.scala 215:8] - wire _T_28 = io_ic_debug_addr[3] & io_ic_debug_wr_en; // @[el2_ifu_ic_mem.scala 215:66] - wire [70:0] _T_30 = _T_28 ? io_ic_debug_wr_data : io_ic_wr_data_1; // @[el2_ifu_ic_mem.scala 215:8] - wire _T_32 = io_ic_debug_rd_en | io_ic_debug_wr_en; // @[el2_ifu_ic_mem.scala 216:49] + wire [1:0] _T_18 = ic_debug_wr_way_en & _T_17; // @[el2_ifu_ic_mem.scala 198:36] + wire [1:0] _T_19 = io_ic_wr_en | _T_18; // @[el2_ifu_ic_mem.scala 198:16] + wire _T_23 = _T_9 & io_ic_debug_wr_en; // @[el2_ifu_ic_mem.scala 200:66] + wire [70:0] _T_25 = _T_23 ? io_ic_debug_wr_data : io_ic_wr_data_0; // @[el2_ifu_ic_mem.scala 200:8] + wire _T_28 = io_ic_debug_addr[3] & io_ic_debug_wr_en; // @[el2_ifu_ic_mem.scala 200:66] + wire [70:0] _T_30 = _T_28 ? io_ic_debug_wr_data : io_ic_wr_data_1; // @[el2_ifu_ic_mem.scala 200:8] + wire _T_32 = io_ic_debug_rd_en | io_ic_debug_wr_en; // @[el2_ifu_ic_mem.scala 201:49] wire [11:0] _T_35 = {io_ic_debug_addr[12:3],2'h0}; // @[Cat.scala 29:58] - wire [11:0] _T_37 = _T_32 ? _T_35 : io_ic_rw_addr[12:1]; // @[el2_ifu_ic_mem.scala 216:29] + wire [11:0] _T_37 = _T_32 ? _T_35 : io_ic_rw_addr[12:1]; // @[el2_ifu_ic_mem.scala 201:29] wire [12:0] ic_rw_addr_q = {_T_37,1'h0}; // @[Cat.scala 29:58] - wire _T_38 = io_ic_rd_en | io_ic_debug_rd_en; // @[el2_ifu_ic_mem.scala 217:44] - wire _T_39 = |io_ic_wr_en; // @[el2_ifu_ic_mem.scala 217:82] - wire _T_40 = ~_T_39; // @[el2_ifu_ic_mem.scala 217:68] - wire ic_rd_en_with_debug = _T_38 & _T_40; // @[el2_ifu_ic_mem.scala 217:66] - wire _T_43 = ~ic_rw_addr_q[3]; // @[el2_ifu_ic_mem.scala 219:15] - wire _T_47 = ic_rw_addr_q[2:1] == 2'h3; // @[el2_ifu_ic_mem.scala 220:55] - wire _T_48 = ic_rw_addr_q[3] & _T_47; // @[el2_ifu_ic_mem.scala 220:36] - wire _T_58 = _T_43 & _T_47; // @[el2_ifu_ic_mem.scala 222:37] + wire _T_38 = io_ic_rd_en | io_ic_debug_rd_en; // @[el2_ifu_ic_mem.scala 202:44] + wire _T_39 = |io_ic_wr_en; // @[el2_ifu_ic_mem.scala 202:82] + wire _T_40 = ~_T_39; // @[el2_ifu_ic_mem.scala 202:68] + wire ic_rd_en_with_debug = _T_38 & _T_40; // @[el2_ifu_ic_mem.scala 202:66] + wire _T_43 = ~ic_rw_addr_q[3]; // @[el2_ifu_ic_mem.scala 204:15] + wire _T_47 = ic_rw_addr_q[2:1] == 2'h3; // @[el2_ifu_ic_mem.scala 205:55] + wire _T_48 = ic_rw_addr_q[3] & _T_47; // @[el2_ifu_ic_mem.scala 205:36] + wire _T_58 = _T_43 & _T_47; // @[el2_ifu_ic_mem.scala 207:37] wire _T_95 = ic_rw_addr_q[3] | _T_58; // @[Mux.scala 27:72] - wire ic_b_rden_0 = _T_95 & ic_rd_en_with_debug; // @[el2_ifu_ic_mem.scala 222:107] + wire ic_b_rden_0 = _T_95 & ic_rd_en_with_debug; // @[el2_ifu_ic_mem.scala 207:107] wire [1:0] _T_99 = {ic_b_rden_0,ic_b_rden_0}; // @[Cat.scala 29:58] - wire [1:0] _GEN_24 = {{1'd0}, io_clk_override}; // @[el2_ifu_ic_mem.scala 225:62] - wire [1:0] _T_100 = _T_99 | _GEN_24; // @[el2_ifu_ic_mem.scala 225:62] - wire [1:0] _T_101 = _T_100 | _T_19; // @[el2_ifu_ic_mem.scala 225:80] - wire [1:0] _T_105 = _T_100 | _T_13; // @[el2_ifu_ic_mem.scala 227:82] - wire [1:0] _T_106 = _T_105 | _T_101; // @[el2_ifu_ic_mem.scala 227:101] - wire [8:0] ic_rw_addr_q_inc = ic_rw_addr_q[12:4] + 9'h1; // @[el2_ifu_ic_mem.scala 230:77] - wire _T_113 = _T_48 & ic_rd_en_with_debug; // @[el2_ifu_ic_mem.scala 231:82] - wire ic_rw_addr_wrap = _T_113 & _T_40; // @[el2_ifu_ic_mem.scala 231:104] - reg [12:0] ic_rw_addr_ff; // @[el2_ifu_ic_mem.scala 234:30] - reg [1:0] ic_debug_rd_way_en_ff; // @[el2_ifu_ic_mem.scala 236:38] - reg ic_debug_rd_en_ff; // @[el2_ifu_ic_mem.scala 237:34] - wire _T_122 = ~ic_rw_addr_wrap; // @[el2_ifu_ic_mem.scala 241:31] + wire [1:0] _GEN_24 = {{1'd0}, io_clk_override}; // @[el2_ifu_ic_mem.scala 210:62] + wire [1:0] _T_100 = _T_99 | _GEN_24; // @[el2_ifu_ic_mem.scala 210:62] + wire [1:0] _T_101 = _T_100 | _T_19; // @[el2_ifu_ic_mem.scala 210:80] + wire [1:0] _T_105 = _T_100 | _T_13; // @[el2_ifu_ic_mem.scala 212:82] + wire [1:0] _T_106 = _T_105 | _T_101; // @[el2_ifu_ic_mem.scala 212:101] + wire [8:0] ic_rw_addr_q_inc = ic_rw_addr_q[12:4] + 9'h1; // @[el2_ifu_ic_mem.scala 215:77] + wire _T_113 = _T_48 & ic_rd_en_with_debug; // @[el2_ifu_ic_mem.scala 216:82] + wire ic_rw_addr_wrap = _T_113 & _T_40; // @[el2_ifu_ic_mem.scala 216:104] + reg [12:0] ic_rw_addr_ff; // @[el2_ifu_ic_mem.scala 219:30] + reg [1:0] ic_debug_rd_way_en_ff; // @[el2_ifu_ic_mem.scala 221:38] + reg ic_debug_rd_en_ff; // @[el2_ifu_ic_mem.scala 222:34] + wire _T_122 = ~ic_rw_addr_wrap; // @[el2_ifu_ic_mem.scala 226:31] wire [8:0] _T_126 = {ic_rw_addr_q[12:6],ic_rw_addr_q_inc[5:4]}; // @[Cat.scala 29:58] - wire [8:0] _T_127 = _T_122 ? ic_rw_addr_q[12:4] : _T_126; // @[el2_ifu_ic_mem.scala 241:30] - wire [12:0] ic_rw_addr_bank_q_0 = {{4'd0}, _T_127}; // @[el2_ifu_ic_mem.scala 240:31 el2_ifu_ic_mem.scala 241:24] - wire [12:0] ic_rw_addr_bank_q_1 = {{4'd0}, ic_rw_addr_q[12:4]}; // @[el2_ifu_ic_mem.scala 240:31 el2_ifu_ic_mem.scala 242:24] - wire _T_160 = ~ic_rw_addr_ff[3]; // @[el2_ifu_ic_mem.scala 259:71] + wire [8:0] _T_127 = _T_122 ? ic_rw_addr_q[12:4] : _T_126; // @[el2_ifu_ic_mem.scala 226:30] + wire [12:0] ic_rw_addr_bank_q_0 = {{4'd0}, _T_127}; // @[el2_ifu_ic_mem.scala 225:31 el2_ifu_ic_mem.scala 226:24] + wire [12:0] ic_rw_addr_bank_q_1 = {{4'd0}, ic_rw_addr_q[12:4]}; // @[el2_ifu_ic_mem.scala 225:31 el2_ifu_ic_mem.scala 227:24] + wire _T_160 = ~ic_rw_addr_ff[3]; // @[el2_ifu_ic_mem.scala 244:71] wire [9:0] _T_170 = {_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160}; // @[Cat.scala 29:58] wire [18:0] _T_179 = {_T_170,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160}; // @[Cat.scala 29:58] wire [27:0] _T_188 = {_T_179,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160}; // @[Cat.scala 29:58] @@ -245,8 +245,8 @@ module EL2_IC_DATA( wire [54:0] _T_215 = {_T_206,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160}; // @[Cat.scala 29:58] wire [63:0] _T_224 = {_T_215,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160}; // @[Cat.scala 29:58] wire [70:0] _T_231 = {_T_224,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160,_T_160}; // @[Cat.scala 29:58] - wire [70:0] wb_dout_0_0 = data_mem_0_0__T_137_data; // @[el2_ifu_ic_mem.scala 247:21 el2_ifu_ic_mem.scala 250:19 el2_ifu_ic_mem.scala 254:19] - wire [70:0] _T_232 = _T_231 & wb_dout_0_0; // @[el2_ifu_ic_mem.scala 259:78] + wire [70:0] wb_dout_0_0 = data_mem_0_0__T_137_data; // @[el2_ifu_ic_mem.scala 232:21 el2_ifu_ic_mem.scala 235:19 el2_ifu_ic_mem.scala 239:19] + wire [70:0] _T_232 = _T_231 & wb_dout_0_0; // @[el2_ifu_ic_mem.scala 244:78] wire [9:0] _T_244 = {ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3]}; // @[Cat.scala 29:58] wire [18:0] _T_253 = {_T_244,ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3]}; // @[Cat.scala 29:58] wire [27:0] _T_262 = {_T_253,ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3]}; // @[Cat.scala 29:58] @@ -255,16 +255,16 @@ module EL2_IC_DATA( wire [54:0] _T_289 = {_T_280,ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3]}; // @[Cat.scala 29:58] wire [63:0] _T_298 = {_T_289,ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3]}; // @[Cat.scala 29:58] wire [70:0] _T_305 = {_T_298,ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3],ic_rw_addr_ff[3]}; // @[Cat.scala 29:58] - wire [70:0] wb_dout_0_1 = data_mem_0_1__T_144_data; // @[el2_ifu_ic_mem.scala 247:21 el2_ifu_ic_mem.scala 250:19 el2_ifu_ic_mem.scala 254:19] - wire [70:0] _T_306 = _T_305 & wb_dout_0_1; // @[el2_ifu_ic_mem.scala 259:78] - wire [70:0] wb_dout_way_pre_lower_0 = _T_232 | _T_306; // @[el2_ifu_ic_mem.scala 259:102] - wire [70:0] wb_dout_1_0 = data_mem_1_0__T_151_data; // @[el2_ifu_ic_mem.scala 247:21 el2_ifu_ic_mem.scala 250:19 el2_ifu_ic_mem.scala 254:19] - wire [70:0] _T_380 = _T_231 & wb_dout_1_0; // @[el2_ifu_ic_mem.scala 259:78] - wire [70:0] wb_dout_1_1 = data_mem_1_1__T_158_data; // @[el2_ifu_ic_mem.scala 247:21 el2_ifu_ic_mem.scala 250:19 el2_ifu_ic_mem.scala 254:19] - wire [70:0] _T_454 = _T_305 & wb_dout_1_1; // @[el2_ifu_ic_mem.scala 259:78] - wire [70:0] wb_dout_way_pre_lower_1 = _T_380 | _T_454; // @[el2_ifu_ic_mem.scala 259:102] - wire _T_457 = 1'h0 - 1'h1; // @[el2_ifu_ic_mem.scala 263:77] - wire _T_458 = ic_rw_addr_ff[3] == _T_457; // @[el2_ifu_ic_mem.scala 263:71] + wire [70:0] wb_dout_0_1 = data_mem_0_1__T_144_data; // @[el2_ifu_ic_mem.scala 232:21 el2_ifu_ic_mem.scala 235:19 el2_ifu_ic_mem.scala 239:19] + wire [70:0] _T_306 = _T_305 & wb_dout_0_1; // @[el2_ifu_ic_mem.scala 244:78] + wire [70:0] wb_dout_way_pre_lower_0 = _T_232 | _T_306; // @[el2_ifu_ic_mem.scala 244:102] + wire [70:0] wb_dout_1_0 = data_mem_1_0__T_151_data; // @[el2_ifu_ic_mem.scala 232:21 el2_ifu_ic_mem.scala 235:19 el2_ifu_ic_mem.scala 239:19] + wire [70:0] _T_380 = _T_231 & wb_dout_1_0; // @[el2_ifu_ic_mem.scala 244:78] + wire [70:0] wb_dout_1_1 = data_mem_1_1__T_158_data; // @[el2_ifu_ic_mem.scala 232:21 el2_ifu_ic_mem.scala 235:19 el2_ifu_ic_mem.scala 239:19] + wire [70:0] _T_454 = _T_305 & wb_dout_1_1; // @[el2_ifu_ic_mem.scala 244:78] + wire [70:0] wb_dout_way_pre_lower_1 = _T_380 | _T_454; // @[el2_ifu_ic_mem.scala 244:102] + wire _T_457 = 1'h0 - 1'h1; // @[el2_ifu_ic_mem.scala 248:77] + wire _T_458 = ic_rw_addr_ff[3] == _T_457; // @[el2_ifu_ic_mem.scala 248:71] wire [9:0] _T_468 = {_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458}; // @[Cat.scala 29:58] wire [18:0] _T_477 = {_T_468,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458}; // @[Cat.scala 29:58] wire [27:0] _T_486 = {_T_477,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458}; // @[Cat.scala 29:58] @@ -273,15 +273,15 @@ module EL2_IC_DATA( wire [54:0] _T_513 = {_T_504,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458}; // @[Cat.scala 29:58] wire [63:0] _T_522 = {_T_513,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458}; // @[Cat.scala 29:58] wire [70:0] _T_529 = {_T_522,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458,_T_458}; // @[Cat.scala 29:58] - wire [70:0] _T_530 = _T_529 & wb_dout_0_0; // @[el2_ifu_ic_mem.scala 263:82] - wire [70:0] _T_606 = _T_231 & wb_dout_0_1; // @[el2_ifu_ic_mem.scala 263:82] - wire [70:0] wb_dout_way_pre_upper_0 = _T_530 | _T_606; // @[el2_ifu_ic_mem.scala 263:106] - wire [70:0] _T_682 = _T_529 & wb_dout_1_0; // @[el2_ifu_ic_mem.scala 263:82] - wire [70:0] _T_758 = _T_231 & wb_dout_1_1; // @[el2_ifu_ic_mem.scala 263:82] - wire [70:0] wb_dout_way_pre_upper_1 = _T_682 | _T_758; // @[el2_ifu_ic_mem.scala 263:106] + wire [70:0] _T_530 = _T_529 & wb_dout_0_0; // @[el2_ifu_ic_mem.scala 248:82] + wire [70:0] _T_606 = _T_231 & wb_dout_0_1; // @[el2_ifu_ic_mem.scala 248:82] + wire [70:0] wb_dout_way_pre_upper_0 = _T_530 | _T_606; // @[el2_ifu_ic_mem.scala 248:106] + wire [70:0] _T_682 = _T_529 & wb_dout_1_0; // @[el2_ifu_ic_mem.scala 248:82] + wire [70:0] _T_758 = _T_231 & wb_dout_1_1; // @[el2_ifu_ic_mem.scala 248:82] + wire [70:0] wb_dout_way_pre_upper_1 = _T_682 | _T_758; // @[el2_ifu_ic_mem.scala 248:106] wire [141:0] wb_dout_way_pre_0 = {wb_dout_way_pre_upper_0,wb_dout_way_pre_lower_0}; // @[Cat.scala 29:58] wire [141:0] wb_dout_way_pre_1 = {wb_dout_way_pre_upper_1,wb_dout_way_pre_lower_1}; // @[Cat.scala 29:58] - wire _T_760 = ic_rw_addr_ff[2:1] == 2'h0; // @[el2_ifu_ic_mem.scala 269:36] + wire _T_760 = ic_rw_addr_ff[2:1] == 2'h0; // @[el2_ifu_ic_mem.scala 254:36] wire [9:0] _T_770 = {_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760}; // @[Cat.scala 29:58] wire [18:0] _T_779 = {_T_770,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760}; // @[Cat.scala 29:58] wire [27:0] _T_788 = {_T_779,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760}; // @[Cat.scala 29:58] @@ -289,8 +289,8 @@ module EL2_IC_DATA( wire [45:0] _T_806 = {_T_797,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760}; // @[Cat.scala 29:58] wire [54:0] _T_815 = {_T_806,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760}; // @[Cat.scala 29:58] wire [63:0] _T_824 = {_T_815,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760,_T_760}; // @[Cat.scala 29:58] - wire [63:0] _T_826 = _T_824 & wb_dout_way_pre_0[63:0]; // @[el2_ifu_ic_mem.scala 269:44] - wire _T_828 = ic_rw_addr_ff[2:1] == 2'h1; // @[el2_ifu_ic_mem.scala 270:36] + wire [63:0] _T_826 = _T_824 & wb_dout_way_pre_0[63:0]; // @[el2_ifu_ic_mem.scala 254:44] + wire _T_828 = ic_rw_addr_ff[2:1] == 2'h1; // @[el2_ifu_ic_mem.scala 255:36] wire [9:0] _T_838 = {_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828}; // @[Cat.scala 29:58] wire [18:0] _T_847 = {_T_838,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828}; // @[Cat.scala 29:58] wire [27:0] _T_856 = {_T_847,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828}; // @[Cat.scala 29:58] @@ -299,9 +299,9 @@ module EL2_IC_DATA( wire [54:0] _T_883 = {_T_874,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828}; // @[Cat.scala 29:58] wire [63:0] _T_892 = {_T_883,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828,_T_828}; // @[Cat.scala 29:58] wire [63:0] _T_895 = {wb_dout_way_pre_0[86:71],wb_dout_way_pre_0[63:16]}; // @[Cat.scala 29:58] - wire [63:0] _T_896 = _T_892 & _T_895; // @[el2_ifu_ic_mem.scala 270:44] - wire [63:0] _T_897 = _T_826 | _T_896; // @[el2_ifu_ic_mem.scala 269:71] - wire _T_899 = ic_rw_addr_ff[2:1] == 2'h2; // @[el2_ifu_ic_mem.scala 271:36] + wire [63:0] _T_896 = _T_892 & _T_895; // @[el2_ifu_ic_mem.scala 255:44] + wire [63:0] _T_897 = _T_826 | _T_896; // @[el2_ifu_ic_mem.scala 254:71] + wire _T_899 = ic_rw_addr_ff[2:1] == 2'h2; // @[el2_ifu_ic_mem.scala 256:36] wire [9:0] _T_909 = {_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899}; // @[Cat.scala 29:58] wire [18:0] _T_918 = {_T_909,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899}; // @[Cat.scala 29:58] wire [27:0] _T_927 = {_T_918,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899}; // @[Cat.scala 29:58] @@ -310,9 +310,9 @@ module EL2_IC_DATA( wire [54:0] _T_954 = {_T_945,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899}; // @[Cat.scala 29:58] wire [63:0] _T_963 = {_T_954,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899,_T_899}; // @[Cat.scala 29:58] wire [63:0] _T_966 = {wb_dout_way_pre_0[102:71],wb_dout_way_pre_0[63:32]}; // @[Cat.scala 29:58] - wire [63:0] _T_967 = _T_963 & _T_966; // @[el2_ifu_ic_mem.scala 271:44] - wire [63:0] _T_968 = _T_897 | _T_967; // @[el2_ifu_ic_mem.scala 270:122] - wire _T_970 = ic_rw_addr_ff[2:1] == 2'h3; // @[el2_ifu_ic_mem.scala 272:36] + wire [63:0] _T_967 = _T_963 & _T_966; // @[el2_ifu_ic_mem.scala 256:44] + wire [63:0] _T_968 = _T_897 | _T_967; // @[el2_ifu_ic_mem.scala 255:122] + wire _T_970 = ic_rw_addr_ff[2:1] == 2'h3; // @[el2_ifu_ic_mem.scala 257:36] wire [9:0] _T_980 = {_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970}; // @[Cat.scala 29:58] wire [18:0] _T_989 = {_T_980,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970}; // @[Cat.scala 29:58] wire [27:0] _T_998 = {_T_989,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970}; // @[Cat.scala 29:58] @@ -321,23 +321,23 @@ module EL2_IC_DATA( wire [54:0] _T_1025 = {_T_1016,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970}; // @[Cat.scala 29:58] wire [63:0] _T_1034 = {_T_1025,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970,_T_970}; // @[Cat.scala 29:58] wire [63:0] _T_1037 = {wb_dout_way_pre_0[118:71],wb_dout_way_pre_0[63:48]}; // @[Cat.scala 29:58] - wire [63:0] _T_1038 = _T_1034 & _T_1037; // @[el2_ifu_ic_mem.scala 272:44] - wire [63:0] wb_dout_way_0 = _T_968 | _T_1038; // @[el2_ifu_ic_mem.scala 271:122] - wire [63:0] _T_1106 = _T_824 & wb_dout_way_pre_1[63:0]; // @[el2_ifu_ic_mem.scala 269:44] + wire [63:0] _T_1038 = _T_1034 & _T_1037; // @[el2_ifu_ic_mem.scala 257:44] + wire [63:0] wb_dout_way_0 = _T_968 | _T_1038; // @[el2_ifu_ic_mem.scala 256:122] + wire [63:0] _T_1106 = _T_824 & wb_dout_way_pre_1[63:0]; // @[el2_ifu_ic_mem.scala 254:44] wire [63:0] _T_1175 = {wb_dout_way_pre_1[86:71],wb_dout_way_pre_1[63:16]}; // @[Cat.scala 29:58] - wire [63:0] _T_1176 = _T_892 & _T_1175; // @[el2_ifu_ic_mem.scala 270:44] - wire [63:0] _T_1177 = _T_1106 | _T_1176; // @[el2_ifu_ic_mem.scala 269:71] + wire [63:0] _T_1176 = _T_892 & _T_1175; // @[el2_ifu_ic_mem.scala 255:44] + wire [63:0] _T_1177 = _T_1106 | _T_1176; // @[el2_ifu_ic_mem.scala 254:71] wire [63:0] _T_1246 = {wb_dout_way_pre_1[102:71],wb_dout_way_pre_1[63:32]}; // @[Cat.scala 29:58] - wire [63:0] _T_1247 = _T_963 & _T_1246; // @[el2_ifu_ic_mem.scala 271:44] - wire [63:0] _T_1248 = _T_1177 | _T_1247; // @[el2_ifu_ic_mem.scala 270:122] + wire [63:0] _T_1247 = _T_963 & _T_1246; // @[el2_ifu_ic_mem.scala 256:44] + wire [63:0] _T_1248 = _T_1177 | _T_1247; // @[el2_ifu_ic_mem.scala 255:122] wire [63:0] _T_1317 = {wb_dout_way_pre_1[118:71],wb_dout_way_pre_1[63:48]}; // @[Cat.scala 29:58] - wire [63:0] _T_1318 = _T_1034 & _T_1317; // @[el2_ifu_ic_mem.scala 272:44] - wire [63:0] wb_dout_way_1 = _T_1248 | _T_1318; // @[el2_ifu_ic_mem.scala 271:122] - wire [1:0] ic_rd_hit_q = ic_debug_rd_en_ff ? ic_debug_rd_way_en_ff : io_ic_rd_hit; // @[el2_ifu_ic_mem.scala 275:24] - wire [63:0] wb_dout_way_with_premux_0 = io_ic_sel_premux_data ? io_ic_premux_data : wb_dout_way_0; // @[el2_ifu_ic_mem.scala 276:52] - wire [63:0] wb_dout_way_with_premux_1 = io_ic_sel_premux_data ? io_ic_premux_data : wb_dout_way_1; // @[el2_ifu_ic_mem.scala 276:52] - wire _T_1321 = ic_rd_hit_q[0] | io_ic_sel_premux_data; // @[el2_ifu_ic_mem.scala 282:79] - wire _T_1323 = ic_rd_hit_q[1] | io_ic_sel_premux_data; // @[el2_ifu_ic_mem.scala 282:79] + wire [63:0] _T_1318 = _T_1034 & _T_1317; // @[el2_ifu_ic_mem.scala 257:44] + wire [63:0] wb_dout_way_1 = _T_1248 | _T_1318; // @[el2_ifu_ic_mem.scala 256:122] + wire [1:0] ic_rd_hit_q = ic_debug_rd_en_ff ? ic_debug_rd_way_en_ff : io_ic_rd_hit; // @[el2_ifu_ic_mem.scala 260:24] + wire [63:0] wb_dout_way_with_premux_0 = io_ic_sel_premux_data ? io_ic_premux_data : wb_dout_way_0; // @[el2_ifu_ic_mem.scala 261:52] + wire [63:0] wb_dout_way_with_premux_1 = io_ic_sel_premux_data ? io_ic_premux_data : wb_dout_way_1; // @[el2_ifu_ic_mem.scala 261:52] + wire _T_1321 = ic_rd_hit_q[0] | io_ic_sel_premux_data; // @[el2_ifu_ic_mem.scala 267:79] + wire _T_1323 = ic_rd_hit_q[1] | io_ic_sel_premux_data; // @[el2_ifu_ic_mem.scala 267:79] wire [9:0] _T_1333 = {_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321}; // @[Cat.scala 29:58] wire [18:0] _T_1342 = {_T_1333,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321}; // @[Cat.scala 29:58] wire [27:0] _T_1351 = {_T_1342,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321}; // @[Cat.scala 29:58] @@ -345,7 +345,7 @@ module EL2_IC_DATA( wire [45:0] _T_1369 = {_T_1360,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321}; // @[Cat.scala 29:58] wire [54:0] _T_1378 = {_T_1369,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321}; // @[Cat.scala 29:58] wire [63:0] _T_1387 = {_T_1378,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321,_T_1321}; // @[Cat.scala 29:58] - wire [63:0] _T_1388 = _T_1387 & wb_dout_way_with_premux_0; // @[el2_lib.scala 189:94] + wire [63:0] _T_1388 = _T_1387 & wb_dout_way_with_premux_0; // @[el2_lib.scala 190:94] wire [9:0] _T_1398 = {_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323}; // @[Cat.scala 29:58] wire [18:0] _T_1407 = {_T_1398,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323}; // @[Cat.scala 29:58] wire [27:0] _T_1416 = {_T_1407,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323}; // @[Cat.scala 29:58] @@ -353,7 +353,7 @@ module EL2_IC_DATA( wire [45:0] _T_1434 = {_T_1425,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323}; // @[Cat.scala 29:58] wire [54:0] _T_1443 = {_T_1434,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323}; // @[Cat.scala 29:58] wire [63:0] _T_1452 = {_T_1443,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323,_T_1323}; // @[Cat.scala 29:58] - wire [63:0] _T_1453 = _T_1452 & wb_dout_way_with_premux_1; // @[el2_lib.scala 189:94] + wire [63:0] _T_1453 = _T_1452 & wb_dout_way_with_premux_1; // @[el2_lib.scala 190:94] wire [9:0] _T_1468 = {ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0]}; // @[Cat.scala 29:58] wire [18:0] _T_1477 = {_T_1468,ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0]}; // @[Cat.scala 29:58] wire [27:0] _T_1486 = {_T_1477,ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0]}; // @[Cat.scala 29:58] @@ -362,7 +362,7 @@ module EL2_IC_DATA( wire [54:0] _T_1513 = {_T_1504,ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0]}; // @[Cat.scala 29:58] wire [63:0] _T_1522 = {_T_1513,ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0]}; // @[Cat.scala 29:58] wire [70:0] _T_1529 = {_T_1522,ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0],ic_rd_hit_q[0]}; // @[Cat.scala 29:58] - wire [70:0] _T_1530 = _T_1529 & wb_dout_way_pre_0[70:0]; // @[el2_lib.scala 189:94] + wire [70:0] _T_1530 = _T_1529 & wb_dout_way_pre_0[70:0]; // @[el2_lib.scala 190:94] wire [9:0] _T_1540 = {ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1]}; // @[Cat.scala 29:58] wire [18:0] _T_1549 = {_T_1540,ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1]}; // @[Cat.scala 29:58] wire [27:0] _T_1558 = {_T_1549,ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1]}; // @[Cat.scala 29:58] @@ -371,15 +371,15 @@ module EL2_IC_DATA( wire [54:0] _T_1585 = {_T_1576,ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1]}; // @[Cat.scala 29:58] wire [63:0] _T_1594 = {_T_1585,ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1]}; // @[Cat.scala 29:58] wire [70:0] _T_1601 = {_T_1594,ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1],ic_rd_hit_q[1]}; // @[Cat.scala 29:58] - wire [70:0] _T_1602 = _T_1601 & wb_dout_way_pre_1[70:0]; // @[el2_lib.scala 189:94] + wire [70:0] _T_1602 = _T_1601 & wb_dout_way_pre_1[70:0]; // @[el2_lib.scala 190:94] assign data_mem_0_0__T_137_addr = data_mem_0_0__T_137_addr_pipe_0; - assign data_mem_0_0__T_137_data = data_mem_0_0[data_mem_0_0__T_137_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_0_0__T_137_data = data_mem_0_0[data_mem_0_0__T_137_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_0_0__T_144_addr = data_mem_0_0__T_144_addr_pipe_0; - assign data_mem_0_0__T_144_data = data_mem_0_0[data_mem_0_0__T_144_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_0_0__T_144_data = data_mem_0_0[data_mem_0_0__T_144_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_0_0__T_151_addr = data_mem_0_0__T_151_addr_pipe_0; - assign data_mem_0_0__T_151_data = data_mem_0_0[data_mem_0_0__T_151_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_0_0__T_151_data = data_mem_0_0[data_mem_0_0__T_151_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_0_0__T_158_addr = data_mem_0_0__T_158_addr_pipe_0; - assign data_mem_0_0__T_158_data = data_mem_0_0[data_mem_0_0__T_158_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_0_0__T_158_data = data_mem_0_0[data_mem_0_0__T_158_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_0_0__T_130_data = _T_28 ? io_ic_debug_wr_data : io_ic_wr_data_1; assign data_mem_0_0__T_130_addr = ic_rw_addr_bank_q_0[12:4]; assign data_mem_0_0__T_130_mask = 1'h1; @@ -401,13 +401,13 @@ module EL2_IC_DATA( assign data_mem_0_0__T_156_mask = 1'h0; assign data_mem_0_0__T_156_en = _T_25[1] & _T_106[1]; assign data_mem_0_1__T_137_addr = data_mem_0_1__T_137_addr_pipe_0; - assign data_mem_0_1__T_137_data = data_mem_0_1[data_mem_0_1__T_137_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_0_1__T_137_data = data_mem_0_1[data_mem_0_1__T_137_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_0_1__T_144_addr = data_mem_0_1__T_144_addr_pipe_0; - assign data_mem_0_1__T_144_data = data_mem_0_1[data_mem_0_1__T_144_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_0_1__T_144_data = data_mem_0_1[data_mem_0_1__T_144_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_0_1__T_151_addr = data_mem_0_1__T_151_addr_pipe_0; - assign data_mem_0_1__T_151_data = data_mem_0_1[data_mem_0_1__T_151_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_0_1__T_151_data = data_mem_0_1[data_mem_0_1__T_151_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_0_1__T_158_addr = data_mem_0_1__T_158_addr_pipe_0; - assign data_mem_0_1__T_158_data = data_mem_0_1[data_mem_0_1__T_158_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_0_1__T_158_data = data_mem_0_1[data_mem_0_1__T_158_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_0_1__T_130_data = 71'h0; assign data_mem_0_1__T_130_addr = ic_rw_addr_bank_q_0[12:4]; assign data_mem_0_1__T_130_mask = 1'h0; @@ -429,13 +429,13 @@ module EL2_IC_DATA( assign data_mem_0_1__T_156_mask = 1'h0; assign data_mem_0_1__T_156_en = _T_25[1] & _T_106[1]; assign data_mem_1_0__T_137_addr = data_mem_1_0__T_137_addr_pipe_0; - assign data_mem_1_0__T_137_data = data_mem_1_0[data_mem_1_0__T_137_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_1_0__T_137_data = data_mem_1_0[data_mem_1_0__T_137_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_1_0__T_144_addr = data_mem_1_0__T_144_addr_pipe_0; - assign data_mem_1_0__T_144_data = data_mem_1_0[data_mem_1_0__T_144_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_1_0__T_144_data = data_mem_1_0[data_mem_1_0__T_144_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_1_0__T_151_addr = data_mem_1_0__T_151_addr_pipe_0; - assign data_mem_1_0__T_151_data = data_mem_1_0[data_mem_1_0__T_151_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_1_0__T_151_data = data_mem_1_0[data_mem_1_0__T_151_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_1_0__T_158_addr = data_mem_1_0__T_158_addr_pipe_0; - assign data_mem_1_0__T_158_data = data_mem_1_0[data_mem_1_0__T_158_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_1_0__T_158_data = data_mem_1_0[data_mem_1_0__T_158_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_1_0__T_130_data = 71'h0; assign data_mem_1_0__T_130_addr = ic_rw_addr_bank_q_0[12:4]; assign data_mem_1_0__T_130_mask = 1'h0; @@ -457,13 +457,13 @@ module EL2_IC_DATA( assign data_mem_1_0__T_156_mask = 1'h0; assign data_mem_1_0__T_156_en = _T_25[1] & _T_106[1]; assign data_mem_1_1__T_137_addr = data_mem_1_1__T_137_addr_pipe_0; - assign data_mem_1_1__T_137_data = data_mem_1_1[data_mem_1_1__T_137_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_1_1__T_137_data = data_mem_1_1[data_mem_1_1__T_137_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_1_1__T_144_addr = data_mem_1_1__T_144_addr_pipe_0; - assign data_mem_1_1__T_144_data = data_mem_1_1[data_mem_1_1__T_144_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_1_1__T_144_data = data_mem_1_1[data_mem_1_1__T_144_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_1_1__T_151_addr = data_mem_1_1__T_151_addr_pipe_0; - assign data_mem_1_1__T_151_data = data_mem_1_1[data_mem_1_1__T_151_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_1_1__T_151_data = data_mem_1_1[data_mem_1_1__T_151_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_1_1__T_158_addr = data_mem_1_1__T_158_addr_pipe_0; - assign data_mem_1_1__T_158_data = data_mem_1_1[data_mem_1_1__T_158_addr]; // @[el2_ifu_ic_mem.scala 245:29] + assign data_mem_1_1__T_158_data = data_mem_1_1[data_mem_1_1__T_158_addr]; // @[el2_ifu_ic_mem.scala 230:29] assign data_mem_1_1__T_130_data = 71'h0; assign data_mem_1_1__T_130_addr = ic_rw_addr_bank_q_0[12:4]; assign data_mem_1_1__T_130_mask = 1'h0; @@ -484,15 +484,15 @@ module EL2_IC_DATA( assign data_mem_1_1__T_156_addr = ic_rw_addr_bank_q_1[12:4]; assign data_mem_1_1__T_156_mask = 1'h1; assign data_mem_1_1__T_156_en = _T_25[1] & _T_106[1]; - assign io_ic_rd_data = _T_1388 | _T_1453; // @[el2_ifu_ic_mem.scala 282:17] - assign io_ic_debug_rd_data = _T_1530 | _T_1602; // @[el2_ifu_ic_mem.scala 278:23 el2_ifu_ic_mem.scala 284:23] - assign io_ic_parerr = 2'h0; // @[el2_ifu_ic_mem.scala 279:16] - assign io_ic_eccerr = 2'h0; // @[el2_ifu_ic_mem.scala 280:16] - assign io_test_port2 = 1'h0; // @[el2_ifu_ic_mem.scala 288:17] - assign io_test_port_0_0 = data_mem_0_0__T_137_data; // @[el2_ifu_ic_mem.scala 289:16] - assign io_test_port_0_1 = data_mem_0_1__T_144_data; // @[el2_ifu_ic_mem.scala 289:16] - assign io_test_port_1_0 = data_mem_1_0__T_151_data; // @[el2_ifu_ic_mem.scala 289:16] - assign io_test_port_1_1 = data_mem_1_1__T_158_data; // @[el2_ifu_ic_mem.scala 289:16] + assign io_ic_rd_data = _T_1388 | _T_1453; // @[el2_ifu_ic_mem.scala 267:17] + assign io_ic_debug_rd_data = _T_1530 | _T_1602; // @[el2_ifu_ic_mem.scala 263:23 el2_ifu_ic_mem.scala 269:23] + assign io_ic_parerr = 2'h0; // @[el2_ifu_ic_mem.scala 264:16] + assign io_ic_eccerr = 2'h0; // @[el2_ifu_ic_mem.scala 265:16] + assign io_test_port2 = 1'h0; // @[el2_ifu_ic_mem.scala 273:17] + assign io_test_port_0_0 = data_mem_0_0__T_137_data; // @[el2_ifu_ic_mem.scala 274:16] + assign io_test_port_0_1 = data_mem_0_1__T_144_data; // @[el2_ifu_ic_mem.scala 274:16] + assign io_test_port_1_0 = data_mem_1_0__T_151_data; // @[el2_ifu_ic_mem.scala 274:16] + assign io_test_port_1_1 = data_mem_1_1__T_158_data; // @[el2_ifu_ic_mem.scala 274:16] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -589,76 +589,76 @@ end // initial `endif // SYNTHESIS always @(posedge clock) begin if(data_mem_0_0__T_130_en & data_mem_0_0__T_130_mask) begin - data_mem_0_0[data_mem_0_0__T_130_addr] <= data_mem_0_0__T_130_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_0_0[data_mem_0_0__T_130_addr] <= data_mem_0_0__T_130_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_0_0__T_135_en & data_mem_0_0__T_135_mask) begin - data_mem_0_0[data_mem_0_0__T_135_addr] <= data_mem_0_0__T_135_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_0_0[data_mem_0_0__T_135_addr] <= data_mem_0_0__T_135_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_0_0__T_142_en & data_mem_0_0__T_142_mask) begin - data_mem_0_0[data_mem_0_0__T_142_addr] <= data_mem_0_0__T_142_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_0_0[data_mem_0_0__T_142_addr] <= data_mem_0_0__T_142_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_0_0__T_149_en & data_mem_0_0__T_149_mask) begin - data_mem_0_0[data_mem_0_0__T_149_addr] <= data_mem_0_0__T_149_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_0_0[data_mem_0_0__T_149_addr] <= data_mem_0_0__T_149_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_0_0__T_156_en & data_mem_0_0__T_156_mask) begin - data_mem_0_0[data_mem_0_0__T_156_addr] <= data_mem_0_0__T_156_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_0_0[data_mem_0_0__T_156_addr] <= data_mem_0_0__T_156_data; // @[el2_ifu_ic_mem.scala 230:29] end data_mem_0_0__T_137_addr_pipe_0 <= ic_rw_addr_bank_q_0[12:4]; data_mem_0_0__T_144_addr_pipe_0 <= ic_rw_addr_bank_q_1[12:4]; data_mem_0_0__T_151_addr_pipe_0 <= ic_rw_addr_bank_q_0[12:4]; data_mem_0_0__T_158_addr_pipe_0 <= ic_rw_addr_bank_q_1[12:4]; if(data_mem_0_1__T_130_en & data_mem_0_1__T_130_mask) begin - data_mem_0_1[data_mem_0_1__T_130_addr] <= data_mem_0_1__T_130_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_0_1[data_mem_0_1__T_130_addr] <= data_mem_0_1__T_130_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_0_1__T_135_en & data_mem_0_1__T_135_mask) begin - data_mem_0_1[data_mem_0_1__T_135_addr] <= data_mem_0_1__T_135_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_0_1[data_mem_0_1__T_135_addr] <= data_mem_0_1__T_135_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_0_1__T_142_en & data_mem_0_1__T_142_mask) begin - data_mem_0_1[data_mem_0_1__T_142_addr] <= data_mem_0_1__T_142_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_0_1[data_mem_0_1__T_142_addr] <= data_mem_0_1__T_142_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_0_1__T_149_en & data_mem_0_1__T_149_mask) begin - data_mem_0_1[data_mem_0_1__T_149_addr] <= data_mem_0_1__T_149_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_0_1[data_mem_0_1__T_149_addr] <= data_mem_0_1__T_149_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_0_1__T_156_en & data_mem_0_1__T_156_mask) begin - data_mem_0_1[data_mem_0_1__T_156_addr] <= data_mem_0_1__T_156_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_0_1[data_mem_0_1__T_156_addr] <= data_mem_0_1__T_156_data; // @[el2_ifu_ic_mem.scala 230:29] end data_mem_0_1__T_137_addr_pipe_0 <= ic_rw_addr_bank_q_0[12:4]; data_mem_0_1__T_144_addr_pipe_0 <= ic_rw_addr_bank_q_1[12:4]; data_mem_0_1__T_151_addr_pipe_0 <= ic_rw_addr_bank_q_0[12:4]; data_mem_0_1__T_158_addr_pipe_0 <= ic_rw_addr_bank_q_1[12:4]; if(data_mem_1_0__T_130_en & data_mem_1_0__T_130_mask) begin - data_mem_1_0[data_mem_1_0__T_130_addr] <= data_mem_1_0__T_130_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_1_0[data_mem_1_0__T_130_addr] <= data_mem_1_0__T_130_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_1_0__T_135_en & data_mem_1_0__T_135_mask) begin - data_mem_1_0[data_mem_1_0__T_135_addr] <= data_mem_1_0__T_135_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_1_0[data_mem_1_0__T_135_addr] <= data_mem_1_0__T_135_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_1_0__T_142_en & data_mem_1_0__T_142_mask) begin - data_mem_1_0[data_mem_1_0__T_142_addr] <= data_mem_1_0__T_142_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_1_0[data_mem_1_0__T_142_addr] <= data_mem_1_0__T_142_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_1_0__T_149_en & data_mem_1_0__T_149_mask) begin - data_mem_1_0[data_mem_1_0__T_149_addr] <= data_mem_1_0__T_149_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_1_0[data_mem_1_0__T_149_addr] <= data_mem_1_0__T_149_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_1_0__T_156_en & data_mem_1_0__T_156_mask) begin - data_mem_1_0[data_mem_1_0__T_156_addr] <= data_mem_1_0__T_156_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_1_0[data_mem_1_0__T_156_addr] <= data_mem_1_0__T_156_data; // @[el2_ifu_ic_mem.scala 230:29] end data_mem_1_0__T_137_addr_pipe_0 <= ic_rw_addr_bank_q_0[12:4]; data_mem_1_0__T_144_addr_pipe_0 <= ic_rw_addr_bank_q_1[12:4]; data_mem_1_0__T_151_addr_pipe_0 <= ic_rw_addr_bank_q_0[12:4]; data_mem_1_0__T_158_addr_pipe_0 <= ic_rw_addr_bank_q_1[12:4]; if(data_mem_1_1__T_130_en & data_mem_1_1__T_130_mask) begin - data_mem_1_1[data_mem_1_1__T_130_addr] <= data_mem_1_1__T_130_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_1_1[data_mem_1_1__T_130_addr] <= data_mem_1_1__T_130_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_1_1__T_135_en & data_mem_1_1__T_135_mask) begin - data_mem_1_1[data_mem_1_1__T_135_addr] <= data_mem_1_1__T_135_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_1_1[data_mem_1_1__T_135_addr] <= data_mem_1_1__T_135_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_1_1__T_142_en & data_mem_1_1__T_142_mask) begin - data_mem_1_1[data_mem_1_1__T_142_addr] <= data_mem_1_1__T_142_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_1_1[data_mem_1_1__T_142_addr] <= data_mem_1_1__T_142_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_1_1__T_149_en & data_mem_1_1__T_149_mask) begin - data_mem_1_1[data_mem_1_1__T_149_addr] <= data_mem_1_1__T_149_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_1_1[data_mem_1_1__T_149_addr] <= data_mem_1_1__T_149_data; // @[el2_ifu_ic_mem.scala 230:29] end if(data_mem_1_1__T_156_en & data_mem_1_1__T_156_mask) begin - data_mem_1_1[data_mem_1_1__T_156_addr] <= data_mem_1_1__T_156_data; // @[el2_ifu_ic_mem.scala 245:29] + data_mem_1_1[data_mem_1_1__T_156_addr] <= data_mem_1_1__T_156_data; // @[el2_ifu_ic_mem.scala 230:29] end data_mem_1_1__T_137_addr_pipe_0 <= ic_rw_addr_bank_q_0[12:4]; data_mem_1_1__T_144_addr_pipe_0 <= ic_rw_addr_bank_q_1[12:4]; diff --git a/el2_ifu_compress_ctl.anno.json b/el2_ifu_compress_ctl.anno.json index a83cd6e9..c98106a5 100644 --- a/el2_ifu_compress_ctl.anno.json +++ b/el2_ifu_compress_ctl.anno.json @@ -1,108 +1,7 @@ [ - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_l2", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_l2_31", - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_sjald", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_dout", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_l2_31", - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_uimm9d", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_sluimmd", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_l1", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_ulwspimm7d", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_l2_31", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_simm9d", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_l3", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_l2_31", - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_uimm5d", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_simm5d", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_ulwimm6d", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_o", - "sources":[ - "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_legal", "sources":[ "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" ] diff --git a/el2_ifu_compress_ctl.fir b/el2_ifu_compress_ctl.fir index f3329180..548d1061 100644 --- a/el2_ifu_compress_ctl.fir +++ b/el2_ifu_compress_ctl.fir @@ -3,1908 +3,1261 @@ circuit el2_ifu_compress_ctl : module el2_ifu_compress_ctl : input clock : Clock input reset : UInt<1> - output io : {flip din : UInt<16>, dout : UInt<32>, l1 : UInt<32>, l2 : UInt<32>, l3 : UInt<32>, legal : UInt<1>, o : UInt<32>, sluimmd : UInt, uimm5d : UInt, ulwspimm7d : UInt, ulwimm6d : UInt, simm9d : UInt, uimm9d : UInt, simm5d : UInt, sjald : UInt, l2_31 : UInt} + output io : {flip din : UInt<16>, dout : UInt<32>} - wire out : UInt<1>[32] @[el2_ifu_compress_ctl.scala 30:17] - out[0] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[1] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[2] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[3] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[4] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[5] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[6] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[7] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[8] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[9] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[10] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[11] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[12] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[13] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[14] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[15] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[16] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[17] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[18] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[19] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[20] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[21] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[22] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[23] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[24] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[25] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[26] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[27] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[28] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[29] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[30] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - out[31] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 31:7] - node _T = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_2 = eq(_T_1, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_3 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_4 = eq(_T_3, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_5 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:71] - node _T_6 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_7 = eq(_T_6, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_8 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_9 = eq(_T_8, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_10 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_11 = and(_T, _T_2) @[el2_ifu_compress_ctl.scala 29:110] - node _T_12 = and(_T_11, _T_4) @[el2_ifu_compress_ctl.scala 29:110] - node _T_13 = and(_T_12, _T_5) @[el2_ifu_compress_ctl.scala 29:110] - node _T_14 = and(_T_13, _T_7) @[el2_ifu_compress_ctl.scala 29:110] - node _T_15 = and(_T_14, _T_9) @[el2_ifu_compress_ctl.scala 29:110] - node _T_16 = and(_T_15, _T_10) @[el2_ifu_compress_ctl.scala 29:110] - node _T_17 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_18 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_19 = eq(_T_18, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_20 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_21 = eq(_T_20, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_22 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:90] - node _T_23 = eq(_T_22, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_24 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:71] - node _T_25 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_26 = and(_T_17, _T_19) @[el2_ifu_compress_ctl.scala 29:110] - node _T_27 = and(_T_26, _T_21) @[el2_ifu_compress_ctl.scala 29:110] - node _T_28 = and(_T_27, _T_23) @[el2_ifu_compress_ctl.scala 29:110] - node _T_29 = and(_T_28, _T_24) @[el2_ifu_compress_ctl.scala 29:110] - node _T_30 = and(_T_29, _T_25) @[el2_ifu_compress_ctl.scala 29:110] - node _T_31 = or(_T_16, _T_30) @[el2_ifu_compress_ctl.scala 32:53] - out[30] <= _T_31 @[el2_ifu_compress_ctl.scala 32:11] - node _T_32 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_33 = eq(_T_32, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_34 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_35 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:90] - node _T_36 = eq(_T_35, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_37 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:90] - node _T_38 = eq(_T_37, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_39 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:90] - node _T_40 = eq(_T_39, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_41 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:90] - node _T_42 = eq(_T_41, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_43 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:90] - node _T_44 = eq(_T_43, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_45 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_46 = eq(_T_45, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_47 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_48 = eq(_T_47, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_49 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_50 = eq(_T_49, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_51 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_52 = eq(_T_51, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_53 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_54 = eq(_T_53, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_55 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_56 = and(_T_33, _T_34) @[el2_ifu_compress_ctl.scala 29:110] - node _T_57 = and(_T_56, _T_36) @[el2_ifu_compress_ctl.scala 29:110] - node _T_58 = and(_T_57, _T_38) @[el2_ifu_compress_ctl.scala 29:110] - node _T_59 = and(_T_58, _T_40) @[el2_ifu_compress_ctl.scala 29:110] - node _T_60 = and(_T_59, _T_42) @[el2_ifu_compress_ctl.scala 29:110] - node _T_61 = and(_T_60, _T_44) @[el2_ifu_compress_ctl.scala 29:110] - node _T_62 = and(_T_61, _T_46) @[el2_ifu_compress_ctl.scala 29:110] - node _T_63 = and(_T_62, _T_48) @[el2_ifu_compress_ctl.scala 29:110] - node _T_64 = and(_T_63, _T_50) @[el2_ifu_compress_ctl.scala 29:110] - node _T_65 = and(_T_64, _T_52) @[el2_ifu_compress_ctl.scala 29:110] - node _T_66 = and(_T_65, _T_54) @[el2_ifu_compress_ctl.scala 29:110] - node _T_67 = and(_T_66, _T_55) @[el2_ifu_compress_ctl.scala 29:110] - out[20] <= _T_67 @[el2_ifu_compress_ctl.scala 33:11] - node _T_68 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_69 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_70 = eq(_T_69, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_71 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_72 = eq(_T_71, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_73 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:90] - node _T_74 = eq(_T_73, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_75 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_76 = and(_T_68, _T_70) @[el2_ifu_compress_ctl.scala 29:110] - node _T_77 = and(_T_76, _T_72) @[el2_ifu_compress_ctl.scala 29:110] - node _T_78 = and(_T_77, _T_74) @[el2_ifu_compress_ctl.scala 29:110] - node _T_79 = and(_T_78, _T_75) @[el2_ifu_compress_ctl.scala 29:110] - node _T_80 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_81 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_82 = eq(_T_81, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_83 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_84 = eq(_T_83, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_85 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:90] - node _T_86 = eq(_T_85, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_87 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_88 = and(_T_80, _T_82) @[el2_ifu_compress_ctl.scala 29:110] - node _T_89 = and(_T_88, _T_84) @[el2_ifu_compress_ctl.scala 29:110] - node _T_90 = and(_T_89, _T_86) @[el2_ifu_compress_ctl.scala 29:110] - node _T_91 = and(_T_90, _T_87) @[el2_ifu_compress_ctl.scala 29:110] - node _T_92 = or(_T_79, _T_91) @[el2_ifu_compress_ctl.scala 34:46] - node _T_93 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_94 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_95 = eq(_T_94, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_96 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_97 = eq(_T_96, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_98 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:71] - node _T_99 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_100 = and(_T_93, _T_95) @[el2_ifu_compress_ctl.scala 29:110] - node _T_101 = and(_T_100, _T_97) @[el2_ifu_compress_ctl.scala 29:110] - node _T_102 = and(_T_101, _T_98) @[el2_ifu_compress_ctl.scala 29:110] - node _T_103 = and(_T_102, _T_99) @[el2_ifu_compress_ctl.scala 29:110] - node _T_104 = or(_T_92, _T_103) @[el2_ifu_compress_ctl.scala 34:80] - node _T_105 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_106 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_107 = eq(_T_106, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_108 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_109 = eq(_T_108, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_110 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:71] - node _T_111 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_112 = and(_T_105, _T_107) @[el2_ifu_compress_ctl.scala 29:110] - node _T_113 = and(_T_112, _T_109) @[el2_ifu_compress_ctl.scala 29:110] - node _T_114 = and(_T_113, _T_110) @[el2_ifu_compress_ctl.scala 29:110] - node _T_115 = and(_T_114, _T_111) @[el2_ifu_compress_ctl.scala 29:110] - node _T_116 = or(_T_104, _T_115) @[el2_ifu_compress_ctl.scala 34:113] - out[14] <= _T_116 @[el2_ifu_compress_ctl.scala 34:11] - node _T_117 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_118 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_119 = eq(_T_118, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_120 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_121 = eq(_T_120, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_122 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_123 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:90] - node _T_124 = eq(_T_123, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_125 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_126 = and(_T_117, _T_119) @[el2_ifu_compress_ctl.scala 29:110] - node _T_127 = and(_T_126, _T_121) @[el2_ifu_compress_ctl.scala 29:110] - node _T_128 = and(_T_127, _T_122) @[el2_ifu_compress_ctl.scala 29:110] - node _T_129 = and(_T_128, _T_124) @[el2_ifu_compress_ctl.scala 29:110] - node _T_130 = and(_T_129, _T_125) @[el2_ifu_compress_ctl.scala 29:110] - node _T_131 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_132 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_133 = eq(_T_132, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_134 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_135 = eq(_T_134, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_136 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_137 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:71] - node _T_138 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_139 = and(_T_131, _T_133) @[el2_ifu_compress_ctl.scala 29:110] - node _T_140 = and(_T_139, _T_135) @[el2_ifu_compress_ctl.scala 29:110] - node _T_141 = and(_T_140, _T_136) @[el2_ifu_compress_ctl.scala 29:110] - node _T_142 = and(_T_141, _T_137) @[el2_ifu_compress_ctl.scala 29:110] - node _T_143 = and(_T_142, _T_138) @[el2_ifu_compress_ctl.scala 29:110] - node _T_144 = or(_T_130, _T_143) @[el2_ifu_compress_ctl.scala 36:50] - node _T_145 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 36:95] - node _T_146 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 36:108] - node _T_147 = eq(_T_146, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 36:101] - node _T_148 = and(_T_145, _T_147) @[el2_ifu_compress_ctl.scala 36:99] - node _T_149 = or(_T_144, _T_148) @[el2_ifu_compress_ctl.scala 36:86] - out[13] <= _T_149 @[el2_ifu_compress_ctl.scala 36:11] - node _T_150 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_151 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_152 = eq(_T_151, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_153 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_154 = eq(_T_153, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_155 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:71] - node _T_156 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:71] - node _T_157 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_158 = and(_T_150, _T_152) @[el2_ifu_compress_ctl.scala 29:110] - node _T_159 = and(_T_158, _T_154) @[el2_ifu_compress_ctl.scala 29:110] - node _T_160 = and(_T_159, _T_155) @[el2_ifu_compress_ctl.scala 29:110] - node _T_161 = and(_T_160, _T_156) @[el2_ifu_compress_ctl.scala 29:110] - node _T_162 = and(_T_161, _T_157) @[el2_ifu_compress_ctl.scala 29:110] - node _T_163 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_164 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_165 = eq(_T_164, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_166 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_167 = eq(_T_166, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_168 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:90] - node _T_169 = eq(_T_168, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_170 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_171 = and(_T_163, _T_165) @[el2_ifu_compress_ctl.scala 29:110] - node _T_172 = and(_T_171, _T_167) @[el2_ifu_compress_ctl.scala 29:110] - node _T_173 = and(_T_172, _T_169) @[el2_ifu_compress_ctl.scala 29:110] - node _T_174 = and(_T_173, _T_170) @[el2_ifu_compress_ctl.scala 29:110] - node _T_175 = or(_T_162, _T_174) @[el2_ifu_compress_ctl.scala 37:47] - node _T_176 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_177 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_178 = eq(_T_177, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_179 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_180 = eq(_T_179, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_181 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:90] - node _T_182 = eq(_T_181, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_183 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_184 = and(_T_176, _T_178) @[el2_ifu_compress_ctl.scala 29:110] - node _T_185 = and(_T_184, _T_180) @[el2_ifu_compress_ctl.scala 29:110] - node _T_186 = and(_T_185, _T_182) @[el2_ifu_compress_ctl.scala 29:110] - node _T_187 = and(_T_186, _T_183) @[el2_ifu_compress_ctl.scala 29:110] - node _T_188 = or(_T_175, _T_187) @[el2_ifu_compress_ctl.scala 37:81] - node _T_189 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_190 = eq(_T_189, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_191 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_192 = eq(_T_191, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_193 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_194 = and(_T_190, _T_192) @[el2_ifu_compress_ctl.scala 29:110] - node _T_195 = and(_T_194, _T_193) @[el2_ifu_compress_ctl.scala 29:110] - node _T_196 = or(_T_188, _T_195) @[el2_ifu_compress_ctl.scala 37:115] - node _T_197 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_198 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_199 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_200 = and(_T_197, _T_198) @[el2_ifu_compress_ctl.scala 29:110] - node _T_201 = and(_T_200, _T_199) @[el2_ifu_compress_ctl.scala 29:110] - node _T_202 = or(_T_196, _T_201) @[el2_ifu_compress_ctl.scala 38:26] - out[12] <= _T_202 @[el2_ifu_compress_ctl.scala 37:11] - node _T_203 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_204 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_205 = eq(_T_204, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_206 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_207 = eq(_T_206, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_208 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_209 = eq(_T_208, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_210 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_211 = eq(_T_210, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_212 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_213 = eq(_T_212, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_214 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_215 = eq(_T_214, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_216 = and(_T_203, _T_205) @[el2_ifu_compress_ctl.scala 29:110] - node _T_217 = and(_T_216, _T_207) @[el2_ifu_compress_ctl.scala 29:110] - node _T_218 = and(_T_217, _T_209) @[el2_ifu_compress_ctl.scala 29:110] - node _T_219 = and(_T_218, _T_211) @[el2_ifu_compress_ctl.scala 29:110] - node _T_220 = and(_T_219, _T_213) @[el2_ifu_compress_ctl.scala 29:110] - node _T_221 = and(_T_220, _T_215) @[el2_ifu_compress_ctl.scala 29:110] - node _T_222 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 39:62] - node _T_223 = eq(_T_222, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 39:55] - node _T_224 = and(_T_221, _T_223) @[el2_ifu_compress_ctl.scala 39:53] - node _T_225 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_226 = eq(_T_225, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_227 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_228 = and(_T_226, _T_227) @[el2_ifu_compress_ctl.scala 29:110] - node _T_229 = or(_T_224, _T_228) @[el2_ifu_compress_ctl.scala 39:67] - node _T_230 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_231 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_232 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_233 = and(_T_230, _T_231) @[el2_ifu_compress_ctl.scala 29:110] - node _T_234 = and(_T_233, _T_232) @[el2_ifu_compress_ctl.scala 29:110] - node _T_235 = or(_T_229, _T_234) @[el2_ifu_compress_ctl.scala 39:88] - out[6] <= _T_235 @[el2_ifu_compress_ctl.scala 39:10] - node _T_236 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 41:20] - node _T_237 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 41:33] - node _T_238 = eq(_T_237, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 41:26] - node _T_239 = and(_T_236, _T_238) @[el2_ifu_compress_ctl.scala 41:24] - node _T_240 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_241 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_242 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:71] - node _T_243 = and(_T_240, _T_241) @[el2_ifu_compress_ctl.scala 29:110] - node _T_244 = and(_T_243, _T_242) @[el2_ifu_compress_ctl.scala 29:110] - node _T_245 = or(_T_239, _T_244) @[el2_ifu_compress_ctl.scala 41:39] - node _T_246 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_247 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:90] - node _T_248 = eq(_T_247, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_249 = and(_T_246, _T_248) @[el2_ifu_compress_ctl.scala 29:110] - node _T_250 = or(_T_245, _T_249) @[el2_ifu_compress_ctl.scala 41:63] - node _T_251 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_252 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:71] - node _T_253 = and(_T_251, _T_252) @[el2_ifu_compress_ctl.scala 29:110] - node _T_254 = or(_T_250, _T_253) @[el2_ifu_compress_ctl.scala 41:83] - node _T_255 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_256 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:71] - node _T_257 = and(_T_255, _T_256) @[el2_ifu_compress_ctl.scala 29:110] - node _T_258 = or(_T_254, _T_257) @[el2_ifu_compress_ctl.scala 41:102] - node _T_259 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_260 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:71] - node _T_261 = and(_T_259, _T_260) @[el2_ifu_compress_ctl.scala 29:110] - node _T_262 = or(_T_258, _T_261) @[el2_ifu_compress_ctl.scala 42:22] - node _T_263 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_264 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_265 = and(_T_263, _T_264) @[el2_ifu_compress_ctl.scala 29:110] - node _T_266 = or(_T_262, _T_265) @[el2_ifu_compress_ctl.scala 42:42] - node _T_267 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_269 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_270 = and(_T_268, _T_269) @[el2_ifu_compress_ctl.scala 29:110] - node _T_271 = or(_T_266, _T_270) @[el2_ifu_compress_ctl.scala 42:62] - node _T_272 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_273 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_274 = and(_T_272, _T_273) @[el2_ifu_compress_ctl.scala 29:110] - node _T_275 = or(_T_271, _T_274) @[el2_ifu_compress_ctl.scala 42:83] - out[5] <= _T_275 @[el2_ifu_compress_ctl.scala 41:10] - node _T_276 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_277 = eq(_T_276, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_278 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:90] - node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_280 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:90] - node _T_281 = eq(_T_280, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_282 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:90] - node _T_283 = eq(_T_282, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_284 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:90] - node _T_285 = eq(_T_284, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_286 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:90] - node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_288 = and(_T_277, _T_279) @[el2_ifu_compress_ctl.scala 29:110] - node _T_289 = and(_T_288, _T_281) @[el2_ifu_compress_ctl.scala 29:110] - node _T_290 = and(_T_289, _T_283) @[el2_ifu_compress_ctl.scala 29:110] - node _T_291 = and(_T_290, _T_285) @[el2_ifu_compress_ctl.scala 29:110] - node _T_292 = and(_T_291, _T_287) @[el2_ifu_compress_ctl.scala 29:110] - node _T_293 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 45:59] - node _T_294 = eq(_T_293, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 45:52] - node _T_295 = and(_T_292, _T_294) @[el2_ifu_compress_ctl.scala 45:50] - node _T_296 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_297 = eq(_T_296, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_298 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_299 = eq(_T_298, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_300 = and(_T_297, _T_299) @[el2_ifu_compress_ctl.scala 29:110] - node _T_301 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 45:96] - node _T_302 = eq(_T_301, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 45:89] - node _T_303 = and(_T_300, _T_302) @[el2_ifu_compress_ctl.scala 45:87] - node _T_304 = or(_T_295, _T_303) @[el2_ifu_compress_ctl.scala 45:65] - node _T_305 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_307 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:71] - node _T_308 = and(_T_306, _T_307) @[el2_ifu_compress_ctl.scala 29:110] - node _T_309 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 46:32] - node _T_310 = eq(_T_309, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 46:25] - node _T_311 = and(_T_308, _T_310) @[el2_ifu_compress_ctl.scala 46:23] - node _T_312 = or(_T_304, _T_311) @[el2_ifu_compress_ctl.scala 45:102] - node _T_313 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_315 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_316 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_317 = and(_T_314, _T_315) @[el2_ifu_compress_ctl.scala 29:110] - node _T_318 = and(_T_317, _T_316) @[el2_ifu_compress_ctl.scala 29:110] - node _T_319 = or(_T_312, _T_318) @[el2_ifu_compress_ctl.scala 46:38] - node _T_320 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_321 = eq(_T_320, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_322 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:71] - node _T_323 = and(_T_321, _T_322) @[el2_ifu_compress_ctl.scala 29:110] - node _T_324 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 46:91] - node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 46:84] - node _T_326 = and(_T_323, _T_325) @[el2_ifu_compress_ctl.scala 46:82] - node _T_327 = or(_T_319, _T_326) @[el2_ifu_compress_ctl.scala 46:62] - node _T_328 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_329 = eq(_T_328, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_330 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:71] - node _T_331 = and(_T_329, _T_330) @[el2_ifu_compress_ctl.scala 29:110] - node _T_332 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 47:32] - node _T_333 = eq(_T_332, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 47:25] - node _T_334 = and(_T_331, _T_333) @[el2_ifu_compress_ctl.scala 47:23] - node _T_335 = or(_T_327, _T_334) @[el2_ifu_compress_ctl.scala 46:97] - node _T_336 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_337 = eq(_T_336, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_338 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:71] - node _T_339 = and(_T_337, _T_338) @[el2_ifu_compress_ctl.scala 29:110] - node _T_340 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 47:67] - node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 47:60] - node _T_342 = and(_T_339, _T_341) @[el2_ifu_compress_ctl.scala 47:58] - node _T_343 = or(_T_335, _T_342) @[el2_ifu_compress_ctl.scala 47:38] - node _T_344 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_345 = eq(_T_344, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_346 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:71] - node _T_347 = and(_T_345, _T_346) @[el2_ifu_compress_ctl.scala 29:110] - node _T_348 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 47:102] - node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 47:95] - node _T_350 = and(_T_347, _T_349) @[el2_ifu_compress_ctl.scala 47:93] - node _T_351 = or(_T_343, _T_350) @[el2_ifu_compress_ctl.scala 47:73] - node _T_352 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_353 = eq(_T_352, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_354 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_355 = eq(_T_354, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_356 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_357 = and(_T_353, _T_355) @[el2_ifu_compress_ctl.scala 29:110] - node _T_358 = and(_T_357, _T_356) @[el2_ifu_compress_ctl.scala 29:110] - node _T_359 = or(_T_351, _T_358) @[el2_ifu_compress_ctl.scala 47:108] - out[4] <= _T_359 @[el2_ifu_compress_ctl.scala 45:10] - node _T_360 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_361 = eq(_T_360, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_362 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_363 = and(_T_361, _T_362) @[el2_ifu_compress_ctl.scala 29:110] - out[3] <= _T_363 @[el2_ifu_compress_ctl.scala 53:10] - node _T_364 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_366 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_367 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_368 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_369 = eq(_T_368, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_370 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_371 = eq(_T_370, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_372 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_374 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_375 = eq(_T_374, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_376 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_377 = eq(_T_376, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_378 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_379 = and(_T_365, _T_366) @[el2_ifu_compress_ctl.scala 29:110] - node _T_380 = and(_T_379, _T_367) @[el2_ifu_compress_ctl.scala 29:110] - node _T_381 = and(_T_380, _T_369) @[el2_ifu_compress_ctl.scala 29:110] - node _T_382 = and(_T_381, _T_371) @[el2_ifu_compress_ctl.scala 29:110] - node _T_383 = and(_T_382, _T_373) @[el2_ifu_compress_ctl.scala 29:110] - node _T_384 = and(_T_383, _T_375) @[el2_ifu_compress_ctl.scala 29:110] - node _T_385 = and(_T_384, _T_377) @[el2_ifu_compress_ctl.scala 29:110] - node _T_386 = and(_T_385, _T_378) @[el2_ifu_compress_ctl.scala 29:110] - node _T_387 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_388 = eq(_T_387, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_389 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_390 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:71] - node _T_391 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_393 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_394 = eq(_T_393, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_395 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_396 = eq(_T_395, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_397 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_398 = eq(_T_397, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_399 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_401 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_402 = and(_T_388, _T_389) @[el2_ifu_compress_ctl.scala 29:110] - node _T_403 = and(_T_402, _T_390) @[el2_ifu_compress_ctl.scala 29:110] - node _T_404 = and(_T_403, _T_392) @[el2_ifu_compress_ctl.scala 29:110] - node _T_405 = and(_T_404, _T_394) @[el2_ifu_compress_ctl.scala 29:110] - node _T_406 = and(_T_405, _T_396) @[el2_ifu_compress_ctl.scala 29:110] - node _T_407 = and(_T_406, _T_398) @[el2_ifu_compress_ctl.scala 29:110] - node _T_408 = and(_T_407, _T_400) @[el2_ifu_compress_ctl.scala 29:110] - node _T_409 = and(_T_408, _T_401) @[el2_ifu_compress_ctl.scala 29:110] - node _T_410 = or(_T_386, _T_409) @[el2_ifu_compress_ctl.scala 54:59] - node _T_411 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_412 = eq(_T_411, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_413 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_414 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:71] - node _T_415 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_417 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_418 = eq(_T_417, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_419 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_420 = eq(_T_419, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_421 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_422 = eq(_T_421, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_423 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_425 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_426 = and(_T_412, _T_413) @[el2_ifu_compress_ctl.scala 29:110] - node _T_427 = and(_T_426, _T_414) @[el2_ifu_compress_ctl.scala 29:110] - node _T_428 = and(_T_427, _T_416) @[el2_ifu_compress_ctl.scala 29:110] - node _T_429 = and(_T_428, _T_418) @[el2_ifu_compress_ctl.scala 29:110] - node _T_430 = and(_T_429, _T_420) @[el2_ifu_compress_ctl.scala 29:110] - node _T_431 = and(_T_430, _T_422) @[el2_ifu_compress_ctl.scala 29:110] - node _T_432 = and(_T_431, _T_424) @[el2_ifu_compress_ctl.scala 29:110] - node _T_433 = and(_T_432, _T_425) @[el2_ifu_compress_ctl.scala 29:110] - node _T_434 = or(_T_410, _T_433) @[el2_ifu_compress_ctl.scala 55:59] - node _T_435 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_436 = eq(_T_435, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_437 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_438 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:71] - node _T_439 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_440 = eq(_T_439, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_441 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_442 = eq(_T_441, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_443 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_444 = eq(_T_443, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_445 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_447 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_448 = eq(_T_447, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_449 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_450 = and(_T_436, _T_437) @[el2_ifu_compress_ctl.scala 29:110] - node _T_451 = and(_T_450, _T_438) @[el2_ifu_compress_ctl.scala 29:110] - node _T_452 = and(_T_451, _T_440) @[el2_ifu_compress_ctl.scala 29:110] - node _T_453 = and(_T_452, _T_442) @[el2_ifu_compress_ctl.scala 29:110] - node _T_454 = and(_T_453, _T_444) @[el2_ifu_compress_ctl.scala 29:110] - node _T_455 = and(_T_454, _T_446) @[el2_ifu_compress_ctl.scala 29:110] - node _T_456 = and(_T_455, _T_448) @[el2_ifu_compress_ctl.scala 29:110] - node _T_457 = and(_T_456, _T_449) @[el2_ifu_compress_ctl.scala 29:110] - node _T_458 = or(_T_434, _T_457) @[el2_ifu_compress_ctl.scala 56:58] - node _T_459 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_460 = eq(_T_459, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_461 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_462 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:71] - node _T_463 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_464 = eq(_T_463, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_465 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_466 = eq(_T_465, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_467 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_468 = eq(_T_467, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_469 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_471 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_472 = eq(_T_471, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_473 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_474 = and(_T_460, _T_461) @[el2_ifu_compress_ctl.scala 29:110] - node _T_475 = and(_T_474, _T_462) @[el2_ifu_compress_ctl.scala 29:110] - node _T_476 = and(_T_475, _T_464) @[el2_ifu_compress_ctl.scala 29:110] - node _T_477 = and(_T_476, _T_466) @[el2_ifu_compress_ctl.scala 29:110] - node _T_478 = and(_T_477, _T_468) @[el2_ifu_compress_ctl.scala 29:110] - node _T_479 = and(_T_478, _T_470) @[el2_ifu_compress_ctl.scala 29:110] - node _T_480 = and(_T_479, _T_472) @[el2_ifu_compress_ctl.scala 29:110] - node _T_481 = and(_T_480, _T_473) @[el2_ifu_compress_ctl.scala 29:110] - node _T_482 = or(_T_458, _T_481) @[el2_ifu_compress_ctl.scala 57:55] - node _T_483 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_484 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_485 = eq(_T_484, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_486 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_487 = eq(_T_486, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_488 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_490 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_491 = eq(_T_490, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_492 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_493 = eq(_T_492, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_494 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_495 = eq(_T_494, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_496 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_498 = and(_T_483, _T_485) @[el2_ifu_compress_ctl.scala 29:110] - node _T_499 = and(_T_498, _T_487) @[el2_ifu_compress_ctl.scala 29:110] - node _T_500 = and(_T_499, _T_489) @[el2_ifu_compress_ctl.scala 29:110] - node _T_501 = and(_T_500, _T_491) @[el2_ifu_compress_ctl.scala 29:110] - node _T_502 = and(_T_501, _T_493) @[el2_ifu_compress_ctl.scala 29:110] - node _T_503 = and(_T_502, _T_495) @[el2_ifu_compress_ctl.scala 29:110] - node _T_504 = and(_T_503, _T_497) @[el2_ifu_compress_ctl.scala 29:110] - node _T_505 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 59:65] - node _T_506 = eq(_T_505, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 59:58] - node _T_507 = and(_T_504, _T_506) @[el2_ifu_compress_ctl.scala 59:56] - node _T_508 = or(_T_482, _T_507) @[el2_ifu_compress_ctl.scala 58:57] - node _T_509 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_510 = eq(_T_509, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_511 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_512 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:90] - node _T_513 = eq(_T_512, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_514 = and(_T_510, _T_511) @[el2_ifu_compress_ctl.scala 29:110] - node _T_515 = and(_T_514, _T_513) @[el2_ifu_compress_ctl.scala 29:110] - node _T_516 = or(_T_508, _T_515) @[el2_ifu_compress_ctl.scala 59:71] - node _T_517 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_518 = eq(_T_517, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_519 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_520 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:71] - node _T_521 = and(_T_518, _T_519) @[el2_ifu_compress_ctl.scala 29:110] - node _T_522 = and(_T_521, _T_520) @[el2_ifu_compress_ctl.scala 29:110] - node _T_523 = or(_T_516, _T_522) @[el2_ifu_compress_ctl.scala 60:34] - node _T_524 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_525 = eq(_T_524, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_526 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_527 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:71] - node _T_528 = and(_T_525, _T_526) @[el2_ifu_compress_ctl.scala 29:110] - node _T_529 = and(_T_528, _T_527) @[el2_ifu_compress_ctl.scala 29:110] - node _T_530 = or(_T_523, _T_529) @[el2_ifu_compress_ctl.scala 61:33] - node _T_531 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_532 = eq(_T_531, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_533 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_534 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:71] - node _T_535 = and(_T_532, _T_533) @[el2_ifu_compress_ctl.scala 29:110] - node _T_536 = and(_T_535, _T_534) @[el2_ifu_compress_ctl.scala 29:110] - node _T_537 = or(_T_530, _T_536) @[el2_ifu_compress_ctl.scala 62:33] - node _T_538 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_539 = eq(_T_538, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_540 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_541 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_542 = and(_T_539, _T_540) @[el2_ifu_compress_ctl.scala 29:110] - node _T_543 = and(_T_542, _T_541) @[el2_ifu_compress_ctl.scala 29:110] - node _T_544 = or(_T_537, _T_543) @[el2_ifu_compress_ctl.scala 63:34] - node _T_545 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_546 = eq(_T_545, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_547 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_548 = and(_T_546, _T_547) @[el2_ifu_compress_ctl.scala 29:110] - node _T_549 = or(_T_544, _T_548) @[el2_ifu_compress_ctl.scala 64:34] - out[2] <= _T_549 @[el2_ifu_compress_ctl.scala 54:10] - out[1] <= UInt<1>("h01") @[el2_ifu_compress_ctl.scala 66:10] - out[0] <= UInt<1>("h01") @[el2_ifu_compress_ctl.scala 67:10] - node rs2d = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 73:20] - node rdd = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 74:19] - node _T_550 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 75:34] - node rdpd = cat(UInt<2>("h01"), _T_550) @[Cat.scala 29:58] - node _T_551 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 76:35] - node rs2pd = cat(UInt<2>("h01"), _T_551) @[Cat.scala 29:58] - node _T_552 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_553 = eq(_T_552, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_554 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:71] - node _T_555 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_556 = and(_T_553, _T_554) @[el2_ifu_compress_ctl.scala 29:110] - node _T_557 = and(_T_556, _T_555) @[el2_ifu_compress_ctl.scala 29:110] - node _T_558 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_559 = eq(_T_558, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_560 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_561 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_562 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_563 = and(_T_559, _T_560) @[el2_ifu_compress_ctl.scala 29:110] - node _T_564 = and(_T_563, _T_561) @[el2_ifu_compress_ctl.scala 29:110] - node _T_565 = and(_T_564, _T_562) @[el2_ifu_compress_ctl.scala 29:110] - node _T_566 = or(_T_557, _T_565) @[el2_ifu_compress_ctl.scala 78:33] - node _T_567 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_568 = eq(_T_567, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_569 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:71] - node _T_570 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_571 = and(_T_568, _T_569) @[el2_ifu_compress_ctl.scala 29:110] - node _T_572 = and(_T_571, _T_570) @[el2_ifu_compress_ctl.scala 29:110] - node _T_573 = or(_T_566, _T_572) @[el2_ifu_compress_ctl.scala 78:58] - node _T_574 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_575 = eq(_T_574, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_576 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_577 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:71] - node _T_578 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_579 = and(_T_575, _T_576) @[el2_ifu_compress_ctl.scala 29:110] - node _T_580 = and(_T_579, _T_577) @[el2_ifu_compress_ctl.scala 29:110] - node _T_581 = and(_T_580, _T_578) @[el2_ifu_compress_ctl.scala 29:110] - node _T_582 = or(_T_573, _T_581) @[el2_ifu_compress_ctl.scala 78:79] - node _T_583 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_584 = eq(_T_583, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_585 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:71] - node _T_586 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_587 = and(_T_584, _T_585) @[el2_ifu_compress_ctl.scala 29:110] - node _T_588 = and(_T_587, _T_586) @[el2_ifu_compress_ctl.scala 29:110] - node _T_589 = or(_T_582, _T_588) @[el2_ifu_compress_ctl.scala 78:104] - node _T_590 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_591 = eq(_T_590, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_592 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_593 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:71] - node _T_594 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_595 = and(_T_591, _T_592) @[el2_ifu_compress_ctl.scala 29:110] - node _T_596 = and(_T_595, _T_593) @[el2_ifu_compress_ctl.scala 29:110] - node _T_597 = and(_T_596, _T_594) @[el2_ifu_compress_ctl.scala 29:110] - node _T_598 = or(_T_589, _T_597) @[el2_ifu_compress_ctl.scala 79:24] - node _T_599 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_600 = eq(_T_599, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_601 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:71] - node _T_602 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_603 = and(_T_600, _T_601) @[el2_ifu_compress_ctl.scala 29:110] - node _T_604 = and(_T_603, _T_602) @[el2_ifu_compress_ctl.scala 29:110] - node _T_605 = or(_T_598, _T_604) @[el2_ifu_compress_ctl.scala 79:48] - node _T_606 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_607 = eq(_T_606, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_608 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_609 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:90] - node _T_610 = eq(_T_609, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_611 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_612 = and(_T_607, _T_608) @[el2_ifu_compress_ctl.scala 29:110] - node _T_613 = and(_T_612, _T_610) @[el2_ifu_compress_ctl.scala 29:110] - node _T_614 = and(_T_613, _T_611) @[el2_ifu_compress_ctl.scala 29:110] - node _T_615 = or(_T_605, _T_614) @[el2_ifu_compress_ctl.scala 79:69] - node _T_616 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_617 = eq(_T_616, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_618 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:71] - node _T_619 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_620 = and(_T_617, _T_618) @[el2_ifu_compress_ctl.scala 29:110] - node _T_621 = and(_T_620, _T_619) @[el2_ifu_compress_ctl.scala 29:110] - node _T_622 = or(_T_615, _T_621) @[el2_ifu_compress_ctl.scala 79:94] - node _T_623 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_624 = eq(_T_623, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_625 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_626 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:71] - node _T_627 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_628 = and(_T_624, _T_625) @[el2_ifu_compress_ctl.scala 29:110] - node _T_629 = and(_T_628, _T_626) @[el2_ifu_compress_ctl.scala 29:110] - node _T_630 = and(_T_629, _T_627) @[el2_ifu_compress_ctl.scala 29:110] - node _T_631 = or(_T_622, _T_630) @[el2_ifu_compress_ctl.scala 80:22] - node _T_632 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_633 = eq(_T_632, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_634 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_635 = and(_T_633, _T_634) @[el2_ifu_compress_ctl.scala 29:110] - node _T_636 = or(_T_631, _T_635) @[el2_ifu_compress_ctl.scala 80:46] - node _T_637 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_638 = eq(_T_637, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_639 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_640 = eq(_T_639, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_641 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_642 = and(_T_638, _T_640) @[el2_ifu_compress_ctl.scala 29:110] - node _T_643 = and(_T_642, _T_641) @[el2_ifu_compress_ctl.scala 29:110] - node rdrd = or(_T_636, _T_643) @[el2_ifu_compress_ctl.scala 80:65] - node _T_644 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_645 = eq(_T_644, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_646 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_647 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_648 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_649 = and(_T_645, _T_646) @[el2_ifu_compress_ctl.scala 29:110] - node _T_650 = and(_T_649, _T_647) @[el2_ifu_compress_ctl.scala 29:110] - node _T_651 = and(_T_650, _T_648) @[el2_ifu_compress_ctl.scala 29:110] - node _T_652 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_653 = eq(_T_652, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_654 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_655 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:71] - node _T_656 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_657 = and(_T_653, _T_654) @[el2_ifu_compress_ctl.scala 29:110] - node _T_658 = and(_T_657, _T_655) @[el2_ifu_compress_ctl.scala 29:110] - node _T_659 = and(_T_658, _T_656) @[el2_ifu_compress_ctl.scala 29:110] - node _T_660 = or(_T_651, _T_659) @[el2_ifu_compress_ctl.scala 82:38] - node _T_661 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_662 = eq(_T_661, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_663 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_664 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:71] - node _T_665 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_666 = and(_T_662, _T_663) @[el2_ifu_compress_ctl.scala 29:110] - node _T_667 = and(_T_666, _T_664) @[el2_ifu_compress_ctl.scala 29:110] - node _T_668 = and(_T_667, _T_665) @[el2_ifu_compress_ctl.scala 29:110] - node _T_669 = or(_T_660, _T_668) @[el2_ifu_compress_ctl.scala 83:28] - node _T_670 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_671 = eq(_T_670, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_672 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_673 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:71] - node _T_674 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_675 = and(_T_671, _T_672) @[el2_ifu_compress_ctl.scala 29:110] - node _T_676 = and(_T_675, _T_673) @[el2_ifu_compress_ctl.scala 29:110] - node _T_677 = and(_T_676, _T_674) @[el2_ifu_compress_ctl.scala 29:110] - node _T_678 = or(_T_669, _T_677) @[el2_ifu_compress_ctl.scala 84:27] - node _T_679 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_680 = eq(_T_679, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_681 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_682 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:71] - node _T_683 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_684 = and(_T_680, _T_681) @[el2_ifu_compress_ctl.scala 29:110] - node _T_685 = and(_T_684, _T_682) @[el2_ifu_compress_ctl.scala 29:110] - node _T_686 = and(_T_685, _T_683) @[el2_ifu_compress_ctl.scala 29:110] - node _T_687 = or(_T_678, _T_686) @[el2_ifu_compress_ctl.scala 85:27] - node _T_688 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_689 = eq(_T_688, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_690 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_691 = eq(_T_690, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_692 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_693 = eq(_T_692, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_694 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_695 = eq(_T_694, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_696 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_697 = eq(_T_696, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_698 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_699 = eq(_T_698, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_700 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_701 = eq(_T_700, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_702 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_703 = and(_T_689, _T_691) @[el2_ifu_compress_ctl.scala 29:110] - node _T_704 = and(_T_703, _T_693) @[el2_ifu_compress_ctl.scala 29:110] - node _T_705 = and(_T_704, _T_695) @[el2_ifu_compress_ctl.scala 29:110] - node _T_706 = and(_T_705, _T_697) @[el2_ifu_compress_ctl.scala 29:110] - node _T_707 = and(_T_706, _T_699) @[el2_ifu_compress_ctl.scala 29:110] - node _T_708 = and(_T_707, _T_701) @[el2_ifu_compress_ctl.scala 29:110] - node _T_709 = and(_T_708, _T_702) @[el2_ifu_compress_ctl.scala 29:110] - node _T_710 = or(_T_687, _T_709) @[el2_ifu_compress_ctl.scala 86:27] - node _T_711 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_712 = eq(_T_711, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_713 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_714 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:71] - node _T_715 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_716 = and(_T_712, _T_713) @[el2_ifu_compress_ctl.scala 29:110] - node _T_717 = and(_T_716, _T_714) @[el2_ifu_compress_ctl.scala 29:110] - node _T_718 = and(_T_717, _T_715) @[el2_ifu_compress_ctl.scala 29:110] - node _T_719 = or(_T_710, _T_718) @[el2_ifu_compress_ctl.scala 87:41] - node _T_720 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_721 = eq(_T_720, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_722 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_723 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:71] - node _T_724 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_725 = and(_T_721, _T_722) @[el2_ifu_compress_ctl.scala 29:110] - node _T_726 = and(_T_725, _T_723) @[el2_ifu_compress_ctl.scala 29:110] - node _T_727 = and(_T_726, _T_724) @[el2_ifu_compress_ctl.scala 29:110] - node _T_728 = or(_T_719, _T_727) @[el2_ifu_compress_ctl.scala 88:27] - node _T_729 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_730 = eq(_T_729, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_731 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_732 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:71] - node _T_733 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_734 = and(_T_730, _T_731) @[el2_ifu_compress_ctl.scala 29:110] - node _T_735 = and(_T_734, _T_732) @[el2_ifu_compress_ctl.scala 29:110] - node _T_736 = and(_T_735, _T_733) @[el2_ifu_compress_ctl.scala 29:110] - node _T_737 = or(_T_728, _T_736) @[el2_ifu_compress_ctl.scala 89:27] - node _T_738 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_739 = eq(_T_738, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_740 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_741 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:71] - node _T_742 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_743 = and(_T_739, _T_740) @[el2_ifu_compress_ctl.scala 29:110] - node _T_744 = and(_T_743, _T_741) @[el2_ifu_compress_ctl.scala 29:110] - node _T_745 = and(_T_744, _T_742) @[el2_ifu_compress_ctl.scala 29:110] - node _T_746 = or(_T_737, _T_745) @[el2_ifu_compress_ctl.scala 90:27] - node _T_747 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_748 = eq(_T_747, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_749 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_750 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:71] - node _T_751 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_752 = and(_T_748, _T_749) @[el2_ifu_compress_ctl.scala 29:110] - node _T_753 = and(_T_752, _T_750) @[el2_ifu_compress_ctl.scala 29:110] - node _T_754 = and(_T_753, _T_751) @[el2_ifu_compress_ctl.scala 29:110] - node _T_755 = or(_T_746, _T_754) @[el2_ifu_compress_ctl.scala 91:27] - node _T_756 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_758 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_759 = eq(_T_758, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_760 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_761 = eq(_T_760, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_762 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_763 = and(_T_757, _T_759) @[el2_ifu_compress_ctl.scala 29:110] - node _T_764 = and(_T_763, _T_761) @[el2_ifu_compress_ctl.scala 29:110] - node _T_765 = and(_T_764, _T_762) @[el2_ifu_compress_ctl.scala 29:110] - node _T_766 = or(_T_755, _T_765) @[el2_ifu_compress_ctl.scala 92:27] - node _T_767 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_768 = eq(_T_767, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_769 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_770 = eq(_T_769, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_771 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_772 = and(_T_768, _T_770) @[el2_ifu_compress_ctl.scala 29:110] - node _T_773 = and(_T_772, _T_771) @[el2_ifu_compress_ctl.scala 29:110] - node rdrs1 = or(_T_766, _T_773) @[el2_ifu_compress_ctl.scala 93:30] - node _T_774 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_775 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:71] - node _T_776 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_777 = and(_T_774, _T_775) @[el2_ifu_compress_ctl.scala 29:110] - node _T_778 = and(_T_777, _T_776) @[el2_ifu_compress_ctl.scala 29:110] - node _T_779 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_780 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:71] - node _T_781 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_782 = and(_T_779, _T_780) @[el2_ifu_compress_ctl.scala 29:110] - node _T_783 = and(_T_782, _T_781) @[el2_ifu_compress_ctl.scala 29:110] - node _T_784 = or(_T_778, _T_783) @[el2_ifu_compress_ctl.scala 96:34] - node _T_785 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_786 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:71] - node _T_787 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_788 = and(_T_785, _T_786) @[el2_ifu_compress_ctl.scala 29:110] - node _T_789 = and(_T_788, _T_787) @[el2_ifu_compress_ctl.scala 29:110] - node _T_790 = or(_T_784, _T_789) @[el2_ifu_compress_ctl.scala 96:54] - node _T_791 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_792 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:71] - node _T_793 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_794 = and(_T_791, _T_792) @[el2_ifu_compress_ctl.scala 29:110] - node _T_795 = and(_T_794, _T_793) @[el2_ifu_compress_ctl.scala 29:110] - node _T_796 = or(_T_790, _T_795) @[el2_ifu_compress_ctl.scala 96:74] - node _T_797 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_798 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:71] - node _T_799 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_800 = and(_T_797, _T_798) @[el2_ifu_compress_ctl.scala 29:110] - node _T_801 = and(_T_800, _T_799) @[el2_ifu_compress_ctl.scala 29:110] - node _T_802 = or(_T_796, _T_801) @[el2_ifu_compress_ctl.scala 96:94] - node _T_803 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_804 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_805 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_806 = and(_T_803, _T_804) @[el2_ifu_compress_ctl.scala 29:110] - node _T_807 = and(_T_806, _T_805) @[el2_ifu_compress_ctl.scala 29:110] - node rs2rs2 = or(_T_802, _T_807) @[el2_ifu_compress_ctl.scala 96:114] - node _T_808 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_809 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_810 = eq(_T_809, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_811 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_812 = eq(_T_811, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_813 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_814 = and(_T_808, _T_810) @[el2_ifu_compress_ctl.scala 29:110] - node _T_815 = and(_T_814, _T_812) @[el2_ifu_compress_ctl.scala 29:110] - node rdprd = and(_T_815, _T_813) @[el2_ifu_compress_ctl.scala 29:110] - node _T_816 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_817 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_818 = eq(_T_817, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_819 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_820 = and(_T_816, _T_818) @[el2_ifu_compress_ctl.scala 29:110] - node _T_821 = and(_T_820, _T_819) @[el2_ifu_compress_ctl.scala 29:110] - node _T_822 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_823 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_824 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_825 = and(_T_822, _T_823) @[el2_ifu_compress_ctl.scala 29:110] - node _T_826 = and(_T_825, _T_824) @[el2_ifu_compress_ctl.scala 29:110] - node _T_827 = or(_T_821, _T_826) @[el2_ifu_compress_ctl.scala 100:36] - node _T_828 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_829 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_830 = eq(_T_829, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_831 = and(_T_828, _T_830) @[el2_ifu_compress_ctl.scala 29:110] - node _T_832 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 100:85] - node _T_833 = eq(_T_832, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 100:78] - node _T_834 = and(_T_831, _T_833) @[el2_ifu_compress_ctl.scala 100:76] - node rdprs1 = or(_T_827, _T_834) @[el2_ifu_compress_ctl.scala 100:57] - node _T_835 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_836 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_837 = eq(_T_836, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_838 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_839 = eq(_T_838, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_840 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_841 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:71] - node _T_842 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_843 = and(_T_835, _T_837) @[el2_ifu_compress_ctl.scala 29:110] - node _T_844 = and(_T_843, _T_839) @[el2_ifu_compress_ctl.scala 29:110] - node _T_845 = and(_T_844, _T_840) @[el2_ifu_compress_ctl.scala 29:110] - node _T_846 = and(_T_845, _T_841) @[el2_ifu_compress_ctl.scala 29:110] - node _T_847 = and(_T_846, _T_842) @[el2_ifu_compress_ctl.scala 29:110] - node _T_848 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_849 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_850 = eq(_T_849, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_851 = and(_T_848, _T_850) @[el2_ifu_compress_ctl.scala 29:110] - node _T_852 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 102:75] - node _T_853 = eq(_T_852, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 102:68] - node _T_854 = and(_T_851, _T_853) @[el2_ifu_compress_ctl.scala 102:66] - node rs2prs2 = or(_T_847, _T_854) @[el2_ifu_compress_ctl.scala 102:47] - node _T_855 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_856 = eq(_T_855, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_857 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_858 = eq(_T_857, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_859 = and(_T_856, _T_858) @[el2_ifu_compress_ctl.scala 29:110] - node _T_860 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 103:42] - node _T_861 = eq(_T_860, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 103:35] - node rs2prd = and(_T_859, _T_861) @[el2_ifu_compress_ctl.scala 103:33] - node _T_862 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_863 = eq(_T_862, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_864 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_865 = eq(_T_864, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_866 = and(_T_863, _T_865) @[el2_ifu_compress_ctl.scala 29:110] - node _T_867 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 104:43] - node _T_868 = eq(_T_867, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 104:36] - node uimm9_2 = and(_T_866, _T_868) @[el2_ifu_compress_ctl.scala 104:34] - node _T_869 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_870 = eq(_T_869, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_871 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_872 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_873 = eq(_T_872, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_874 = and(_T_870, _T_871) @[el2_ifu_compress_ctl.scala 29:110] - node _T_875 = and(_T_874, _T_873) @[el2_ifu_compress_ctl.scala 29:110] - node _T_876 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 105:48] - node _T_877 = eq(_T_876, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 105:41] - node ulwimm6_2 = and(_T_875, _T_877) @[el2_ifu_compress_ctl.scala 105:39] - node _T_878 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_879 = eq(_T_878, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_880 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_881 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_882 = and(_T_879, _T_880) @[el2_ifu_compress_ctl.scala 29:110] - node ulwspimm7_2 = and(_T_882, _T_881) @[el2_ifu_compress_ctl.scala 29:110] - node _T_883 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_884 = eq(_T_883, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_885 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_886 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_887 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:90] - node _T_888 = eq(_T_887, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_889 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:90] - node _T_890 = eq(_T_889, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_891 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:90] - node _T_892 = eq(_T_891, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_893 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:71] - node _T_894 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:90] - node _T_895 = eq(_T_894, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_896 = and(_T_884, _T_885) @[el2_ifu_compress_ctl.scala 29:110] - node _T_897 = and(_T_896, _T_886) @[el2_ifu_compress_ctl.scala 29:110] - node _T_898 = and(_T_897, _T_888) @[el2_ifu_compress_ctl.scala 29:110] - node _T_899 = and(_T_898, _T_890) @[el2_ifu_compress_ctl.scala 29:110] - node _T_900 = and(_T_899, _T_892) @[el2_ifu_compress_ctl.scala 29:110] - node _T_901 = and(_T_900, _T_893) @[el2_ifu_compress_ctl.scala 29:110] - node rdeq2 = and(_T_901, _T_895) @[el2_ifu_compress_ctl.scala 29:110] - node _T_902 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_903 = eq(_T_902, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_904 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_905 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_906 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_907 = eq(_T_906, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_908 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_909 = eq(_T_908, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_910 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_911 = eq(_T_910, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_912 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_913 = eq(_T_912, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_914 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_915 = eq(_T_914, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_916 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_917 = and(_T_903, _T_904) @[el2_ifu_compress_ctl.scala 29:110] - node _T_918 = and(_T_917, _T_905) @[el2_ifu_compress_ctl.scala 29:110] - node _T_919 = and(_T_918, _T_907) @[el2_ifu_compress_ctl.scala 29:110] - node _T_920 = and(_T_919, _T_909) @[el2_ifu_compress_ctl.scala 29:110] - node _T_921 = and(_T_920, _T_911) @[el2_ifu_compress_ctl.scala 29:110] - node _T_922 = and(_T_921, _T_913) @[el2_ifu_compress_ctl.scala 29:110] - node _T_923 = and(_T_922, _T_915) @[el2_ifu_compress_ctl.scala 29:110] - node _T_924 = and(_T_923, _T_916) @[el2_ifu_compress_ctl.scala 29:110] - node _T_925 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_926 = eq(_T_925, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_927 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_928 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:71] - node _T_929 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_930 = eq(_T_929, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_931 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_932 = eq(_T_931, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_933 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_934 = eq(_T_933, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_935 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_936 = eq(_T_935, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_937 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_938 = eq(_T_937, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_939 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_940 = and(_T_926, _T_927) @[el2_ifu_compress_ctl.scala 29:110] - node _T_941 = and(_T_940, _T_928) @[el2_ifu_compress_ctl.scala 29:110] - node _T_942 = and(_T_941, _T_930) @[el2_ifu_compress_ctl.scala 29:110] - node _T_943 = and(_T_942, _T_932) @[el2_ifu_compress_ctl.scala 29:110] - node _T_944 = and(_T_943, _T_934) @[el2_ifu_compress_ctl.scala 29:110] - node _T_945 = and(_T_944, _T_936) @[el2_ifu_compress_ctl.scala 29:110] - node _T_946 = and(_T_945, _T_938) @[el2_ifu_compress_ctl.scala 29:110] - node _T_947 = and(_T_946, _T_939) @[el2_ifu_compress_ctl.scala 29:110] - node _T_948 = or(_T_924, _T_947) @[el2_ifu_compress_ctl.scala 108:53] - node _T_949 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_950 = eq(_T_949, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_951 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_952 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:71] - node _T_953 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_954 = eq(_T_953, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_955 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_956 = eq(_T_955, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_957 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_958 = eq(_T_957, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_959 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_960 = eq(_T_959, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_961 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_962 = eq(_T_961, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_963 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_964 = and(_T_950, _T_951) @[el2_ifu_compress_ctl.scala 29:110] - node _T_965 = and(_T_964, _T_952) @[el2_ifu_compress_ctl.scala 29:110] - node _T_966 = and(_T_965, _T_954) @[el2_ifu_compress_ctl.scala 29:110] - node _T_967 = and(_T_966, _T_956) @[el2_ifu_compress_ctl.scala 29:110] - node _T_968 = and(_T_967, _T_958) @[el2_ifu_compress_ctl.scala 29:110] - node _T_969 = and(_T_968, _T_960) @[el2_ifu_compress_ctl.scala 29:110] - node _T_970 = and(_T_969, _T_962) @[el2_ifu_compress_ctl.scala 29:110] - node _T_971 = and(_T_970, _T_963) @[el2_ifu_compress_ctl.scala 29:110] - node _T_972 = or(_T_948, _T_971) @[el2_ifu_compress_ctl.scala 108:93] - node _T_973 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_974 = eq(_T_973, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_975 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_976 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:71] - node _T_977 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_978 = eq(_T_977, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_979 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_980 = eq(_T_979, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_981 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_982 = eq(_T_981, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_983 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_984 = eq(_T_983, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_985 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_986 = eq(_T_985, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_987 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_988 = and(_T_974, _T_975) @[el2_ifu_compress_ctl.scala 29:110] - node _T_989 = and(_T_988, _T_976) @[el2_ifu_compress_ctl.scala 29:110] - node _T_990 = and(_T_989, _T_978) @[el2_ifu_compress_ctl.scala 29:110] - node _T_991 = and(_T_990, _T_980) @[el2_ifu_compress_ctl.scala 29:110] - node _T_992 = and(_T_991, _T_982) @[el2_ifu_compress_ctl.scala 29:110] - node _T_993 = and(_T_992, _T_984) @[el2_ifu_compress_ctl.scala 29:110] - node _T_994 = and(_T_993, _T_986) @[el2_ifu_compress_ctl.scala 29:110] - node _T_995 = and(_T_994, _T_987) @[el2_ifu_compress_ctl.scala 29:110] - node _T_996 = or(_T_972, _T_995) @[el2_ifu_compress_ctl.scala 109:42] - node _T_997 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_998 = eq(_T_997, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_999 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1000 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1001 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1003 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1004 = eq(_T_1003, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1005 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1006 = eq(_T_1005, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1007 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1008 = eq(_T_1007, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1009 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1010 = eq(_T_1009, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1011 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1012 = and(_T_998, _T_999) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1013 = and(_T_1012, _T_1000) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1014 = and(_T_1013, _T_1002) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1015 = and(_T_1014, _T_1004) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1016 = and(_T_1015, _T_1006) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1017 = and(_T_1016, _T_1008) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1018 = and(_T_1017, _T_1010) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1019 = and(_T_1018, _T_1011) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1020 = or(_T_996, _T_1019) @[el2_ifu_compress_ctl.scala 109:81] - node _T_1021 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1022 = eq(_T_1021, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1023 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1024 = eq(_T_1023, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1025 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1026 = and(_T_1022, _T_1024) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1027 = and(_T_1026, _T_1025) @[el2_ifu_compress_ctl.scala 29:110] - node rdeq1 = or(_T_1020, _T_1027) @[el2_ifu_compress_ctl.scala 110:42] - node _T_1028 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1030 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1031 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1032 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1033 = eq(_T_1032, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1034 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1036 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1037 = eq(_T_1036, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1038 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1039 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1040 = eq(_T_1039, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1041 = and(_T_1029, _T_1030) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1042 = and(_T_1041, _T_1031) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1043 = and(_T_1042, _T_1033) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1044 = and(_T_1043, _T_1035) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1045 = and(_T_1044, _T_1037) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1046 = and(_T_1045, _T_1038) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1047 = and(_T_1046, _T_1040) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1048 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1049 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1050 = and(_T_1048, _T_1049) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1051 = or(_T_1047, _T_1050) @[el2_ifu_compress_ctl.scala 111:53] - node _T_1052 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1054 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1055 = eq(_T_1054, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1056 = and(_T_1053, _T_1055) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1057 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 111:100] - node _T_1058 = eq(_T_1057, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 111:93] - node _T_1059 = and(_T_1056, _T_1058) @[el2_ifu_compress_ctl.scala 111:91] - node rs1eq2 = or(_T_1051, _T_1059) @[el2_ifu_compress_ctl.scala 111:71] - node _T_1060 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1061 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1062 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1063 = and(_T_1060, _T_1061) @[el2_ifu_compress_ctl.scala 29:110] - node sbroffset8_1 = and(_T_1063, _T_1062) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1064 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1065 = eq(_T_1064, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1066 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1067 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1068 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1069 = eq(_T_1068, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1070 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1071 = eq(_T_1070, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1072 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1074 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1075 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1076 = eq(_T_1075, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1077 = and(_T_1065, _T_1066) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1078 = and(_T_1077, _T_1067) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1079 = and(_T_1078, _T_1069) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1080 = and(_T_1079, _T_1071) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1081 = and(_T_1080, _T_1073) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1082 = and(_T_1081, _T_1074) @[el2_ifu_compress_ctl.scala 29:110] - node simm9_4 = and(_T_1082, _T_1076) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1083 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1084 = eq(_T_1083, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1085 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1086 = eq(_T_1085, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1087 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1088 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1089 = eq(_T_1088, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1090 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1091 = and(_T_1084, _T_1086) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1092 = and(_T_1091, _T_1087) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1093 = and(_T_1092, _T_1089) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1094 = and(_T_1093, _T_1090) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1095 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1096 = eq(_T_1095, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1097 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1098 = eq(_T_1097, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1099 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1100 = and(_T_1096, _T_1098) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1101 = and(_T_1100, _T_1099) @[el2_ifu_compress_ctl.scala 29:110] - node simm5_0 = or(_T_1094, _T_1101) @[el2_ifu_compress_ctl.scala 114:45] - node _T_1102 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1103 = eq(_T_1102, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1104 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node sjaloffset11_1 = and(_T_1103, _T_1104) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1105 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1106 = eq(_T_1105, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1107 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1108 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1109 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1110 = and(_T_1106, _T_1107) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1111 = and(_T_1110, _T_1108) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1112 = and(_T_1111, _T_1109) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1113 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1115 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1116 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1117 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1118 = eq(_T_1117, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1119 = and(_T_1114, _T_1115) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1120 = and(_T_1119, _T_1116) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1121 = and(_T_1120, _T_1118) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1122 = or(_T_1112, _T_1121) @[el2_ifu_compress_ctl.scala 116:44] - node _T_1123 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1124 = eq(_T_1123, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1125 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1126 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1127 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1128 = and(_T_1124, _T_1125) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1129 = and(_T_1128, _T_1126) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1130 = and(_T_1129, _T_1127) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1131 = or(_T_1122, _T_1130) @[el2_ifu_compress_ctl.scala 117:29] - node _T_1132 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1133 = eq(_T_1132, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1134 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1135 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1136 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1137 = and(_T_1133, _T_1134) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1138 = and(_T_1137, _T_1135) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1139 = and(_T_1138, _T_1136) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1140 = or(_T_1131, _T_1139) @[el2_ifu_compress_ctl.scala 118:28] - node _T_1141 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1142 = eq(_T_1141, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1143 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1144 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1145 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1146 = and(_T_1142, _T_1143) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1147 = and(_T_1146, _T_1144) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1148 = and(_T_1147, _T_1145) @[el2_ifu_compress_ctl.scala 29:110] - node sluimm17_12 = or(_T_1140, _T_1148) @[el2_ifu_compress_ctl.scala 119:29] - node _T_1149 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1150 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1151 = eq(_T_1150, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1152 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1153 = eq(_T_1152, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1154 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1155 = eq(_T_1154, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1156 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1157 = and(_T_1149, _T_1151) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1158 = and(_T_1157, _T_1153) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1159 = and(_T_1158, _T_1155) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1160 = and(_T_1159, _T_1156) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1161 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1162 = eq(_T_1161, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1163 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1164 = eq(_T_1163, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1165 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1166 = and(_T_1162, _T_1164) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1167 = and(_T_1166, _T_1165) @[el2_ifu_compress_ctl.scala 29:110] - node uimm5_0 = or(_T_1160, _T_1167) @[el2_ifu_compress_ctl.scala 121:45] - node _T_1168 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1169 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1170 = eq(_T_1169, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1171 = and(_T_1168, _T_1170) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1172 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 122:44] - node _T_1173 = eq(_T_1172, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 122:37] - node uswimm6_2 = and(_T_1171, _T_1173) @[el2_ifu_compress_ctl.scala 122:35] - node _T_1174 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1175 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1176 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1177 = and(_T_1174, _T_1175) @[el2_ifu_compress_ctl.scala 29:110] - node uswspimm7_2 = and(_T_1177, _T_1176) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1178 = cat(out[2], out[1]) @[Cat.scala 29:58] - node _T_1179 = cat(_T_1178, out[0]) @[Cat.scala 29:58] - node _T_1180 = cat(out[4], out[3]) @[Cat.scala 29:58] - node _T_1181 = cat(out[6], out[5]) @[Cat.scala 29:58] - node _T_1182 = cat(_T_1181, _T_1180) @[Cat.scala 29:58] - node l1_6 = cat(_T_1182, _T_1179) @[Cat.scala 29:58] - node _T_1183 = cat(out[8], out[7]) @[Cat.scala 29:58] - node _T_1184 = cat(out[11], out[10]) @[Cat.scala 29:58] - node _T_1185 = cat(_T_1184, out[9]) @[Cat.scala 29:58] - node _T_1186 = cat(_T_1185, _T_1183) @[Cat.scala 29:58] - node _T_1187 = bits(rdrd, 0, 0) @[el2_ifu_compress_ctl.scala 126:81] - node _T_1188 = bits(rdprd, 0, 0) @[el2_ifu_compress_ctl.scala 127:9] - node _T_1189 = bits(rs2prd, 0, 0) @[el2_ifu_compress_ctl.scala 127:30] - node _T_1190 = bits(rdeq1, 0, 0) @[el2_ifu_compress_ctl.scala 127:51] - node _T_1191 = bits(rdeq2, 0, 0) @[el2_ifu_compress_ctl.scala 127:75] - node _T_1192 = mux(_T_1187, rdd, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1193 = mux(_T_1188, rdpd, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1194 = mux(_T_1189, rs2pd, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1195 = mux(_T_1190, UInt<5>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1196 = mux(_T_1191, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1197 = or(_T_1192, _T_1193) @[Mux.scala 27:72] - node _T_1198 = or(_T_1197, _T_1194) @[Mux.scala 27:72] - node _T_1199 = or(_T_1198, _T_1195) @[Mux.scala 27:72] - node _T_1200 = or(_T_1199, _T_1196) @[Mux.scala 27:72] - wire _T_1201 : UInt<5> @[Mux.scala 27:72] - _T_1201 <= _T_1200 @[Mux.scala 27:72] - node l1_11 = or(_T_1186, _T_1201) @[el2_ifu_compress_ctl.scala 126:64] - node _T_1202 = cat(out[14], out[13]) @[Cat.scala 29:58] - node l1_14 = cat(_T_1202, out[12]) @[Cat.scala 29:58] - node _T_1203 = cat(out[16], out[15]) @[Cat.scala 29:58] - node _T_1204 = cat(out[19], out[18]) @[Cat.scala 29:58] - node _T_1205 = cat(_T_1204, out[17]) @[Cat.scala 29:58] - node _T_1206 = cat(_T_1205, _T_1203) @[Cat.scala 29:58] - node _T_1207 = bits(rdrs1, 0, 0) @[el2_ifu_compress_ctl.scala 131:85] - node _T_1208 = bits(rdprs1, 0, 0) @[el2_ifu_compress_ctl.scala 132:12] - node _T_1209 = bits(rs1eq2, 0, 0) @[el2_ifu_compress_ctl.scala 132:33] - node _T_1210 = mux(_T_1207, rdd, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1211 = mux(_T_1208, rdpd, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1212 = mux(_T_1209, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1213 = or(_T_1210, _T_1211) @[Mux.scala 27:72] - node _T_1214 = or(_T_1213, _T_1212) @[Mux.scala 27:72] - wire _T_1215 : UInt<5> @[Mux.scala 27:72] - _T_1215 <= _T_1214 @[Mux.scala 27:72] - node l1_19 = or(_T_1206, _T_1215) @[el2_ifu_compress_ctl.scala 131:67] - node _T_1216 = cat(out[21], out[20]) @[Cat.scala 29:58] - node _T_1217 = cat(out[24], out[23]) @[Cat.scala 29:58] - node _T_1218 = cat(_T_1217, out[22]) @[Cat.scala 29:58] - node _T_1219 = cat(_T_1218, _T_1216) @[Cat.scala 29:58] - node _T_1220 = bits(rs2rs2, 0, 0) @[el2_ifu_compress_ctl.scala 134:86] - node _T_1221 = bits(rs2prs2, 0, 0) @[el2_ifu_compress_ctl.scala 135:13] - node _T_1222 = mux(_T_1220, rs2d, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1223 = mux(_T_1221, rs2pd, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1224 = or(_T_1222, _T_1223) @[Mux.scala 27:72] - wire _T_1225 : UInt<5> @[Mux.scala 27:72] - _T_1225 <= _T_1224 @[Mux.scala 27:72] - node l1_24 = or(_T_1219, _T_1225) @[el2_ifu_compress_ctl.scala 134:67] - node _T_1226 = cat(out[27], out[26]) @[Cat.scala 29:58] - node _T_1227 = cat(_T_1226, out[25]) @[Cat.scala 29:58] - node _T_1228 = cat(out[29], out[28]) @[Cat.scala 29:58] - node _T_1229 = cat(out[31], out[30]) @[Cat.scala 29:58] - node _T_1230 = cat(_T_1229, _T_1228) @[Cat.scala 29:58] - node l1_31 = cat(_T_1230, _T_1227) @[Cat.scala 29:58] - node _T_1231 = cat(l1_14, l1_11) @[Cat.scala 29:58] - node _T_1232 = cat(_T_1231, l1_6) @[Cat.scala 29:58] - node _T_1233 = cat(l1_31, l1_24) @[Cat.scala 29:58] - node _T_1234 = cat(_T_1233, l1_19) @[Cat.scala 29:58] - node l1 = cat(_T_1234, _T_1232) @[Cat.scala 29:58] - node _T_1235 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 139:26] - node _T_1236 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 139:38] - node simm5d = cat(_T_1235, _T_1236) @[Cat.scala 29:58] - node _T_1237 = bits(io.din, 10, 7) @[el2_ifu_compress_ctl.scala 140:26] - node _T_1238 = bits(io.din, 12, 11) @[el2_ifu_compress_ctl.scala 140:40] - node _T_1239 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 140:55] - node _T_1240 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 140:66] - node _T_1241 = cat(_T_1239, _T_1240) @[Cat.scala 29:58] - node _T_1242 = cat(_T_1237, _T_1238) @[Cat.scala 29:58] - node uimm9d = cat(_T_1242, _T_1241) @[Cat.scala 29:58] - node _T_1243 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 141:26] - node _T_1244 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 141:38] - node _T_1245 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 141:51] - node _T_1246 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 141:62] - node _T_1247 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 141:73] - node _T_1248 = cat(_T_1246, _T_1247) @[Cat.scala 29:58] - node _T_1249 = cat(_T_1243, _T_1244) @[Cat.scala 29:58] - node _T_1250 = cat(_T_1249, _T_1245) @[Cat.scala 29:58] - node simm9d = cat(_T_1250, _T_1248) @[Cat.scala 29:58] - node _T_1251 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 142:28] - node _T_1252 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 142:39] - node _T_1253 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 142:54] - node _T_1254 = cat(_T_1251, _T_1252) @[Cat.scala 29:58] - node ulwimm6d = cat(_T_1254, _T_1253) @[Cat.scala 29:58] - node _T_1255 = bits(io.din, 3, 2) @[el2_ifu_compress_ctl.scala 143:30] - node _T_1256 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 143:43] - node _T_1257 = bits(io.din, 6, 4) @[el2_ifu_compress_ctl.scala 143:55] - node _T_1258 = cat(_T_1255, _T_1256) @[Cat.scala 29:58] - node ulwspimm7d = cat(_T_1258, _T_1257) @[Cat.scala 29:58] - node _T_1259 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 144:26] - node _T_1260 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 144:38] - node uimm5d = cat(_T_1259, _T_1260) @[Cat.scala 29:58] - node _T_1261 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 145:27] - node _T_1262 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 145:39] - node _T_1263 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 145:50] - node _T_1264 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 145:64] - node _T_1265 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 145:75] - node _T_1266 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 145:86] - node _T_1267 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 145:97] - node _T_1268 = bits(io.din, 5, 4) @[el2_ifu_compress_ctl.scala 146:11] - node _T_1269 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 146:24] - node _T_1270 = cat(_T_1268, _T_1269) @[Cat.scala 29:58] - node _T_1271 = cat(_T_1266, _T_1267) @[Cat.scala 29:58] - node _T_1272 = cat(_T_1271, _T_1270) @[Cat.scala 29:58] - node _T_1273 = cat(_T_1264, _T_1265) @[Cat.scala 29:58] - node _T_1274 = cat(_T_1261, _T_1262) @[Cat.scala 29:58] - node _T_1275 = cat(_T_1274, _T_1263) @[Cat.scala 29:58] - node _T_1276 = cat(_T_1275, _T_1273) @[Cat.scala 29:58] - node sjald_1 = cat(_T_1276, _T_1272) @[Cat.scala 29:58] - node _T_1277 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 147:32] - node _T_1278 = bits(_T_1277, 0, 0) @[Bitwise.scala 72:15] - node sjald_12 = mux(_T_1278, UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] - node sjald = cat(sjald_12, sjald_1) @[Cat.scala 29:58] - node _T_1279 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 149:36] - node _T_1280 = bits(_T_1279, 0, 0) @[Bitwise.scala 72:15] - node _T_1281 = mux(_T_1280, UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] - node _T_1282 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 149:49] - node sluimmd = cat(_T_1281, _T_1282) @[Cat.scala 29:58] - io.sluimmd <= sluimmd @[el2_ifu_compress_ctl.scala 150:14] - node _T_1283 = bits(l1, 31, 20) @[el2_ifu_compress_ctl.scala 152:17] - node _T_1284 = bits(simm5_0, 0, 0) @[el2_ifu_compress_ctl.scala 153:23] - node _T_1285 = bits(simm5d, 5, 5) @[el2_ifu_compress_ctl.scala 153:49] - node _T_1286 = bits(_T_1285, 0, 0) @[Bitwise.scala 72:15] - node _T_1287 = mux(_T_1286, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] - node _T_1288 = bits(simm5d, 4, 0) @[el2_ifu_compress_ctl.scala 153:61] - node _T_1289 = cat(_T_1287, _T_1288) @[Cat.scala 29:58] - node _T_1290 = bits(uimm9_2, 0, 0) @[el2_ifu_compress_ctl.scala 154:23] - node _T_1291 = cat(UInt<2>("h00"), uimm9d) @[Cat.scala 29:58] - node _T_1292 = cat(_T_1291, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1293 = bits(simm9_4, 0, 0) @[el2_ifu_compress_ctl.scala 155:23] - node _T_1294 = bits(simm9d, 5, 5) @[el2_ifu_compress_ctl.scala 155:49] - node _T_1295 = bits(_T_1294, 0, 0) @[Bitwise.scala 72:15] - node _T_1296 = mux(_T_1295, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_1297 = bits(simm9d, 4, 0) @[el2_ifu_compress_ctl.scala 155:61] - node _T_1298 = cat(_T_1296, _T_1297) @[Cat.scala 29:58] - node _T_1299 = cat(_T_1298, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1300 = bits(ulwimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 156:25] - node _T_1301 = cat(UInt<5>("h00"), ulwimm6d) @[Cat.scala 29:58] - node _T_1302 = cat(_T_1301, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1303 = bits(ulwspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 157:27] - node _T_1304 = cat(UInt<4>("h00"), ulwspimm7d) @[Cat.scala 29:58] - node _T_1305 = cat(_T_1304, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1306 = bits(uimm5_0, 0, 0) @[el2_ifu_compress_ctl.scala 158:23] - node _T_1307 = cat(UInt<6>("h00"), uimm5d) @[Cat.scala 29:58] - node _T_1308 = bits(sjald, 19, 19) @[el2_ifu_compress_ctl.scala 159:40] - node _T_1309 = bits(sjald, 9, 0) @[el2_ifu_compress_ctl.scala 159:51] - node _T_1310 = bits(sjald, 10, 10) @[el2_ifu_compress_ctl.scala 159:63] - node _T_1311 = cat(_T_1308, _T_1309) @[Cat.scala 29:58] - node _T_1312 = cat(_T_1311, _T_1310) @[Cat.scala 29:58] - node _T_1313 = bits(sluimmd, 19, 8) @[el2_ifu_compress_ctl.scala 160:35] - node _T_1314 = mux(_T_1284, _T_1289, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1315 = mux(_T_1290, _T_1292, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1316 = mux(_T_1293, _T_1299, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1317 = mux(_T_1300, _T_1302, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1318 = mux(_T_1303, _T_1305, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1319 = mux(_T_1306, _T_1307, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1320 = mux(sjaloffset11_1, _T_1312, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1321 = mux(sluimm17_12, _T_1313, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1322 = or(_T_1314, _T_1315) @[Mux.scala 27:72] - node _T_1323 = or(_T_1322, _T_1316) @[Mux.scala 27:72] - node _T_1324 = or(_T_1323, _T_1317) @[Mux.scala 27:72] - node _T_1325 = or(_T_1324, _T_1318) @[Mux.scala 27:72] - node _T_1326 = or(_T_1325, _T_1319) @[Mux.scala 27:72] - node _T_1327 = or(_T_1326, _T_1320) @[Mux.scala 27:72] - node _T_1328 = or(_T_1327, _T_1321) @[Mux.scala 27:72] - wire _T_1329 : UInt<12> @[Mux.scala 27:72] - _T_1329 <= _T_1328 @[Mux.scala 27:72] - node _T_1330 = or(_T_1283, _T_1329) @[el2_ifu_compress_ctl.scala 152:25] - io.l2_31 <= _T_1330 @[el2_ifu_compress_ctl.scala 152:12] - node _T_1331 = bits(l1, 19, 12) @[el2_ifu_compress_ctl.scala 162:17] - node _T_1332 = bits(sjaloffset11_1, 0, 0) @[el2_ifu_compress_ctl.scala 162:52] - node _T_1333 = bits(sjald, 19, 11) @[el2_ifu_compress_ctl.scala 162:65] - node _T_1334 = bits(sluimm17_12, 0, 0) @[el2_ifu_compress_ctl.scala 163:49] - node _T_1335 = bits(sluimmd, 7, 0) @[el2_ifu_compress_ctl.scala 163:64] - node _T_1336 = mux(_T_1332, _T_1333, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1337 = mux(_T_1334, _T_1335, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1338 = or(_T_1336, _T_1337) @[Mux.scala 27:72] - wire _T_1339 : UInt<9> @[Mux.scala 27:72] - _T_1339 <= _T_1338 @[Mux.scala 27:72] - node l2_19 = or(_T_1331, _T_1339) @[el2_ifu_compress_ctl.scala 162:25] - node _T_1340 = bits(l1, 11, 0) @[el2_ifu_compress_ctl.scala 164:35] - node _T_1341 = cat(io.l2_31, l2_19) @[Cat.scala 29:58] - node l2 = cat(_T_1341, _T_1340) @[Cat.scala 29:58] - node _T_1342 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 167:25] - node _T_1343 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 167:36] - node _T_1344 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 167:46] - node _T_1345 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 167:56] - node _T_1346 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 167:66] - node _T_1347 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 167:77] - node _T_1348 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 167:88] - node _T_1349 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 167:98] - node _T_1350 = cat(_T_1349, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_1351 = cat(_T_1347, _T_1348) @[Cat.scala 29:58] - node _T_1352 = cat(_T_1351, _T_1350) @[Cat.scala 29:58] - node _T_1353 = cat(_T_1345, _T_1346) @[Cat.scala 29:58] - node _T_1354 = cat(_T_1342, _T_1343) @[Cat.scala 29:58] - node _T_1355 = cat(_T_1354, _T_1344) @[Cat.scala 29:58] - node _T_1356 = cat(_T_1355, _T_1353) @[Cat.scala 29:58] - node sbr8d = cat(_T_1356, _T_1352) @[Cat.scala 29:58] - node _T_1357 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 168:28] - node _T_1358 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 168:39] - node _T_1359 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 168:54] - node _T_1360 = cat(_T_1359, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1361 = cat(_T_1357, _T_1358) @[Cat.scala 29:58] - node uswimm6d = cat(_T_1361, _T_1360) @[Cat.scala 29:58] - node _T_1362 = bits(io.din, 8, 7) @[el2_ifu_compress_ctl.scala 169:30] - node _T_1363 = bits(io.din, 12, 9) @[el2_ifu_compress_ctl.scala 169:42] - node _T_1364 = cat(_T_1362, _T_1363) @[Cat.scala 29:58] - node uswspimm7d = cat(_T_1364, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1365 = bits(l2, 31, 25) @[el2_ifu_compress_ctl.scala 170:17] - node _T_1366 = bits(sbroffset8_1, 0, 0) @[el2_ifu_compress_ctl.scala 170:50] - node _T_1367 = bits(sbr8d, 8, 8) @[el2_ifu_compress_ctl.scala 170:74] - node _T_1368 = bits(_T_1367, 0, 0) @[Bitwise.scala 72:15] - node _T_1369 = mux(_T_1368, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_1370 = bits(sbr8d, 7, 5) @[el2_ifu_compress_ctl.scala 170:84] - node _T_1371 = cat(_T_1369, _T_1370) @[Cat.scala 29:58] - node _T_1372 = bits(uswimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 171:15] - node _T_1373 = bits(uswimm6d, 6, 5) @[el2_ifu_compress_ctl.scala 171:44] - node _T_1374 = cat(UInt<5>("h00"), _T_1373) @[Cat.scala 29:58] - node _T_1375 = bits(uswspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 172:17] - node _T_1376 = bits(uswspimm7d, 7, 5) @[el2_ifu_compress_ctl.scala 172:48] - node _T_1377 = cat(UInt<4>("h00"), _T_1376) @[Cat.scala 29:58] - node _T_1378 = mux(_T_1366, _T_1371, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1379 = mux(_T_1372, _T_1374, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1380 = mux(_T_1375, _T_1377, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1381 = or(_T_1378, _T_1379) @[Mux.scala 27:72] - node _T_1382 = or(_T_1381, _T_1380) @[Mux.scala 27:72] - wire _T_1383 : UInt<7> @[Mux.scala 27:72] - _T_1383 <= _T_1382 @[Mux.scala 27:72] - node l3_31 = or(_T_1365, _T_1383) @[el2_ifu_compress_ctl.scala 170:25] - node l3_24 = bits(l2, 24, 12) @[el2_ifu_compress_ctl.scala 173:17] - node _T_1384 = bits(l2, 11, 7) @[el2_ifu_compress_ctl.scala 174:17] - node _T_1385 = bits(sbroffset8_1, 0, 0) @[el2_ifu_compress_ctl.scala 174:49] - node _T_1386 = bits(sbr8d, 4, 1) @[el2_ifu_compress_ctl.scala 174:66] - node _T_1387 = bits(sbr8d, 8, 8) @[el2_ifu_compress_ctl.scala 174:78] - node _T_1388 = cat(_T_1386, _T_1387) @[Cat.scala 29:58] - node _T_1389 = bits(uswimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 175:15] - node _T_1390 = bits(uswimm6d, 4, 0) @[el2_ifu_compress_ctl.scala 175:31] - node _T_1391 = bits(uswspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 176:17] - node _T_1392 = bits(uswspimm7d, 4, 0) @[el2_ifu_compress_ctl.scala 176:35] - node _T_1393 = mux(_T_1385, _T_1388, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1394 = mux(_T_1389, _T_1390, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1395 = mux(_T_1391, _T_1392, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1396 = or(_T_1393, _T_1394) @[Mux.scala 27:72] - node _T_1397 = or(_T_1396, _T_1395) @[Mux.scala 27:72] - wire _T_1398 : UInt<5> @[Mux.scala 27:72] - _T_1398 <= _T_1397 @[Mux.scala 27:72] - node l3_11 = or(_T_1384, _T_1398) @[el2_ifu_compress_ctl.scala 174:24] - node _T_1399 = bits(l2, 6, 0) @[el2_ifu_compress_ctl.scala 177:39] - node _T_1400 = cat(l3_11, _T_1399) @[Cat.scala 29:58] - node _T_1401 = cat(l3_31, l3_24) @[Cat.scala 29:58] - node l3 = cat(_T_1401, _T_1400) @[Cat.scala 29:58] - node _T_1402 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1403 = eq(_T_1402, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1404 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1405 = eq(_T_1404, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1406 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1407 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1408 = and(_T_1403, _T_1405) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1409 = and(_T_1408, _T_1406) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1410 = and(_T_1409, _T_1407) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1411 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 179:48] - node _T_1412 = eq(_T_1411, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 179:41] - node _T_1413 = and(_T_1410, _T_1412) @[el2_ifu_compress_ctl.scala 179:39] - node _T_1414 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1415 = eq(_T_1414, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1416 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1417 = eq(_T_1416, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1418 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1419 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1420 = and(_T_1415, _T_1417) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1421 = and(_T_1420, _T_1418) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1422 = and(_T_1421, _T_1419) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1423 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 179:88] - node _T_1424 = eq(_T_1423, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 179:81] - node _T_1425 = and(_T_1422, _T_1424) @[el2_ifu_compress_ctl.scala 179:79] - node _T_1426 = or(_T_1413, _T_1425) @[el2_ifu_compress_ctl.scala 179:54] - node _T_1427 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1428 = eq(_T_1427, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1429 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1430 = eq(_T_1429, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1431 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1432 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1433 = eq(_T_1432, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1434 = and(_T_1428, _T_1430) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1435 = and(_T_1434, _T_1431) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1436 = and(_T_1435, _T_1433) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1437 = or(_T_1426, _T_1436) @[el2_ifu_compress_ctl.scala 179:94] - node _T_1438 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1439 = eq(_T_1438, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1440 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1441 = eq(_T_1440, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1442 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1443 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1444 = and(_T_1439, _T_1441) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1445 = and(_T_1444, _T_1442) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1446 = and(_T_1445, _T_1443) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1447 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 180:64] - node _T_1448 = eq(_T_1447, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 180:57] - node _T_1449 = and(_T_1446, _T_1448) @[el2_ifu_compress_ctl.scala 180:55] - node _T_1450 = or(_T_1437, _T_1449) @[el2_ifu_compress_ctl.scala 180:30] - node _T_1451 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1452 = eq(_T_1451, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1453 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1454 = eq(_T_1453, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1455 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1456 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1457 = and(_T_1452, _T_1454) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1458 = and(_T_1457, _T_1455) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1459 = and(_T_1458, _T_1456) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1460 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 180:105] - node _T_1461 = eq(_T_1460, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 180:98] - node _T_1462 = and(_T_1459, _T_1461) @[el2_ifu_compress_ctl.scala 180:96] - node _T_1463 = or(_T_1450, _T_1462) @[el2_ifu_compress_ctl.scala 180:70] - node _T_1464 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1465 = eq(_T_1464, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1466 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1467 = eq(_T_1466, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1468 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1469 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1470 = eq(_T_1469, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1471 = and(_T_1465, _T_1467) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1472 = and(_T_1471, _T_1468) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1473 = and(_T_1472, _T_1470) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1474 = or(_T_1463, _T_1473) @[el2_ifu_compress_ctl.scala 180:111] - node _T_1475 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1476 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1477 = eq(_T_1476, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1478 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1479 = eq(_T_1478, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1480 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1481 = and(_T_1475, _T_1477) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1482 = and(_T_1481, _T_1479) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1483 = and(_T_1482, _T_1480) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1484 = or(_T_1474, _T_1483) @[el2_ifu_compress_ctl.scala 181:29] - node _T_1485 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1486 = eq(_T_1485, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1487 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1488 = eq(_T_1487, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1489 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1490 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1491 = and(_T_1486, _T_1488) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1492 = and(_T_1491, _T_1489) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1493 = and(_T_1492, _T_1490) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1494 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 181:88] - node _T_1495 = eq(_T_1494, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 181:81] - node _T_1496 = and(_T_1493, _T_1495) @[el2_ifu_compress_ctl.scala 181:79] - node _T_1497 = or(_T_1484, _T_1496) @[el2_ifu_compress_ctl.scala 181:54] - node _T_1498 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1499 = eq(_T_1498, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1500 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1501 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1502 = eq(_T_1501, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1503 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1504 = and(_T_1499, _T_1500) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1505 = and(_T_1504, _T_1502) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1506 = and(_T_1505, _T_1503) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1507 = or(_T_1497, _T_1506) @[el2_ifu_compress_ctl.scala 181:94] - node _T_1508 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1509 = eq(_T_1508, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1510 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1511 = eq(_T_1510, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1512 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1513 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1514 = eq(_T_1513, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1515 = and(_T_1509, _T_1511) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1516 = and(_T_1515, _T_1512) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1517 = and(_T_1516, _T_1514) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1518 = or(_T_1507, _T_1517) @[el2_ifu_compress_ctl.scala 181:118] - node _T_1519 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1520 = eq(_T_1519, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1521 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1522 = eq(_T_1521, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1523 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1524 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1525 = and(_T_1520, _T_1522) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1526 = and(_T_1525, _T_1523) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1527 = and(_T_1526, _T_1524) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1528 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 182:37] - node _T_1529 = eq(_T_1528, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 182:30] - node _T_1530 = and(_T_1527, _T_1529) @[el2_ifu_compress_ctl.scala 182:28] - node _T_1531 = or(_T_1518, _T_1530) @[el2_ifu_compress_ctl.scala 181:144] - node _T_1532 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1533 = eq(_T_1532, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1534 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1535 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1536 = eq(_T_1535, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1537 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1538 = and(_T_1533, _T_1534) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1539 = and(_T_1538, _T_1536) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1540 = and(_T_1539, _T_1537) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1541 = or(_T_1531, _T_1540) @[el2_ifu_compress_ctl.scala 182:43] - node _T_1542 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1543 = eq(_T_1542, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1544 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1545 = eq(_T_1544, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1546 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1547 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1548 = eq(_T_1547, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1549 = and(_T_1543, _T_1545) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1550 = and(_T_1549, _T_1546) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1551 = and(_T_1550, _T_1548) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1552 = or(_T_1541, _T_1551) @[el2_ifu_compress_ctl.scala 182:67] - node _T_1553 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1554 = eq(_T_1553, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1555 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1556 = eq(_T_1555, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1557 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1558 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1559 = and(_T_1554, _T_1556) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1560 = and(_T_1559, _T_1557) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1561 = and(_T_1560, _T_1558) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1562 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 183:37] - node _T_1563 = eq(_T_1562, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 183:30] - node _T_1564 = and(_T_1561, _T_1563) @[el2_ifu_compress_ctl.scala 183:28] - node _T_1565 = or(_T_1552, _T_1564) @[el2_ifu_compress_ctl.scala 182:94] - node _T_1566 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1567 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1568 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1569 = eq(_T_1568, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1570 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1571 = eq(_T_1570, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1572 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1573 = and(_T_1566, _T_1567) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1574 = and(_T_1573, _T_1569) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1575 = and(_T_1574, _T_1571) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1576 = and(_T_1575, _T_1572) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1577 = or(_T_1565, _T_1576) @[el2_ifu_compress_ctl.scala 183:43] - node _T_1578 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1579 = eq(_T_1578, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1580 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1581 = eq(_T_1580, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1582 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1583 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1584 = eq(_T_1583, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1585 = and(_T_1579, _T_1581) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1586 = and(_T_1585, _T_1582) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1587 = and(_T_1586, _T_1584) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1588 = or(_T_1577, _T_1587) @[el2_ifu_compress_ctl.scala 183:71] - node _T_1589 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1590 = eq(_T_1589, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1591 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1592 = eq(_T_1591, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1593 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1594 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1595 = and(_T_1590, _T_1592) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1596 = and(_T_1595, _T_1593) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1597 = and(_T_1596, _T_1594) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1598 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 184:37] - node _T_1599 = eq(_T_1598, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 184:30] - node _T_1600 = and(_T_1597, _T_1599) @[el2_ifu_compress_ctl.scala 184:28] - node _T_1601 = or(_T_1588, _T_1600) @[el2_ifu_compress_ctl.scala 183:97] - node _T_1602 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1603 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1604 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1605 = eq(_T_1604, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1606 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1607 = and(_T_1602, _T_1603) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1608 = and(_T_1607, _T_1605) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1609 = and(_T_1608, _T_1606) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1610 = or(_T_1601, _T_1609) @[el2_ifu_compress_ctl.scala 184:43] - node _T_1611 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1612 = eq(_T_1611, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1613 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1614 = eq(_T_1613, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1615 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1616 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1617 = eq(_T_1616, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1618 = and(_T_1612, _T_1614) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1619 = and(_T_1618, _T_1615) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1620 = and(_T_1619, _T_1617) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1621 = or(_T_1610, _T_1620) @[el2_ifu_compress_ctl.scala 184:67] - node _T_1622 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1623 = eq(_T_1622, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1624 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1625 = eq(_T_1624, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1626 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1627 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1628 = and(_T_1623, _T_1625) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1629 = and(_T_1628, _T_1626) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1630 = and(_T_1629, _T_1627) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1631 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 185:37] - node _T_1632 = eq(_T_1631, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 185:30] - node _T_1633 = and(_T_1630, _T_1632) @[el2_ifu_compress_ctl.scala 185:28] - node _T_1634 = or(_T_1621, _T_1633) @[el2_ifu_compress_ctl.scala 184:93] - node _T_1635 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1636 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1637 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1638 = eq(_T_1637, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1639 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1640 = and(_T_1635, _T_1636) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1641 = and(_T_1640, _T_1638) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1642 = and(_T_1641, _T_1639) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1643 = or(_T_1634, _T_1642) @[el2_ifu_compress_ctl.scala 185:43] - node _T_1644 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1645 = eq(_T_1644, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1646 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1647 = eq(_T_1646, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1648 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1649 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1650 = and(_T_1645, _T_1647) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1651 = and(_T_1650, _T_1648) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1652 = and(_T_1651, _T_1649) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1653 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 185:100] - node _T_1654 = eq(_T_1653, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 185:93] - node _T_1655 = and(_T_1652, _T_1654) @[el2_ifu_compress_ctl.scala 185:91] - node _T_1656 = or(_T_1643, _T_1655) @[el2_ifu_compress_ctl.scala 185:66] - node _T_1657 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1658 = eq(_T_1657, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1659 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1660 = eq(_T_1659, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1661 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1662 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1663 = eq(_T_1662, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1664 = and(_T_1658, _T_1660) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1665 = and(_T_1664, _T_1661) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1666 = and(_T_1665, _T_1663) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1667 = or(_T_1656, _T_1666) @[el2_ifu_compress_ctl.scala 185:106] - node _T_1668 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1669 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1670 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1672 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1673 = and(_T_1668, _T_1669) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1674 = and(_T_1673, _T_1671) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1675 = and(_T_1674, _T_1672) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1676 = or(_T_1667, _T_1675) @[el2_ifu_compress_ctl.scala 186:29] - node _T_1677 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1678 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1679 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1680 = eq(_T_1679, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1681 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1682 = and(_T_1677, _T_1678) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1683 = and(_T_1682, _T_1680) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1684 = and(_T_1683, _T_1681) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1685 = or(_T_1676, _T_1684) @[el2_ifu_compress_ctl.scala 186:52] - node _T_1686 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1687 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1688 = eq(_T_1687, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1689 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1690 = eq(_T_1689, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1691 = and(_T_1686, _T_1688) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1692 = and(_T_1691, _T_1690) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1693 = or(_T_1685, _T_1692) @[el2_ifu_compress_ctl.scala 186:75] - node _T_1694 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1695 = eq(_T_1694, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1696 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1697 = eq(_T_1696, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1698 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1699 = eq(_T_1698, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1700 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1701 = and(_T_1695, _T_1697) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1702 = and(_T_1701, _T_1699) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1703 = and(_T_1702, _T_1700) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1704 = or(_T_1693, _T_1703) @[el2_ifu_compress_ctl.scala 186:98] - node _T_1705 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1706 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1707 = eq(_T_1706, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1708 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1709 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1710 = and(_T_1705, _T_1707) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1711 = and(_T_1710, _T_1708) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1712 = and(_T_1711, _T_1709) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1713 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 187:63] - node _T_1714 = eq(_T_1713, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 187:56] - node _T_1715 = and(_T_1712, _T_1714) @[el2_ifu_compress_ctl.scala 187:54] - node _T_1716 = or(_T_1704, _T_1715) @[el2_ifu_compress_ctl.scala 187:29] - node _T_1717 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1718 = eq(_T_1717, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1719 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1720 = eq(_T_1719, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1721 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1722 = eq(_T_1721, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1723 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1724 = and(_T_1718, _T_1720) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1725 = and(_T_1724, _T_1722) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1726 = and(_T_1725, _T_1723) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1727 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 187:105] - node _T_1728 = eq(_T_1727, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 187:98] - node _T_1729 = and(_T_1726, _T_1728) @[el2_ifu_compress_ctl.scala 187:96] - node _T_1730 = or(_T_1716, _T_1729) @[el2_ifu_compress_ctl.scala 187:69] - node _T_1731 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1732 = eq(_T_1731, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1733 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1734 = eq(_T_1733, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1735 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1736 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1737 = eq(_T_1736, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1738 = and(_T_1732, _T_1734) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1739 = and(_T_1738, _T_1735) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1740 = and(_T_1739, _T_1737) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1741 = or(_T_1730, _T_1740) @[el2_ifu_compress_ctl.scala 187:111] - node _T_1742 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 29:71] - node _T_1743 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 29:90] - node _T_1744 = eq(_T_1743, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:83] - node _T_1745 = and(_T_1742, _T_1744) @[el2_ifu_compress_ctl.scala 29:110] - node _T_1746 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 188:59] - node _T_1747 = eq(_T_1746, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 188:52] - node _T_1748 = and(_T_1745, _T_1747) @[el2_ifu_compress_ctl.scala 188:50] - node legal = or(_T_1741, _T_1748) @[el2_ifu_compress_ctl.scala 188:30] - node _T_1749 = bits(legal, 0, 0) @[Bitwise.scala 72:15] - node _T_1750 = mux(_T_1749, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_1751 = and(l3, _T_1750) @[el2_ifu_compress_ctl.scala 190:16] - io.dout <= _T_1751 @[el2_ifu_compress_ctl.scala 190:10] - io.l1 <= l1 @[el2_ifu_compress_ctl.scala 191:9] - io.l2 <= l2 @[el2_ifu_compress_ctl.scala 192:9] - io.l3 <= l3 @[el2_ifu_compress_ctl.scala 193:9] - io.legal <= legal @[el2_ifu_compress_ctl.scala 194:12] - node _T_1752 = cat(out[31], out[30]) @[Cat.scala 29:58] - node _T_1753 = cat(_T_1752, out[29]) @[Cat.scala 29:58] - node _T_1754 = cat(_T_1753, out[28]) @[Cat.scala 29:58] - node _T_1755 = cat(_T_1754, out[27]) @[Cat.scala 29:58] - node _T_1756 = cat(_T_1755, out[26]) @[Cat.scala 29:58] - node _T_1757 = cat(_T_1756, out[25]) @[Cat.scala 29:58] - node _T_1758 = cat(_T_1757, out[24]) @[Cat.scala 29:58] - node _T_1759 = cat(_T_1758, out[23]) @[Cat.scala 29:58] - node _T_1760 = cat(_T_1759, out[22]) @[Cat.scala 29:58] - node _T_1761 = cat(_T_1760, out[21]) @[Cat.scala 29:58] - node _T_1762 = cat(_T_1761, out[20]) @[Cat.scala 29:58] - node _T_1763 = cat(_T_1762, out[19]) @[Cat.scala 29:58] - node _T_1764 = cat(_T_1763, out[18]) @[Cat.scala 29:58] - node _T_1765 = cat(_T_1764, out[17]) @[Cat.scala 29:58] - node _T_1766 = cat(_T_1765, out[16]) @[Cat.scala 29:58] - node _T_1767 = cat(_T_1766, out[15]) @[Cat.scala 29:58] - node _T_1768 = cat(_T_1767, out[14]) @[Cat.scala 29:58] - node _T_1769 = cat(_T_1768, out[13]) @[Cat.scala 29:58] - node _T_1770 = cat(_T_1769, out[12]) @[Cat.scala 29:58] - node _T_1771 = cat(_T_1770, out[11]) @[Cat.scala 29:58] - node _T_1772 = cat(_T_1771, out[10]) @[Cat.scala 29:58] - node _T_1773 = cat(_T_1772, out[9]) @[Cat.scala 29:58] - node _T_1774 = cat(_T_1773, out[8]) @[Cat.scala 29:58] - node _T_1775 = cat(_T_1774, out[7]) @[Cat.scala 29:58] - node _T_1776 = cat(_T_1775, out[6]) @[Cat.scala 29:58] - node _T_1777 = cat(_T_1776, out[5]) @[Cat.scala 29:58] - node _T_1778 = cat(_T_1777, out[4]) @[Cat.scala 29:58] - node _T_1779 = cat(_T_1778, out[3]) @[Cat.scala 29:58] - node _T_1780 = cat(_T_1779, out[2]) @[Cat.scala 29:58] - node _T_1781 = cat(_T_1780, out[1]) @[Cat.scala 29:58] - node _T_1782 = cat(_T_1781, out[0]) @[Cat.scala 29:58] - io.o <= _T_1782 @[el2_ifu_compress_ctl.scala 195:8] - io.sjald <= sjald @[el2_ifu_compress_ctl.scala 204:12] - io.uimm5d <= uimm5d @[el2_ifu_compress_ctl.scala 205:13] - io.ulwspimm7d <= ulwspimm7d @[el2_ifu_compress_ctl.scala 206:17] - io.ulwimm6d <= ulwimm6d @[el2_ifu_compress_ctl.scala 207:15] - io.simm9d <= simm9d @[el2_ifu_compress_ctl.scala 208:13] - io.uimm9d <= uimm9d @[el2_ifu_compress_ctl.scala 209:13] - io.simm5d <= simm5d @[el2_ifu_compress_ctl.scala 210:13] + node _T = bits(io.din, 1, 0) @[el2_ifu_compress_ctl.scala 401:21] + node _T_1 = neq(_T, UInt<2>("h03")) @[el2_ifu_compress_ctl.scala 401:27] + node _T_2 = mux(UInt<1>("h00"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_3 = cat(_T_2, io.din) @[Cat.scala 29:58] + node _T_4 = bits(_T_3, 12, 5) @[el2_ifu_compress_ctl.scala 257:22] + node _T_5 = orr(_T_4) @[el2_ifu_compress_ctl.scala 257:29] + node _T_6 = mux(_T_5, UInt<7>("h013"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 257:20] + node _T_7 = bits(_T_3, 10, 7) @[el2_ifu_compress_ctl.scala 238:26] + node _T_8 = bits(_T_3, 12, 11) @[el2_ifu_compress_ctl.scala 238:35] + node _T_9 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 238:45] + node _T_10 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 238:51] + node _T_11 = cat(_T_10, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_12 = cat(_T_7, _T_8) @[Cat.scala 29:58] + node _T_13 = cat(_T_12, _T_9) @[Cat.scala 29:58] + node _T_14 = cat(_T_13, _T_11) @[Cat.scala 29:58] + node _T_15 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_16 = cat(UInt<2>("h01"), _T_15) @[Cat.scala 29:58] + node _T_17 = cat(_T_16, _T_6) @[Cat.scala 29:58] + node _T_18 = cat(_T_14, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_19 = cat(_T_18, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_20 = cat(_T_19, _T_17) @[Cat.scala 29:58] + node _T_21 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_22 = cat(UInt<2>("h01"), _T_21) @[Cat.scala 29:58] + node _T_23 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_24 = cat(UInt<2>("h01"), _T_23) @[Cat.scala 29:58] + node _T_25 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_26 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_26.bits <= _T_20 @[el2_ifu_compress_ctl.scala 226:14] + _T_26.rd <= _T_22 @[el2_ifu_compress_ctl.scala 227:12] + _T_26.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_26.rs2 <= _T_24 @[el2_ifu_compress_ctl.scala 229:13] + _T_26.rs3 <= _T_25 @[el2_ifu_compress_ctl.scala 230:13] + node _T_27 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 240:20] + node _T_28 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 240:28] + node _T_29 = cat(_T_27, _T_28) @[Cat.scala 29:58] + node _T_30 = cat(_T_29, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_31 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_32 = cat(UInt<2>("h01"), _T_31) @[Cat.scala 29:58] + node _T_33 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_34 = cat(UInt<2>("h01"), _T_33) @[Cat.scala 29:58] + node _T_35 = cat(_T_34, UInt<7>("h07")) @[Cat.scala 29:58] + node _T_36 = cat(_T_30, _T_32) @[Cat.scala 29:58] + node _T_37 = cat(_T_36, UInt<3>("h03")) @[Cat.scala 29:58] + node _T_38 = cat(_T_37, _T_35) @[Cat.scala 29:58] + node _T_39 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_40 = cat(UInt<2>("h01"), _T_39) @[Cat.scala 29:58] + node _T_41 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_42 = cat(UInt<2>("h01"), _T_41) @[Cat.scala 29:58] + node _T_43 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_44 = cat(UInt<2>("h01"), _T_43) @[Cat.scala 29:58] + node _T_45 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_46 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_46.bits <= _T_38 @[el2_ifu_compress_ctl.scala 226:14] + _T_46.rd <= _T_40 @[el2_ifu_compress_ctl.scala 227:12] + _T_46.rs1 <= _T_42 @[el2_ifu_compress_ctl.scala 228:13] + _T_46.rs2 <= _T_44 @[el2_ifu_compress_ctl.scala 229:13] + _T_46.rs3 <= _T_45 @[el2_ifu_compress_ctl.scala 230:13] + node _T_47 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_48 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_49 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_50 = cat(_T_49, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_51 = cat(_T_47, _T_48) @[Cat.scala 29:58] + node _T_52 = cat(_T_51, _T_50) @[Cat.scala 29:58] + node _T_53 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_54 = cat(UInt<2>("h01"), _T_53) @[Cat.scala 29:58] + node _T_55 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_56 = cat(UInt<2>("h01"), _T_55) @[Cat.scala 29:58] + node _T_57 = cat(_T_56, UInt<7>("h03")) @[Cat.scala 29:58] + node _T_58 = cat(_T_52, _T_54) @[Cat.scala 29:58] + node _T_59 = cat(_T_58, UInt<3>("h02")) @[Cat.scala 29:58] + node _T_60 = cat(_T_59, _T_57) @[Cat.scala 29:58] + node _T_61 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_62 = cat(UInt<2>("h01"), _T_61) @[Cat.scala 29:58] + node _T_63 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_64 = cat(UInt<2>("h01"), _T_63) @[Cat.scala 29:58] + node _T_65 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_66 = cat(UInt<2>("h01"), _T_65) @[Cat.scala 29:58] + node _T_67 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_68 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_68.bits <= _T_60 @[el2_ifu_compress_ctl.scala 226:14] + _T_68.rd <= _T_62 @[el2_ifu_compress_ctl.scala 227:12] + _T_68.rs1 <= _T_64 @[el2_ifu_compress_ctl.scala 228:13] + _T_68.rs2 <= _T_66 @[el2_ifu_compress_ctl.scala 229:13] + _T_68.rs3 <= _T_67 @[el2_ifu_compress_ctl.scala 230:13] + node _T_69 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_70 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_71 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_72 = cat(_T_71, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_73 = cat(_T_69, _T_70) @[Cat.scala 29:58] + node _T_74 = cat(_T_73, _T_72) @[Cat.scala 29:58] + node _T_75 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_76 = cat(UInt<2>("h01"), _T_75) @[Cat.scala 29:58] + node _T_77 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_78 = cat(UInt<2>("h01"), _T_77) @[Cat.scala 29:58] + node _T_79 = cat(_T_78, UInt<7>("h07")) @[Cat.scala 29:58] + node _T_80 = cat(_T_74, _T_76) @[Cat.scala 29:58] + node _T_81 = cat(_T_80, UInt<3>("h02")) @[Cat.scala 29:58] + node _T_82 = cat(_T_81, _T_79) @[Cat.scala 29:58] + node _T_83 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_84 = cat(UInt<2>("h01"), _T_83) @[Cat.scala 29:58] + node _T_85 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_86 = cat(UInt<2>("h01"), _T_85) @[Cat.scala 29:58] + node _T_87 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_88 = cat(UInt<2>("h01"), _T_87) @[Cat.scala 29:58] + node _T_89 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_90 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_90.bits <= _T_82 @[el2_ifu_compress_ctl.scala 226:14] + _T_90.rd <= _T_84 @[el2_ifu_compress_ctl.scala 227:12] + _T_90.rs1 <= _T_86 @[el2_ifu_compress_ctl.scala 228:13] + _T_90.rs2 <= _T_88 @[el2_ifu_compress_ctl.scala 229:13] + _T_90.rs3 <= _T_89 @[el2_ifu_compress_ctl.scala 230:13] + node _T_91 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_92 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_93 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_94 = cat(_T_93, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_95 = cat(_T_91, _T_92) @[Cat.scala 29:58] + node _T_96 = cat(_T_95, _T_94) @[Cat.scala 29:58] + node _T_97 = shr(_T_96, 5) @[el2_ifu_compress_ctl.scala 267:32] + node _T_98 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_99 = cat(UInt<2>("h01"), _T_98) @[Cat.scala 29:58] + node _T_100 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_101 = cat(UInt<2>("h01"), _T_100) @[Cat.scala 29:58] + node _T_102 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_103 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_104 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_105 = cat(_T_104, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_106 = cat(_T_102, _T_103) @[Cat.scala 29:58] + node _T_107 = cat(_T_106, _T_105) @[Cat.scala 29:58] + node _T_108 = bits(_T_107, 4, 0) @[el2_ifu_compress_ctl.scala 267:65] + node _T_109 = cat(UInt<3>("h02"), _T_108) @[Cat.scala 29:58] + node _T_110 = cat(_T_109, UInt<7>("h03f")) @[Cat.scala 29:58] + node _T_111 = cat(_T_97, _T_99) @[Cat.scala 29:58] + node _T_112 = cat(_T_111, _T_101) @[Cat.scala 29:58] + node _T_113 = cat(_T_112, _T_110) @[Cat.scala 29:58] + node _T_114 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_115 = cat(UInt<2>("h01"), _T_114) @[Cat.scala 29:58] + node _T_116 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_117 = cat(UInt<2>("h01"), _T_116) @[Cat.scala 29:58] + node _T_118 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_119 = cat(UInt<2>("h01"), _T_118) @[Cat.scala 29:58] + node _T_120 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_121 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_121.bits <= _T_113 @[el2_ifu_compress_ctl.scala 226:14] + _T_121.rd <= _T_115 @[el2_ifu_compress_ctl.scala 227:12] + _T_121.rs1 <= _T_117 @[el2_ifu_compress_ctl.scala 228:13] + _T_121.rs2 <= _T_119 @[el2_ifu_compress_ctl.scala 229:13] + _T_121.rs3 <= _T_120 @[el2_ifu_compress_ctl.scala 230:13] + node _T_122 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 240:20] + node _T_123 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 240:28] + node _T_124 = cat(_T_122, _T_123) @[Cat.scala 29:58] + node _T_125 = cat(_T_124, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_126 = shr(_T_125, 5) @[el2_ifu_compress_ctl.scala 270:30] + node _T_127 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_128 = cat(UInt<2>("h01"), _T_127) @[Cat.scala 29:58] + node _T_129 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_130 = cat(UInt<2>("h01"), _T_129) @[Cat.scala 29:58] + node _T_131 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 240:20] + node _T_132 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 240:28] + node _T_133 = cat(_T_131, _T_132) @[Cat.scala 29:58] + node _T_134 = cat(_T_133, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_135 = bits(_T_134, 4, 0) @[el2_ifu_compress_ctl.scala 270:63] + node _T_136 = cat(UInt<3>("h03"), _T_135) @[Cat.scala 29:58] + node _T_137 = cat(_T_136, UInt<7>("h027")) @[Cat.scala 29:58] + node _T_138 = cat(_T_126, _T_128) @[Cat.scala 29:58] + node _T_139 = cat(_T_138, _T_130) @[Cat.scala 29:58] + node _T_140 = cat(_T_139, _T_137) @[Cat.scala 29:58] + node _T_141 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_142 = cat(UInt<2>("h01"), _T_141) @[Cat.scala 29:58] + node _T_143 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_144 = cat(UInt<2>("h01"), _T_143) @[Cat.scala 29:58] + node _T_145 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_146 = cat(UInt<2>("h01"), _T_145) @[Cat.scala 29:58] + node _T_147 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_148 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_148.bits <= _T_140 @[el2_ifu_compress_ctl.scala 226:14] + _T_148.rd <= _T_142 @[el2_ifu_compress_ctl.scala 227:12] + _T_148.rs1 <= _T_144 @[el2_ifu_compress_ctl.scala 228:13] + _T_148.rs2 <= _T_146 @[el2_ifu_compress_ctl.scala 229:13] + _T_148.rs3 <= _T_147 @[el2_ifu_compress_ctl.scala 230:13] + node _T_149 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_150 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_151 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_152 = cat(_T_151, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_153 = cat(_T_149, _T_150) @[Cat.scala 29:58] + node _T_154 = cat(_T_153, _T_152) @[Cat.scala 29:58] + node _T_155 = shr(_T_154, 5) @[el2_ifu_compress_ctl.scala 269:29] + node _T_156 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_157 = cat(UInt<2>("h01"), _T_156) @[Cat.scala 29:58] + node _T_158 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_159 = cat(UInt<2>("h01"), _T_158) @[Cat.scala 29:58] + node _T_160 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_161 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_162 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_163 = cat(_T_162, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_164 = cat(_T_160, _T_161) @[Cat.scala 29:58] + node _T_165 = cat(_T_164, _T_163) @[Cat.scala 29:58] + node _T_166 = bits(_T_165, 4, 0) @[el2_ifu_compress_ctl.scala 269:62] + node _T_167 = cat(UInt<3>("h02"), _T_166) @[Cat.scala 29:58] + node _T_168 = cat(_T_167, UInt<7>("h023")) @[Cat.scala 29:58] + node _T_169 = cat(_T_155, _T_157) @[Cat.scala 29:58] + node _T_170 = cat(_T_169, _T_159) @[Cat.scala 29:58] + node _T_171 = cat(_T_170, _T_168) @[Cat.scala 29:58] + node _T_172 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_173 = cat(UInt<2>("h01"), _T_172) @[Cat.scala 29:58] + node _T_174 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_175 = cat(UInt<2>("h01"), _T_174) @[Cat.scala 29:58] + node _T_176 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_177 = cat(UInt<2>("h01"), _T_176) @[Cat.scala 29:58] + node _T_178 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_179 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_179.bits <= _T_171 @[el2_ifu_compress_ctl.scala 226:14] + _T_179.rd <= _T_173 @[el2_ifu_compress_ctl.scala 227:12] + _T_179.rs1 <= _T_175 @[el2_ifu_compress_ctl.scala 228:13] + _T_179.rs2 <= _T_177 @[el2_ifu_compress_ctl.scala 229:13] + _T_179.rs3 <= _T_178 @[el2_ifu_compress_ctl.scala 230:13] + node _T_180 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_181 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_182 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_183 = cat(_T_182, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_184 = cat(_T_180, _T_181) @[Cat.scala 29:58] + node _T_185 = cat(_T_184, _T_183) @[Cat.scala 29:58] + node _T_186 = shr(_T_185, 5) @[el2_ifu_compress_ctl.scala 272:38] + node _T_187 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_188 = cat(UInt<2>("h01"), _T_187) @[Cat.scala 29:58] + node _T_189 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_190 = cat(UInt<2>("h01"), _T_189) @[Cat.scala 29:58] + node _T_191 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_192 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_193 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_194 = cat(_T_193, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_195 = cat(_T_191, _T_192) @[Cat.scala 29:58] + node _T_196 = cat(_T_195, _T_194) @[Cat.scala 29:58] + node _T_197 = bits(_T_196, 4, 0) @[el2_ifu_compress_ctl.scala 272:71] + node _T_198 = cat(UInt<3>("h02"), _T_197) @[Cat.scala 29:58] + node _T_199 = cat(_T_198, UInt<7>("h027")) @[Cat.scala 29:58] + node _T_200 = cat(_T_186, _T_188) @[Cat.scala 29:58] + node _T_201 = cat(_T_200, _T_190) @[Cat.scala 29:58] + node _T_202 = cat(_T_201, _T_199) @[Cat.scala 29:58] + node _T_203 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_204 = cat(UInt<2>("h01"), _T_203) @[Cat.scala 29:58] + node _T_205 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_206 = cat(UInt<2>("h01"), _T_205) @[Cat.scala 29:58] + node _T_207 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_208 = cat(UInt<2>("h01"), _T_207) @[Cat.scala 29:58] + node _T_209 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_210 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_210.bits <= _T_202 @[el2_ifu_compress_ctl.scala 226:14] + _T_210.rd <= _T_204 @[el2_ifu_compress_ctl.scala 227:12] + _T_210.rs1 <= _T_206 @[el2_ifu_compress_ctl.scala 228:13] + _T_210.rs2 <= _T_208 @[el2_ifu_compress_ctl.scala 229:13] + _T_210.rs3 <= _T_209 @[el2_ifu_compress_ctl.scala 230:13] + node _T_211 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 247:30] + node _T_212 = bits(_T_211, 0, 0) @[Bitwise.scala 72:15] + node _T_213 = mux(_T_212, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_214 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 247:38] + node _T_215 = cat(_T_213, _T_214) @[Cat.scala 29:58] + node _T_216 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_217 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_218 = cat(_T_217, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_219 = cat(_T_215, _T_216) @[Cat.scala 29:58] + node _T_220 = cat(_T_219, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_221 = cat(_T_220, _T_218) @[Cat.scala 29:58] + node _T_222 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_223 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_224 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_225 = cat(UInt<2>("h01"), _T_224) @[Cat.scala 29:58] + node _T_226 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_227 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_227.bits <= _T_221 @[el2_ifu_compress_ctl.scala 226:14] + _T_227.rd <= _T_222 @[el2_ifu_compress_ctl.scala 227:12] + _T_227.rs1 <= _T_223 @[el2_ifu_compress_ctl.scala 228:13] + _T_227.rs2 <= _T_225 @[el2_ifu_compress_ctl.scala 229:13] + _T_227.rs3 <= _T_226 @[el2_ifu_compress_ctl.scala 230:13] + node _T_228 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_229 = bits(_T_228, 0, 0) @[Bitwise.scala 72:15] + node _T_230 = mux(_T_229, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_231 = bits(_T_3, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_232 = bits(_T_3, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_233 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_234 = bits(_T_3, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_235 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_236 = bits(_T_3, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_237 = bits(_T_3, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_238 = cat(_T_237, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_239 = cat(_T_235, _T_236) @[Cat.scala 29:58] + node _T_240 = cat(_T_239, _T_238) @[Cat.scala 29:58] + node _T_241 = cat(_T_233, _T_234) @[Cat.scala 29:58] + node _T_242 = cat(_T_230, _T_231) @[Cat.scala 29:58] + node _T_243 = cat(_T_242, _T_232) @[Cat.scala 29:58] + node _T_244 = cat(_T_243, _T_241) @[Cat.scala 29:58] + node _T_245 = cat(_T_244, _T_240) @[Cat.scala 29:58] + node _T_246 = bits(_T_245, 20, 20) @[el2_ifu_compress_ctl.scala 285:36] + node _T_247 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_248 = bits(_T_247, 0, 0) @[Bitwise.scala 72:15] + node _T_249 = mux(_T_248, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_250 = bits(_T_3, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_251 = bits(_T_3, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_252 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_253 = bits(_T_3, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_254 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_255 = bits(_T_3, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_256 = bits(_T_3, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_257 = cat(_T_256, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_258 = cat(_T_254, _T_255) @[Cat.scala 29:58] + node _T_259 = cat(_T_258, _T_257) @[Cat.scala 29:58] + node _T_260 = cat(_T_252, _T_253) @[Cat.scala 29:58] + node _T_261 = cat(_T_249, _T_250) @[Cat.scala 29:58] + node _T_262 = cat(_T_261, _T_251) @[Cat.scala 29:58] + node _T_263 = cat(_T_262, _T_260) @[Cat.scala 29:58] + node _T_264 = cat(_T_263, _T_259) @[Cat.scala 29:58] + node _T_265 = bits(_T_264, 10, 1) @[el2_ifu_compress_ctl.scala 285:46] + node _T_266 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_267 = bits(_T_266, 0, 0) @[Bitwise.scala 72:15] + node _T_268 = mux(_T_267, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_269 = bits(_T_3, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_270 = bits(_T_3, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_271 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_272 = bits(_T_3, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_273 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_274 = bits(_T_3, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_275 = bits(_T_3, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_276 = cat(_T_275, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_277 = cat(_T_273, _T_274) @[Cat.scala 29:58] + node _T_278 = cat(_T_277, _T_276) @[Cat.scala 29:58] + node _T_279 = cat(_T_271, _T_272) @[Cat.scala 29:58] + node _T_280 = cat(_T_268, _T_269) @[Cat.scala 29:58] + node _T_281 = cat(_T_280, _T_270) @[Cat.scala 29:58] + node _T_282 = cat(_T_281, _T_279) @[Cat.scala 29:58] + node _T_283 = cat(_T_282, _T_278) @[Cat.scala 29:58] + node _T_284 = bits(_T_283, 11, 11) @[el2_ifu_compress_ctl.scala 285:58] + node _T_285 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_286 = bits(_T_285, 0, 0) @[Bitwise.scala 72:15] + node _T_287 = mux(_T_286, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_288 = bits(_T_3, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_289 = bits(_T_3, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_290 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_291 = bits(_T_3, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_292 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_293 = bits(_T_3, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_294 = bits(_T_3, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_295 = cat(_T_294, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_296 = cat(_T_292, _T_293) @[Cat.scala 29:58] + node _T_297 = cat(_T_296, _T_295) @[Cat.scala 29:58] + node _T_298 = cat(_T_290, _T_291) @[Cat.scala 29:58] + node _T_299 = cat(_T_287, _T_288) @[Cat.scala 29:58] + node _T_300 = cat(_T_299, _T_289) @[Cat.scala 29:58] + node _T_301 = cat(_T_300, _T_298) @[Cat.scala 29:58] + node _T_302 = cat(_T_301, _T_297) @[Cat.scala 29:58] + node _T_303 = bits(_T_302, 19, 12) @[el2_ifu_compress_ctl.scala 285:68] + node _T_304 = cat(_T_303, UInt<5>("h01")) @[Cat.scala 29:58] + node _T_305 = cat(_T_304, UInt<7>("h06f")) @[Cat.scala 29:58] + node _T_306 = cat(_T_246, _T_265) @[Cat.scala 29:58] + node _T_307 = cat(_T_306, _T_284) @[Cat.scala 29:58] + node _T_308 = cat(_T_307, _T_305) @[Cat.scala 29:58] + node _T_309 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_310 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_311 = cat(UInt<2>("h01"), _T_310) @[Cat.scala 29:58] + node _T_312 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_313 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_313.bits <= _T_308 @[el2_ifu_compress_ctl.scala 226:14] + _T_313.rd <= UInt<5>("h01") @[el2_ifu_compress_ctl.scala 227:12] + _T_313.rs1 <= _T_309 @[el2_ifu_compress_ctl.scala 228:13] + _T_313.rs2 <= _T_311 @[el2_ifu_compress_ctl.scala 229:13] + _T_313.rs3 <= _T_312 @[el2_ifu_compress_ctl.scala 230:13] + node _T_314 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 247:30] + node _T_315 = bits(_T_314, 0, 0) @[Bitwise.scala 72:15] + node _T_316 = mux(_T_315, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_317 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 247:38] + node _T_318 = cat(_T_316, _T_317) @[Cat.scala 29:58] + node _T_319 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_320 = cat(_T_319, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_321 = cat(_T_318, UInt<5>("h00")) @[Cat.scala 29:58] + node _T_322 = cat(_T_321, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_323 = cat(_T_322, _T_320) @[Cat.scala 29:58] + node _T_324 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_325 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_326 = cat(UInt<2>("h01"), _T_325) @[Cat.scala 29:58] + node _T_327 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_328 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_328.bits <= _T_323 @[el2_ifu_compress_ctl.scala 226:14] + _T_328.rd <= _T_324 @[el2_ifu_compress_ctl.scala 227:12] + _T_328.rs1 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 228:13] + _T_328.rs2 <= _T_326 @[el2_ifu_compress_ctl.scala 229:13] + _T_328.rs3 <= _T_327 @[el2_ifu_compress_ctl.scala 230:13] + node _T_329 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 247:30] + node _T_330 = bits(_T_329, 0, 0) @[Bitwise.scala 72:15] + node _T_331 = mux(_T_330, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_332 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 247:38] + node _T_333 = cat(_T_331, _T_332) @[Cat.scala 29:58] + node _T_334 = orr(_T_333) @[el2_ifu_compress_ctl.scala 294:29] + node _T_335 = mux(_T_334, UInt<7>("h037"), UInt<7>("h03f")) @[el2_ifu_compress_ctl.scala 294:20] + node _T_336 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 245:30] + node _T_337 = bits(_T_336, 0, 0) @[Bitwise.scala 72:15] + node _T_338 = mux(_T_337, UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_339 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 245:38] + node _T_340 = cat(_T_338, _T_339) @[Cat.scala 29:58] + node _T_341 = cat(_T_340, UInt<12>("h00")) @[Cat.scala 29:58] + node _T_342 = bits(_T_341, 31, 12) @[el2_ifu_compress_ctl.scala 295:31] + node _T_343 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_344 = cat(_T_342, _T_343) @[Cat.scala 29:58] + node _T_345 = cat(_T_344, _T_335) @[Cat.scala 29:58] + node _T_346 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_347 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_348 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_349 = cat(UInt<2>("h01"), _T_348) @[Cat.scala 29:58] + node _T_350 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_351 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_351.bits <= _T_345 @[el2_ifu_compress_ctl.scala 226:14] + _T_351.rd <= _T_346 @[el2_ifu_compress_ctl.scala 227:12] + _T_351.rs1 <= _T_347 @[el2_ifu_compress_ctl.scala 228:13] + _T_351.rs2 <= _T_349 @[el2_ifu_compress_ctl.scala 229:13] + _T_351.rs3 <= _T_350 @[el2_ifu_compress_ctl.scala 230:13] + node _T_352 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_353 = eq(_T_352, UInt<5>("h00")) @[el2_ifu_compress_ctl.scala 296:14] + node _T_354 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_355 = eq(_T_354, UInt<5>("h02")) @[el2_ifu_compress_ctl.scala 296:27] + node _T_356 = or(_T_353, _T_355) @[el2_ifu_compress_ctl.scala 296:21] + node _T_357 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 247:30] + node _T_358 = bits(_T_357, 0, 0) @[Bitwise.scala 72:15] + node _T_359 = mux(_T_358, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_360 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 247:38] + node _T_361 = cat(_T_359, _T_360) @[Cat.scala 29:58] + node _T_362 = orr(_T_361) @[el2_ifu_compress_ctl.scala 290:29] + node _T_363 = mux(_T_362, UInt<7>("h013"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 290:20] + node _T_364 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 246:34] + node _T_365 = bits(_T_364, 0, 0) @[Bitwise.scala 72:15] + node _T_366 = mux(_T_365, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_367 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 246:42] + node _T_368 = bits(_T_3, 5, 5) @[el2_ifu_compress_ctl.scala 246:50] + node _T_369 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 246:56] + node _T_370 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 246:62] + node _T_371 = cat(_T_369, _T_370) @[Cat.scala 29:58] + node _T_372 = cat(_T_371, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_373 = cat(_T_366, _T_367) @[Cat.scala 29:58] + node _T_374 = cat(_T_373, _T_368) @[Cat.scala 29:58] + node _T_375 = cat(_T_374, _T_372) @[Cat.scala 29:58] + node _T_376 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_377 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_378 = cat(_T_377, _T_363) @[Cat.scala 29:58] + node _T_379 = cat(_T_375, _T_376) @[Cat.scala 29:58] + node _T_380 = cat(_T_379, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_381 = cat(_T_380, _T_378) @[Cat.scala 29:58] + node _T_382 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_383 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_384 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_385 = cat(UInt<2>("h01"), _T_384) @[Cat.scala 29:58] + node _T_386 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_387 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_387.bits <= _T_381 @[el2_ifu_compress_ctl.scala 226:14] + _T_387.rd <= _T_382 @[el2_ifu_compress_ctl.scala 227:12] + _T_387.rs1 <= _T_383 @[el2_ifu_compress_ctl.scala 228:13] + _T_387.rs2 <= _T_385 @[el2_ifu_compress_ctl.scala 229:13] + _T_387.rs3 <= _T_386 @[el2_ifu_compress_ctl.scala 230:13] + node _T_388 = mux(_T_356, _T_387, _T_351) @[el2_ifu_compress_ctl.scala 296:10] + node _T_389 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 250:20] + node _T_390 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 250:27] + node _T_391 = cat(_T_389, _T_390) @[Cat.scala 29:58] + node _T_392 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_393 = cat(UInt<2>("h01"), _T_392) @[Cat.scala 29:58] + node _T_394 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_395 = cat(UInt<2>("h01"), _T_394) @[Cat.scala 29:58] + node _T_396 = cat(_T_395, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_397 = cat(_T_391, _T_393) @[Cat.scala 29:58] + node _T_398 = cat(_T_397, UInt<3>("h05")) @[Cat.scala 29:58] + node _T_399 = cat(_T_398, _T_396) @[Cat.scala 29:58] + node _T_400 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 250:20] + node _T_401 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 250:27] + node _T_402 = cat(_T_400, _T_401) @[Cat.scala 29:58] + node _T_403 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_404 = cat(UInt<2>("h01"), _T_403) @[Cat.scala 29:58] + node _T_405 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_406 = cat(UInt<2>("h01"), _T_405) @[Cat.scala 29:58] + node _T_407 = cat(_T_406, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_408 = cat(_T_402, _T_404) @[Cat.scala 29:58] + node _T_409 = cat(_T_408, UInt<3>("h05")) @[Cat.scala 29:58] + node _T_410 = cat(_T_409, _T_407) @[Cat.scala 29:58] + node _T_411 = or(_T_410, UInt<31>("h040000000")) @[el2_ifu_compress_ctl.scala 303:23] + node _T_412 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 247:30] + node _T_413 = bits(_T_412, 0, 0) @[Bitwise.scala 72:15] + node _T_414 = mux(_T_413, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_415 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 247:38] + node _T_416 = cat(_T_414, _T_415) @[Cat.scala 29:58] + node _T_417 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_418 = cat(UInt<2>("h01"), _T_417) @[Cat.scala 29:58] + node _T_419 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_420 = cat(UInt<2>("h01"), _T_419) @[Cat.scala 29:58] + node _T_421 = cat(_T_420, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_422 = cat(_T_416, _T_418) @[Cat.scala 29:58] + node _T_423 = cat(_T_422, UInt<3>("h07")) @[Cat.scala 29:58] + node _T_424 = cat(_T_423, _T_421) @[Cat.scala 29:58] + wire _T_425 : UInt<3>[8] @[el2_ifu_compress_ctl.scala 306:28] + _T_425[0] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 306:28] + _T_425[1] <= UInt<3>("h04") @[el2_ifu_compress_ctl.scala 306:28] + _T_425[2] <= UInt<3>("h06") @[el2_ifu_compress_ctl.scala 306:28] + _T_425[3] <= UInt<3>("h07") @[el2_ifu_compress_ctl.scala 306:28] + _T_425[4] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 306:28] + _T_425[5] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 306:28] + _T_425[6] <= UInt<2>("h02") @[el2_ifu_compress_ctl.scala 306:28] + _T_425[7] <= UInt<2>("h03") @[el2_ifu_compress_ctl.scala 306:28] + node _T_426 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 306:74] + node _T_427 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 306:81] + node _T_428 = cat(_T_426, _T_427) @[Cat.scala 29:58] + node _T_429 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 307:24] + node _T_430 = eq(_T_429, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 307:30] + node _T_431 = mux(_T_430, UInt<31>("h040000000"), UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 307:22] + node _T_432 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 308:24] + node _T_433 = mux(_T_432, UInt<7>("h03b"), UInt<7>("h033")) @[el2_ifu_compress_ctl.scala 308:22] + node _T_434 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_435 = cat(UInt<2>("h01"), _T_434) @[Cat.scala 29:58] + node _T_436 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_437 = cat(UInt<2>("h01"), _T_436) @[Cat.scala 29:58] + node _T_438 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_439 = cat(UInt<2>("h01"), _T_438) @[Cat.scala 29:58] + node _T_440 = cat(_T_439, _T_433) @[Cat.scala 29:58] + node _T_441 = cat(_T_435, _T_437) @[Cat.scala 29:58] + node _T_442 = cat(_T_441, _T_425[_T_428]) @[Cat.scala 29:58] + node _T_443 = cat(_T_442, _T_440) @[Cat.scala 29:58] + node _T_444 = or(_T_443, _T_431) @[el2_ifu_compress_ctl.scala 309:43] + wire _T_445 : UInt<32>[4] @[el2_ifu_compress_ctl.scala 311:19] + _T_445[0] <= _T_399 @[el2_ifu_compress_ctl.scala 311:19] + _T_445[1] <= _T_411 @[el2_ifu_compress_ctl.scala 311:19] + _T_445[2] <= _T_424 @[el2_ifu_compress_ctl.scala 311:19] + _T_445[3] <= _T_444 @[el2_ifu_compress_ctl.scala 311:19] + node _T_446 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 311:46] + node _T_447 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_448 = cat(UInt<2>("h01"), _T_447) @[Cat.scala 29:58] + node _T_449 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_450 = cat(UInt<2>("h01"), _T_449) @[Cat.scala 29:58] + node _T_451 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_452 = cat(UInt<2>("h01"), _T_451) @[Cat.scala 29:58] + node _T_453 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_454 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_454.bits <= _T_445[_T_446] @[el2_ifu_compress_ctl.scala 226:14] + _T_454.rd <= _T_448 @[el2_ifu_compress_ctl.scala 227:12] + _T_454.rs1 <= _T_450 @[el2_ifu_compress_ctl.scala 228:13] + _T_454.rs2 <= _T_452 @[el2_ifu_compress_ctl.scala 229:13] + _T_454.rs3 <= _T_453 @[el2_ifu_compress_ctl.scala 230:13] + node _T_455 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_456 = bits(_T_455, 0, 0) @[Bitwise.scala 72:15] + node _T_457 = mux(_T_456, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_458 = bits(_T_3, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_459 = bits(_T_3, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_460 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_461 = bits(_T_3, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_462 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_463 = bits(_T_3, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_464 = bits(_T_3, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_465 = cat(_T_464, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_466 = cat(_T_462, _T_463) @[Cat.scala 29:58] + node _T_467 = cat(_T_466, _T_465) @[Cat.scala 29:58] + node _T_468 = cat(_T_460, _T_461) @[Cat.scala 29:58] + node _T_469 = cat(_T_457, _T_458) @[Cat.scala 29:58] + node _T_470 = cat(_T_469, _T_459) @[Cat.scala 29:58] + node _T_471 = cat(_T_470, _T_468) @[Cat.scala 29:58] + node _T_472 = cat(_T_471, _T_467) @[Cat.scala 29:58] + node _T_473 = bits(_T_472, 20, 20) @[el2_ifu_compress_ctl.scala 298:26] + node _T_474 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_475 = bits(_T_474, 0, 0) @[Bitwise.scala 72:15] + node _T_476 = mux(_T_475, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_477 = bits(_T_3, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_478 = bits(_T_3, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_479 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_480 = bits(_T_3, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_481 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_482 = bits(_T_3, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_483 = bits(_T_3, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_484 = cat(_T_483, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_485 = cat(_T_481, _T_482) @[Cat.scala 29:58] + node _T_486 = cat(_T_485, _T_484) @[Cat.scala 29:58] + node _T_487 = cat(_T_479, _T_480) @[Cat.scala 29:58] + node _T_488 = cat(_T_476, _T_477) @[Cat.scala 29:58] + node _T_489 = cat(_T_488, _T_478) @[Cat.scala 29:58] + node _T_490 = cat(_T_489, _T_487) @[Cat.scala 29:58] + node _T_491 = cat(_T_490, _T_486) @[Cat.scala 29:58] + node _T_492 = bits(_T_491, 10, 1) @[el2_ifu_compress_ctl.scala 298:36] + node _T_493 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_494 = bits(_T_493, 0, 0) @[Bitwise.scala 72:15] + node _T_495 = mux(_T_494, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_496 = bits(_T_3, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_497 = bits(_T_3, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_498 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_499 = bits(_T_3, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_500 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_501 = bits(_T_3, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_502 = bits(_T_3, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_503 = cat(_T_502, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_504 = cat(_T_500, _T_501) @[Cat.scala 29:58] + node _T_505 = cat(_T_504, _T_503) @[Cat.scala 29:58] + node _T_506 = cat(_T_498, _T_499) @[Cat.scala 29:58] + node _T_507 = cat(_T_495, _T_496) @[Cat.scala 29:58] + node _T_508 = cat(_T_507, _T_497) @[Cat.scala 29:58] + node _T_509 = cat(_T_508, _T_506) @[Cat.scala 29:58] + node _T_510 = cat(_T_509, _T_505) @[Cat.scala 29:58] + node _T_511 = bits(_T_510, 11, 11) @[el2_ifu_compress_ctl.scala 298:48] + node _T_512 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_513 = bits(_T_512, 0, 0) @[Bitwise.scala 72:15] + node _T_514 = mux(_T_513, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_515 = bits(_T_3, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_516 = bits(_T_3, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_517 = bits(_T_3, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_518 = bits(_T_3, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_519 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_520 = bits(_T_3, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_521 = bits(_T_3, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_522 = cat(_T_521, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_523 = cat(_T_519, _T_520) @[Cat.scala 29:58] + node _T_524 = cat(_T_523, _T_522) @[Cat.scala 29:58] + node _T_525 = cat(_T_517, _T_518) @[Cat.scala 29:58] + node _T_526 = cat(_T_514, _T_515) @[Cat.scala 29:58] + node _T_527 = cat(_T_526, _T_516) @[Cat.scala 29:58] + node _T_528 = cat(_T_527, _T_525) @[Cat.scala 29:58] + node _T_529 = cat(_T_528, _T_524) @[Cat.scala 29:58] + node _T_530 = bits(_T_529, 19, 12) @[el2_ifu_compress_ctl.scala 298:58] + node _T_531 = cat(_T_530, UInt<5>("h00")) @[Cat.scala 29:58] + node _T_532 = cat(_T_531, UInt<7>("h06f")) @[Cat.scala 29:58] + node _T_533 = cat(_T_473, _T_492) @[Cat.scala 29:58] + node _T_534 = cat(_T_533, _T_511) @[Cat.scala 29:58] + node _T_535 = cat(_T_534, _T_532) @[Cat.scala 29:58] + node _T_536 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_537 = cat(UInt<2>("h01"), _T_536) @[Cat.scala 29:58] + node _T_538 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_539 = cat(UInt<2>("h01"), _T_538) @[Cat.scala 29:58] + node _T_540 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_541 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_541.bits <= _T_535 @[el2_ifu_compress_ctl.scala 226:14] + _T_541.rd <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 227:12] + _T_541.rs1 <= _T_537 @[el2_ifu_compress_ctl.scala 228:13] + _T_541.rs2 <= _T_539 @[el2_ifu_compress_ctl.scala 229:13] + _T_541.rs3 <= _T_540 @[el2_ifu_compress_ctl.scala 230:13] + node _T_542 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_543 = bits(_T_542, 0, 0) @[Bitwise.scala 72:15] + node _T_544 = mux(_T_543, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_545 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_546 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_547 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_548 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_549 = cat(_T_547, _T_548) @[Cat.scala 29:58] + node _T_550 = cat(_T_549, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_551 = cat(_T_544, _T_545) @[Cat.scala 29:58] + node _T_552 = cat(_T_551, _T_546) @[Cat.scala 29:58] + node _T_553 = cat(_T_552, _T_550) @[Cat.scala 29:58] + node _T_554 = bits(_T_553, 12, 12) @[el2_ifu_compress_ctl.scala 299:29] + node _T_555 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_556 = bits(_T_555, 0, 0) @[Bitwise.scala 72:15] + node _T_557 = mux(_T_556, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_558 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_559 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_560 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_561 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_562 = cat(_T_560, _T_561) @[Cat.scala 29:58] + node _T_563 = cat(_T_562, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_564 = cat(_T_557, _T_558) @[Cat.scala 29:58] + node _T_565 = cat(_T_564, _T_559) @[Cat.scala 29:58] + node _T_566 = cat(_T_565, _T_563) @[Cat.scala 29:58] + node _T_567 = bits(_T_566, 10, 5) @[el2_ifu_compress_ctl.scala 299:39] + node _T_568 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_569 = cat(UInt<2>("h01"), _T_568) @[Cat.scala 29:58] + node _T_570 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_571 = bits(_T_570, 0, 0) @[Bitwise.scala 72:15] + node _T_572 = mux(_T_571, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_573 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_574 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_575 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_576 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_577 = cat(_T_575, _T_576) @[Cat.scala 29:58] + node _T_578 = cat(_T_577, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_579 = cat(_T_572, _T_573) @[Cat.scala 29:58] + node _T_580 = cat(_T_579, _T_574) @[Cat.scala 29:58] + node _T_581 = cat(_T_580, _T_578) @[Cat.scala 29:58] + node _T_582 = bits(_T_581, 4, 1) @[el2_ifu_compress_ctl.scala 299:71] + node _T_583 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_584 = bits(_T_583, 0, 0) @[Bitwise.scala 72:15] + node _T_585 = mux(_T_584, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_586 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_587 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_588 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_589 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_590 = cat(_T_588, _T_589) @[Cat.scala 29:58] + node _T_591 = cat(_T_590, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_592 = cat(_T_585, _T_586) @[Cat.scala 29:58] + node _T_593 = cat(_T_592, _T_587) @[Cat.scala 29:58] + node _T_594 = cat(_T_593, _T_591) @[Cat.scala 29:58] + node _T_595 = bits(_T_594, 11, 11) @[el2_ifu_compress_ctl.scala 299:82] + node _T_596 = cat(_T_595, UInt<7>("h063")) @[Cat.scala 29:58] + node _T_597 = cat(UInt<3>("h00"), _T_582) @[Cat.scala 29:58] + node _T_598 = cat(_T_597, _T_596) @[Cat.scala 29:58] + node _T_599 = cat(UInt<5>("h00"), _T_569) @[Cat.scala 29:58] + node _T_600 = cat(_T_554, _T_567) @[Cat.scala 29:58] + node _T_601 = cat(_T_600, _T_599) @[Cat.scala 29:58] + node _T_602 = cat(_T_601, _T_598) @[Cat.scala 29:58] + node _T_603 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_604 = cat(UInt<2>("h01"), _T_603) @[Cat.scala 29:58] + node _T_605 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_606 = cat(UInt<2>("h01"), _T_605) @[Cat.scala 29:58] + node _T_607 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_608 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_608.bits <= _T_602 @[el2_ifu_compress_ctl.scala 226:14] + _T_608.rd <= _T_604 @[el2_ifu_compress_ctl.scala 227:12] + _T_608.rs1 <= _T_606 @[el2_ifu_compress_ctl.scala 228:13] + _T_608.rs2 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 229:13] + _T_608.rs3 <= _T_607 @[el2_ifu_compress_ctl.scala 230:13] + node _T_609 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_610 = bits(_T_609, 0, 0) @[Bitwise.scala 72:15] + node _T_611 = mux(_T_610, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_612 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_613 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_614 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_615 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_616 = cat(_T_614, _T_615) @[Cat.scala 29:58] + node _T_617 = cat(_T_616, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_618 = cat(_T_611, _T_612) @[Cat.scala 29:58] + node _T_619 = cat(_T_618, _T_613) @[Cat.scala 29:58] + node _T_620 = cat(_T_619, _T_617) @[Cat.scala 29:58] + node _T_621 = bits(_T_620, 12, 12) @[el2_ifu_compress_ctl.scala 300:29] + node _T_622 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_623 = bits(_T_622, 0, 0) @[Bitwise.scala 72:15] + node _T_624 = mux(_T_623, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_625 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_626 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_627 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_628 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_629 = cat(_T_627, _T_628) @[Cat.scala 29:58] + node _T_630 = cat(_T_629, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_631 = cat(_T_624, _T_625) @[Cat.scala 29:58] + node _T_632 = cat(_T_631, _T_626) @[Cat.scala 29:58] + node _T_633 = cat(_T_632, _T_630) @[Cat.scala 29:58] + node _T_634 = bits(_T_633, 10, 5) @[el2_ifu_compress_ctl.scala 300:39] + node _T_635 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_636 = cat(UInt<2>("h01"), _T_635) @[Cat.scala 29:58] + node _T_637 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_638 = bits(_T_637, 0, 0) @[Bitwise.scala 72:15] + node _T_639 = mux(_T_638, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_640 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_641 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_642 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_643 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_644 = cat(_T_642, _T_643) @[Cat.scala 29:58] + node _T_645 = cat(_T_644, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_646 = cat(_T_639, _T_640) @[Cat.scala 29:58] + node _T_647 = cat(_T_646, _T_641) @[Cat.scala 29:58] + node _T_648 = cat(_T_647, _T_645) @[Cat.scala 29:58] + node _T_649 = bits(_T_648, 4, 1) @[el2_ifu_compress_ctl.scala 300:71] + node _T_650 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_651 = bits(_T_650, 0, 0) @[Bitwise.scala 72:15] + node _T_652 = mux(_T_651, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_653 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_654 = bits(_T_3, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_655 = bits(_T_3, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_656 = bits(_T_3, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_657 = cat(_T_655, _T_656) @[Cat.scala 29:58] + node _T_658 = cat(_T_657, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_659 = cat(_T_652, _T_653) @[Cat.scala 29:58] + node _T_660 = cat(_T_659, _T_654) @[Cat.scala 29:58] + node _T_661 = cat(_T_660, _T_658) @[Cat.scala 29:58] + node _T_662 = bits(_T_661, 11, 11) @[el2_ifu_compress_ctl.scala 300:82] + node _T_663 = cat(_T_662, UInt<7>("h063")) @[Cat.scala 29:58] + node _T_664 = cat(UInt<3>("h01"), _T_649) @[Cat.scala 29:58] + node _T_665 = cat(_T_664, _T_663) @[Cat.scala 29:58] + node _T_666 = cat(UInt<5>("h00"), _T_636) @[Cat.scala 29:58] + node _T_667 = cat(_T_621, _T_634) @[Cat.scala 29:58] + node _T_668 = cat(_T_667, _T_666) @[Cat.scala 29:58] + node _T_669 = cat(_T_668, _T_665) @[Cat.scala 29:58] + node _T_670 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_671 = cat(UInt<2>("h01"), _T_670) @[Cat.scala 29:58] + node _T_672 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_673 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_673.bits <= _T_669 @[el2_ifu_compress_ctl.scala 226:14] + _T_673.rd <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 227:12] + _T_673.rs1 <= _T_671 @[el2_ifu_compress_ctl.scala 228:13] + _T_673.rs2 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 229:13] + _T_673.rs3 <= _T_672 @[el2_ifu_compress_ctl.scala 230:13] + node _T_674 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_675 = orr(_T_674) @[el2_ifu_compress_ctl.scala 317:27] + node _T_676 = mux(_T_675, UInt<7>("h03"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 317:23] + node _T_677 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 250:20] + node _T_678 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 250:27] + node _T_679 = cat(_T_677, _T_678) @[Cat.scala 29:58] + node _T_680 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_681 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_682 = cat(_T_681, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_683 = cat(_T_679, _T_680) @[Cat.scala 29:58] + node _T_684 = cat(_T_683, UInt<3>("h01")) @[Cat.scala 29:58] + node _T_685 = cat(_T_684, _T_682) @[Cat.scala 29:58] + node _T_686 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_687 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_688 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_689 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_690 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_690.bits <= _T_685 @[el2_ifu_compress_ctl.scala 226:14] + _T_690.rd <= _T_686 @[el2_ifu_compress_ctl.scala 227:12] + _T_690.rs1 <= _T_687 @[el2_ifu_compress_ctl.scala 228:13] + _T_690.rs2 <= _T_688 @[el2_ifu_compress_ctl.scala 229:13] + _T_690.rs3 <= _T_689 @[el2_ifu_compress_ctl.scala 230:13] + node _T_691 = bits(_T_3, 4, 2) @[el2_ifu_compress_ctl.scala 242:22] + node _T_692 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 242:30] + node _T_693 = bits(_T_3, 6, 5) @[el2_ifu_compress_ctl.scala 242:37] + node _T_694 = cat(_T_693, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_695 = cat(_T_691, _T_692) @[Cat.scala 29:58] + node _T_696 = cat(_T_695, _T_694) @[Cat.scala 29:58] + node _T_697 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_698 = cat(_T_697, UInt<7>("h07")) @[Cat.scala 29:58] + node _T_699 = cat(_T_696, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_700 = cat(_T_699, UInt<3>("h03")) @[Cat.scala 29:58] + node _T_701 = cat(_T_700, _T_698) @[Cat.scala 29:58] + node _T_702 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_703 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_704 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_705 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_705.bits <= _T_701 @[el2_ifu_compress_ctl.scala 226:14] + _T_705.rd <= _T_702 @[el2_ifu_compress_ctl.scala 227:12] + _T_705.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_705.rs2 <= _T_703 @[el2_ifu_compress_ctl.scala 229:13] + _T_705.rs3 <= _T_704 @[el2_ifu_compress_ctl.scala 230:13] + node _T_706 = bits(_T_3, 3, 2) @[el2_ifu_compress_ctl.scala 241:22] + node _T_707 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 241:30] + node _T_708 = bits(_T_3, 6, 4) @[el2_ifu_compress_ctl.scala 241:37] + node _T_709 = cat(_T_708, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_710 = cat(_T_706, _T_707) @[Cat.scala 29:58] + node _T_711 = cat(_T_710, _T_709) @[Cat.scala 29:58] + node _T_712 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_713 = cat(_T_712, _T_676) @[Cat.scala 29:58] + node _T_714 = cat(_T_711, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_715 = cat(_T_714, UInt<3>("h02")) @[Cat.scala 29:58] + node _T_716 = cat(_T_715, _T_713) @[Cat.scala 29:58] + node _T_717 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_718 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_719 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_720 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_720.bits <= _T_716 @[el2_ifu_compress_ctl.scala 226:14] + _T_720.rd <= _T_717 @[el2_ifu_compress_ctl.scala 227:12] + _T_720.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_720.rs2 <= _T_718 @[el2_ifu_compress_ctl.scala 229:13] + _T_720.rs3 <= _T_719 @[el2_ifu_compress_ctl.scala 230:13] + node _T_721 = bits(_T_3, 3, 2) @[el2_ifu_compress_ctl.scala 241:22] + node _T_722 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 241:30] + node _T_723 = bits(_T_3, 6, 4) @[el2_ifu_compress_ctl.scala 241:37] + node _T_724 = cat(_T_723, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_725 = cat(_T_721, _T_722) @[Cat.scala 29:58] + node _T_726 = cat(_T_725, _T_724) @[Cat.scala 29:58] + node _T_727 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_728 = cat(_T_727, UInt<7>("h07")) @[Cat.scala 29:58] + node _T_729 = cat(_T_726, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_730 = cat(_T_729, UInt<3>("h02")) @[Cat.scala 29:58] + node _T_731 = cat(_T_730, _T_728) @[Cat.scala 29:58] + node _T_732 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_733 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_734 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_735 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_735.bits <= _T_731 @[el2_ifu_compress_ctl.scala 226:14] + _T_735.rd <= _T_732 @[el2_ifu_compress_ctl.scala 227:12] + _T_735.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_735.rs2 <= _T_733 @[el2_ifu_compress_ctl.scala 229:13] + _T_735.rs3 <= _T_734 @[el2_ifu_compress_ctl.scala 230:13] + node _T_736 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_737 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_738 = cat(_T_737, UInt<7>("h033")) @[Cat.scala 29:58] + node _T_739 = cat(_T_736, UInt<5>("h00")) @[Cat.scala 29:58] + node _T_740 = cat(_T_739, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_741 = cat(_T_740, _T_738) @[Cat.scala 29:58] + node _T_742 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_743 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_744 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_745 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_745.bits <= _T_741 @[el2_ifu_compress_ctl.scala 226:14] + _T_745.rd <= _T_742 @[el2_ifu_compress_ctl.scala 227:12] + _T_745.rs1 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 228:13] + _T_745.rs2 <= _T_743 @[el2_ifu_compress_ctl.scala 229:13] + _T_745.rs3 <= _T_744 @[el2_ifu_compress_ctl.scala 230:13] + node _T_746 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_747 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_748 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_749 = cat(_T_748, UInt<7>("h033")) @[Cat.scala 29:58] + node _T_750 = cat(_T_746, _T_747) @[Cat.scala 29:58] + node _T_751 = cat(_T_750, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_752 = cat(_T_751, _T_749) @[Cat.scala 29:58] + node _T_753 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_754 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_755 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_756 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_757 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_757.bits <= _T_752 @[el2_ifu_compress_ctl.scala 226:14] + _T_757.rd <= _T_753 @[el2_ifu_compress_ctl.scala 227:12] + _T_757.rs1 <= _T_754 @[el2_ifu_compress_ctl.scala 228:13] + _T_757.rs2 <= _T_755 @[el2_ifu_compress_ctl.scala 229:13] + _T_757.rs3 <= _T_756 @[el2_ifu_compress_ctl.scala 230:13] + node _T_758 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_759 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_760 = cat(UInt<5>("h00"), UInt<7>("h067")) @[Cat.scala 29:58] + node _T_761 = cat(_T_758, _T_759) @[Cat.scala 29:58] + node _T_762 = cat(_T_761, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_763 = cat(_T_762, _T_760) @[Cat.scala 29:58] + node _T_764 = shr(_T_763, 7) @[el2_ifu_compress_ctl.scala 337:29] + node _T_765 = cat(_T_764, UInt<7>("h01f")) @[Cat.scala 29:58] + node _T_766 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_767 = orr(_T_766) @[el2_ifu_compress_ctl.scala 338:37] + node _T_768 = mux(_T_767, _T_763, _T_765) @[el2_ifu_compress_ctl.scala 338:33] + node _T_769 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_770 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_771 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_772 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_772.bits <= _T_768 @[el2_ifu_compress_ctl.scala 226:14] + _T_772.rd <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 227:12] + _T_772.rs1 <= _T_769 @[el2_ifu_compress_ctl.scala 228:13] + _T_772.rs2 <= _T_770 @[el2_ifu_compress_ctl.scala 229:13] + _T_772.rs3 <= _T_771 @[el2_ifu_compress_ctl.scala 230:13] + node _T_773 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_774 = orr(_T_773) @[el2_ifu_compress_ctl.scala 339:27] + node _T_775 = mux(_T_774, _T_745, _T_772) @[el2_ifu_compress_ctl.scala 339:22] + node _T_776 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_777 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_778 = cat(UInt<5>("h01"), UInt<7>("h067")) @[Cat.scala 29:58] + node _T_779 = cat(_T_776, _T_777) @[Cat.scala 29:58] + node _T_780 = cat(_T_779, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_781 = cat(_T_780, _T_778) @[Cat.scala 29:58] + node _T_782 = shr(_T_763, 7) @[el2_ifu_compress_ctl.scala 341:27] + node _T_783 = cat(_T_782, UInt<7>("h073")) @[Cat.scala 29:58] + node _T_784 = or(_T_783, UInt<21>("h0100000")) @[el2_ifu_compress_ctl.scala 341:46] + node _T_785 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_786 = orr(_T_785) @[el2_ifu_compress_ctl.scala 342:37] + node _T_787 = mux(_T_786, _T_781, _T_784) @[el2_ifu_compress_ctl.scala 342:33] + node _T_788 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_789 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_790 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_791 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_791.bits <= _T_787 @[el2_ifu_compress_ctl.scala 226:14] + _T_791.rd <= UInt<5>("h01") @[el2_ifu_compress_ctl.scala 227:12] + _T_791.rs1 <= _T_788 @[el2_ifu_compress_ctl.scala 228:13] + _T_791.rs2 <= _T_789 @[el2_ifu_compress_ctl.scala 229:13] + _T_791.rs3 <= _T_790 @[el2_ifu_compress_ctl.scala 230:13] + node _T_792 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_793 = orr(_T_792) @[el2_ifu_compress_ctl.scala 343:30] + node _T_794 = mux(_T_793, _T_757, _T_791) @[el2_ifu_compress_ctl.scala 343:25] + node _T_795 = bits(_T_3, 12, 12) @[el2_ifu_compress_ctl.scala 344:12] + node _T_796 = mux(_T_795, _T_794, _T_775) @[el2_ifu_compress_ctl.scala 344:10] + node _T_797 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 244:22] + node _T_798 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 244:30] + node _T_799 = cat(_T_797, _T_798) @[Cat.scala 29:58] + node _T_800 = cat(_T_799, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_801 = shr(_T_800, 5) @[el2_ifu_compress_ctl.scala 328:34] + node _T_802 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_803 = bits(_T_3, 9, 7) @[el2_ifu_compress_ctl.scala 244:22] + node _T_804 = bits(_T_3, 12, 10) @[el2_ifu_compress_ctl.scala 244:30] + node _T_805 = cat(_T_803, _T_804) @[Cat.scala 29:58] + node _T_806 = cat(_T_805, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_807 = bits(_T_806, 4, 0) @[el2_ifu_compress_ctl.scala 328:66] + node _T_808 = cat(UInt<3>("h03"), _T_807) @[Cat.scala 29:58] + node _T_809 = cat(_T_808, UInt<7>("h027")) @[Cat.scala 29:58] + node _T_810 = cat(_T_801, _T_802) @[Cat.scala 29:58] + node _T_811 = cat(_T_810, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_812 = cat(_T_811, _T_809) @[Cat.scala 29:58] + node _T_813 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_814 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_815 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_816 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_816.bits <= _T_812 @[el2_ifu_compress_ctl.scala 226:14] + _T_816.rd <= _T_813 @[el2_ifu_compress_ctl.scala 227:12] + _T_816.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_816.rs2 <= _T_814 @[el2_ifu_compress_ctl.scala 229:13] + _T_816.rs3 <= _T_815 @[el2_ifu_compress_ctl.scala 230:13] + node _T_817 = bits(_T_3, 8, 7) @[el2_ifu_compress_ctl.scala 243:22] + node _T_818 = bits(_T_3, 12, 9) @[el2_ifu_compress_ctl.scala 243:30] + node _T_819 = cat(_T_817, _T_818) @[Cat.scala 29:58] + node _T_820 = cat(_T_819, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_821 = shr(_T_820, 5) @[el2_ifu_compress_ctl.scala 327:33] + node _T_822 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_823 = bits(_T_3, 8, 7) @[el2_ifu_compress_ctl.scala 243:22] + node _T_824 = bits(_T_3, 12, 9) @[el2_ifu_compress_ctl.scala 243:30] + node _T_825 = cat(_T_823, _T_824) @[Cat.scala 29:58] + node _T_826 = cat(_T_825, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_827 = bits(_T_826, 4, 0) @[el2_ifu_compress_ctl.scala 327:65] + node _T_828 = cat(UInt<3>("h02"), _T_827) @[Cat.scala 29:58] + node _T_829 = cat(_T_828, UInt<7>("h023")) @[Cat.scala 29:58] + node _T_830 = cat(_T_821, _T_822) @[Cat.scala 29:58] + node _T_831 = cat(_T_830, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_832 = cat(_T_831, _T_829) @[Cat.scala 29:58] + node _T_833 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_834 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_835 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_836 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_836.bits <= _T_832 @[el2_ifu_compress_ctl.scala 226:14] + _T_836.rd <= _T_833 @[el2_ifu_compress_ctl.scala 227:12] + _T_836.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_836.rs2 <= _T_834 @[el2_ifu_compress_ctl.scala 229:13] + _T_836.rs3 <= _T_835 @[el2_ifu_compress_ctl.scala 230:13] + node _T_837 = bits(_T_3, 8, 7) @[el2_ifu_compress_ctl.scala 243:22] + node _T_838 = bits(_T_3, 12, 9) @[el2_ifu_compress_ctl.scala 243:30] + node _T_839 = cat(_T_837, _T_838) @[Cat.scala 29:58] + node _T_840 = cat(_T_839, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_841 = shr(_T_840, 5) @[el2_ifu_compress_ctl.scala 330:40] + node _T_842 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_843 = bits(_T_3, 8, 7) @[el2_ifu_compress_ctl.scala 243:22] + node _T_844 = bits(_T_3, 12, 9) @[el2_ifu_compress_ctl.scala 243:30] + node _T_845 = cat(_T_843, _T_844) @[Cat.scala 29:58] + node _T_846 = cat(_T_845, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_847 = bits(_T_846, 4, 0) @[el2_ifu_compress_ctl.scala 330:72] + node _T_848 = cat(UInt<3>("h02"), _T_847) @[Cat.scala 29:58] + node _T_849 = cat(_T_848, UInt<7>("h027")) @[Cat.scala 29:58] + node _T_850 = cat(_T_841, _T_842) @[Cat.scala 29:58] + node _T_851 = cat(_T_850, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_852 = cat(_T_851, _T_849) @[Cat.scala 29:58] + node _T_853 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_854 = bits(_T_3, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_855 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_856 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_856.bits <= _T_852 @[el2_ifu_compress_ctl.scala 226:14] + _T_856.rd <= _T_853 @[el2_ifu_compress_ctl.scala 227:12] + _T_856.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_856.rs2 <= _T_854 @[el2_ifu_compress_ctl.scala 229:13] + _T_856.rs3 <= _T_855 @[el2_ifu_compress_ctl.scala 230:13] + node _T_857 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_858 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_859 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_860 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_861 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_861.bits <= _T_3 @[el2_ifu_compress_ctl.scala 226:14] + _T_861.rd <= _T_857 @[el2_ifu_compress_ctl.scala 227:12] + _T_861.rs1 <= _T_858 @[el2_ifu_compress_ctl.scala 228:13] + _T_861.rs2 <= _T_859 @[el2_ifu_compress_ctl.scala 229:13] + _T_861.rs3 <= _T_860 @[el2_ifu_compress_ctl.scala 230:13] + node _T_862 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_863 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_864 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_865 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_866 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_866.bits <= _T_3 @[el2_ifu_compress_ctl.scala 226:14] + _T_866.rd <= _T_862 @[el2_ifu_compress_ctl.scala 227:12] + _T_866.rs1 <= _T_863 @[el2_ifu_compress_ctl.scala 228:13] + _T_866.rs2 <= _T_864 @[el2_ifu_compress_ctl.scala 229:13] + _T_866.rs3 <= _T_865 @[el2_ifu_compress_ctl.scala 230:13] + node _T_867 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_868 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_869 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_870 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_871 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_871.bits <= _T_3 @[el2_ifu_compress_ctl.scala 226:14] + _T_871.rd <= _T_867 @[el2_ifu_compress_ctl.scala 227:12] + _T_871.rs1 <= _T_868 @[el2_ifu_compress_ctl.scala 228:13] + _T_871.rs2 <= _T_869 @[el2_ifu_compress_ctl.scala 229:13] + _T_871.rs3 <= _T_870 @[el2_ifu_compress_ctl.scala 230:13] + node _T_872 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_873 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_874 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_875 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_876 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_876.bits <= _T_3 @[el2_ifu_compress_ctl.scala 226:14] + _T_876.rd <= _T_872 @[el2_ifu_compress_ctl.scala 227:12] + _T_876.rs1 <= _T_873 @[el2_ifu_compress_ctl.scala 228:13] + _T_876.rs2 <= _T_874 @[el2_ifu_compress_ctl.scala 229:13] + _T_876.rs3 <= _T_875 @[el2_ifu_compress_ctl.scala 230:13] + node _T_877 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_878 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_879 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_880 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_881 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_881.bits <= _T_3 @[el2_ifu_compress_ctl.scala 226:14] + _T_881.rd <= _T_877 @[el2_ifu_compress_ctl.scala 227:12] + _T_881.rs1 <= _T_878 @[el2_ifu_compress_ctl.scala 228:13] + _T_881.rs2 <= _T_879 @[el2_ifu_compress_ctl.scala 229:13] + _T_881.rs3 <= _T_880 @[el2_ifu_compress_ctl.scala 230:13] + node _T_882 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_883 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_884 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_885 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_886 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_886.bits <= _T_3 @[el2_ifu_compress_ctl.scala 226:14] + _T_886.rd <= _T_882 @[el2_ifu_compress_ctl.scala 227:12] + _T_886.rs1 <= _T_883 @[el2_ifu_compress_ctl.scala 228:13] + _T_886.rs2 <= _T_884 @[el2_ifu_compress_ctl.scala 229:13] + _T_886.rs3 <= _T_885 @[el2_ifu_compress_ctl.scala 230:13] + node _T_887 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_888 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_889 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_890 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_891 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_891.bits <= _T_3 @[el2_ifu_compress_ctl.scala 226:14] + _T_891.rd <= _T_887 @[el2_ifu_compress_ctl.scala 227:12] + _T_891.rs1 <= _T_888 @[el2_ifu_compress_ctl.scala 228:13] + _T_891.rs2 <= _T_889 @[el2_ifu_compress_ctl.scala 229:13] + _T_891.rs3 <= _T_890 @[el2_ifu_compress_ctl.scala 230:13] + node _T_892 = bits(_T_3, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_893 = bits(_T_3, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_894 = bits(_T_3, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_895 = bits(_T_3, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_896 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_896.bits <= _T_3 @[el2_ifu_compress_ctl.scala 226:14] + _T_896.rd <= _T_892 @[el2_ifu_compress_ctl.scala 227:12] + _T_896.rs1 <= _T_893 @[el2_ifu_compress_ctl.scala 228:13] + _T_896.rs2 <= _T_894 @[el2_ifu_compress_ctl.scala 229:13] + _T_896.rs3 <= _T_895 @[el2_ifu_compress_ctl.scala 230:13] + wire _T_897 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>}[32] @[el2_ifu_compress_ctl.scala 354:20] + _T_897[0].rs3 <= _T_26.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[0].rs2 <= _T_26.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[0].rs1 <= _T_26.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[0].rd <= _T_26.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[0].bits <= _T_26.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[1].rs3 <= _T_46.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[1].rs2 <= _T_46.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[1].rs1 <= _T_46.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[1].rd <= _T_46.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[1].bits <= _T_46.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[2].rs3 <= _T_68.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[2].rs2 <= _T_68.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[2].rs1 <= _T_68.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[2].rd <= _T_68.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[2].bits <= _T_68.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[3].rs3 <= _T_90.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[3].rs2 <= _T_90.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[3].rs1 <= _T_90.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[3].rd <= _T_90.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[3].bits <= _T_90.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[4].rs3 <= _T_121.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[4].rs2 <= _T_121.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[4].rs1 <= _T_121.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[4].rd <= _T_121.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[4].bits <= _T_121.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[5].rs3 <= _T_148.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[5].rs2 <= _T_148.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[5].rs1 <= _T_148.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[5].rd <= _T_148.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[5].bits <= _T_148.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[6].rs3 <= _T_179.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[6].rs2 <= _T_179.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[6].rs1 <= _T_179.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[6].rd <= _T_179.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[6].bits <= _T_179.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[7].rs3 <= _T_210.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[7].rs2 <= _T_210.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[7].rs1 <= _T_210.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[7].rd <= _T_210.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[7].bits <= _T_210.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[8].rs3 <= _T_227.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[8].rs2 <= _T_227.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[8].rs1 <= _T_227.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[8].rd <= _T_227.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[8].bits <= _T_227.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[9].rs3 <= _T_313.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[9].rs2 <= _T_313.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[9].rs1 <= _T_313.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[9].rd <= _T_313.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[9].bits <= _T_313.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[10].rs3 <= _T_328.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[10].rs2 <= _T_328.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[10].rs1 <= _T_328.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[10].rd <= _T_328.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[10].bits <= _T_328.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[11].rs3 <= _T_388.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[11].rs2 <= _T_388.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[11].rs1 <= _T_388.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[11].rd <= _T_388.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[11].bits <= _T_388.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[12].rs3 <= _T_454.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[12].rs2 <= _T_454.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[12].rs1 <= _T_454.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[12].rd <= _T_454.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[12].bits <= _T_454.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[13].rs3 <= _T_541.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[13].rs2 <= _T_541.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[13].rs1 <= _T_541.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[13].rd <= _T_541.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[13].bits <= _T_541.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[14].rs3 <= _T_608.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[14].rs2 <= _T_608.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[14].rs1 <= _T_608.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[14].rd <= _T_608.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[14].bits <= _T_608.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[15].rs3 <= _T_673.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[15].rs2 <= _T_673.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[15].rs1 <= _T_673.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[15].rd <= _T_673.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[15].bits <= _T_673.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[16].rs3 <= _T_690.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[16].rs2 <= _T_690.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[16].rs1 <= _T_690.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[16].rd <= _T_690.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[16].bits <= _T_690.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[17].rs3 <= _T_705.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[17].rs2 <= _T_705.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[17].rs1 <= _T_705.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[17].rd <= _T_705.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[17].bits <= _T_705.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[18].rs3 <= _T_720.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[18].rs2 <= _T_720.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[18].rs1 <= _T_720.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[18].rd <= _T_720.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[18].bits <= _T_720.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[19].rs3 <= _T_735.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[19].rs2 <= _T_735.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[19].rs1 <= _T_735.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[19].rd <= _T_735.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[19].bits <= _T_735.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[20].rs3 <= _T_796.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[20].rs2 <= _T_796.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[20].rs1 <= _T_796.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[20].rd <= _T_796.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[20].bits <= _T_796.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[21].rs3 <= _T_816.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[21].rs2 <= _T_816.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[21].rs1 <= _T_816.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[21].rd <= _T_816.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[21].bits <= _T_816.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[22].rs3 <= _T_836.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[22].rs2 <= _T_836.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[22].rs1 <= _T_836.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[22].rd <= _T_836.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[22].bits <= _T_836.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[23].rs3 <= _T_856.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[23].rs2 <= _T_856.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[23].rs1 <= _T_856.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[23].rd <= _T_856.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[23].bits <= _T_856.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[24].rs3 <= _T_861.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[24].rs2 <= _T_861.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[24].rs1 <= _T_861.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[24].rd <= _T_861.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[24].bits <= _T_861.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[25].rs3 <= _T_866.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[25].rs2 <= _T_866.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[25].rs1 <= _T_866.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[25].rd <= _T_866.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[25].bits <= _T_866.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[26].rs3 <= _T_871.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[26].rs2 <= _T_871.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[26].rs1 <= _T_871.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[26].rd <= _T_871.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[26].bits <= _T_871.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[27].rs3 <= _T_876.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[27].rs2 <= _T_876.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[27].rs1 <= _T_876.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[27].rd <= _T_876.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[27].bits <= _T_876.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[28].rs3 <= _T_881.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[28].rs2 <= _T_881.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[28].rs1 <= _T_881.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[28].rd <= _T_881.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[28].bits <= _T_881.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[29].rs3 <= _T_886.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[29].rs2 <= _T_886.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[29].rs1 <= _T_886.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[29].rd <= _T_886.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[29].bits <= _T_886.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[30].rs3 <= _T_891.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[30].rs2 <= _T_891.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[30].rs1 <= _T_891.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[30].rd <= _T_891.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[30].bits <= _T_891.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_897[31].rs3 <= _T_896.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[31].rs2 <= _T_896.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[31].rs1 <= _T_896.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_897[31].rd <= _T_896.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_897[31].bits <= _T_896.bits @[el2_ifu_compress_ctl.scala 354:20] + node _T_898 = bits(_T_3, 1, 0) @[el2_ifu_compress_ctl.scala 355:12] + node _T_899 = bits(_T_3, 15, 13) @[el2_ifu_compress_ctl.scala 355:20] + node _T_900 = cat(_T_898, _T_899) @[Cat.scala 29:58] + node _T_901 = mux(_T_1, UInt<1>("h00"), _T_897[_T_900].bits) @[el2_ifu_compress_ctl.scala 404:19] + io.dout <= _T_901 @[el2_ifu_compress_ctl.scala 404:13] diff --git a/el2_ifu_compress_ctl.v b/el2_ifu_compress_ctl.v index 1351ad0a..b72e7ed0 100644 --- a/el2_ifu_compress_ctl.v +++ b/el2_ifu_compress_ctl.v @@ -2,553 +2,144 @@ module el2_ifu_compress_ctl( input clock, input reset, input [15:0] io_din, - output [31:0] io_dout, - output [31:0] io_l1, - output [31:0] io_l2, - output [31:0] io_l3, - output io_legal, - output [31:0] io_o, - output [19:0] io_sluimmd, - output [5:0] io_uimm5d, - output [5:0] io_ulwspimm7d, - output [4:0] io_ulwimm6d, - output [5:0] io_simm9d, - output [7:0] io_uimm9d, - output [5:0] io_simm5d, - output [19:0] io_sjald, - output [11:0] io_l2_31 + output [31:0] io_dout ); - wire _T_2 = ~io_din[14]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_4 = ~io_din[13]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_7 = ~io_din[6]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_9 = ~io_din[5]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_11 = io_din[15] & _T_2; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_12 = _T_11 & _T_4; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_13 = _T_12 & io_din[10]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_14 = _T_13 & _T_7; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_15 = _T_14 & _T_9; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_16 = _T_15 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_23 = ~io_din[11]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_28 = _T_12 & _T_23; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_29 = _T_28 & io_din[10]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_30 = _T_29 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire out_30 = _T_16 | _T_30; // @[el2_ifu_compress_ctl.scala 32:53] - wire _T_38 = ~io_din[10]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_40 = ~io_din[9]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_42 = ~io_din[8]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_44 = ~io_din[7]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_50 = ~io_din[4]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_52 = ~io_din[3]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_54 = ~io_din[2]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_56 = _T_2 & io_din[12]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_57 = _T_56 & _T_23; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_58 = _T_57 & _T_38; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_59 = _T_58 & _T_40; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_60 = _T_59 & _T_42; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_61 = _T_60 & _T_44; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_62 = _T_61 & _T_7; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_63 = _T_62 & _T_9; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_64 = _T_63 & _T_50; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_65 = _T_64 & _T_52; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_66 = _T_65 & _T_54; // @[el2_ifu_compress_ctl.scala 29:110] - wire out_20 = _T_66 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_79 = _T_28 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_90 = _T_12 & _T_38; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_91 = _T_90 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_92 = _T_79 | _T_91; // @[el2_ifu_compress_ctl.scala 34:46] - wire _T_102 = _T_12 & io_din[6]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_103 = _T_102 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_104 = _T_92 | _T_103; // @[el2_ifu_compress_ctl.scala 34:80] - wire _T_114 = _T_12 & io_din[5]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_115 = _T_114 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire out_14 = _T_104 | _T_115; // @[el2_ifu_compress_ctl.scala 34:113] - wire _T_128 = _T_12 & io_din[11]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_129 = _T_128 & _T_38; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_130 = _T_129 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_142 = _T_128 & io_din[6]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_143 = _T_142 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_144 = _T_130 | _T_143; // @[el2_ifu_compress_ctl.scala 36:50] - wire _T_147 = ~io_din[0]; // @[el2_ifu_compress_ctl.scala 36:101] - wire _T_148 = io_din[14] & _T_147; // @[el2_ifu_compress_ctl.scala 36:99] - wire out_13 = _T_144 | _T_148; // @[el2_ifu_compress_ctl.scala 36:86] - wire _T_161 = _T_102 & io_din[5]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_162 = _T_161 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_175 = _T_162 | _T_79; // @[el2_ifu_compress_ctl.scala 37:47] - wire _T_188 = _T_175 | _T_91; // @[el2_ifu_compress_ctl.scala 37:81] - wire _T_190 = ~io_din[15]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_194 = _T_190 & _T_2; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_195 = _T_194 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_196 = _T_188 | _T_195; // @[el2_ifu_compress_ctl.scala 37:115] - wire _T_200 = io_din[15] & io_din[14]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_201 = _T_200 & io_din[13]; // @[el2_ifu_compress_ctl.scala 29:110] - wire out_12 = _T_196 | _T_201; // @[el2_ifu_compress_ctl.scala 38:26] - wire _T_217 = _T_11 & _T_7; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_218 = _T_217 & _T_9; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_219 = _T_218 & _T_50; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_220 = _T_219 & _T_52; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_221 = _T_220 & _T_54; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_224 = _T_221 & _T_147; // @[el2_ifu_compress_ctl.scala 39:53] - wire _T_228 = _T_2 & io_din[13]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_229 = _T_224 | _T_228; // @[el2_ifu_compress_ctl.scala 39:67] - wire _T_234 = _T_200 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire out_6 = _T_229 | _T_234; // @[el2_ifu_compress_ctl.scala 39:88] - wire _T_239 = io_din[15] & _T_147; // @[el2_ifu_compress_ctl.scala 41:24] - wire _T_243 = io_din[15] & io_din[11]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_244 = _T_243 & io_din[10]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_245 = _T_239 | _T_244; // @[el2_ifu_compress_ctl.scala 41:39] - wire _T_249 = io_din[13] & _T_42; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_250 = _T_245 | _T_249; // @[el2_ifu_compress_ctl.scala 41:63] - wire _T_253 = io_din[13] & io_din[7]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_254 = _T_250 | _T_253; // @[el2_ifu_compress_ctl.scala 41:83] - wire _T_257 = io_din[13] & io_din[9]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_258 = _T_254 | _T_257; // @[el2_ifu_compress_ctl.scala 41:102] - wire _T_261 = io_din[13] & io_din[10]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_262 = _T_258 | _T_261; // @[el2_ifu_compress_ctl.scala 42:22] - wire _T_265 = io_din[13] & io_din[11]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_266 = _T_262 | _T_265; // @[el2_ifu_compress_ctl.scala 42:42] - wire _T_271 = _T_266 | _T_228; // @[el2_ifu_compress_ctl.scala 42:62] - wire out_5 = _T_271 | _T_200; // @[el2_ifu_compress_ctl.scala 42:83] - wire _T_288 = _T_2 & _T_23; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_289 = _T_288 & _T_38; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_290 = _T_289 & _T_40; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_291 = _T_290 & _T_42; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_292 = _T_291 & _T_44; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_295 = _T_292 & _T_147; // @[el2_ifu_compress_ctl.scala 45:50] - wire _T_303 = _T_194 & _T_147; // @[el2_ifu_compress_ctl.scala 45:87] - wire _T_304 = _T_295 | _T_303; // @[el2_ifu_compress_ctl.scala 45:65] - wire _T_308 = _T_2 & io_din[6]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_311 = _T_308 & _T_147; // @[el2_ifu_compress_ctl.scala 46:23] - wire _T_312 = _T_304 | _T_311; // @[el2_ifu_compress_ctl.scala 45:102] - wire _T_317 = _T_190 & io_din[14]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_318 = _T_317 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_319 = _T_312 | _T_318; // @[el2_ifu_compress_ctl.scala 46:38] - wire _T_323 = _T_2 & io_din[5]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_326 = _T_323 & _T_147; // @[el2_ifu_compress_ctl.scala 46:82] - wire _T_327 = _T_319 | _T_326; // @[el2_ifu_compress_ctl.scala 46:62] - wire _T_331 = _T_2 & io_din[4]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_334 = _T_331 & _T_147; // @[el2_ifu_compress_ctl.scala 47:23] - wire _T_335 = _T_327 | _T_334; // @[el2_ifu_compress_ctl.scala 46:97] - wire _T_339 = _T_2 & io_din[3]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_342 = _T_339 & _T_147; // @[el2_ifu_compress_ctl.scala 47:58] - wire _T_343 = _T_335 | _T_342; // @[el2_ifu_compress_ctl.scala 47:38] - wire _T_347 = _T_2 & io_din[2]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_350 = _T_347 & _T_147; // @[el2_ifu_compress_ctl.scala 47:93] - wire _T_351 = _T_343 | _T_350; // @[el2_ifu_compress_ctl.scala 47:73] - wire _T_357 = _T_2 & _T_4; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_358 = _T_357 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire out_4 = _T_351 | _T_358; // @[el2_ifu_compress_ctl.scala 47:108] - wire _T_380 = _T_56 & io_din[11]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_381 = _T_380 & _T_7; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_382 = _T_381 & _T_9; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_383 = _T_382 & _T_50; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_384 = _T_383 & _T_52; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_385 = _T_384 & _T_54; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_386 = _T_385 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_403 = _T_56 & io_din[10]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_404 = _T_403 & _T_7; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_405 = _T_404 & _T_9; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_406 = _T_405 & _T_50; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_407 = _T_406 & _T_52; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_408 = _T_407 & _T_54; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_409 = _T_408 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_410 = _T_386 | _T_409; // @[el2_ifu_compress_ctl.scala 54:59] - wire _T_427 = _T_56 & io_din[9]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_428 = _T_427 & _T_7; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_429 = _T_428 & _T_9; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_430 = _T_429 & _T_50; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_431 = _T_430 & _T_52; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_432 = _T_431 & _T_54; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_433 = _T_432 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_434 = _T_410 | _T_433; // @[el2_ifu_compress_ctl.scala 55:59] - wire _T_451 = _T_56 & io_din[8]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_452 = _T_451 & _T_7; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_453 = _T_452 & _T_9; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_454 = _T_453 & _T_50; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_455 = _T_454 & _T_52; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_456 = _T_455 & _T_54; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_457 = _T_456 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_458 = _T_434 | _T_457; // @[el2_ifu_compress_ctl.scala 56:58] - wire _T_475 = _T_56 & io_din[7]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_476 = _T_475 & _T_7; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_477 = _T_476 & _T_9; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_478 = _T_477 & _T_50; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_479 = _T_478 & _T_52; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_480 = _T_479 & _T_54; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_481 = _T_480 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_482 = _T_458 | _T_481; // @[el2_ifu_compress_ctl.scala 57:55] - wire _T_487 = ~io_din[12]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_499 = _T_11 & _T_487; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_500 = _T_499 & _T_7; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_501 = _T_500 & _T_9; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_502 = _T_501 & _T_50; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_503 = _T_502 & _T_52; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_504 = _T_503 & _T_54; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_507 = _T_504 & _T_147; // @[el2_ifu_compress_ctl.scala 59:56] - wire _T_508 = _T_482 | _T_507; // @[el2_ifu_compress_ctl.scala 58:57] - wire _T_514 = _T_190 & io_din[13]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_515 = _T_514 & _T_42; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_516 = _T_508 | _T_515; // @[el2_ifu_compress_ctl.scala 59:71] - wire _T_522 = _T_514 & io_din[7]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_523 = _T_516 | _T_522; // @[el2_ifu_compress_ctl.scala 60:34] - wire _T_529 = _T_514 & io_din[9]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_530 = _T_523 | _T_529; // @[el2_ifu_compress_ctl.scala 61:33] - wire _T_536 = _T_514 & io_din[10]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_537 = _T_530 | _T_536; // @[el2_ifu_compress_ctl.scala 62:33] - wire _T_543 = _T_514 & io_din[11]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_544 = _T_537 | _T_543; // @[el2_ifu_compress_ctl.scala 63:34] - wire out_2 = _T_544 | _T_228; // @[el2_ifu_compress_ctl.scala 64:34] - wire [4:0] rs2d = io_din[6:2]; // @[el2_ifu_compress_ctl.scala 73:20] - wire [4:0] rdd = io_din[11:7]; // @[el2_ifu_compress_ctl.scala 74:19] - wire [4:0] rdpd = {2'h1,io_din[9:7]}; // @[Cat.scala 29:58] - wire [4:0] rs2pd = {2'h1,io_din[4:2]}; // @[Cat.scala 29:58] - wire _T_557 = _T_308 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_564 = _T_317 & io_din[11]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_565 = _T_564 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_566 = _T_557 | _T_565; // @[el2_ifu_compress_ctl.scala 78:33] - wire _T_572 = _T_323 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_573 = _T_566 | _T_572; // @[el2_ifu_compress_ctl.scala 78:58] - wire _T_580 = _T_317 & io_din[10]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_581 = _T_580 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_582 = _T_573 | _T_581; // @[el2_ifu_compress_ctl.scala 78:79] - wire _T_588 = _T_331 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_589 = _T_582 | _T_588; // @[el2_ifu_compress_ctl.scala 78:104] - wire _T_596 = _T_317 & io_din[9]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_597 = _T_596 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_598 = _T_589 | _T_597; // @[el2_ifu_compress_ctl.scala 79:24] - wire _T_604 = _T_339 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_605 = _T_598 | _T_604; // @[el2_ifu_compress_ctl.scala 79:48] - wire _T_613 = _T_317 & _T_42; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_614 = _T_613 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_615 = _T_605 | _T_614; // @[el2_ifu_compress_ctl.scala 79:69] - wire _T_621 = _T_347 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_622 = _T_615 | _T_621; // @[el2_ifu_compress_ctl.scala 79:94] - wire _T_629 = _T_317 & io_din[7]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_630 = _T_629 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_631 = _T_622 | _T_630; // @[el2_ifu_compress_ctl.scala 80:22] - wire _T_635 = _T_190 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_636 = _T_631 | _T_635; // @[el2_ifu_compress_ctl.scala 80:46] - wire _T_642 = _T_190 & _T_4; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_643 = _T_642 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire rdrd = _T_636 | _T_643; // @[el2_ifu_compress_ctl.scala 80:65] - wire _T_651 = _T_380 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_659 = _T_403 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_660 = _T_651 | _T_659; // @[el2_ifu_compress_ctl.scala 82:38] - wire _T_668 = _T_427 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_669 = _T_660 | _T_668; // @[el2_ifu_compress_ctl.scala 83:28] - wire _T_677 = _T_451 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_678 = _T_669 | _T_677; // @[el2_ifu_compress_ctl.scala 84:27] - wire _T_686 = _T_475 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_687 = _T_678 | _T_686; // @[el2_ifu_compress_ctl.scala 85:27] - wire _T_703 = _T_2 & _T_487; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_704 = _T_703 & _T_7; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_705 = _T_704 & _T_9; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_706 = _T_705 & _T_50; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_707 = _T_706 & _T_52; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_708 = _T_707 & _T_54; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_709 = _T_708 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_710 = _T_687 | _T_709; // @[el2_ifu_compress_ctl.scala 86:27] - wire _T_717 = _T_56 & io_din[6]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_718 = _T_717 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_719 = _T_710 | _T_718; // @[el2_ifu_compress_ctl.scala 87:41] - wire _T_726 = _T_56 & io_din[5]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_727 = _T_726 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_728 = _T_719 | _T_727; // @[el2_ifu_compress_ctl.scala 88:27] - wire _T_735 = _T_56 & io_din[4]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_736 = _T_735 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_737 = _T_728 | _T_736; // @[el2_ifu_compress_ctl.scala 89:27] - wire _T_744 = _T_56 & io_din[3]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_745 = _T_744 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_746 = _T_737 | _T_745; // @[el2_ifu_compress_ctl.scala 90:27] - wire _T_753 = _T_56 & io_din[2]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_754 = _T_753 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_755 = _T_746 | _T_754; // @[el2_ifu_compress_ctl.scala 91:27] - wire _T_764 = _T_194 & _T_4; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_765 = _T_764 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_766 = _T_755 | _T_765; // @[el2_ifu_compress_ctl.scala 92:27] - wire rdrs1 = _T_766 | _T_195; // @[el2_ifu_compress_ctl.scala 93:30] - wire _T_777 = io_din[15] & io_din[6]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_778 = _T_777 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_782 = io_din[15] & io_din[5]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_783 = _T_782 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_784 = _T_778 | _T_783; // @[el2_ifu_compress_ctl.scala 96:34] - wire _T_788 = io_din[15] & io_din[4]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_789 = _T_788 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_790 = _T_784 | _T_789; // @[el2_ifu_compress_ctl.scala 96:54] - wire _T_794 = io_din[15] & io_din[3]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_795 = _T_794 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_796 = _T_790 | _T_795; // @[el2_ifu_compress_ctl.scala 96:74] - wire _T_800 = io_din[15] & io_din[2]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_801 = _T_800 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_802 = _T_796 | _T_801; // @[el2_ifu_compress_ctl.scala 96:94] - wire _T_807 = _T_200 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire rs2rs2 = _T_802 | _T_807; // @[el2_ifu_compress_ctl.scala 96:114] - wire rdprd = _T_12 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_820 = io_din[15] & _T_4; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_821 = _T_820 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_827 = _T_821 | _T_234; // @[el2_ifu_compress_ctl.scala 100:36] - wire _T_830 = ~io_din[1]; // @[el2_ifu_compress_ctl.scala 29:83] - wire _T_831 = io_din[14] & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_834 = _T_831 & _T_147; // @[el2_ifu_compress_ctl.scala 100:76] - wire rdprs1 = _T_827 | _T_834; // @[el2_ifu_compress_ctl.scala 100:57] - wire _T_846 = _T_128 & io_din[10]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_847 = _T_846 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_851 = io_din[15] & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_854 = _T_851 & _T_147; // @[el2_ifu_compress_ctl.scala 102:66] - wire rs2prs2 = _T_847 | _T_854; // @[el2_ifu_compress_ctl.scala 102:47] - wire _T_859 = _T_190 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire rs2prd = _T_859 & _T_147; // @[el2_ifu_compress_ctl.scala 103:33] - wire _T_866 = _T_2 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire uimm9_2 = _T_866 & _T_147; // @[el2_ifu_compress_ctl.scala 104:34] - wire _T_875 = _T_317 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire ulwimm6_2 = _T_875 & _T_147; // @[el2_ifu_compress_ctl.scala 105:39] - wire ulwspimm7_2 = _T_317 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_897 = _T_317 & io_din[13]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_898 = _T_897 & _T_23; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_899 = _T_898 & _T_38; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_900 = _T_899 & _T_40; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_901 = _T_900 & io_din[8]; // @[el2_ifu_compress_ctl.scala 29:110] - wire rdeq2 = _T_901 & _T_44; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1027 = _T_194 & io_din[13]; // @[el2_ifu_compress_ctl.scala 29:110] - wire rdeq1 = _T_482 | _T_1027; // @[el2_ifu_compress_ctl.scala 110:42] - wire _T_1050 = io_din[14] & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1051 = rdeq2 | _T_1050; // @[el2_ifu_compress_ctl.scala 111:53] - wire rs1eq2 = _T_1051 | uimm9_2; // @[el2_ifu_compress_ctl.scala 111:71] - wire _T_1092 = _T_357 & io_din[11]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1093 = _T_1092 & _T_38; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1094 = _T_1093 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire simm5_0 = _T_1094 | _T_643; // @[el2_ifu_compress_ctl.scala 114:45] - wire _T_1112 = _T_897 & io_din[7]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1121 = _T_897 & _T_42; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1122 = _T_1112 | _T_1121; // @[el2_ifu_compress_ctl.scala 116:44] - wire _T_1130 = _T_897 & io_din[9]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1131 = _T_1122 | _T_1130; // @[el2_ifu_compress_ctl.scala 117:29] - wire _T_1139 = _T_897 & io_din[10]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1140 = _T_1131 | _T_1139; // @[el2_ifu_compress_ctl.scala 118:28] - wire _T_1148 = _T_897 & io_din[11]; // @[el2_ifu_compress_ctl.scala 29:110] - wire sluimm17_12 = _T_1140 | _T_1148; // @[el2_ifu_compress_ctl.scala 119:29] - wire uimm5_0 = _T_79 | _T_195; // @[el2_ifu_compress_ctl.scala 121:45] - wire [6:0] l1_6 = {out_6,out_5,out_4,_T_228,out_2,1'h1,1'h1}; // @[Cat.scala 29:58] - wire [4:0] _T_1192 = rdrd ? rdd : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1193 = rdprd ? rdpd : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1194 = rs2prd ? rs2pd : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1195 = rdeq1 ? 5'h1 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1196 = rdeq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1197 = _T_1192 | _T_1193; // @[Mux.scala 27:72] - wire [4:0] _T_1198 = _T_1197 | _T_1194; // @[Mux.scala 27:72] - wire [4:0] _T_1199 = _T_1198 | _T_1195; // @[Mux.scala 27:72] - wire [4:0] l1_11 = _T_1199 | _T_1196; // @[Mux.scala 27:72] - wire [4:0] _T_1210 = rdrs1 ? rdd : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1211 = rdprs1 ? rdpd : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1212 = rs1eq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1213 = _T_1210 | _T_1211; // @[Mux.scala 27:72] - wire [4:0] l1_19 = _T_1213 | _T_1212; // @[Mux.scala 27:72] - wire [4:0] _T_1219 = {3'h0,1'h0,out_20}; // @[Cat.scala 29:58] - wire [4:0] _T_1222 = rs2rs2 ? rs2d : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1223 = rs2prs2 ? rs2pd : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1224 = _T_1222 | _T_1223; // @[Mux.scala 27:72] - wire [4:0] l1_24 = _T_1219 | _T_1224; // @[el2_ifu_compress_ctl.scala 134:67] - wire [14:0] _T_1232 = {out_14,out_13,out_12,l1_11,l1_6}; // @[Cat.scala 29:58] - wire [16:0] _T_1234 = {1'h0,out_30,2'h0,3'h0,l1_24,l1_19}; // @[Cat.scala 29:58] - wire [31:0] l1 = {1'h0,out_30,2'h0,3'h0,l1_24,l1_19,_T_1232}; // @[Cat.scala 29:58] - wire [5:0] simm5d = {io_din[12],rs2d}; // @[Cat.scala 29:58] - wire [1:0] _T_1241 = {io_din[5],io_din[6]}; // @[Cat.scala 29:58] - wire [5:0] _T_1242 = {io_din[10:7],io_din[12:11]}; // @[Cat.scala 29:58] - wire [1:0] _T_1248 = {io_din[2],io_din[6]}; // @[Cat.scala 29:58] - wire [3:0] _T_1250 = {io_din[12],io_din[4:3],io_din[5]}; // @[Cat.scala 29:58] - wire [5:0] simm9d = {io_din[12],io_din[4:3],io_din[5],io_din[2],io_din[6]}; // @[Cat.scala 29:58] - wire [3:0] _T_1254 = {io_din[5],io_din[12:10]}; // @[Cat.scala 29:58] - wire [2:0] _T_1258 = {io_din[3:2],io_din[12]}; // @[Cat.scala 29:58] - wire [10:0] sjald_1 = {io_din[12],io_din[8],io_din[10:9],io_din[6],io_din[7],io_din[2],io_din[11],io_din[5:4],io_din[3]}; // @[Cat.scala 29:58] - wire [8:0] sjald_12 = io_din[12] ? 9'h1ff : 9'h0; // @[Bitwise.scala 72:12] - wire [19:0] sjald = {sjald_12,io_din[12],io_din[8],io_din[10:9],io_din[6],io_din[7],io_din[2],io_din[11],io_din[5:4],io_din[3]}; // @[Cat.scala 29:58] - wire [14:0] _T_1281 = io_din[12] ? 15'h7fff : 15'h0; // @[Bitwise.scala 72:12] - wire [19:0] sluimmd = {_T_1281,rs2d}; // @[Cat.scala 29:58] - wire [6:0] _T_1287 = simm5d[5] ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] - wire [11:0] _T_1289 = {_T_1287,simm5d[4:0]}; // @[Cat.scala 29:58] - wire [11:0] _T_1292 = {2'h0,io_din[10:7],io_din[12:11],io_din[5],io_din[6],2'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_1296 = simm9d[5] ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [11:0] _T_1299 = {_T_1296,simm9d[4:0],4'h0}; // @[Cat.scala 29:58] - wire [11:0] _T_1302 = {5'h0,io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58] - wire [11:0] _T_1305 = {4'h0,io_din[3:2],io_din[12],io_din[6:4],2'h0}; // @[Cat.scala 29:58] - wire [11:0] _T_1307 = {6'h0,io_din[12],rs2d}; // @[Cat.scala 29:58] - wire [11:0] _T_1312 = {sjald[19],sjald[9:0],sjald[10]}; // @[Cat.scala 29:58] - wire [11:0] _T_1314 = simm5_0 ? _T_1289 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1315 = uimm9_2 ? _T_1292 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1316 = rdeq2 ? _T_1299 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1317 = ulwimm6_2 ? _T_1302 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1318 = ulwspimm7_2 ? _T_1305 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1319 = uimm5_0 ? _T_1307 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1320 = _T_228 ? _T_1312 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1321 = sluimm17_12 ? sluimmd[19:8] : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1322 = _T_1314 | _T_1315; // @[Mux.scala 27:72] - wire [11:0] _T_1323 = _T_1322 | _T_1316; // @[Mux.scala 27:72] - wire [11:0] _T_1324 = _T_1323 | _T_1317; // @[Mux.scala 27:72] - wire [11:0] _T_1325 = _T_1324 | _T_1318; // @[Mux.scala 27:72] - wire [11:0] _T_1326 = _T_1325 | _T_1319; // @[Mux.scala 27:72] - wire [11:0] _T_1327 = _T_1326 | _T_1320; // @[Mux.scala 27:72] - wire [11:0] _T_1328 = _T_1327 | _T_1321; // @[Mux.scala 27:72] - wire [8:0] _T_1336 = _T_228 ? sjald[19:11] : 9'h0; // @[Mux.scala 27:72] - wire [7:0] _T_1337 = sluimm17_12 ? sluimmd[7:0] : 8'h0; // @[Mux.scala 27:72] - wire [8:0] _GEN_0 = {{1'd0}, _T_1337}; // @[Mux.scala 27:72] - wire [8:0] _T_1338 = _T_1336 | _GEN_0; // @[Mux.scala 27:72] - wire [8:0] _GEN_1 = {{1'd0}, l1[19:12]}; // @[el2_ifu_compress_ctl.scala 162:25] - wire [8:0] l2_19 = _GEN_1 | _T_1338; // @[el2_ifu_compress_ctl.scala 162:25] - wire [32:0] l2 = {io_l2_31,l2_19,l1[11:0]}; // @[Cat.scala 29:58] - wire [8:0] sbr8d = {io_din[12],io_din[6],io_din[5],io_din[2],io_din[11],io_din[10],io_din[4],io_din[3],1'h0}; // @[Cat.scala 29:58] - wire [6:0] uswimm6d = {io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58] - wire [7:0] uswspimm7d = {io_din[8:7],io_din[12:9],2'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_1369 = sbr8d[8] ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [6:0] _T_1371 = {_T_1369,sbr8d[7:5]}; // @[Cat.scala 29:58] - wire [6:0] _T_1374 = {5'h0,uswimm6d[6:5]}; // @[Cat.scala 29:58] - wire [6:0] _T_1377 = {4'h0,uswspimm7d[7:5]}; // @[Cat.scala 29:58] - wire [6:0] _T_1378 = _T_234 ? _T_1371 : 7'h0; // @[Mux.scala 27:72] - wire [6:0] _T_1379 = _T_854 ? _T_1374 : 7'h0; // @[Mux.scala 27:72] - wire [6:0] _T_1380 = _T_807 ? _T_1377 : 7'h0; // @[Mux.scala 27:72] - wire [6:0] _T_1381 = _T_1378 | _T_1379; // @[Mux.scala 27:72] - wire [6:0] _T_1382 = _T_1381 | _T_1380; // @[Mux.scala 27:72] - wire [6:0] l3_31 = l2[31:25] | _T_1382; // @[el2_ifu_compress_ctl.scala 170:25] - wire [12:0] l3_24 = l2[24:12]; // @[el2_ifu_compress_ctl.scala 173:17] - wire [4:0] _T_1388 = {sbr8d[4:1],sbr8d[8]}; // @[Cat.scala 29:58] - wire [4:0] _T_1393 = _T_234 ? _T_1388 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1394 = _T_854 ? uswimm6d[4:0] : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1395 = _T_807 ? uswspimm7d[4:0] : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1396 = _T_1393 | _T_1394; // @[Mux.scala 27:72] - wire [4:0] _T_1397 = _T_1396 | _T_1395; // @[Mux.scala 27:72] - wire [4:0] l3_11 = l2[11:7] | _T_1397; // @[el2_ifu_compress_ctl.scala 174:24] - wire [11:0] _T_1400 = {l3_11,l2[6:0]}; // @[Cat.scala 29:58] - wire [19:0] _T_1401 = {l3_31,l3_24}; // @[Cat.scala 29:58] - wire [31:0] l3 = {l3_31,l3_24,l3_11,l2[6:0]}; // @[Cat.scala 29:58] - wire _T_1408 = _T_4 & _T_487; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1409 = _T_1408 & io_din[11]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1410 = _T_1409 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1413 = _T_1410 & _T_147; // @[el2_ifu_compress_ctl.scala 179:39] - wire _T_1421 = _T_1408 & io_din[6]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1422 = _T_1421 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1425 = _T_1422 & _T_147; // @[el2_ifu_compress_ctl.scala 179:79] - wire _T_1426 = _T_1413 | _T_1425; // @[el2_ifu_compress_ctl.scala 179:54] - wire _T_1435 = _T_642 & io_din[11]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1436 = _T_1435 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1437 = _T_1426 | _T_1436; // @[el2_ifu_compress_ctl.scala 179:94] - wire _T_1445 = _T_1408 & io_din[5]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1446 = _T_1445 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1449 = _T_1446 & _T_147; // @[el2_ifu_compress_ctl.scala 180:55] - wire _T_1450 = _T_1437 | _T_1449; // @[el2_ifu_compress_ctl.scala 180:30] - wire _T_1458 = _T_1408 & io_din[10]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1459 = _T_1458 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1462 = _T_1459 & _T_147; // @[el2_ifu_compress_ctl.scala 180:96] - wire _T_1463 = _T_1450 | _T_1462; // @[el2_ifu_compress_ctl.scala 180:70] - wire _T_1472 = _T_642 & io_din[6]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1473 = _T_1472 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1474 = _T_1463 | _T_1473; // @[el2_ifu_compress_ctl.scala 180:111] - wire _T_1481 = io_din[15] & _T_487; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1482 = _T_1481 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1483 = _T_1482 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1484 = _T_1474 | _T_1483; // @[el2_ifu_compress_ctl.scala 181:29] - wire _T_1492 = _T_1408 & io_din[9]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1493 = _T_1492 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1496 = _T_1493 & _T_147; // @[el2_ifu_compress_ctl.scala 181:79] - wire _T_1497 = _T_1484 | _T_1496; // @[el2_ifu_compress_ctl.scala 181:54] - wire _T_1504 = _T_487 & io_din[6]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1505 = _T_1504 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1506 = _T_1505 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1507 = _T_1497 | _T_1506; // @[el2_ifu_compress_ctl.scala 181:94] - wire _T_1516 = _T_642 & io_din[5]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1517 = _T_1516 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1518 = _T_1507 | _T_1517; // @[el2_ifu_compress_ctl.scala 181:118] - wire _T_1526 = _T_1408 & io_din[8]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1527 = _T_1526 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1530 = _T_1527 & _T_147; // @[el2_ifu_compress_ctl.scala 182:28] - wire _T_1531 = _T_1518 | _T_1530; // @[el2_ifu_compress_ctl.scala 181:144] - wire _T_1538 = _T_487 & io_din[5]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1539 = _T_1538 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1540 = _T_1539 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1541 = _T_1531 | _T_1540; // @[el2_ifu_compress_ctl.scala 182:43] - wire _T_1550 = _T_642 & io_din[10]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1551 = _T_1550 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1552 = _T_1541 | _T_1551; // @[el2_ifu_compress_ctl.scala 182:67] - wire _T_1560 = _T_1408 & io_din[7]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1561 = _T_1560 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1564 = _T_1561 & _T_147; // @[el2_ifu_compress_ctl.scala 183:28] - wire _T_1565 = _T_1552 | _T_1564; // @[el2_ifu_compress_ctl.scala 182:94] - wire _T_1573 = io_din[12] & io_din[11]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1574 = _T_1573 & _T_38; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1575 = _T_1574 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1576 = _T_1575 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1577 = _T_1565 | _T_1576; // @[el2_ifu_compress_ctl.scala 183:43] - wire _T_1586 = _T_642 & io_din[9]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1587 = _T_1586 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1588 = _T_1577 | _T_1587; // @[el2_ifu_compress_ctl.scala 183:71] - wire _T_1596 = _T_1408 & io_din[4]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1597 = _T_1596 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1600 = _T_1597 & _T_147; // @[el2_ifu_compress_ctl.scala 184:28] - wire _T_1601 = _T_1588 | _T_1600; // @[el2_ifu_compress_ctl.scala 183:97] - wire _T_1607 = io_din[13] & io_din[12]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1608 = _T_1607 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1609 = _T_1608 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1610 = _T_1601 | _T_1609; // @[el2_ifu_compress_ctl.scala 184:43] - wire _T_1619 = _T_642 & io_din[8]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1620 = _T_1619 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1621 = _T_1610 | _T_1620; // @[el2_ifu_compress_ctl.scala 184:67] - wire _T_1629 = _T_1408 & io_din[3]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1630 = _T_1629 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1633 = _T_1630 & _T_147; // @[el2_ifu_compress_ctl.scala 185:28] - wire _T_1634 = _T_1621 | _T_1633; // @[el2_ifu_compress_ctl.scala 184:93] - wire _T_1640 = io_din[13] & io_din[4]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1641 = _T_1640 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1642 = _T_1641 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1643 = _T_1634 | _T_1642; // @[el2_ifu_compress_ctl.scala 185:43] - wire _T_1651 = _T_1408 & io_din[2]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1652 = _T_1651 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1655 = _T_1652 & _T_147; // @[el2_ifu_compress_ctl.scala 185:91] - wire _T_1656 = _T_1643 | _T_1655; // @[el2_ifu_compress_ctl.scala 185:66] - wire _T_1665 = _T_642 & io_din[7]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1666 = _T_1665 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1667 = _T_1656 | _T_1666; // @[el2_ifu_compress_ctl.scala 185:106] - wire _T_1673 = io_din[13] & io_din[3]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1674 = _T_1673 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1675 = _T_1674 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1676 = _T_1667 | _T_1675; // @[el2_ifu_compress_ctl.scala 186:29] - wire _T_1682 = io_din[13] & io_din[2]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1683 = _T_1682 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1684 = _T_1683 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1685 = _T_1676 | _T_1684; // @[el2_ifu_compress_ctl.scala 186:52] - wire _T_1691 = io_din[14] & _T_4; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1692 = _T_1691 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1693 = _T_1685 | _T_1692; // @[el2_ifu_compress_ctl.scala 186:75] - wire _T_1702 = _T_703 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1703 = _T_1702 & io_din[0]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1704 = _T_1693 | _T_1703; // @[el2_ifu_compress_ctl.scala 186:98] - wire _T_1711 = _T_820 & io_din[12]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1712 = _T_1711 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1715 = _T_1712 & _T_147; // @[el2_ifu_compress_ctl.scala 187:54] - wire _T_1716 = _T_1704 | _T_1715; // @[el2_ifu_compress_ctl.scala 187:29] - wire _T_1725 = _T_642 & _T_487; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1726 = _T_1725 & io_din[1]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1729 = _T_1726 & _T_147; // @[el2_ifu_compress_ctl.scala 187:96] - wire _T_1730 = _T_1716 | _T_1729; // @[el2_ifu_compress_ctl.scala 187:69] - wire _T_1739 = _T_642 & io_din[12]; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1740 = _T_1739 & _T_830; // @[el2_ifu_compress_ctl.scala 29:110] - wire _T_1741 = _T_1730 | _T_1740; // @[el2_ifu_compress_ctl.scala 187:111] - wire _T_1748 = _T_1691 & _T_147; // @[el2_ifu_compress_ctl.scala 188:50] - wire legal = _T_1741 | _T_1748; // @[el2_ifu_compress_ctl.scala 188:30] - wire [31:0] _T_1750 = legal ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [9:0] _T_1760 = {1'h0,out_30,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0}; // @[Cat.scala 29:58] - wire [18:0] _T_1769 = {_T_1760,1'h0,out_20,1'h0,1'h0,1'h0,1'h0,1'h0,out_14,out_13}; // @[Cat.scala 29:58] - wire [27:0] _T_1778 = {_T_1769,out_12,1'h0,1'h0,1'h0,1'h0,1'h0,out_6,out_5,out_4}; // @[Cat.scala 29:58] - wire [30:0] _T_1781 = {_T_1778,_T_228,out_2,1'h1}; // @[Cat.scala 29:58] - assign io_dout = l3 & _T_1750; // @[el2_ifu_compress_ctl.scala 190:10] - assign io_l1 = {_T_1234,_T_1232}; // @[el2_ifu_compress_ctl.scala 191:9] - assign io_l2 = l2[31:0]; // @[el2_ifu_compress_ctl.scala 192:9] - assign io_l3 = {_T_1401,_T_1400}; // @[el2_ifu_compress_ctl.scala 193:9] - assign io_legal = _T_1741 | _T_1748; // @[el2_ifu_compress_ctl.scala 194:12] - assign io_o = {_T_1781,1'h1}; // @[el2_ifu_compress_ctl.scala 195:8] - assign io_sluimmd = {_T_1281,rs2d}; // @[el2_ifu_compress_ctl.scala 150:14] - assign io_uimm5d = {io_din[12],rs2d}; // @[el2_ifu_compress_ctl.scala 205:13] - assign io_ulwspimm7d = {_T_1258,io_din[6:4]}; // @[el2_ifu_compress_ctl.scala 206:17] - assign io_ulwimm6d = {_T_1254,io_din[6]}; // @[el2_ifu_compress_ctl.scala 207:15] - assign io_simm9d = {_T_1250,_T_1248}; // @[el2_ifu_compress_ctl.scala 208:13] - assign io_uimm9d = {_T_1242,_T_1241}; // @[el2_ifu_compress_ctl.scala 209:13] - assign io_simm5d = {io_din[12],rs2d}; // @[el2_ifu_compress_ctl.scala 210:13] - assign io_sjald = {sjald_12,sjald_1}; // @[el2_ifu_compress_ctl.scala 204:12] - assign io_l2_31 = l1[31:20] | _T_1328; // @[el2_ifu_compress_ctl.scala 152:12] + wire _T_1 = io_din[1:0] != 2'h3; // @[el2_ifu_compress_ctl.scala 401:27] + wire [31:0] _T_3 = {16'h0,io_din}; // @[Cat.scala 29:58] + wire _T_5 = |_T_3[12:5]; // @[el2_ifu_compress_ctl.scala 257:29] + wire [6:0] _T_6 = _T_5 ? 7'h13 : 7'h1f; // @[el2_ifu_compress_ctl.scala 257:20] + wire [29:0] _T_20 = {_T_3[10:7],_T_3[12:11],_T_3[5],_T_3[6],2'h0,5'h2,3'h0,2'h1,_T_3[4:2],_T_6}; // @[Cat.scala 29:58] + wire [7:0] _T_30 = {_T_3[6:5],_T_3[12:10],3'h0}; // @[Cat.scala 29:58] + wire [27:0] _T_38 = {_T_3[6:5],_T_3[12:10],3'h0,2'h1,_T_3[9:7],3'h3,2'h1,_T_3[4:2],7'h7}; // @[Cat.scala 29:58] + wire [6:0] _T_52 = {_T_3[5],_T_3[12:10],_T_3[6],2'h0}; // @[Cat.scala 29:58] + wire [26:0] _T_60 = {_T_3[5],_T_3[12:10],_T_3[6],2'h0,2'h1,_T_3[9:7],3'h2,2'h1,_T_3[4:2],7'h3}; // @[Cat.scala 29:58] + wire [26:0] _T_82 = {_T_3[5],_T_3[12:10],_T_3[6],2'h0,2'h1,_T_3[9:7],3'h2,2'h1,_T_3[4:2],7'h7}; // @[Cat.scala 29:58] + wire [26:0] _T_113 = {_T_52[6:5],2'h1,_T_3[4:2],2'h1,_T_3[9:7],3'h2,_T_52[4:0],7'h3f}; // @[Cat.scala 29:58] + wire [27:0] _T_140 = {_T_30[7:5],2'h1,_T_3[4:2],2'h1,_T_3[9:7],3'h3,_T_30[4:0],7'h27}; // @[Cat.scala 29:58] + wire [26:0] _T_171 = {_T_52[6:5],2'h1,_T_3[4:2],2'h1,_T_3[9:7],3'h2,_T_52[4:0],7'h23}; // @[Cat.scala 29:58] + wire [26:0] _T_202 = {_T_52[6:5],2'h1,_T_3[4:2],2'h1,_T_3[9:7],3'h2,_T_52[4:0],7'h27}; // @[Cat.scala 29:58] + wire [6:0] _T_213 = _T_3[12] ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] + wire [11:0] _T_215 = {_T_213,_T_3[6:2]}; // @[Cat.scala 29:58] + wire [31:0] _T_221 = {_T_213,_T_3[6:2],_T_3[11:7],3'h0,_T_3[11:7],7'h13}; // @[Cat.scala 29:58] + wire [9:0] _T_230 = _T_3[12] ? 10'h3ff : 10'h0; // @[Bitwise.scala 72:12] + wire [20:0] _T_245 = {_T_230,_T_3[8],_T_3[10:9],_T_3[6],_T_3[7],_T_3[2],_T_3[11],_T_3[5:3],1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_308 = {_T_245[20],_T_245[10:1],_T_245[11],_T_245[19:12],5'h1,7'h6f}; // @[Cat.scala 29:58] + wire [31:0] _T_323 = {_T_213,_T_3[6:2],5'h0,3'h0,_T_3[11:7],7'h13}; // @[Cat.scala 29:58] + wire _T_334 = |_T_215; // @[el2_ifu_compress_ctl.scala 294:29] + wire [6:0] _T_335 = _T_334 ? 7'h37 : 7'h3f; // @[el2_ifu_compress_ctl.scala 294:20] + wire [14:0] _T_338 = _T_3[12] ? 15'h7fff : 15'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_341 = {_T_338,_T_3[6:2],12'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_345 = {_T_341[31:12],_T_3[11:7],_T_335}; // @[Cat.scala 29:58] + wire _T_353 = _T_3[11:7] == 5'h0; // @[el2_ifu_compress_ctl.scala 296:14] + wire _T_355 = _T_3[11:7] == 5'h2; // @[el2_ifu_compress_ctl.scala 296:27] + wire _T_356 = _T_353 | _T_355; // @[el2_ifu_compress_ctl.scala 296:21] + wire [6:0] _T_363 = _T_334 ? 7'h13 : 7'h1f; // @[el2_ifu_compress_ctl.scala 290:20] + wire [2:0] _T_366 = _T_3[12] ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_381 = {_T_366,_T_3[4:3],_T_3[5],_T_3[2],_T_3[6],4'h0,_T_3[11:7],3'h0,_T_3[11:7],_T_363}; // @[Cat.scala 29:58] + wire [31:0] _T_388_bits = _T_356 ? _T_381 : _T_345; // @[el2_ifu_compress_ctl.scala 296:10] + wire [25:0] _T_399 = {_T_3[12],_T_3[6:2],2'h1,_T_3[9:7],3'h5,2'h1,_T_3[9:7],7'h13}; // @[Cat.scala 29:58] + wire [30:0] _GEN_172 = {{5'd0}, _T_399}; // @[el2_ifu_compress_ctl.scala 303:23] + wire [30:0] _T_411 = _GEN_172 | 31'h40000000; // @[el2_ifu_compress_ctl.scala 303:23] + wire [31:0] _T_424 = {_T_213,_T_3[6:2],2'h1,_T_3[9:7],3'h7,2'h1,_T_3[9:7],7'h13}; // @[Cat.scala 29:58] + wire [2:0] _T_428 = {_T_3[12],_T_3[6:5]}; // @[Cat.scala 29:58] + wire _T_430 = _T_3[6:5] == 2'h0; // @[el2_ifu_compress_ctl.scala 307:30] + wire [30:0] _T_431 = _T_430 ? 31'h40000000 : 31'h0; // @[el2_ifu_compress_ctl.scala 307:22] + wire [6:0] _T_433 = _T_3[12] ? 7'h3b : 7'h33; // @[el2_ifu_compress_ctl.scala 308:22] + wire [2:0] _GEN_1 = 3'h1 == _T_428 ? 3'h4 : 3'h0; // @[Cat.scala 29:58] + wire [2:0] _GEN_2 = 3'h2 == _T_428 ? 3'h6 : _GEN_1; // @[Cat.scala 29:58] + wire [2:0] _GEN_3 = 3'h3 == _T_428 ? 3'h7 : _GEN_2; // @[Cat.scala 29:58] + wire [2:0] _GEN_4 = 3'h4 == _T_428 ? 3'h0 : _GEN_3; // @[Cat.scala 29:58] + wire [2:0] _GEN_5 = 3'h5 == _T_428 ? 3'h0 : _GEN_4; // @[Cat.scala 29:58] + wire [2:0] _GEN_6 = 3'h6 == _T_428 ? 3'h2 : _GEN_5; // @[Cat.scala 29:58] + wire [2:0] _GEN_7 = 3'h7 == _T_428 ? 3'h3 : _GEN_6; // @[Cat.scala 29:58] + wire [24:0] _T_443 = {2'h1,_T_3[4:2],2'h1,_T_3[9:7],_GEN_7,2'h1,_T_3[9:7],_T_433}; // @[Cat.scala 29:58] + wire [30:0] _GEN_173 = {{6'd0}, _T_443}; // @[el2_ifu_compress_ctl.scala 309:43] + wire [30:0] _T_444 = _GEN_173 | _T_431; // @[el2_ifu_compress_ctl.scala 309:43] + wire [31:0] _T_445_0 = {{6'd0}, _T_399}; // @[el2_ifu_compress_ctl.scala 311:19 el2_ifu_compress_ctl.scala 311:19] + wire [31:0] _T_445_1 = {{1'd0}, _T_411}; // @[el2_ifu_compress_ctl.scala 311:19 el2_ifu_compress_ctl.scala 311:19] + wire [31:0] _GEN_9 = 2'h1 == _T_3[11:10] ? _T_445_1 : _T_445_0; // @[el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_10 = 2'h2 == _T_3[11:10] ? _T_424 : _GEN_9; // @[el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _T_445_3 = {{1'd0}, _T_444}; // @[el2_ifu_compress_ctl.scala 311:19 el2_ifu_compress_ctl.scala 311:19] + wire [31:0] _GEN_11 = 2'h3 == _T_3[11:10] ? _T_445_3 : _GEN_10; // @[el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _T_535 = {_T_245[20],_T_245[10:1],_T_245[11],_T_245[19:12],5'h0,7'h6f}; // @[Cat.scala 29:58] + wire [4:0] _T_544 = _T_3[12] ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [12:0] _T_553 = {_T_544,_T_3[6:5],_T_3[2],_T_3[11:10],_T_3[4:3],1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_602 = {_T_553[12],_T_553[10:5],5'h0,2'h1,_T_3[9:7],3'h0,_T_553[4:1],_T_553[11],7'h63}; // @[Cat.scala 29:58] + wire [31:0] _T_669 = {_T_553[12],_T_553[10:5],5'h0,2'h1,_T_3[9:7],3'h1,_T_553[4:1],_T_553[11],7'h63}; // @[Cat.scala 29:58] + wire _T_675 = |_T_3[11:7]; // @[el2_ifu_compress_ctl.scala 317:27] + wire [6:0] _T_676 = _T_675 ? 7'h3 : 7'h1f; // @[el2_ifu_compress_ctl.scala 317:23] + wire [25:0] _T_685 = {_T_3[12],_T_3[6:2],_T_3[11:7],3'h1,_T_3[11:7],7'h13}; // @[Cat.scala 29:58] + wire [28:0] _T_701 = {_T_3[4:2],_T_3[12],_T_3[6:5],3'h0,5'h2,3'h3,_T_3[11:7],7'h7}; // @[Cat.scala 29:58] + wire [27:0] _T_716 = {_T_3[3:2],_T_3[12],_T_3[6:4],2'h0,5'h2,3'h2,_T_3[11:7],_T_676}; // @[Cat.scala 29:58] + wire [27:0] _T_731 = {_T_3[3:2],_T_3[12],_T_3[6:4],2'h0,5'h2,3'h2,_T_3[11:7],7'h7}; // @[Cat.scala 29:58] + wire [24:0] _T_741 = {_T_3[6:2],5'h0,3'h0,_T_3[11:7],7'h33}; // @[Cat.scala 29:58] + wire [24:0] _T_752 = {_T_3[6:2],_T_3[11:7],3'h0,_T_3[11:7],7'h33}; // @[Cat.scala 29:58] + wire [24:0] _T_763 = {_T_3[6:2],_T_3[11:7],3'h0,12'h67}; // @[Cat.scala 29:58] + wire [24:0] _T_765 = {_T_763[24:7],7'h1f}; // @[Cat.scala 29:58] + wire [24:0] _T_768 = _T_675 ? _T_763 : _T_765; // @[el2_ifu_compress_ctl.scala 338:33] + wire _T_774 = |_T_3[6:2]; // @[el2_ifu_compress_ctl.scala 339:27] + wire [31:0] _T_745_bits = {{7'd0}, _T_741}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _T_772_bits = {{7'd0}, _T_768}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _T_775_bits = _T_774 ? _T_745_bits : _T_772_bits; // @[el2_ifu_compress_ctl.scala 339:22] + wire [24:0] _T_781 = {_T_3[6:2],_T_3[11:7],3'h0,12'he7}; // @[Cat.scala 29:58] + wire [24:0] _T_783 = {_T_763[24:7],7'h73}; // @[Cat.scala 29:58] + wire [24:0] _T_784 = _T_783 | 25'h100000; // @[el2_ifu_compress_ctl.scala 341:46] + wire [24:0] _T_787 = _T_675 ? _T_781 : _T_784; // @[el2_ifu_compress_ctl.scala 342:33] + wire [31:0] _T_757_bits = {{7'd0}, _T_752}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _T_791_bits = {{7'd0}, _T_787}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _T_794_bits = _T_774 ? _T_757_bits : _T_791_bits; // @[el2_ifu_compress_ctl.scala 343:25] + wire [31:0] _T_796_bits = _T_3[12] ? _T_794_bits : _T_775_bits; // @[el2_ifu_compress_ctl.scala 344:10] + wire [8:0] _T_800 = {_T_3[9:7],_T_3[12:10],3'h0}; // @[Cat.scala 29:58] + wire [28:0] _T_812 = {_T_800[8:5],_T_3[6:2],5'h2,3'h3,_T_800[4:0],7'h27}; // @[Cat.scala 29:58] + wire [7:0] _T_820 = {_T_3[8:7],_T_3[12:9],2'h0}; // @[Cat.scala 29:58] + wire [27:0] _T_832 = {_T_820[7:5],_T_3[6:2],5'h2,3'h2,_T_820[4:0],7'h23}; // @[Cat.scala 29:58] + wire [27:0] _T_852 = {_T_820[7:5],_T_3[6:2],5'h2,3'h2,_T_820[4:0],7'h27}; // @[Cat.scala 29:58] + wire [4:0] _T_900 = {_T_3[1:0],_T_3[15:13]}; // @[Cat.scala 29:58] + wire [31:0] _T_26_bits = {{2'd0}, _T_20}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _T_46_bits = {{4'd0}, _T_38}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_17 = 5'h1 == _T_900 ? _T_46_bits : _T_26_bits; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _T_68_bits = {{5'd0}, _T_60}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_22 = 5'h2 == _T_900 ? _T_68_bits : _GEN_17; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _T_90_bits = {{5'd0}, _T_82}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_27 = 5'h3 == _T_900 ? _T_90_bits : _GEN_22; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _T_121_bits = {{5'd0}, _T_113}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_32 = 5'h4 == _T_900 ? _T_121_bits : _GEN_27; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _T_148_bits = {{4'd0}, _T_140}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_37 = 5'h5 == _T_900 ? _T_148_bits : _GEN_32; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _T_179_bits = {{5'd0}, _T_171}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_42 = 5'h6 == _T_900 ? _T_179_bits : _GEN_37; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _T_210_bits = {{5'd0}, _T_202}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_47 = 5'h7 == _T_900 ? _T_210_bits : _GEN_42; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_52 = 5'h8 == _T_900 ? _T_221 : _GEN_47; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_57 = 5'h9 == _T_900 ? _T_308 : _GEN_52; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_62 = 5'ha == _T_900 ? _T_323 : _GEN_57; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_67 = 5'hb == _T_900 ? _T_388_bits : _GEN_62; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_72 = 5'hc == _T_900 ? _GEN_11 : _GEN_67; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_77 = 5'hd == _T_900 ? _T_535 : _GEN_72; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_82 = 5'he == _T_900 ? _T_602 : _GEN_77; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_87 = 5'hf == _T_900 ? _T_669 : _GEN_82; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _T_690_bits = {{6'd0}, _T_685}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_92 = 5'h10 == _T_900 ? _T_690_bits : _GEN_87; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _T_705_bits = {{3'd0}, _T_701}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_97 = 5'h11 == _T_900 ? _T_705_bits : _GEN_92; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _T_720_bits = {{4'd0}, _T_716}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_102 = 5'h12 == _T_900 ? _T_720_bits : _GEN_97; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _T_735_bits = {{4'd0}, _T_731}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_107 = 5'h13 == _T_900 ? _T_735_bits : _GEN_102; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_112 = 5'h14 == _T_900 ? _T_796_bits : _GEN_107; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _T_816_bits = {{3'd0}, _T_812}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_117 = 5'h15 == _T_900 ? _T_816_bits : _GEN_112; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _T_836_bits = {{4'd0}, _T_832}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_122 = 5'h16 == _T_900 ? _T_836_bits : _GEN_117; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _T_856_bits = {{4'd0}, _T_852}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_127 = 5'h17 == _T_900 ? _T_856_bits : _GEN_122; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_132 = 5'h18 == _T_900 ? _T_3 : _GEN_127; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_137 = 5'h19 == _T_900 ? _T_3 : _GEN_132; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_142 = 5'h1a == _T_900 ? _T_3 : _GEN_137; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_147 = 5'h1b == _T_900 ? _T_3 : _GEN_142; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_152 = 5'h1c == _T_900 ? _T_3 : _GEN_147; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_157 = 5'h1d == _T_900 ? _T_3 : _GEN_152; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_162 = 5'h1e == _T_900 ? _T_3 : _GEN_157; // @[el2_ifu_compress_ctl.scala 404:19] + wire [31:0] _GEN_167 = 5'h1f == _T_900 ? _T_3 : _GEN_162; // @[el2_ifu_compress_ctl.scala 404:19] + assign io_dout = _T_1 ? 32'h0 : _GEN_167; // @[el2_ifu_compress_ctl.scala 404:13] endmodule diff --git a/src/main/scala/ifu/el2_ifu_bp_ctl.scala b/src/main/scala/ifu/el2_ifu_bp_ctl.scala index fd80d558..3e98f3f6 100644 --- a/src/main/scala/ifu/el2_ifu_bp_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_bp_ctl.scala @@ -362,6 +362,9 @@ class el2_ifu_bp_ctl extends Module with el2_lib { val btb_bank0_rd_data_way0_out = (0 until LRU_SIZE).map(i=>RegEnable(btb_wr_data,0.U,((btb_wr_addr===i.U) & btb_wr_en_way0).asBool)) val btb_bank0_rd_data_way1_out = (0 until LRU_SIZE).map(i=>RegEnable(btb_wr_data,0.U,((btb_wr_addr===i.U) & btb_wr_en_way1).asBool)) + // TODO:BTB_rd_mux for normal address + + // TODO:BTB_rd_mux for p1 address } diff --git a/src/main/scala/ifu/el2_ifu_compress_ctl.scala b/src/main/scala/ifu/el2_ifu_compress_ctl.scala index 0a9c6110..a80e497f 100644 --- a/src/main/scala/ifu/el2_ifu_compress_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_compress_ctl.scala @@ -3,220 +3,215 @@ package ifu import chisel3._ import chisel3.util._ -class el2_ifu_compress_ctl extends Module { - val io = IO(new Bundle{ - val din = Input(UInt(16.W)) - val dout = Output(UInt(32.W)) - val l1 = Output(UInt(32.W)) - val l2 = Output(UInt(32.W)) - val l3 = Output(UInt(32.W)) - val legal = Output(Bool()) - val o = Output(UInt(32.W)) - val sluimmd = Output(UInt()) - - val uimm5d = Output(UInt()) - val ulwspimm7d = Output(UInt()) - val ulwimm6d = Output(UInt()) - val simm9d = Output(UInt()) - val uimm9d = Output(UInt()) - val simm5d = Output(UInt()) - val sjald = Output(UInt()) - val l2_31 = Output(UInt()) - }) - - //io.dout := (0 until 32).map(i=> 0.U.asBool) - - def pat(y : List[Int]) = (0 until y.size).map(i=> if(y(i)>=0) io.din(y(i)) else !io.din(y(i).abs)).reduce(_&_) - val out = Wire(Vec(32, UInt(1.W))) - out := (0 until 32).map(i=> 0.U.asBool) - out(30) := pat(List(15, -14, -13, 10, -6, -5, 0)) | pat(List(15, -14, -13, -11, 10, 0)) - out(20) := pat(List(-14, 12, -11, -10, -9, -8, -7, -6, -5, -4, -3, -2, 1)) - out(14) := pat(List(15, -14, -13, -11, 0)) | pat(List(15, -14, -13, -10, 0)) | pat(List(15, -14, -13, 6, 0)) | - pat(List(15, -14, -13, 5, 0)) - out(13) := pat(List(15, -14, -13, 11, -10, 0)) | pat(List(15, -14, -13, 11, 6, 0)) | (io.din(14)&(!io.din(0))) - out(12) := pat(List(15, -14, -13, 6, 5, 0)) | pat(List(15, -14, -13, -11, 0)) | pat(List(15, -14, -13, -10, 0)) | - pat(List(-15, -14, 1)) | pat(List(15, 14, 13)) - out(6) := (pat(List(15, -14, -6, -5, -4, -3, -2)) & !io.din(0)) | pat(List(-14, 13)) | pat(List(15, 14, 0)) - - out(5) := (io.din(15)&(!io.din(0))) | pat(List(15, 11, 10)) | pat(List(13, -8)) | pat(List(13, 7)) | - pat(List(13, 9)) | pat(List(13, 10)) | pat(List(13, 11)) | pat(List(-14, 13)) | pat(List(15, 14)) - - - out(4) := (pat(List(-14, -11, -10, -9, -8, -7))&(!io.din(0))) | (pat(List(-15, -14))&(!io.din(0))) | - (pat(List(-14, 6))&(!io.din(0))) | pat(List(-15, 14, 0)) | (pat(List(-14, 5))&(!io.din(0))) | - (pat(List(-14, 4))&(!io.din(0))) | (pat(List(-14, 3))&(!io.din(0))) | (pat(List(-14, 2))&(!io.din(0))) | - pat(List(-14, -13, 0)) - - - - - out(3) := pat(List(-14, 13)) - out(2) := pat(List(-14, 12, 11, -6, -5, -4, -3, -2, 1)) | - pat(List(-14, 12, 10, -6, -5, -4, -3, -2, 1)) | - pat(List(-14, 12, 9, -6, -5, -4, -3, -2, 1)) | - pat(List(-14, 12, 8, -6,-5,-4, -3, -2,1)) | - pat(List(-14, 12, 7, -6, -5, -4, -3, -2,1)) | - (pat(List(15, -14,-12, -6, -5, -4, -3, -2))&(!io.din(0))) | - pat(List(-15,13,-8)) | - pat(List(-15,13,7)) | - pat(List(-15,13,9)) | - pat(List(-15,13,10)) | - pat(List(-15,13,11)) | - pat(List(-14,13)) - out(1) := 1.U.asBool - out(0) := 1.U.asBool - - - - - - val rs2d = io.din(6,2) - val rdd = io.din(11,7) - val rdpd = Cat(1.U(2.W), io.din(9,7)) - val rs2pd = Cat(1.U(2.W), io.din(4,2)) - - val rdrd = pat(List(-14,6,1)) | pat(List(-15,14,11,0)) | pat(List(-14,5,1)) | pat(List(-15,14,10,0)) | - pat(List(-14,4,1)) | pat(List(-15,14,9,0)) | pat(List(-14,3,1)) | pat(List(-15,14,-8,0)) | - pat(List(-14,2,1)) | pat(List(-15,14,7,0)) | pat(List(-15,1)) | pat(List(-15,-13,0)) - - val rdrs1 = pat(List(-14,12,11,1)) | - pat(List(-14,12,10,1)) | - pat(List(-14,12,9,1)) | - pat(List(-14,12,8,1)) | - pat(List(-14,12,7,1)) | - pat(List(-14,-12,-6,-5,-4,-3,-2,1)) | - pat(List(-14,12,6,1)) | - pat(List(-14,12,5,1)) | - pat(List(-14,12,4,1)) | - pat(List(-14,12,3,1)) | - pat(List(-14,12,2,1)) | - pat(List(-15,-14,-13,0)) | - pat(List(-15,-14,1)) - - val rs2rs2 = pat(List(15,6,1)) | pat(List(15,5,1)) | pat(List(15,4,1)) | pat(List(15,3,1)) | pat(List(15,2,1)) | pat(List(15,14,1)) - - val rdprd = pat(List(15,-14,-13,0)) - - val rdprs1 = pat(List(15,-13,0)) | pat(List(15,14,0)) | (pat(List(14,-1))&(!io.din(0))) - - val rs2prs2 = pat(List(15,-14,-13,11,10,0)) | (pat(List(15,-1))&(!io.din(0))) - val rs2prd = pat(List(-15,-1))&(!io.din(0)) - val uimm9_2 = pat(List(-14,-1))&(!io.din(0)) - val ulwimm6_2 = pat(List(-15,14,-1))&(!io.din(0)) - val ulwspimm7_2 = pat(List(-15,14,1)) - val rdeq2 = pat(List(-15,14,13,-11,-10,-9,8,-7)) - val rdeq1 = pat(List(-14,12,11,-6,-5,-4,-3,-2,1)) | pat(List(-14,12,10,-6,-5,-4,-3,-2,1)) | - pat(List(-14,12,9,-6,-5,-4,-3,-2,1)) | pat(List(-14,12,8,-6,-5,-4,-3,-2,1)) | - pat(List(-14,12,7,-6,-5,-4,-3,-2,1)) | pat(List(-15,-14,13)) - val rs1eq2 = pat(List(-15,14,13,-11,-10,-9,8,-7)) | pat(List(14,1)) | (pat(List(-14,-1))&(!io.din(0))) - val sbroffset8_1 = pat(List(15,14,0)) - val simm9_4 = pat(List(-15,14,13,-11,-10,-9,8,-7)) - val simm5_0 = pat(List(-14,-13,11,-10,0)) | pat(List(-15,-13,0)) - val sjaloffset11_1 = pat(List(-14,13)) - val sluimm17_12 = pat(List(-15,14,13,7)) | - pat(List(-15,14,13,-8)) | - pat(List(-15,14,13,9)) | - pat(List(-15,14,13,10)) | - pat(List(-15,14,13,11)) - val uimm5_0 = pat(List(15,-14,-13,-11,0)) | pat(List(-15,-14,1)) - val uswimm6_2 = pat(List(15,-1))&(!io.din(0)) - val uswspimm7_2 = pat(List(15,14,1)) - - val l1_6 = Cat(out(6),out(5),out(4),out(3),out(2),out(1),out(0)).asUInt() - val l1_11 = Cat(out(11),out(10),out(9),out(8),out(7)).asUInt | Mux1H(Seq(rdrd.asBool->rdd, - rdprd.asBool->rdpd, rs2prd.asBool->rs2pd, rdeq1.asBool->1.U(5.W), rdeq2.asBool->2.U(5.W))) - - val l1_14 = Cat(out(14),out(13),out(12)) - - val l1_19 = Cat(out(19),out(18),out(17),out(16),out(15)).asUInt | Mux1H(Seq(rdrs1.asBool->rdd, - rdprs1.asBool->rdpd, rs1eq2.asBool->2.U(5.W))) - - val l1_24 = Cat(out(24),out(23),out(22),out(21),out(20)).asUInt | Mux1H(Seq(rs2rs2.asBool->rs2d, - rs2prs2.asBool->rs2pd)) - val l1_31 = Cat(out(31),out(30),out(29),out(28),out(27),out(26),out(25)).asUInt - val l1 = Cat(l1_31,l1_24,l1_19,l1_14,l1_11,l1_6) - - val simm5d = Cat(io.din(12), io.din(6,2)) - val uimm9d = Cat(io.din(10,7), io.din(12,11), io.din(5), io.din(6)) - val simm9d = Cat(io.din(12), io.din(4,3), io.din(5), io.din(2), io.din(6)) - val ulwimm6d = Cat(io.din(5), io.din(12,10), io.din(6)) - val ulwspimm7d = Cat(io.din(3,2), io.din(12), io.din(6,4)) - val uimm5d = Cat(io.din(12), io.din(6,2)) - val sjald_1 = Cat(io.din(12), io.din(8), io.din(10,9), io.din(6), io.din(7), io.din(2), io.din(11), - io.din(5,4), io.din(3)) - val sjald_12 = Fill(9, io.din(12)) - val sjald = Cat(sjald_12,sjald_1) - val sluimmd = Cat(Fill(15, io.din(12)), io.din(6,2)) - io.sluimmd := sluimmd - - io.l2_31 := l1(31,20)// | +//class el2_ifu_compress_ctl extends Module { +// val io = IO(new Bundle{ +// val din = Input(UInt(16.W)) +// val dout = Output(UInt(32.W)) +// val l1 = Output(UInt(32.W)) +// val l2 = Output(UInt(32.W)) +// val l3 = Output(UInt(32.W)) +// val legal = Output(Bool()) +// val o = Output(UInt(32.W)) +// val sluimmd = Output(UInt()) +// +// val uimm5d = Output(UInt()) +// val ulwspimm7d = Output(UInt()) +// val ulwimm6d = Output(UInt()) +// val simm9d = Output(UInt()) +// val uimm9d = Output(UInt()) +// val simm5d = Output(UInt()) +// val sjald = Output(UInt()) +// val l2_31 = Output(UInt()) +// }) +// +// //io.dout := (0 until 32).map(i=> 0.U.asBool) +// +// def pat(y : List[Int]) = (0 until y.size).map(i=> if(y(i)>=0) io.din(y(i)) else !io.din(y(i).abs)).reduce(_&_) +// val out = Wire(Vec(32, UInt(1.W))) +// out := (0 until 32).map(i=> 0.U.asBool) +// out(30) := pat(List(15, -14, -13, 10, -6, -5, 0)) | pat(List(15, -14, -13, -11, 10, 0)) +// out(20) := pat(List(-14, 12, -11, -10, -9, -8, -7, -6, -5, -4, -3, -2, 1)) +// out(14) := pat(List(15, -14, -13, -11, 0)) | pat(List(15, -14, -13, -10, 0)) | pat(List(15, -14, -13, 6, 0)) | +// pat(List(15, -14, -13, 5, 0)) +// out(13) := pat(List(15, -14, -13, 11, -10, 0)) | pat(List(15, -14, -13, 11, 6, 0)) | (io.din(14)&(!io.din(0))) +// out(12) := pat(List(15, -14, -13, 6, 5, 0)) | pat(List(15, -14, -13, -11, 0)) | pat(List(15, -14, -13, -10, 0)) | +// pat(List(-15, -14, 1)) | pat(List(15, 14, 13)) +// out(6) := (pat(List(15, -14, -6, -5, -4, -3, -2)) & !io.din(0)) | pat(List(-14, 13)) | pat(List(15, 14, 0)) +// +// out(5) := (io.din(15)&(!io.din(0))) | pat(List(15, 11, 10)) | pat(List(13, -8)) | pat(List(13, 7)) | +// pat(List(13, 9)) | pat(List(13, 10)) | pat(List(13, 11)) | pat(List(-14, 13)) | pat(List(15, 14)) +// +// +// out(4) := (pat(List(-14, -11, -10, -9, -8, -7))&(!io.din(0))) | (pat(List(-15, -14))&(!io.din(0))) | +// (pat(List(-14, 6))&(!io.din(0))) | pat(List(-15, 14, 0)) | (pat(List(-14, 5))&(!io.din(0))) | +// (pat(List(-14, 4))&(!io.din(0))) | (pat(List(-14, 3))&(!io.din(0))) | (pat(List(-14, 2))&(!io.din(0))) | +// pat(List(-14, -13, 0)) +// +// +// +// +// out(3) := pat(List(-14, 13)) +// out(2) := pat(List(-14, 12, 11, -6, -5, -4, -3, -2, 1)) | +// pat(List(-14, 12, 10, -6, -5, -4, -3, -2, 1)) | +// pat(List(-14, 12, 9, -6, -5, -4, -3, -2, 1)) | +// pat(List(-14, 12, 8, -6,-5,-4, -3, -2,1)) | +// pat(List(-14, 12, 7, -6, -5, -4, -3, -2,1)) | +// (pat(List(15, -14,-12, -6, -5, -4, -3, -2))&(!io.din(0))) | +// pat(List(-15,13,-8)) | +// pat(List(-15,13,7)) | +// pat(List(-15,13,9)) | +// pat(List(-15,13,10)) | +// pat(List(-15,13,11)) | +// pat(List(-14,13)) +// out(1) := 1.U.asBool +// out(0) := 1.U.asBool +// +// +// +// +// +// val rs2d = io.din(6,2) +// val rdd = io.din(11,7) +// val rdpd = Cat(1.U(2.W), io.din(9,7)) +// val rs2pd = Cat(1.U(2.W), io.din(4,2)) +// +// val rdrd = pat(List(-14,6,1)) | pat(List(-15,14,11,0)) | pat(List(-14,5,1)) | pat(List(-15,14,10,0)) | +// pat(List(-14,4,1)) | pat(List(-15,14,9,0)) | pat(List(-14,3,1)) | pat(List(-15,14,-8,0)) | +// pat(List(-14,2,1)) | pat(List(-15,14,7,0)) | pat(List(-15,1)) | pat(List(-15,-13,0)) +// +// val rdrs1 = pat(List(-14,12,11,1)) | +// pat(List(-14,12,10,1)) | +// pat(List(-14,12,9,1)) | +// pat(List(-14,12,8,1)) | +// pat(List(-14,12,7,1)) | +// pat(List(-14,-12,-6,-5,-4,-3,-2,1)) | +// pat(List(-14,12,6,1)) | +// pat(List(-14,12,5,1)) | +// pat(List(-14,12,4,1)) | +// pat(List(-14,12,3,1)) | +// pat(List(-14,12,2,1)) | +// pat(List(-15,-14,-13,0)) | +// pat(List(-15,-14,1)) +// +// val rs2rs2 = pat(List(15,6,1)) | pat(List(15,5,1)) | pat(List(15,4,1)) | pat(List(15,3,1)) | pat(List(15,2,1)) | pat(List(15,14,1)) +// +// val rdprd = pat(List(15,-14,-13,0)) +// +// val rdprs1 = pat(List(15,-13,0)) | pat(List(15,14,0)) | (pat(List(14,-1))&(!io.din(0))) +// +// val rs2prs2 = pat(List(15,-14,-13,11,10,0)) | (pat(List(15,-1))&(!io.din(0))) +// val rs2prd = pat(List(-15,-1))&(!io.din(0)) +// val uimm9_2 = pat(List(-14,-1))&(!io.din(0)) +// val ulwimm6_2 = pat(List(-15,14,-1))&(!io.din(0)) +// val ulwspimm7_2 = pat(List(-15,14,1)) +// val rdeq2 = pat(List(-15,14,13,-11,-10,-9,8,-7)) +// val rdeq1 = pat(List(-14,12,11,-6,-5,-4,-3,-2,1)) | pat(List(-14,12,10,-6,-5,-4,-3,-2,1)) | +// pat(List(-14,12,9,-6,-5,-4,-3,-2,1)) | pat(List(-14,12,8,-6,-5,-4,-3,-2,1)) | +// pat(List(-14,12,7,-6,-5,-4,-3,-2,1)) | pat(List(-15,-14,13)) +// val rs1eq2 = pat(List(-15,14,13,-11,-10,-9,8,-7)) | pat(List(14,1)) | (pat(List(-14,-1))&(!io.din(0))) +// val sbroffset8_1 = pat(List(15,14,0)) +// val simm9_4 = pat(List(-15,14,13,-11,-10,-9,8,-7)) +// val simm5_0 = pat(List(-14,-13,11,-10,0)) | pat(List(-15,-13,0)) +// val sjaloffset11_1 = pat(List(-14,13)) +// val sluimm17_12 = pat(List(-15,14,13,7)) | +// pat(List(-15,14,13,-8)) | +// pat(List(-15,14,13,9)) | +// pat(List(-15,14,13,10)) | +// pat(List(-15,14,13,11)) +// val uimm5_0 = pat(List(15,-14,-13,-11,0)) | pat(List(-15,-14,1)) +// val uswimm6_2 = pat(List(15,-1))&(!io.din(0)) +// val uswspimm7_2 = pat(List(15,14,1)) +// +// val l1_6 = Cat(out(6),out(5),out(4),out(3),out(2),out(1),out(0)).asUInt() +// val l1_11 = Cat(out(11),out(10),out(9),out(8),out(7)).asUInt | Mux1H(Seq(rdrd.asBool->rdd, +// rdprd.asBool->rdpd, rs2prd.asBool->rs2pd, rdeq1.asBool->1.U(5.W), rdeq2.asBool->2.U(5.W))) +// +// val l1_14 = Cat(out(14),out(13),out(12)) +// +// val l1_19 = Cat(out(19),out(18),out(17),out(16),out(15)).asUInt | Mux1H(Seq(rdrs1.asBool->rdd, +// rdprs1.asBool->rdpd, rs1eq2.asBool->2.U(5.W))) +// +// val l1_24 = Cat(out(24),out(23),out(22),out(21),out(20)).asUInt | Mux1H(Seq(rs2rs2.asBool->rs2d, +// rs2prs2.asBool->rs2pd)) +// val l1_31 = Cat(out(31),out(30),out(29),out(28),out(27),out(26),out(25)).asUInt +// val l1 = Cat(l1_31,l1_24,l1_19,l1_14,l1_11,l1_6) +// +// val simm5d = Cat(io.din(12), io.din(6,2)) +// val uimm9d = Cat(io.din(10,7), io.din(12,11), io.din(5), io.din(6)) +// val simm9d = Cat(io.din(12), io.din(4,3), io.din(5), io.din(2), io.din(6)) +// val ulwimm6d = Cat(io.din(5), io.din(12,10), io.din(6)) +// val ulwspimm7d = Cat(io.din(3,2), io.din(12), io.din(6,4)) +// val uimm5d = Cat(io.din(12), io.din(6,2)) +// val sjald_1 = Cat(io.din(12), io.din(8), io.din(10,9), io.din(6), io.din(7), io.din(2), io.din(11), +// io.din(5,4), io.din(3)) +// val sjald_12 = Fill(9, io.din(12)) +// val sjald = Cat(sjald_12,sjald_1) +// val sluimmd = Cat(Fill(15, io.din(12)), io.din(6,2)) +// io.sluimmd := sluimmd +// +// io.l2_31 := l1(31,20) | // Mux1H(Seq(simm5_0.asBool->Cat(Fill(7, simm5d(5)), simm5d(4,0)), // uimm9_2.asBool->Cat(0.U(2.W), uimm9d, 0.U(2.W)), // simm9_4.asBool->Cat(Fill(3, simm9d(5)), simm9d(4,0), 0.U(4.W)), // ulwimm6_2.asBool->Cat(0.U(5.W), ulwimm6d, 0.U(2.W)), // ulwspimm7_2.asBool->Cat(0.U(4.W), ulwspimm7d, 0.U(2.W)), // uimm5_0.asBool->Cat(0.U(6.W), uimm5d), -// sjaloffset11_1->Cat(sjald(19), sjald(9,0), sjald(10)), -// sluimm17_12->sluimmd(19,8))) - - val l2_19 = l1(19,12) | Mux1H(Seq(sjaloffset11_1.asBool->sjald(19,11), - sluimm17_12.asBool->sluimmd(7,0))) - val l2 = Cat(io.l2_31, l2_19, l1(11,0)) - - - val sbr8d = Cat(io.din(12),io.din(6),io.din(5),io.din(2),io.din(11),io.din(10),io.din(4),io.din(3),0.U) - val uswimm6d = Cat(io.din(5), io.din(12,10), io.din(6), 0.U(2.W)) - val uswspimm7d = Cat(io.din(8,7),io.din(12,9), 0.U(2.W)) - val l3_31 = l2(31,25) | Mux1H(Seq(sbroffset8_1.asBool->Cat(Fill(4,sbr8d(8)),sbr8d(7,5)), - uswimm6_2.asBool->Cat(0.U(5.W),uswimm6d(6,5)), - uswspimm7_2.asBool->Cat(0.U(4.W),uswspimm7d(7,5)))) - val l3_24 = l2(24,12) - val l3_11 = l2(11,7) | Mux1H(Seq(sbroffset8_1.asBool->Cat(sbr8d(4,1), sbr8d(8)), - uswimm6_2.asBool->uswimm6d(4,0), - uswspimm7_2.asBool->uswspimm7d(4,0))) - val l3 = Cat(l3_31, l3_24, l3_11, l2(6,0)) - - val legal = (pat(List(-13,-12,11,1))&(!io.din(0))) | (pat(List(-13,-12,6,1))&(!io.din(0))) | - pat(List(-15,-13,11,-1)) | (pat(List(-13,-12,5,1))&(!io.din(0))) | (pat(List(-13,-12,10,1))&(!io.din(0))) | - pat(List(-15,-13,6,-1)) | pat(List(15,-12,-1,0)) | (pat(List(-13,-12,9,1))&(!io.din(0))) | pat(List(-12,6,-1,0)) | pat(List(-15,-13,5,-1)) | - (pat(List(-13,-12,8,1))&(!io.din(0))) | pat(List(-12,5,-1,0)) | pat(List(-15,-13,10,-1)) | - (pat(List(-13,-12,7,1))&(!io.din(0))) | pat(List(12,11,-10,-1,0)) | pat(List(-15,-13,9,-1)) | - (pat(List(-13,-12,4,1))&(!io.din(0))) | pat(List(13,12,-1,0)) | pat(List(-15,-13,8,-1)) | - (pat(List(-13,-12,3,1))&(!io.din(0))) | pat(List(13,4,-1,0)) | (pat(List(-13,-12,2,1))&(!io.din(0))) | - pat(List(-15,-13,7,-1)) | pat(List(13,3,-1,0)) | pat(List(13,2,-1,0)) | pat(List(14,-13,-1)) | - pat(List(-14,-12,-1,0)) | (pat(List(15,-13,12,1))&(!io.din(0))) | (pat(List(-15,-13,-12,1))&(!io.din(0))) | - pat(List(-15,-13,12,-1)) | (pat(List(14,-13))&(!io.din(0))) - - io.dout:= l3 & Fill(32, legal) - io.l1 := l1 - io.l2 := l2 - io.l3 := l3 - io.legal := legal - io.o := out.reverse.reduce(Cat(_,_)) -// io.sluimmd := sluimmd -// io.simm5_0 := simm5_0 -// io.uimm9_2 := uimm9_2 -// io.simm9_4 := simm9_4 -// io.ulwimm6_2 := ulwimm6_2 -// io.ulwspimm7_2 := ulwspimm7_2 -// io.uimm5_0 := uimm5_0 +// sjaloffset11_1.asBool->Cat(sjald(19), sjald(9,0), sjald(10)), +// sluimm17_12.asBool->sluimmd(19,8))) // - io.sjald := sjald - io.uimm5d := uimm5d - io.ulwspimm7d := ulwspimm7d - io.ulwimm6d := ulwimm6d//Output(UInt()) - io.simm9d := simm9d//Output(UInt()) - io.uimm9d := uimm9d//Output(UInt()) - io.simm5d := simm5d//Output(UInt()) +// val l2_19 = l1(19,12) | Mux1H(Seq(sjaloffset11_1.asBool->sjald(19,11), +// sluimm17_12.asBool->sluimmd(7,0))) +// val l2 = Cat(io.l2_31, l2_19, l1(11,0)) +// +// +// val sbr8d = Cat(io.din(12),io.din(6),io.din(5),io.din(2),io.din(11),io.din(10),io.din(4),io.din(3),0.U) +// val uswimm6d = Cat(io.din(5), io.din(12,10), io.din(6), 0.U(2.W)) +// val uswspimm7d = Cat(io.din(8,7),io.din(12,9), 0.U(2.W)) +// val l3_31 = l2(31,25) | Mux1H(Seq(sbroffset8_1.asBool->Cat(Fill(4,sbr8d(8)),sbr8d(7,5)), +// uswimm6_2.asBool->Cat(0.U(5.W),uswimm6d(6,5)), +// uswspimm7_2.asBool->Cat(0.U(4.W),uswspimm7d(7,5)))) +// val l3_24 = l2(24,12) +// val l3_11 = l2(11,7) | Mux1H(Seq(sbroffset8_1.asBool->Cat(sbr8d(4,1), sbr8d(8)), +// uswimm6_2.asBool->uswimm6d(4,0), +// uswspimm7_2.asBool->uswspimm7d(4,0))) +// val l3 = Cat(l3_31, l3_24, l3_11, l2(6,0)) +// +// val legal = (pat(List(-13,-12,11,1))&(!io.din(0))) | (pat(List(-13,-12,6,1))&(!io.din(0))) | +// pat(List(-15,-13,11,-1)) | (pat(List(-13,-12,5,1))&(!io.din(0))) | (pat(List(-13,-12,10,1))&(!io.din(0))) | +// pat(List(-15,-13,6,-1)) | pat(List(15,-12,-1,0)) | (pat(List(-13,-12,9,1))&(!io.din(0))) | pat(List(-12,6,-1,0)) | pat(List(-15,-13,5,-1)) | +// (pat(List(-13,-12,8,1))&(!io.din(0))) | pat(List(-12,5,-1,0)) | pat(List(-15,-13,10,-1)) | +// (pat(List(-13,-12,7,1))&(!io.din(0))) | pat(List(12,11,-10,-1,0)) | pat(List(-15,-13,9,-1)) | +// (pat(List(-13,-12,4,1))&(!io.din(0))) | pat(List(13,12,-1,0)) | pat(List(-15,-13,8,-1)) | +// (pat(List(-13,-12,3,1))&(!io.din(0))) | pat(List(13,4,-1,0)) | (pat(List(-13,-12,2,1))&(!io.din(0))) | +// pat(List(-15,-13,7,-1)) | pat(List(13,3,-1,0)) | pat(List(13,2,-1,0)) | pat(List(14,-13,-1)) | +// pat(List(-14,-12,-1,0)) | (pat(List(15,-13,12,1))&(!io.din(0))) | (pat(List(-15,-13,-12,1))&(!io.din(0))) | +// pat(List(-15,-13,12,-1)) | (pat(List(14,-13))&(!io.din(0))) +// +// io.dout:= l3 & Fill(32, legal) +// io.l1 := l1 +// io.l2 := l2 +// io.l3 := l3 +// io.legal := legal +// io.o := out.reverse.reduce(Cat(_,_)) +//// io.sluimmd := sluimmd +//// io.simm5_0 := simm5_0 +//// io.uimm9_2 := uimm9_2 +//// io.simm9_4 := simm9_4 +//// io.ulwimm6_2 := ulwimm6_2 +//// io.ulwspimm7_2 := ulwspimm7_2 +//// io.uimm5_0 := uimm5_0 +//// +// io.sjald := sjald +// io.uimm5d := uimm5d +// io.ulwspimm7d := ulwspimm7d +// io.ulwimm6d := ulwimm6d//Output(UInt()) +// io.simm9d := simm9d//Output(UInt()) +// io.uimm9d := uimm9d//Output(UInt()) +// io.simm5d := simm5d//Output(UInt()) +// +// +//} - -} - -object ifu_compress extends App { - println((new chisel3.stage.ChiselStage).emitVerilog(new el2_ifu_compress_ctl())) -} - -/* class ExpandedInstruction extends Bundle { val bits = UInt(32.W) val rd = UInt(5.W) @@ -437,5 +432,8 @@ class el2_ifu_compress_ctl( val XLen: Int, val usingCompressed: Boolean) extends //io.rvc := false.B io.dout := new RVCDecoder(io.din, XLen).passthrough } -}*/ +} +object ifu_compress extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new el2_ifu_compress_ctl(32, true))) +} diff --git a/src/main/scala/ifu/el2_ifu_ic_mem.scala b/src/main/scala/ifu/el2_ifu_ic_mem.scala index 79f55352..7255d07f 100644 --- a/src/main/scala/ifu/el2_ifu_ic_mem.scala +++ b/src/main/scala/ifu/el2_ifu_ic_mem.scala @@ -63,11 +63,6 @@ class EL2_IC_TAG extends Module with el2_lib with param { val ic_tag_perr = Output(Bool()) val scan_mode = Input(Bool()) - val test = Output(UInt(26.W)) - val test_ecc_data_out = Output(Vec(ICACHE_NUM_WAYS,UInt(32.W))) - val test_ecc_out = Output(Vec(ICACHE_NUM_WAYS,UInt(7.W))) - val test_ecc_sb_out = Output(Vec(ICACHE_NUM_WAYS,UInt(1.W))) - val test_ecc_db_out = Output(Vec(ICACHE_NUM_WAYS,UInt(1.W))) }) val ic_tag_wren = io.ic_wr_en & repl(ICACHE_NUM_WAYS, io.ic_rw_addr(ICACHE_BEAT_ADDR_HI,4)=== @@ -152,15 +147,6 @@ class EL2_IC_TAG extends Module with el2_lib with param { ecc_decode(i).io.din := Cat(0.U(11.W),ic_tag_data_raw(i)(20,0)) ecc_decode(i).io.ecc_in := Cat(0.U(2.W),ic_tag_data_raw(i)(25,21)) - ic_tag_corrected_data_unc := io.test_ecc_data_out - ic_tag_corrected_ecc_unc := io.test_ecc_out - ic_tag_single_ecc_error := io.test_ecc_sb_out - ic_tag_double_ecc_error := io.test_ecc_db_out - - io.test_ecc_data_out(i) := ecc_decode(i).io.dout - io.test_ecc_out(i) := ecc_decode(i).io.ecc_out - io.test_ecc_sb_out(i) := ecc_decode(i).io.single_ecc_error - io.test_ecc_db_out(i) := ecc_decode(i).io.double_ecc_error ic_tag_way_perr(i) := ic_tag_single_ecc_error(i) | ic_tag_double_ecc_error(i) } @@ -173,7 +159,6 @@ class EL2_IC_TAG extends Module with el2_lib with param { repl(26,ic_debug_rd_way_en_ff(i))&ic_tag_data_raw(i) } io.ictag_debug_rd_data := temp - io.test := w_tout.reduce(_&_) io.ic_tag_perr := (ic_tag_way_perr.reverse.reduce(Cat(_,_)) & io.ic_tag_valid).orR val w_tout_Vec = VecInit.tabulate(ICACHE_NUM_WAYS)(i=> w_tout(i)) io.ic_rd_hit := VecInit.tabulate(ICACHE_NUM_WAYS)(i=>(w_tout_Vec(i)(31,ICACHE_TAG_LO)===ic_rw_addr_ff(31,ICACHE_TAG_LO)).asUInt() & io.ic_tag_valid).reverse.reduce(Cat(_,_)) @@ -298,6 +283,6 @@ class EL2_IC_DATA extends Module with el2_lib { //println(s"${DATA_MEM_LINE._2}") } -//object ifu_ic extends App { -// println((new chisel3.stage.ChiselStage).emitVerilog(new EL2_IC_DATA())) -//} \ No newline at end of file +object ifu_ic extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new EL2_IC_DATA())) +} \ No newline at end of file diff --git a/src/main/scala/ifu/el2_ifu_ifc_ctrl.scala b/src/main/scala/ifu/el2_ifu_ifc_ctrl.scala index 124d45a7..39fd085f 100644 --- a/src/main/scala/ifu/el2_ifu_ifc_ctrl.scala +++ b/src/main/scala/ifu/el2_ifu_ifc_ctrl.scala @@ -86,7 +86,7 @@ val io = IO(new Bundle{ //io.test_out := io.ifc_fetch_addr_bf line_wrap := 0.U//fetch_addr_next(ICACHE_TAG_INDEX_LO) ^ io.ifc_fetch_addr_f(ICACHE_TAG_INDEX_LO) - + val fetch_addr_next_1 = Mux(line_wrap.asBool(), 0.U, io.ifc_fetch_addr_f(0)) fetch_addr_next := Cat(io.ifc_fetch_addr_f(30,1)+1.U, 0.U) //| //Mux(line_wrap.asBool(), 0.U, io.ifc_fetch_addr_f(0))) @@ -140,7 +140,7 @@ val io = IO(new Bundle{ fb_write_f := RegNext(fb_write_ns, 0.U) io.ifu_pmu_fetch_stall := wfm | (io.ifc_fetch_req_bf_raw & ( (fb_full_f & - ~(io.ifu_fb_consume2 | io.ifu_fb_consume1 | io.exu_flush_final)) | dma_stall)) + !(io.ifu_fb_consume2 | io.ifu_fb_consume1 | io.exu_flush_final)) | dma_stall)) val (iccm_acc_in_region_bf, iccm_acc_in_range_bf) = if(ICCM_ENABLE) rvrangecheck(ICCM_SADR, ICCM_SIZE, Cat(io.ifc_fetch_addr_bf,0.U)) diff --git a/target/scala-2.12/classes/ifu/EL2_IC_DATA$$anon$3.class b/target/scala-2.12/classes/ifu/EL2_IC_DATA$$anon$3.class index b3e524f9..b607867b 100644 Binary files a/target/scala-2.12/classes/ifu/EL2_IC_DATA$$anon$3.class and b/target/scala-2.12/classes/ifu/EL2_IC_DATA$$anon$3.class differ diff --git a/target/scala-2.12/classes/ifu/EL2_IC_DATA.class b/target/scala-2.12/classes/ifu/EL2_IC_DATA.class index 27e33597..397e6c6d 100644 Binary files a/target/scala-2.12/classes/ifu/EL2_IC_DATA.class and b/target/scala-2.12/classes/ifu/EL2_IC_DATA.class differ diff --git a/target/scala-2.12/classes/ifu/EL2_IC_TAG$$anon$2.class b/target/scala-2.12/classes/ifu/EL2_IC_TAG$$anon$2.class index c405d587..b51cdbeb 100644 Binary files a/target/scala-2.12/classes/ifu/EL2_IC_TAG$$anon$2.class and b/target/scala-2.12/classes/ifu/EL2_IC_TAG$$anon$2.class differ diff --git a/target/scala-2.12/classes/ifu/EL2_IC_TAG.class b/target/scala-2.12/classes/ifu/EL2_IC_TAG.class index 691eede5..8106fc70 100644 Binary files a/target/scala-2.12/classes/ifu/EL2_IC_TAG.class and b/target/scala-2.12/classes/ifu/EL2_IC_TAG.class differ diff --git a/target/scala-2.12/classes/ifu/ExpandedInstruction.class b/target/scala-2.12/classes/ifu/ExpandedInstruction.class new file mode 100644 index 00000000..ee1c3dfc Binary files /dev/null and b/target/scala-2.12/classes/ifu/ExpandedInstruction.class differ diff --git a/target/scala-2.12/classes/ifu/RVCDecoder.class b/target/scala-2.12/classes/ifu/RVCDecoder.class new file mode 100644 index 00000000..f6fcd991 Binary files /dev/null and b/target/scala-2.12/classes/ifu/RVCDecoder.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class index faa13c00..fa8f7313 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class and b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class index 30b7cfcc..42bd4778 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctrl.class b/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctrl.class index 934b78b4..e7200214 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctrl.class and b/target/scala-2.12/classes/ifu/el2_ifu_ifc_ctrl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_bp$.class b/target/scala-2.12/classes/ifu/ifu_bp$.class index 4bf57c37..28ec00cd 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_bp$.class and b/target/scala-2.12/classes/ifu/ifu_bp$.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_bp$delayedInit$body.class b/target/scala-2.12/classes/ifu/ifu_bp$delayedInit$body.class index 753d3edd..4b8e0d32 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_bp$delayedInit$body.class and b/target/scala-2.12/classes/ifu/ifu_bp$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_compress$.class b/target/scala-2.12/classes/ifu/ifu_compress$.class index 8b32fcfd..2984d193 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_compress$.class and b/target/scala-2.12/classes/ifu/ifu_compress$.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_compress$delayedInit$body.class b/target/scala-2.12/classes/ifu/ifu_compress$delayedInit$body.class index eadcdd8b..089eebb7 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_compress$delayedInit$body.class and b/target/scala-2.12/classes/ifu/ifu_compress$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_ic$.class b/target/scala-2.12/classes/ifu/ifu_ic$.class new file mode 100644 index 00000000..1f6cbef6 Binary files /dev/null and b/target/scala-2.12/classes/ifu/ifu_ic$.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_ic$delayedInit$body.class b/target/scala-2.12/classes/ifu/ifu_ic$delayedInit$body.class new file mode 100644 index 00000000..7d7451c1 Binary files /dev/null and b/target/scala-2.12/classes/ifu/ifu_ic$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_ic.class b/target/scala-2.12/classes/ifu/ifu_ic.class new file mode 100644 index 00000000..40518253 Binary files /dev/null and b/target/scala-2.12/classes/ifu/ifu_ic.class differ