From 2b6128eb4845d4912de81229670d30bce2276b5e Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Thu, 1 Oct 2020 10:18:39 +0500 Subject: [PATCH] Async reset done in IFC --- EL2_IC_DATA.anno.json | 4 +- EL2_IC_DATA.fir | 388 ++++++------- EL2_IC_DATA.v | 536 +++++++++--------- el2_ifu_bp_ctl.fir | 176 +++--- el2_ifu_bp_ctl.v | 50 +- el2_ifu_ifc_ctl.fir | 351 ++++++------ el2_ifu_ifc_ctl.v | 209 +++---- src/main/scala/ifu/el2_ifu_ic_mem.scala | 12 +- src/main/scala/ifu/el2_ifu_ifc_ctl.scala | 2 +- .../scala-2.12/classes/ifu/EL2_IC_DATA.class | Bin 75471 -> 75113 bytes .../classes/ifu/el2_ifu_ifc_ctl.class | Bin 115810 -> 115851 bytes target/scala-2.12/classes/ifu/ifu_ic$.class | Bin 3862 -> 3862 bytes .../classes/ifu/ifu_ic$delayedInit$body.class | Bin 729 -> 729 bytes 13 files changed, 867 insertions(+), 861 deletions(-) diff --git a/EL2_IC_DATA.anno.json b/EL2_IC_DATA.anno.json index 934e9db6..38368421 100644 --- a/EL2_IC_DATA.anno.json +++ b/EL2_IC_DATA.anno.json @@ -7,10 +7,10 @@ "~EL2_IC_DATA|EL2_IC_DATA>io_ic_debug_way", "~EL2_IC_DATA|EL2_IC_DATA>io_clk_override", "~EL2_IC_DATA|EL2_IC_DATA>io_ic_rw_addr", - "~EL2_IC_DATA|EL2_IC_DATA>io_ic_debug_addr", - "~EL2_IC_DATA|EL2_IC_DATA>io_ic_debug_rd_en", "~EL2_IC_DATA|EL2_IC_DATA>io_ic_debug_wr_en", + "~EL2_IC_DATA|EL2_IC_DATA>io_ic_debug_addr", "~EL2_IC_DATA|EL2_IC_DATA>io_ic_debug_tag_array", + "~EL2_IC_DATA|EL2_IC_DATA>io_ic_debug_rd_en", "~EL2_IC_DATA|EL2_IC_DATA>io_ic_rd_en" ] }, diff --git a/EL2_IC_DATA.fir b/EL2_IC_DATA.fir index d5c84d31..49c867fc 100644 --- a/EL2_IC_DATA.fir +++ b/EL2_IC_DATA.fir @@ -33,54 +33,53 @@ circuit EL2_IC_DATA : node _T_11 = bits(ic_rw_addr_q, 11, 3) @[el2_ifu_ic_mem.scala 208:38] node _T_12 = add(_T_11, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 208:79] node ic_rw_addr_q_inc = tail(_T_12, 1) @[el2_ifu_ic_mem.scala 208:79] - io.test <= ic_rw_addr_q_inc @[el2_ifu_ic_mem.scala 209:11] - node _T_13 = bits(io.ic_debug_addr, 0, 0) @[el2_ifu_ic_mem.scala 211:78] - node _T_14 = eq(_T_13, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 211:113] + node _T_13 = bits(io.ic_debug_addr, 0, 0) @[el2_ifu_ic_mem.scala 210:78] + node _T_14 = eq(_T_13, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 210:113] node _T_15 = bits(_T_14, 0, 0) @[Bitwise.scala 72:15] node _T_16 = mux(_T_15, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_17 = and(ic_debug_wr_way_en, _T_16) @[el2_ifu_ic_mem.scala 211:38] - node ic_b_sb_wren_0 = or(io.ic_wr_en, _T_17) @[el2_ifu_ic_mem.scala 211:17] - node _T_18 = bits(io.ic_debug_addr, 0, 0) @[el2_ifu_ic_mem.scala 211:78] - node _T_19 = eq(_T_18, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 211:113] + node _T_17 = and(ic_debug_wr_way_en, _T_16) @[el2_ifu_ic_mem.scala 210:38] + node ic_b_sb_wren_0 = or(io.ic_wr_en, _T_17) @[el2_ifu_ic_mem.scala 210:17] + node _T_18 = bits(io.ic_debug_addr, 0, 0) @[el2_ifu_ic_mem.scala 210:78] + node _T_19 = eq(_T_18, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 210:113] node _T_20 = bits(_T_19, 0, 0) @[Bitwise.scala 72:15] node _T_21 = mux(_T_20, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_22 = and(ic_debug_wr_way_en, _T_21) @[el2_ifu_ic_mem.scala 211:38] - node ic_b_sb_wren_1 = or(io.ic_wr_en, _T_22) @[el2_ifu_ic_mem.scala 211:17] - node _T_23 = bits(io.ic_debug_addr, 0, 0) @[el2_ifu_ic_mem.scala 212:76] - node _T_24 = eq(_T_23, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 212:111] - node _T_25 = bits(io.ic_debug_addr, 0, 0) @[el2_ifu_ic_mem.scala 212:76] - node _T_26 = eq(_T_25, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 212:111] + node _T_22 = and(ic_debug_wr_way_en, _T_21) @[el2_ifu_ic_mem.scala 210:38] + node ic_b_sb_wren_1 = or(io.ic_wr_en, _T_22) @[el2_ifu_ic_mem.scala 210:17] + node _T_23 = bits(io.ic_debug_addr, 0, 0) @[el2_ifu_ic_mem.scala 211:76] + node _T_24 = eq(_T_23, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 211:111] + node _T_25 = bits(io.ic_debug_addr, 0, 0) @[el2_ifu_ic_mem.scala 211:76] + node _T_26 = eq(_T_25, UInt<1>("h01")) @[el2_ifu_ic_mem.scala 211:111] node ic_debug_sel_sb = cat(_T_26, _T_24) @[Cat.scala 29:58] - node _T_27 = bits(ic_debug_sel_sb, 0, 0) @[el2_ifu_ic_mem.scala 213:77] - node _T_28 = and(_T_27, io.ic_debug_wr_en) @[el2_ifu_ic_mem.scala 213:80] - node _T_29 = bits(_T_28, 0, 0) @[el2_ifu_ic_mem.scala 213:100] - node _T_30 = bits(ic_bank_wr_data, 0, 0) @[el2_ifu_ic_mem.scala 213:144] - node ic_sb_wr_data_0 = mux(_T_29, io.ic_debug_wr_data, _T_30) @[el2_ifu_ic_mem.scala 213:60] - node _T_31 = bits(ic_debug_sel_sb, 1, 1) @[el2_ifu_ic_mem.scala 213:77] - node _T_32 = and(_T_31, io.ic_debug_wr_en) @[el2_ifu_ic_mem.scala 213:80] - node _T_33 = bits(_T_32, 0, 0) @[el2_ifu_ic_mem.scala 213:100] - node _T_34 = bits(ic_bank_wr_data, 1, 1) @[el2_ifu_ic_mem.scala 213:144] - node ic_sb_wr_data_1 = mux(_T_33, io.ic_debug_wr_data, _T_34) @[el2_ifu_ic_mem.scala 213:60] - node _T_35 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 215:29] - node _T_36 = bits(_T_35, 0, 0) @[el2_ifu_ic_mem.scala 215:48] - node _T_37 = eq(_T_36, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 215:16] - node _T_38 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 215:63] - node _T_39 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 216:42] - node _T_40 = bits(_T_39, 0, 0) @[el2_ifu_ic_mem.scala 216:62] - node _T_41 = bits(ic_rw_addr_q, 1, 0) @[el2_ifu_ic_mem.scala 216:86] - node _T_42 = eq(_T_41, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 216:91] - node _T_43 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 216:103] - node _T_44 = and(_T_42, _T_43) @[el2_ifu_ic_mem.scala 216:98] - node _T_45 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 217:42] - node _T_46 = bits(_T_45, 0, 0) @[el2_ifu_ic_mem.scala 217:61] - node _T_47 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 217:76] - node _T_48 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 218:43] - node _T_49 = eq(_T_48, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 218:30] - node _T_50 = bits(_T_49, 0, 0) @[el2_ifu_ic_mem.scala 218:63] - node _T_51 = bits(ic_rw_addr_q, 1, 0) @[el2_ifu_ic_mem.scala 218:87] - node _T_52 = eq(_T_51, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 218:92] - node _T_53 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 218:105] - node _T_54 = and(_T_52, _T_53) @[el2_ifu_ic_mem.scala 218:99] + node _T_27 = bits(ic_debug_sel_sb, 0, 0) @[el2_ifu_ic_mem.scala 212:77] + node _T_28 = and(_T_27, io.ic_debug_wr_en) @[el2_ifu_ic_mem.scala 212:80] + node _T_29 = bits(_T_28, 0, 0) @[el2_ifu_ic_mem.scala 212:100] + node _T_30 = bits(ic_bank_wr_data, 0, 0) @[el2_ifu_ic_mem.scala 212:144] + node ic_sb_wr_data_0 = mux(_T_29, io.ic_debug_wr_data, _T_30) @[el2_ifu_ic_mem.scala 212:60] + node _T_31 = bits(ic_debug_sel_sb, 1, 1) @[el2_ifu_ic_mem.scala 212:77] + node _T_32 = and(_T_31, io.ic_debug_wr_en) @[el2_ifu_ic_mem.scala 212:80] + node _T_33 = bits(_T_32, 0, 0) @[el2_ifu_ic_mem.scala 212:100] + node _T_34 = bits(ic_bank_wr_data, 1, 1) @[el2_ifu_ic_mem.scala 212:144] + node ic_sb_wr_data_1 = mux(_T_33, io.ic_debug_wr_data, _T_34) @[el2_ifu_ic_mem.scala 212:60] + node _T_35 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 214:29] + node _T_36 = bits(_T_35, 0, 0) @[el2_ifu_ic_mem.scala 214:48] + node _T_37 = eq(_T_36, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 214:16] + node _T_38 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 214:63] + node _T_39 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 215:42] + node _T_40 = bits(_T_39, 0, 0) @[el2_ifu_ic_mem.scala 215:62] + node _T_41 = bits(ic_rw_addr_q, 1, 0) @[el2_ifu_ic_mem.scala 215:86] + node _T_42 = eq(_T_41, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 215:91] + node _T_43 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 215:103] + node _T_44 = and(_T_42, _T_43) @[el2_ifu_ic_mem.scala 215:98] + node _T_45 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 216:42] + node _T_46 = bits(_T_45, 0, 0) @[el2_ifu_ic_mem.scala 216:61] + node _T_47 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 216:76] + node _T_48 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 217:43] + node _T_49 = eq(_T_48, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 217:30] + node _T_50 = bits(_T_49, 0, 0) @[el2_ifu_ic_mem.scala 217:63] + node _T_51 = bits(ic_rw_addr_q, 1, 0) @[el2_ifu_ic_mem.scala 217:87] + node _T_52 = eq(_T_51, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 217:92] + node _T_53 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 217:105] + node _T_54 = and(_T_52, _T_53) @[el2_ifu_ic_mem.scala 217:99] node _T_55 = mux(_T_37, _T_38, UInt<1>("h00")) @[Mux.scala 27:72] node _T_56 = mux(_T_40, _T_44, UInt<1>("h00")) @[Mux.scala 27:72] node _T_57 = mux(_T_46, _T_47, UInt<1>("h00")) @[Mux.scala 27:72] @@ -90,27 +89,27 @@ circuit EL2_IC_DATA : node _T_61 = or(_T_60, _T_58) @[Mux.scala 27:72] wire _T_62 : UInt<1> @[Mux.scala 27:72] _T_62 <= _T_61 @[Mux.scala 27:72] - node _T_63 = and(_T_62, ic_rd_en_with_debug) @[el2_ifu_ic_mem.scala 218:117] - node _T_64 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 215:29] - node _T_65 = bits(_T_64, 0, 0) @[el2_ifu_ic_mem.scala 215:48] - node _T_66 = eq(_T_65, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 215:16] - node _T_67 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 215:63] - node _T_68 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 216:42] - node _T_69 = bits(_T_68, 0, 0) @[el2_ifu_ic_mem.scala 216:62] - node _T_70 = bits(ic_rw_addr_q, 1, 0) @[el2_ifu_ic_mem.scala 216:86] - node _T_71 = eq(_T_70, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 216:91] - node _T_72 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 216:103] - node _T_73 = and(_T_71, _T_72) @[el2_ifu_ic_mem.scala 216:98] - node _T_74 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 217:42] - node _T_75 = bits(_T_74, 0, 0) @[el2_ifu_ic_mem.scala 217:61] - node _T_76 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 217:76] - node _T_77 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 218:43] - node _T_78 = eq(_T_77, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 218:30] - node _T_79 = bits(_T_78, 0, 0) @[el2_ifu_ic_mem.scala 218:63] - node _T_80 = bits(ic_rw_addr_q, 1, 0) @[el2_ifu_ic_mem.scala 218:87] - node _T_81 = eq(_T_80, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 218:92] - node _T_82 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 218:105] - node _T_83 = and(_T_81, _T_82) @[el2_ifu_ic_mem.scala 218:99] + node _T_63 = and(_T_62, ic_rd_en_with_debug) @[el2_ifu_ic_mem.scala 217:117] + node _T_64 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 214:29] + node _T_65 = bits(_T_64, 0, 0) @[el2_ifu_ic_mem.scala 214:48] + node _T_66 = eq(_T_65, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 214:16] + node _T_67 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 214:63] + node _T_68 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 215:42] + node _T_69 = bits(_T_68, 0, 0) @[el2_ifu_ic_mem.scala 215:62] + node _T_70 = bits(ic_rw_addr_q, 1, 0) @[el2_ifu_ic_mem.scala 215:86] + node _T_71 = eq(_T_70, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 215:91] + node _T_72 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_ifu_ic_mem.scala 215:103] + node _T_73 = and(_T_71, _T_72) @[el2_ifu_ic_mem.scala 215:98] + node _T_74 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 216:42] + node _T_75 = bits(_T_74, 0, 0) @[el2_ifu_ic_mem.scala 216:61] + node _T_76 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 216:76] + node _T_77 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 217:43] + node _T_78 = eq(_T_77, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 217:30] + node _T_79 = bits(_T_78, 0, 0) @[el2_ifu_ic_mem.scala 217:63] + node _T_80 = bits(ic_rw_addr_q, 1, 0) @[el2_ifu_ic_mem.scala 217:87] + node _T_81 = eq(_T_80, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 217:92] + node _T_82 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_ifu_ic_mem.scala 217:105] + node _T_83 = and(_T_81, _T_82) @[el2_ifu_ic_mem.scala 217:99] node _T_84 = mux(_T_66, _T_67, UInt<1>("h00")) @[Mux.scala 27:72] node _T_85 = mux(_T_69, _T_73, UInt<1>("h00")) @[Mux.scala 27:72] node _T_86 = mux(_T_75, _T_76, UInt<1>("h00")) @[Mux.scala 27:72] @@ -120,140 +119,147 @@ circuit EL2_IC_DATA : node _T_90 = or(_T_89, _T_87) @[Mux.scala 27:72] wire _T_91 : UInt<1> @[Mux.scala 27:72] _T_91 <= _T_90 @[Mux.scala 27:72] - node _T_92 = and(_T_91, ic_rd_en_with_debug) @[el2_ifu_ic_mem.scala 218:117] + node _T_92 = and(_T_91, ic_rd_en_with_debug) @[el2_ifu_ic_mem.scala 217:117] node ic_b_rden = cat(_T_92, _T_63) @[Cat.scala 29:58] - node _T_93 = bits(ic_b_rden, 0, 0) @[el2_ifu_ic_mem.scala 219:89] + node _T_93 = bits(ic_b_rden, 0, 0) @[el2_ifu_ic_mem.scala 218:89] node _T_94 = bits(_T_93, 0, 0) @[Bitwise.scala 72:15] node ic_b_sb_rden_0 = mux(_T_94, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_95 = bits(ic_b_rden, 1, 1) @[el2_ifu_ic_mem.scala 219:89] + node _T_95 = bits(ic_b_rden, 1, 1) @[el2_ifu_ic_mem.scala 218:89] node _T_96 = bits(_T_95, 0, 0) @[Bitwise.scala 72:15] node ic_b_sb_rden_1 = mux(_T_96, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_97 = bits(ic_b_sb_rden_0, 0, 0) @[el2_ifu_ic_mem.scala 221:21] - node _T_98 = or(_T_97, io.clk_override) @[el2_ifu_ic_mem.scala 221:25] - node _T_99 = bits(ic_b_sb_wren_0, 0, 0) @[el2_ifu_ic_mem.scala 221:60] - node _T_100 = or(_T_98, _T_99) @[el2_ifu_ic_mem.scala 221:43] - node _T_101 = bits(ic_b_sb_rden_0, 1, 1) @[el2_ifu_ic_mem.scala 221:21] - node _T_102 = or(_T_101, io.clk_override) @[el2_ifu_ic_mem.scala 221:25] - node _T_103 = bits(ic_b_sb_wren_0, 1, 1) @[el2_ifu_ic_mem.scala 221:60] - node _T_104 = or(_T_102, _T_103) @[el2_ifu_ic_mem.scala 221:43] + node _T_97 = bits(ic_b_sb_rden_0, 0, 0) @[el2_ifu_ic_mem.scala 220:21] + node _T_98 = or(_T_97, io.clk_override) @[el2_ifu_ic_mem.scala 220:25] + node _T_99 = bits(ic_b_sb_wren_0, 0, 0) @[el2_ifu_ic_mem.scala 220:60] + node _T_100 = or(_T_98, _T_99) @[el2_ifu_ic_mem.scala 220:43] + node _T_101 = bits(ic_b_sb_rden_0, 1, 1) @[el2_ifu_ic_mem.scala 220:21] + node _T_102 = or(_T_101, io.clk_override) @[el2_ifu_ic_mem.scala 220:25] + node _T_103 = bits(ic_b_sb_wren_0, 1, 1) @[el2_ifu_ic_mem.scala 220:60] + node _T_104 = or(_T_102, _T_103) @[el2_ifu_ic_mem.scala 220:43] node ic_bank_way_clken_0 = cat(_T_100, _T_104) @[Cat.scala 29:58] - node _T_105 = bits(ic_b_sb_rden_1, 0, 0) @[el2_ifu_ic_mem.scala 221:21] - node _T_106 = or(_T_105, io.clk_override) @[el2_ifu_ic_mem.scala 221:25] - node _T_107 = bits(ic_b_sb_wren_1, 0, 0) @[el2_ifu_ic_mem.scala 221:60] - node _T_108 = or(_T_106, _T_107) @[el2_ifu_ic_mem.scala 221:43] - node _T_109 = bits(ic_b_sb_rden_1, 1, 1) @[el2_ifu_ic_mem.scala 221:21] - node _T_110 = or(_T_109, io.clk_override) @[el2_ifu_ic_mem.scala 221:25] - node _T_111 = bits(ic_b_sb_wren_1, 1, 1) @[el2_ifu_ic_mem.scala 221:60] - node _T_112 = or(_T_110, _T_111) @[el2_ifu_ic_mem.scala 221:43] + node _T_105 = bits(ic_b_sb_rden_1, 0, 0) @[el2_ifu_ic_mem.scala 220:21] + node _T_106 = or(_T_105, io.clk_override) @[el2_ifu_ic_mem.scala 220:25] + node _T_107 = bits(ic_b_sb_wren_1, 0, 0) @[el2_ifu_ic_mem.scala 220:60] + node _T_108 = or(_T_106, _T_107) @[el2_ifu_ic_mem.scala 220:43] + node _T_109 = bits(ic_b_sb_rden_1, 1, 1) @[el2_ifu_ic_mem.scala 220:21] + node _T_110 = or(_T_109, io.clk_override) @[el2_ifu_ic_mem.scala 220:25] + node _T_111 = bits(ic_b_sb_wren_1, 1, 1) @[el2_ifu_ic_mem.scala 220:60] + node _T_112 = or(_T_110, _T_111) @[el2_ifu_ic_mem.scala 220:43] node ic_bank_way_clken_1 = cat(_T_108, _T_112) @[Cat.scala 29:58] - node _T_113 = orr(io.ic_wr_en) @[el2_ifu_ic_mem.scala 223:74] - node _T_114 = eq(_T_113, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 223:61] - node _T_115 = and(io.ic_debug_rd_en, _T_114) @[el2_ifu_ic_mem.scala 223:58] - node _T_116 = or(io.ic_rd_en, _T_115) @[el2_ifu_ic_mem.scala 223:38] - ic_rd_en_with_debug <= _T_116 @[el2_ifu_ic_mem.scala 223:23] - node _T_117 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 225:37] - node _T_118 = bits(ic_rw_addr_q, 1, 0) @[el2_ifu_ic_mem.scala 225:71] - node _T_119 = eq(_T_118, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 225:77] - node _T_120 = and(_T_117, _T_119) @[el2_ifu_ic_mem.scala 225:56] - node _T_121 = and(_T_120, ic_rd_en_with_debug) @[el2_ifu_ic_mem.scala 225:86] - node _T_122 = orr(io.ic_wr_en) @[el2_ifu_ic_mem.scala 225:124] - node _T_123 = eq(_T_122, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 225:110] - node ic_rw_addr_wrap = and(_T_121, _T_123) @[el2_ifu_ic_mem.scala 225:108] - node _T_124 = eq(ic_rw_addr_wrap, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 227:40] - node _T_125 = bits(_T_124, 0, 0) @[el2_ifu_ic_mem.scala 227:58] - node _T_126 = bits(ic_rw_addr_q, 11, 3) @[el2_ifu_ic_mem.scala 227:77] - node _T_127 = bits(ic_rw_addr_q, 11, 5) @[el2_ifu_ic_mem.scala 228:21] - node _T_128 = bits(ic_rw_addr_q_inc, 4, 3) @[el2_ifu_ic_mem.scala 228:82] + node _T_113 = orr(io.ic_wr_en) @[el2_ifu_ic_mem.scala 222:74] + node _T_114 = eq(_T_113, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 222:61] + node _T_115 = and(io.ic_debug_rd_en, _T_114) @[el2_ifu_ic_mem.scala 222:58] + node _T_116 = or(io.ic_rd_en, _T_115) @[el2_ifu_ic_mem.scala 222:38] + ic_rd_en_with_debug <= _T_116 @[el2_ifu_ic_mem.scala 222:23] + node _T_117 = bits(ic_rw_addr_q, 2, 2) @[el2_ifu_ic_mem.scala 224:37] + node _T_118 = bits(ic_rw_addr_q, 1, 0) @[el2_ifu_ic_mem.scala 224:71] + node _T_119 = eq(_T_118, UInt<2>("h03")) @[el2_ifu_ic_mem.scala 224:77] + node _T_120 = and(_T_117, _T_119) @[el2_ifu_ic_mem.scala 224:56] + node _T_121 = and(_T_120, ic_rd_en_with_debug) @[el2_ifu_ic_mem.scala 224:86] + node _T_122 = orr(io.ic_wr_en) @[el2_ifu_ic_mem.scala 224:124] + node _T_123 = eq(_T_122, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 224:110] + node ic_rw_addr_wrap = and(_T_121, _T_123) @[el2_ifu_ic_mem.scala 224:108] + node _T_124 = eq(ic_rw_addr_wrap, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 226:40] + node _T_125 = bits(_T_124, 0, 0) @[el2_ifu_ic_mem.scala 226:58] + node _T_126 = bits(ic_rw_addr_q, 11, 3) @[el2_ifu_ic_mem.scala 226:77] + node _T_127 = bits(ic_rw_addr_q, 11, 5) @[el2_ifu_ic_mem.scala 227:21] + node _T_128 = bits(ic_rw_addr_q_inc, 4, 3) @[el2_ifu_ic_mem.scala 227:82] node _T_129 = cat(_T_127, _T_128) @[Cat.scala 29:58] - node _T_130 = mux(_T_125, _T_126, _T_129) @[el2_ifu_ic_mem.scala 227:38] - node _T_131 = bits(ic_rw_addr_q, 11, 3) @[el2_ifu_ic_mem.scala 229:17] - wire ic_rw_addr_bank_q : UInt<9>[2] @[el2_ifu_ic_mem.scala 227:34] - ic_rw_addr_bank_q[0] <= _T_130 @[el2_ifu_ic_mem.scala 227:34] - ic_rw_addr_bank_q[1] <= _T_131 @[el2_ifu_ic_mem.scala 227:34] - reg ic_b_rden_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 234:29] - ic_b_rden_ff <= ic_b_rden @[el2_ifu_ic_mem.scala 234:29] - node _T_132 = bits(ic_rw_addr_q, 4, 0) @[el2_ifu_ic_mem.scala 235:43] - reg ic_rw_addr_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 235:30] - ic_rw_addr_ff <= _T_132 @[el2_ifu_ic_mem.scala 235:30] - reg ic_debug_rd_way_en_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 236:38] - ic_debug_rd_way_en_ff <= ic_debug_rd_way_en @[el2_ifu_ic_mem.scala 236:38] - reg ic_debug_rd_en_ff : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 237:34] - ic_debug_rd_en_ff <= io.ic_debug_rd_en @[el2_ifu_ic_mem.scala 237:34] - node _T_133 = bits(ic_rw_addr_ff, 4, 2) @[el2_ifu_ic_mem.scala 239:43] + node _T_130 = mux(_T_125, _T_126, _T_129) @[el2_ifu_ic_mem.scala 226:38] + node _T_131 = bits(ic_rw_addr_q, 11, 3) @[el2_ifu_ic_mem.scala 228:17] + wire ic_rw_addr_bank_q : UInt<9>[2] @[el2_ifu_ic_mem.scala 226:34] + ic_rw_addr_bank_q[0] <= _T_130 @[el2_ifu_ic_mem.scala 226:34] + ic_rw_addr_bank_q[1] <= _T_131 @[el2_ifu_ic_mem.scala 226:34] + reg ic_b_rden_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 233:29] + ic_b_rden_ff <= ic_b_rden @[el2_ifu_ic_mem.scala 233:29] + node _T_132 = bits(ic_rw_addr_q, 4, 0) @[el2_ifu_ic_mem.scala 234:43] + reg ic_rw_addr_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 234:30] + ic_rw_addr_ff <= _T_132 @[el2_ifu_ic_mem.scala 234:30] + reg ic_debug_rd_way_en_ff : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 235:38] + ic_debug_rd_way_en_ff <= ic_debug_rd_way_en @[el2_ifu_ic_mem.scala 235:38] + reg ic_debug_rd_en_ff : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ic_mem.scala 236:34] + ic_debug_rd_en_ff <= io.ic_debug_rd_en @[el2_ifu_ic_mem.scala 236:34] + node _T_133 = bits(ic_rw_addr_ff, 4, 2) @[el2_ifu_ic_mem.scala 238:43] node _T_134 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node ic_cacheline_wrap_ff = eq(_T_133, _T_134) @[el2_ifu_ic_mem.scala 239:84] - io.test <= ic_rw_addr_bank_q[1] @[el2_ifu_ic_mem.scala 241:11] - cmem data_mem : UInt<71>[2][2][512] @[el2_ifu_ic_mem.scala 246:21] - node _T_135 = bits(ic_b_sb_wren_0, 0, 0) @[el2_ifu_ic_mem.scala 248:26] - node _T_136 = bits(ic_bank_way_clken_0, 0, 0) @[el2_ifu_ic_mem.scala 248:50] - node _T_137 = and(_T_135, _T_136) @[el2_ifu_ic_mem.scala 248:29] - node _T_138 = bits(_T_137, 0, 0) @[el2_ifu_ic_mem.scala 248:55] - when _T_138 : @[el2_ifu_ic_mem.scala 248:62] - infer mport _T_139 = data_mem[ic_rw_addr_bank_q[0]], clock @[el2_ifu_ic_mem.scala 249:15] - _T_139[0][0] <= io.test_in @[el2_ifu_ic_mem.scala 249:44] - skip @[el2_ifu_ic_mem.scala 248:62] - else : @[el2_ifu_ic_mem.scala 250:69] - node _T_140 = bits(ic_b_sb_wren_0, 0, 0) @[el2_ifu_ic_mem.scala 250:33] - node _T_141 = eq(_T_140, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 250:17] - node _T_142 = bits(ic_bank_way_clken_0, 0, 0) @[el2_ifu_ic_mem.scala 250:57] - node _T_143 = and(_T_141, _T_142) @[el2_ifu_ic_mem.scala 250:36] - node _T_144 = bits(_T_143, 0, 0) @[el2_ifu_ic_mem.scala 250:62] - when _T_144 : @[el2_ifu_ic_mem.scala 250:69] - infer mport _T_145 = data_mem[ic_rw_addr_bank_q[0]], clock @[el2_ifu_ic_mem.scala 251:26] - io.test <= _T_145[0][0] @[el2_ifu_ic_mem.scala 251:15] - skip @[el2_ifu_ic_mem.scala 250:69] - node _T_146 = bits(ic_b_sb_wren_1, 0, 0) @[el2_ifu_ic_mem.scala 248:26] - node _T_147 = bits(ic_bank_way_clken_1, 0, 0) @[el2_ifu_ic_mem.scala 248:50] - node _T_148 = and(_T_146, _T_147) @[el2_ifu_ic_mem.scala 248:29] - node _T_149 = bits(_T_148, 0, 0) @[el2_ifu_ic_mem.scala 248:55] - when _T_149 : @[el2_ifu_ic_mem.scala 248:62] - infer mport _T_150 = data_mem[ic_rw_addr_bank_q[1]], clock @[el2_ifu_ic_mem.scala 249:15] - _T_150[1][0] <= io.test_in @[el2_ifu_ic_mem.scala 249:44] - skip @[el2_ifu_ic_mem.scala 248:62] - else : @[el2_ifu_ic_mem.scala 250:69] - node _T_151 = bits(ic_b_sb_wren_1, 0, 0) @[el2_ifu_ic_mem.scala 250:33] - node _T_152 = eq(_T_151, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 250:17] - node _T_153 = bits(ic_bank_way_clken_1, 0, 0) @[el2_ifu_ic_mem.scala 250:57] - node _T_154 = and(_T_152, _T_153) @[el2_ifu_ic_mem.scala 250:36] - node _T_155 = bits(_T_154, 0, 0) @[el2_ifu_ic_mem.scala 250:62] - when _T_155 : @[el2_ifu_ic_mem.scala 250:69] - infer mport _T_156 = data_mem[ic_rw_addr_bank_q[1]], clock @[el2_ifu_ic_mem.scala 251:26] - io.test <= _T_156[1][0] @[el2_ifu_ic_mem.scala 251:15] - skip @[el2_ifu_ic_mem.scala 250:69] - node _T_157 = bits(ic_b_sb_wren_0, 1, 1) @[el2_ifu_ic_mem.scala 248:26] - node _T_158 = bits(ic_bank_way_clken_0, 1, 1) @[el2_ifu_ic_mem.scala 248:50] - node _T_159 = and(_T_157, _T_158) @[el2_ifu_ic_mem.scala 248:29] - node _T_160 = bits(_T_159, 0, 0) @[el2_ifu_ic_mem.scala 248:55] - when _T_160 : @[el2_ifu_ic_mem.scala 248:62] - infer mport _T_161 = data_mem[ic_rw_addr_bank_q[0]], clock @[el2_ifu_ic_mem.scala 249:15] - _T_161[0][1] <= io.test_in @[el2_ifu_ic_mem.scala 249:44] - skip @[el2_ifu_ic_mem.scala 248:62] - else : @[el2_ifu_ic_mem.scala 250:69] - node _T_162 = bits(ic_b_sb_wren_0, 1, 1) @[el2_ifu_ic_mem.scala 250:33] - node _T_163 = eq(_T_162, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 250:17] - node _T_164 = bits(ic_bank_way_clken_0, 1, 1) @[el2_ifu_ic_mem.scala 250:57] - node _T_165 = and(_T_163, _T_164) @[el2_ifu_ic_mem.scala 250:36] - node _T_166 = bits(_T_165, 0, 0) @[el2_ifu_ic_mem.scala 250:62] - when _T_166 : @[el2_ifu_ic_mem.scala 250:69] - infer mport _T_167 = data_mem[ic_rw_addr_bank_q[0]], clock @[el2_ifu_ic_mem.scala 251:26] - io.test <= _T_167[0][1] @[el2_ifu_ic_mem.scala 251:15] - skip @[el2_ifu_ic_mem.scala 250:69] - node _T_168 = bits(ic_b_sb_wren_1, 1, 1) @[el2_ifu_ic_mem.scala 248:26] - node _T_169 = bits(ic_bank_way_clken_1, 1, 1) @[el2_ifu_ic_mem.scala 248:50] - node _T_170 = and(_T_168, _T_169) @[el2_ifu_ic_mem.scala 248:29] - node _T_171 = bits(_T_170, 0, 0) @[el2_ifu_ic_mem.scala 248:55] - when _T_171 : @[el2_ifu_ic_mem.scala 248:62] - infer mport _T_172 = data_mem[ic_rw_addr_bank_q[1]], clock @[el2_ifu_ic_mem.scala 249:15] - _T_172[1][1] <= io.test_in @[el2_ifu_ic_mem.scala 249:44] - skip @[el2_ifu_ic_mem.scala 248:62] - else : @[el2_ifu_ic_mem.scala 250:69] - node _T_173 = bits(ic_b_sb_wren_1, 1, 1) @[el2_ifu_ic_mem.scala 250:33] - node _T_174 = eq(_T_173, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 250:17] - node _T_175 = bits(ic_bank_way_clken_1, 1, 1) @[el2_ifu_ic_mem.scala 250:57] - node _T_176 = and(_T_174, _T_175) @[el2_ifu_ic_mem.scala 250:36] - node _T_177 = bits(_T_176, 0, 0) @[el2_ifu_ic_mem.scala 250:62] - when _T_177 : @[el2_ifu_ic_mem.scala 250:69] - infer mport _T_178 = data_mem[ic_rw_addr_bank_q[1]], clock @[el2_ifu_ic_mem.scala 251:26] - io.test <= _T_178[1][1] @[el2_ifu_ic_mem.scala 251:15] - skip @[el2_ifu_ic_mem.scala 250:69] + node ic_cacheline_wrap_ff = eq(_T_133, _T_134) @[el2_ifu_ic_mem.scala 238:84] + cmem data_mem : UInt<71>[2][2][512] @[el2_ifu_ic_mem.scala 243:21] + node _T_135 = bits(ic_b_sb_wren_0, 0, 0) @[el2_ifu_ic_mem.scala 245:73] + node _T_136 = bits(ic_bank_way_clken_0, 0, 0) @[el2_ifu_ic_mem.scala 246:83] + node _T_137 = bits(ic_b_sb_wren_0, 0, 0) @[el2_ifu_ic_mem.scala 247:26] + node _T_138 = bits(ic_bank_way_clken_0, 0, 0) @[el2_ifu_ic_mem.scala 247:52] + node _T_139 = and(_T_137, _T_138) @[el2_ifu_ic_mem.scala 247:30] + node _T_140 = bits(_T_139, 0, 0) @[el2_ifu_ic_mem.scala 247:57] + when _T_140 : @[el2_ifu_ic_mem.scala 247:64] + infer mport _T_141 = data_mem[ic_rw_addr_bank_q[0]], clock @[el2_ifu_ic_mem.scala 248:15] + _T_141[0][0] <= io.test_in @[el2_ifu_ic_mem.scala 248:44] + skip @[el2_ifu_ic_mem.scala 247:64] + else : @[el2_ifu_ic_mem.scala 249:69] + node _T_142 = bits(ic_b_sb_wren_0, 0, 0) @[el2_ifu_ic_mem.scala 249:33] + node _T_143 = eq(_T_142, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 249:17] + node _T_144 = bits(ic_bank_way_clken_0, 0, 0) @[el2_ifu_ic_mem.scala 249:57] + node _T_145 = and(_T_143, _T_144) @[el2_ifu_ic_mem.scala 249:36] + node _T_146 = bits(_T_145, 0, 0) @[el2_ifu_ic_mem.scala 249:62] + when _T_146 : @[el2_ifu_ic_mem.scala 249:69] + infer mport _T_147 = data_mem[ic_rw_addr_bank_q[0]], clock @[el2_ifu_ic_mem.scala 250:26] + io.test <= _T_147[0][0] @[el2_ifu_ic_mem.scala 250:15] + skip @[el2_ifu_ic_mem.scala 249:69] + node _T_148 = bits(ic_b_sb_wren_1, 0, 0) @[el2_ifu_ic_mem.scala 245:73] + node _T_149 = bits(ic_bank_way_clken_1, 0, 0) @[el2_ifu_ic_mem.scala 246:83] + node _T_150 = bits(ic_b_sb_wren_1, 0, 0) @[el2_ifu_ic_mem.scala 247:26] + node _T_151 = bits(ic_bank_way_clken_1, 0, 0) @[el2_ifu_ic_mem.scala 247:52] + node _T_152 = and(_T_150, _T_151) @[el2_ifu_ic_mem.scala 247:30] + node _T_153 = bits(_T_152, 0, 0) @[el2_ifu_ic_mem.scala 247:57] + when _T_153 : @[el2_ifu_ic_mem.scala 247:64] + infer mport _T_154 = data_mem[ic_rw_addr_bank_q[1]], clock @[el2_ifu_ic_mem.scala 248:15] + _T_154[1][0] <= io.test_in @[el2_ifu_ic_mem.scala 248:44] + skip @[el2_ifu_ic_mem.scala 247:64] + else : @[el2_ifu_ic_mem.scala 249:69] + node _T_155 = bits(ic_b_sb_wren_1, 0, 0) @[el2_ifu_ic_mem.scala 249:33] + node _T_156 = eq(_T_155, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 249:17] + node _T_157 = bits(ic_bank_way_clken_1, 0, 0) @[el2_ifu_ic_mem.scala 249:57] + node _T_158 = and(_T_156, _T_157) @[el2_ifu_ic_mem.scala 249:36] + node _T_159 = bits(_T_158, 0, 0) @[el2_ifu_ic_mem.scala 249:62] + when _T_159 : @[el2_ifu_ic_mem.scala 249:69] + infer mport _T_160 = data_mem[ic_rw_addr_bank_q[1]], clock @[el2_ifu_ic_mem.scala 250:26] + io.test <= _T_160[1][0] @[el2_ifu_ic_mem.scala 250:15] + skip @[el2_ifu_ic_mem.scala 249:69] + node _T_161 = bits(ic_b_sb_wren_0, 1, 1) @[el2_ifu_ic_mem.scala 245:73] + node _T_162 = bits(ic_bank_way_clken_0, 1, 1) @[el2_ifu_ic_mem.scala 246:83] + node _T_163 = bits(ic_b_sb_wren_0, 1, 1) @[el2_ifu_ic_mem.scala 247:26] + node _T_164 = bits(ic_bank_way_clken_0, 1, 1) @[el2_ifu_ic_mem.scala 247:52] + node _T_165 = and(_T_163, _T_164) @[el2_ifu_ic_mem.scala 247:30] + node _T_166 = bits(_T_165, 0, 0) @[el2_ifu_ic_mem.scala 247:57] + when _T_166 : @[el2_ifu_ic_mem.scala 247:64] + infer mport _T_167 = data_mem[ic_rw_addr_bank_q[0]], clock @[el2_ifu_ic_mem.scala 248:15] + _T_167[0][1] <= io.test_in @[el2_ifu_ic_mem.scala 248:44] + skip @[el2_ifu_ic_mem.scala 247:64] + else : @[el2_ifu_ic_mem.scala 249:69] + node _T_168 = bits(ic_b_sb_wren_0, 1, 1) @[el2_ifu_ic_mem.scala 249:33] + node _T_169 = eq(_T_168, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 249:17] + node _T_170 = bits(ic_bank_way_clken_0, 1, 1) @[el2_ifu_ic_mem.scala 249:57] + node _T_171 = and(_T_169, _T_170) @[el2_ifu_ic_mem.scala 249:36] + node _T_172 = bits(_T_171, 0, 0) @[el2_ifu_ic_mem.scala 249:62] + when _T_172 : @[el2_ifu_ic_mem.scala 249:69] + infer mport _T_173 = data_mem[ic_rw_addr_bank_q[0]], clock @[el2_ifu_ic_mem.scala 250:26] + io.test <= _T_173[0][1] @[el2_ifu_ic_mem.scala 250:15] + skip @[el2_ifu_ic_mem.scala 249:69] + node _T_174 = bits(ic_b_sb_wren_1, 1, 1) @[el2_ifu_ic_mem.scala 245:73] + node _T_175 = bits(ic_bank_way_clken_1, 1, 1) @[el2_ifu_ic_mem.scala 246:83] + node _T_176 = bits(ic_b_sb_wren_1, 1, 1) @[el2_ifu_ic_mem.scala 247:26] + node _T_177 = bits(ic_bank_way_clken_1, 1, 1) @[el2_ifu_ic_mem.scala 247:52] + node _T_178 = and(_T_176, _T_177) @[el2_ifu_ic_mem.scala 247:30] + node _T_179 = bits(_T_178, 0, 0) @[el2_ifu_ic_mem.scala 247:57] + when _T_179 : @[el2_ifu_ic_mem.scala 247:64] + infer mport _T_180 = data_mem[ic_rw_addr_bank_q[1]], clock @[el2_ifu_ic_mem.scala 248:15] + _T_180[1][1] <= io.test_in @[el2_ifu_ic_mem.scala 248:44] + skip @[el2_ifu_ic_mem.scala 247:64] + else : @[el2_ifu_ic_mem.scala 249:69] + node _T_181 = bits(ic_b_sb_wren_1, 1, 1) @[el2_ifu_ic_mem.scala 249:33] + node _T_182 = eq(_T_181, UInt<1>("h00")) @[el2_ifu_ic_mem.scala 249:17] + node _T_183 = bits(ic_bank_way_clken_1, 1, 1) @[el2_ifu_ic_mem.scala 249:57] + node _T_184 = and(_T_182, _T_183) @[el2_ifu_ic_mem.scala 249:36] + node _T_185 = bits(_T_184, 0, 0) @[el2_ifu_ic_mem.scala 249:62] + when _T_185 : @[el2_ifu_ic_mem.scala 249:69] + infer mport _T_186 = data_mem[ic_rw_addr_bank_q[1]], clock @[el2_ifu_ic_mem.scala 250:26] + io.test <= _T_186[1][1] @[el2_ifu_ic_mem.scala 250:15] + skip @[el2_ifu_ic_mem.scala 249:69] diff --git a/EL2_IC_DATA.v b/EL2_IC_DATA.v index 02401496..9910a1ab 100644 --- a/EL2_IC_DATA.v +++ b/EL2_IC_DATA.v @@ -30,106 +30,106 @@ module EL2_IC_DATA( reg [95:0] _RAND_2; reg [95:0] _RAND_3; `endif // RANDOMIZE_MEM_INIT - reg [70:0] data_mem_0_0 [0:511]; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_0__T_145_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_0__T_145_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_0__T_156_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_0__T_156_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_0__T_167_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_0__T_167_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_0__T_178_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_0__T_178_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_0__T_139_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_0__T_139_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_0__T_139_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_0__T_139_en; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_0__T_150_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_0__T_150_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_0__T_150_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_0__T_150_en; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_0__T_161_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_0__T_161_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_0__T_161_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_0__T_161_en; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_0__T_172_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_0__T_172_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_0__T_172_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_0__T_172_en; // @[el2_ifu_ic_mem.scala 246:21] - reg [70:0] data_mem_0_1 [0:511]; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_1__T_145_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_1__T_145_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_1__T_156_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_1__T_156_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_1__T_167_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_1__T_167_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_1__T_178_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_1__T_178_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_1__T_139_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_1__T_139_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_1__T_139_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_1__T_139_en; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_1__T_150_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_1__T_150_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_1__T_150_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_1__T_150_en; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_1__T_161_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_1__T_161_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_1__T_161_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_1__T_161_en; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_0_1__T_172_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_0_1__T_172_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_1__T_172_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_0_1__T_172_en; // @[el2_ifu_ic_mem.scala 246:21] - reg [70:0] data_mem_1_0 [0:511]; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_0__T_145_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_0__T_145_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_0__T_156_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_0__T_156_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_0__T_167_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_0__T_167_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_0__T_178_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_0__T_178_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_0__T_139_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_0__T_139_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_0__T_139_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_0__T_139_en; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_0__T_150_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_0__T_150_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_0__T_150_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_0__T_150_en; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_0__T_161_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_0__T_161_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_0__T_161_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_0__T_161_en; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_0__T_172_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_0__T_172_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_0__T_172_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_0__T_172_en; // @[el2_ifu_ic_mem.scala 246:21] - reg [70:0] data_mem_1_1 [0:511]; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_1__T_145_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_1__T_145_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_1__T_156_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_1__T_156_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_1__T_167_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_1__T_167_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_1__T_178_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_1__T_178_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_1__T_139_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_1__T_139_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_1__T_139_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_1__T_139_en; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_1__T_150_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_1__T_150_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_1__T_150_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_1__T_150_en; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_1__T_161_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_1__T_161_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_1__T_161_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_1__T_161_en; // @[el2_ifu_ic_mem.scala 246:21] - wire [70:0] data_mem_1_1__T_172_data; // @[el2_ifu_ic_mem.scala 246:21] - wire [8:0] data_mem_1_1__T_172_addr; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_1__T_172_mask; // @[el2_ifu_ic_mem.scala 246:21] - wire data_mem_1_1__T_172_en; // @[el2_ifu_ic_mem.scala 246:21] + reg [70:0] data_mem_0_0 [0:511]; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_0__T_147_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_0__T_147_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_0__T_160_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_0__T_160_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_0__T_173_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_0__T_173_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_0__T_186_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_0__T_186_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_0__T_141_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_0__T_141_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_0__T_141_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_0__T_141_en; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_0__T_154_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_0__T_154_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_0__T_154_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_0__T_154_en; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_0__T_167_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_0__T_167_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_0__T_167_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_0__T_167_en; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_0__T_180_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_0__T_180_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_0__T_180_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_0__T_180_en; // @[el2_ifu_ic_mem.scala 243:21] + reg [70:0] data_mem_0_1 [0:511]; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_1__T_147_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_1__T_147_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_1__T_160_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_1__T_160_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_1__T_173_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_1__T_173_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_1__T_186_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_1__T_186_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_1__T_141_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_1__T_141_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_1__T_141_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_1__T_141_en; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_1__T_154_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_1__T_154_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_1__T_154_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_1__T_154_en; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_1__T_167_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_1__T_167_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_1__T_167_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_1__T_167_en; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_0_1__T_180_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_0_1__T_180_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_1__T_180_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_0_1__T_180_en; // @[el2_ifu_ic_mem.scala 243:21] + reg [70:0] data_mem_1_0 [0:511]; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_0__T_147_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_0__T_147_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_0__T_160_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_0__T_160_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_0__T_173_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_0__T_173_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_0__T_186_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_0__T_186_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_0__T_141_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_0__T_141_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_0__T_141_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_0__T_141_en; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_0__T_154_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_0__T_154_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_0__T_154_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_0__T_154_en; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_0__T_167_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_0__T_167_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_0__T_167_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_0__T_167_en; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_0__T_180_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_0__T_180_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_0__T_180_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_0__T_180_en; // @[el2_ifu_ic_mem.scala 243:21] + reg [70:0] data_mem_1_1 [0:511]; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_1__T_147_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_1__T_147_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_1__T_160_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_1__T_160_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_1__T_173_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_1__T_173_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_1__T_186_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_1__T_186_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_1__T_141_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_1__T_141_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_1__T_141_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_1__T_141_en; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_1__T_154_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_1__T_154_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_1__T_154_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_1__T_154_en; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_1__T_167_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_1__T_167_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_1__T_167_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_1__T_167_en; // @[el2_ifu_ic_mem.scala 243:21] + wire [70:0] data_mem_1_1__T_180_data; // @[el2_ifu_ic_mem.scala 243:21] + wire [8:0] data_mem_1_1__T_180_addr; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_1__T_180_mask; // @[el2_ifu_ic_mem.scala 243:21] + wire data_mem_1_1__T_180_en; // @[el2_ifu_ic_mem.scala 243:21] wire _T = ~io_ic_debug_tag_array; // @[el2_ifu_ic_mem.scala 200:70] wire _T_5 = io_ic_debug_wr_en & _T; // @[el2_ifu_ic_mem.scala 201:68] wire [1:0] _T_7 = {_T_5,_T_5}; // @[Cat.scala 29:58] @@ -138,162 +138,162 @@ module EL2_IC_DATA( wire [10:0] _T_10 = {io_ic_debug_addr,2'h0}; // @[Cat.scala 29:58] wire [11:0] ic_rw_addr_q = _T_8 ? {{1'd0}, _T_10} : io_ic_rw_addr; // @[el2_ifu_ic_mem.scala 206:25] wire [8:0] ic_rw_addr_q_inc = ic_rw_addr_q[11:3] + 9'h1; // @[el2_ifu_ic_mem.scala 208:79] - wire _T_14 = ~io_ic_debug_addr[0]; // @[el2_ifu_ic_mem.scala 211:113] + wire _T_14 = ~io_ic_debug_addr[0]; // @[el2_ifu_ic_mem.scala 210:113] wire [1:0] _T_16 = _T_14 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_17 = ic_debug_wr_way_en & _T_16; // @[el2_ifu_ic_mem.scala 211:38] - wire [1:0] ic_b_sb_wren_0 = io_ic_wr_en | _T_17; // @[el2_ifu_ic_mem.scala 211:17] + wire [1:0] _T_17 = ic_debug_wr_way_en & _T_16; // @[el2_ifu_ic_mem.scala 210:38] + wire [1:0] ic_b_sb_wren_0 = io_ic_wr_en | _T_17; // @[el2_ifu_ic_mem.scala 210:17] wire [1:0] _T_21 = io_ic_debug_addr[0] ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_22 = ic_debug_wr_way_en & _T_21; // @[el2_ifu_ic_mem.scala 211:38] - wire [1:0] ic_b_sb_wren_1 = io_ic_wr_en | _T_22; // @[el2_ifu_ic_mem.scala 211:17] - wire _T_37 = ~ic_rw_addr_q[2]; // @[el2_ifu_ic_mem.scala 215:16] - wire _T_42 = ic_rw_addr_q[1:0] == 2'h3; // @[el2_ifu_ic_mem.scala 216:91] + wire [1:0] _T_22 = ic_debug_wr_way_en & _T_21; // @[el2_ifu_ic_mem.scala 210:38] + wire [1:0] ic_b_sb_wren_1 = io_ic_wr_en | _T_22; // @[el2_ifu_ic_mem.scala 210:17] + wire _T_37 = ~ic_rw_addr_q[2]; // @[el2_ifu_ic_mem.scala 214:16] + wire _T_42 = ic_rw_addr_q[1:0] == 2'h3; // @[el2_ifu_ic_mem.scala 215:91] wire _T_56 = ic_rw_addr_q[2] & _T_42; // @[Mux.scala 27:72] wire _T_59 = _T_37 | _T_56; // @[Mux.scala 27:72] - wire _T_113 = |io_ic_wr_en; // @[el2_ifu_ic_mem.scala 223:74] - wire _T_114 = ~_T_113; // @[el2_ifu_ic_mem.scala 223:61] - wire _T_115 = io_ic_debug_rd_en & _T_114; // @[el2_ifu_ic_mem.scala 223:58] - wire ic_rd_en_with_debug = io_ic_rd_en | _T_115; // @[el2_ifu_ic_mem.scala 223:38] - wire _T_63 = _T_59 & ic_rd_en_with_debug; // @[el2_ifu_ic_mem.scala 218:117] + wire _T_113 = |io_ic_wr_en; // @[el2_ifu_ic_mem.scala 222:74] + wire _T_114 = ~_T_113; // @[el2_ifu_ic_mem.scala 222:61] + wire _T_115 = io_ic_debug_rd_en & _T_114; // @[el2_ifu_ic_mem.scala 222:58] + wire ic_rd_en_with_debug = io_ic_rd_en | _T_115; // @[el2_ifu_ic_mem.scala 222:38] + wire _T_63 = _T_59 & ic_rd_en_with_debug; // @[el2_ifu_ic_mem.scala 217:117] wire _T_87 = _T_37 & _T_42; // @[Mux.scala 27:72] wire _T_90 = ic_rw_addr_q[2] | _T_87; // @[Mux.scala 27:72] - wire _T_92 = _T_90 & ic_rd_en_with_debug; // @[el2_ifu_ic_mem.scala 218:117] + wire _T_92 = _T_90 & ic_rd_en_with_debug; // @[el2_ifu_ic_mem.scala 217:117] wire [1:0] ic_b_rden = {_T_92,_T_63}; // @[Cat.scala 29:58] wire [1:0] ic_b_sb_rden_0 = ic_b_rden[0] ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] wire [1:0] ic_b_sb_rden_1 = ic_b_rden[1] ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_98 = ic_b_sb_rden_0[0] | io_clk_override; // @[el2_ifu_ic_mem.scala 221:25] - wire _T_100 = _T_98 | ic_b_sb_wren_0[0]; // @[el2_ifu_ic_mem.scala 221:43] - wire _T_102 = ic_b_sb_rden_0[1] | io_clk_override; // @[el2_ifu_ic_mem.scala 221:25] - wire _T_104 = _T_102 | ic_b_sb_wren_0[1]; // @[el2_ifu_ic_mem.scala 221:43] + wire _T_98 = ic_b_sb_rden_0[0] | io_clk_override; // @[el2_ifu_ic_mem.scala 220:25] + wire _T_100 = _T_98 | ic_b_sb_wren_0[0]; // @[el2_ifu_ic_mem.scala 220:43] + wire _T_102 = ic_b_sb_rden_0[1] | io_clk_override; // @[el2_ifu_ic_mem.scala 220:25] + wire _T_104 = _T_102 | ic_b_sb_wren_0[1]; // @[el2_ifu_ic_mem.scala 220:43] wire [1:0] ic_bank_way_clken_0 = {_T_100,_T_104}; // @[Cat.scala 29:58] - wire _T_106 = ic_b_sb_rden_1[0] | io_clk_override; // @[el2_ifu_ic_mem.scala 221:25] - wire _T_108 = _T_106 | ic_b_sb_wren_1[0]; // @[el2_ifu_ic_mem.scala 221:43] - wire _T_110 = ic_b_sb_rden_1[1] | io_clk_override; // @[el2_ifu_ic_mem.scala 221:25] - wire _T_112 = _T_110 | ic_b_sb_wren_1[1]; // @[el2_ifu_ic_mem.scala 221:43] + wire _T_106 = ic_b_sb_rden_1[0] | io_clk_override; // @[el2_ifu_ic_mem.scala 220:25] + wire _T_108 = _T_106 | ic_b_sb_wren_1[0]; // @[el2_ifu_ic_mem.scala 220:43] + wire _T_110 = ic_b_sb_rden_1[1] | io_clk_override; // @[el2_ifu_ic_mem.scala 220:25] + wire _T_112 = _T_110 | ic_b_sb_wren_1[1]; // @[el2_ifu_ic_mem.scala 220:43] wire [1:0] ic_bank_way_clken_1 = {_T_108,_T_112}; // @[Cat.scala 29:58] - wire _T_121 = _T_56 & ic_rd_en_with_debug; // @[el2_ifu_ic_mem.scala 225:86] - wire ic_rw_addr_wrap = _T_121 & _T_114; // @[el2_ifu_ic_mem.scala 225:108] - wire _T_124 = ~ic_rw_addr_wrap; // @[el2_ifu_ic_mem.scala 227:40] + wire _T_121 = _T_56 & ic_rd_en_with_debug; // @[el2_ifu_ic_mem.scala 224:86] + wire ic_rw_addr_wrap = _T_121 & _T_114; // @[el2_ifu_ic_mem.scala 224:108] + wire _T_124 = ~ic_rw_addr_wrap; // @[el2_ifu_ic_mem.scala 226:40] wire [8:0] _T_129 = {ic_rw_addr_q[11:5],ic_rw_addr_q_inc[4:3]}; // @[Cat.scala 29:58] - wire _T_137 = ic_b_sb_wren_0[0] & ic_bank_way_clken_0[0]; // @[el2_ifu_ic_mem.scala 248:29] - wire _T_141 = ~ic_b_sb_wren_0[0]; // @[el2_ifu_ic_mem.scala 250:17] - wire _T_143 = _T_141 & ic_bank_way_clken_0[0]; // @[el2_ifu_ic_mem.scala 250:36] - wire [70:0] _GEN_3 = _T_143 ? data_mem_0_0__T_145_data : {{62'd0}, ic_rw_addr_q[11:3]}; // @[el2_ifu_ic_mem.scala 250:69] - wire [70:0] _GEN_13 = _T_137 ? {{62'd0}, ic_rw_addr_q[11:3]} : _GEN_3; // @[el2_ifu_ic_mem.scala 248:62] - wire _T_148 = ic_b_sb_wren_1[0] & ic_bank_way_clken_1[0]; // @[el2_ifu_ic_mem.scala 248:29] - wire _T_152 = ~ic_b_sb_wren_1[0]; // @[el2_ifu_ic_mem.scala 250:17] - wire _T_154 = _T_152 & ic_bank_way_clken_1[0]; // @[el2_ifu_ic_mem.scala 250:36] - wire [70:0] _GEN_17 = _T_154 ? data_mem_1_0__T_156_data : _GEN_13; // @[el2_ifu_ic_mem.scala 250:69] - wire [70:0] _GEN_27 = _T_148 ? _GEN_13 : _GEN_17; // @[el2_ifu_ic_mem.scala 248:62] - wire _T_159 = ic_b_sb_wren_0[1] & ic_bank_way_clken_0[1]; // @[el2_ifu_ic_mem.scala 248:29] - wire _T_163 = ~ic_b_sb_wren_0[1]; // @[el2_ifu_ic_mem.scala 250:17] - wire _T_165 = _T_163 & ic_bank_way_clken_0[1]; // @[el2_ifu_ic_mem.scala 250:36] - wire [70:0] _GEN_31 = _T_165 ? data_mem_0_1__T_167_data : _GEN_27; // @[el2_ifu_ic_mem.scala 250:69] - wire [70:0] _GEN_41 = _T_159 ? _GEN_27 : _GEN_31; // @[el2_ifu_ic_mem.scala 248:62] - wire _T_170 = ic_b_sb_wren_1[1] & ic_bank_way_clken_1[1]; // @[el2_ifu_ic_mem.scala 248:29] - wire _T_174 = ~ic_b_sb_wren_1[1]; // @[el2_ifu_ic_mem.scala 250:17] - wire _T_176 = _T_174 & ic_bank_way_clken_1[1]; // @[el2_ifu_ic_mem.scala 250:36] - wire [70:0] _GEN_45 = _T_176 ? data_mem_1_1__T_178_data : _GEN_41; // @[el2_ifu_ic_mem.scala 250:69] - assign data_mem_0_0__T_145_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_0_0__T_145_data = data_mem_0_0[data_mem_0_0__T_145_addr]; // @[el2_ifu_ic_mem.scala 246:21] - assign data_mem_0_0__T_156_addr = ic_rw_addr_q[11:3]; - assign data_mem_0_0__T_156_data = data_mem_0_0[data_mem_0_0__T_156_addr]; // @[el2_ifu_ic_mem.scala 246:21] + wire _T_139 = ic_b_sb_wren_0[0] & ic_bank_way_clken_0[0]; // @[el2_ifu_ic_mem.scala 247:30] + wire _T_143 = ~ic_b_sb_wren_0[0]; // @[el2_ifu_ic_mem.scala 249:17] + wire _T_145 = _T_143 & ic_bank_way_clken_0[0]; // @[el2_ifu_ic_mem.scala 249:36] + wire [70:0] _GEN_3 = _T_145 ? data_mem_0_0__T_147_data : 71'h0; // @[el2_ifu_ic_mem.scala 249:69] + wire [70:0] _GEN_13 = _T_139 ? 71'h0 : _GEN_3; // @[el2_ifu_ic_mem.scala 247:64] + wire _T_152 = ic_b_sb_wren_1[0] & ic_bank_way_clken_1[0]; // @[el2_ifu_ic_mem.scala 247:30] + wire _T_156 = ~ic_b_sb_wren_1[0]; // @[el2_ifu_ic_mem.scala 249:17] + wire _T_158 = _T_156 & ic_bank_way_clken_1[0]; // @[el2_ifu_ic_mem.scala 249:36] + wire [70:0] _GEN_17 = _T_158 ? data_mem_1_0__T_160_data : _GEN_13; // @[el2_ifu_ic_mem.scala 249:69] + wire [70:0] _GEN_27 = _T_152 ? _GEN_13 : _GEN_17; // @[el2_ifu_ic_mem.scala 247:64] + wire _T_165 = ic_b_sb_wren_0[1] & ic_bank_way_clken_0[1]; // @[el2_ifu_ic_mem.scala 247:30] + wire _T_169 = ~ic_b_sb_wren_0[1]; // @[el2_ifu_ic_mem.scala 249:17] + wire _T_171 = _T_169 & ic_bank_way_clken_0[1]; // @[el2_ifu_ic_mem.scala 249:36] + wire [70:0] _GEN_31 = _T_171 ? data_mem_0_1__T_173_data : _GEN_27; // @[el2_ifu_ic_mem.scala 249:69] + wire [70:0] _GEN_41 = _T_165 ? _GEN_27 : _GEN_31; // @[el2_ifu_ic_mem.scala 247:64] + wire _T_178 = ic_b_sb_wren_1[1] & ic_bank_way_clken_1[1]; // @[el2_ifu_ic_mem.scala 247:30] + wire _T_182 = ~ic_b_sb_wren_1[1]; // @[el2_ifu_ic_mem.scala 249:17] + wire _T_184 = _T_182 & ic_bank_way_clken_1[1]; // @[el2_ifu_ic_mem.scala 249:36] + wire [70:0] _GEN_45 = _T_184 ? data_mem_1_1__T_186_data : _GEN_41; // @[el2_ifu_ic_mem.scala 249:69] + assign data_mem_0_0__T_147_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; + assign data_mem_0_0__T_147_data = data_mem_0_0[data_mem_0_0__T_147_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_0_0__T_160_addr = ic_rw_addr_q[11:3]; + assign data_mem_0_0__T_160_data = data_mem_0_0[data_mem_0_0__T_160_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_0_0__T_173_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; + assign data_mem_0_0__T_173_data = data_mem_0_0[data_mem_0_0__T_173_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_0_0__T_186_addr = ic_rw_addr_q[11:3]; + assign data_mem_0_0__T_186_data = data_mem_0_0[data_mem_0_0__T_186_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_0_0__T_141_data = io_test_in; + assign data_mem_0_0__T_141_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; + assign data_mem_0_0__T_141_mask = 1'h1; + assign data_mem_0_0__T_141_en = ic_b_sb_wren_0[0] & ic_bank_way_clken_0[0]; + assign data_mem_0_0__T_154_data = 71'h0; + assign data_mem_0_0__T_154_addr = ic_rw_addr_q[11:3]; + assign data_mem_0_0__T_154_mask = 1'h0; + assign data_mem_0_0__T_154_en = ic_b_sb_wren_1[0] & ic_bank_way_clken_1[0]; + assign data_mem_0_0__T_167_data = 71'h0; assign data_mem_0_0__T_167_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_0_0__T_167_data = data_mem_0_0[data_mem_0_0__T_167_addr]; // @[el2_ifu_ic_mem.scala 246:21] - assign data_mem_0_0__T_178_addr = ic_rw_addr_q[11:3]; - assign data_mem_0_0__T_178_data = data_mem_0_0[data_mem_0_0__T_178_addr]; // @[el2_ifu_ic_mem.scala 246:21] - assign data_mem_0_0__T_139_data = io_test_in; - assign data_mem_0_0__T_139_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_0_0__T_139_mask = 1'h1; - assign data_mem_0_0__T_139_en = ic_b_sb_wren_0[0] & ic_bank_way_clken_0[0]; - assign data_mem_0_0__T_150_data = 71'h0; - assign data_mem_0_0__T_150_addr = ic_rw_addr_q[11:3]; - assign data_mem_0_0__T_150_mask = 1'h0; - assign data_mem_0_0__T_150_en = ic_b_sb_wren_1[0] & ic_bank_way_clken_1[0]; - assign data_mem_0_0__T_161_data = 71'h0; - assign data_mem_0_0__T_161_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_0_0__T_161_mask = 1'h0; - assign data_mem_0_0__T_161_en = ic_b_sb_wren_0[1] & ic_bank_way_clken_0[1]; - assign data_mem_0_0__T_172_data = 71'h0; - assign data_mem_0_0__T_172_addr = ic_rw_addr_q[11:3]; - assign data_mem_0_0__T_172_mask = 1'h0; - assign data_mem_0_0__T_172_en = ic_b_sb_wren_1[1] & ic_bank_way_clken_1[1]; - assign data_mem_0_1__T_145_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_0_1__T_145_data = data_mem_0_1[data_mem_0_1__T_145_addr]; // @[el2_ifu_ic_mem.scala 246:21] - assign data_mem_0_1__T_156_addr = ic_rw_addr_q[11:3]; - assign data_mem_0_1__T_156_data = data_mem_0_1[data_mem_0_1__T_156_addr]; // @[el2_ifu_ic_mem.scala 246:21] + assign data_mem_0_0__T_167_mask = 1'h0; + assign data_mem_0_0__T_167_en = ic_b_sb_wren_0[1] & ic_bank_way_clken_0[1]; + assign data_mem_0_0__T_180_data = 71'h0; + assign data_mem_0_0__T_180_addr = ic_rw_addr_q[11:3]; + assign data_mem_0_0__T_180_mask = 1'h0; + assign data_mem_0_0__T_180_en = ic_b_sb_wren_1[1] & ic_bank_way_clken_1[1]; + assign data_mem_0_1__T_147_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; + assign data_mem_0_1__T_147_data = data_mem_0_1[data_mem_0_1__T_147_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_0_1__T_160_addr = ic_rw_addr_q[11:3]; + assign data_mem_0_1__T_160_data = data_mem_0_1[data_mem_0_1__T_160_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_0_1__T_173_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; + assign data_mem_0_1__T_173_data = data_mem_0_1[data_mem_0_1__T_173_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_0_1__T_186_addr = ic_rw_addr_q[11:3]; + assign data_mem_0_1__T_186_data = data_mem_0_1[data_mem_0_1__T_186_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_0_1__T_141_data = 71'h0; + assign data_mem_0_1__T_141_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; + assign data_mem_0_1__T_141_mask = 1'h0; + assign data_mem_0_1__T_141_en = ic_b_sb_wren_0[0] & ic_bank_way_clken_0[0]; + assign data_mem_0_1__T_154_data = 71'h0; + assign data_mem_0_1__T_154_addr = ic_rw_addr_q[11:3]; + assign data_mem_0_1__T_154_mask = 1'h0; + assign data_mem_0_1__T_154_en = ic_b_sb_wren_1[0] & ic_bank_way_clken_1[0]; + assign data_mem_0_1__T_167_data = io_test_in; assign data_mem_0_1__T_167_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_0_1__T_167_data = data_mem_0_1[data_mem_0_1__T_167_addr]; // @[el2_ifu_ic_mem.scala 246:21] - assign data_mem_0_1__T_178_addr = ic_rw_addr_q[11:3]; - assign data_mem_0_1__T_178_data = data_mem_0_1[data_mem_0_1__T_178_addr]; // @[el2_ifu_ic_mem.scala 246:21] - assign data_mem_0_1__T_139_data = 71'h0; - assign data_mem_0_1__T_139_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_0_1__T_139_mask = 1'h0; - assign data_mem_0_1__T_139_en = ic_b_sb_wren_0[0] & ic_bank_way_clken_0[0]; - assign data_mem_0_1__T_150_data = 71'h0; - assign data_mem_0_1__T_150_addr = ic_rw_addr_q[11:3]; - assign data_mem_0_1__T_150_mask = 1'h0; - assign data_mem_0_1__T_150_en = ic_b_sb_wren_1[0] & ic_bank_way_clken_1[0]; - assign data_mem_0_1__T_161_data = io_test_in; - assign data_mem_0_1__T_161_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_0_1__T_161_mask = 1'h1; - assign data_mem_0_1__T_161_en = ic_b_sb_wren_0[1] & ic_bank_way_clken_0[1]; - assign data_mem_0_1__T_172_data = 71'h0; - assign data_mem_0_1__T_172_addr = ic_rw_addr_q[11:3]; - assign data_mem_0_1__T_172_mask = 1'h0; - assign data_mem_0_1__T_172_en = ic_b_sb_wren_1[1] & ic_bank_way_clken_1[1]; - assign data_mem_1_0__T_145_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_1_0__T_145_data = data_mem_1_0[data_mem_1_0__T_145_addr]; // @[el2_ifu_ic_mem.scala 246:21] - assign data_mem_1_0__T_156_addr = ic_rw_addr_q[11:3]; - assign data_mem_1_0__T_156_data = data_mem_1_0[data_mem_1_0__T_156_addr]; // @[el2_ifu_ic_mem.scala 246:21] + assign data_mem_0_1__T_167_mask = 1'h1; + assign data_mem_0_1__T_167_en = ic_b_sb_wren_0[1] & ic_bank_way_clken_0[1]; + assign data_mem_0_1__T_180_data = 71'h0; + assign data_mem_0_1__T_180_addr = ic_rw_addr_q[11:3]; + assign data_mem_0_1__T_180_mask = 1'h0; + assign data_mem_0_1__T_180_en = ic_b_sb_wren_1[1] & ic_bank_way_clken_1[1]; + assign data_mem_1_0__T_147_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; + assign data_mem_1_0__T_147_data = data_mem_1_0[data_mem_1_0__T_147_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_1_0__T_160_addr = ic_rw_addr_q[11:3]; + assign data_mem_1_0__T_160_data = data_mem_1_0[data_mem_1_0__T_160_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_1_0__T_173_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; + assign data_mem_1_0__T_173_data = data_mem_1_0[data_mem_1_0__T_173_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_1_0__T_186_addr = ic_rw_addr_q[11:3]; + assign data_mem_1_0__T_186_data = data_mem_1_0[data_mem_1_0__T_186_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_1_0__T_141_data = 71'h0; + assign data_mem_1_0__T_141_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; + assign data_mem_1_0__T_141_mask = 1'h0; + assign data_mem_1_0__T_141_en = ic_b_sb_wren_0[0] & ic_bank_way_clken_0[0]; + assign data_mem_1_0__T_154_data = io_test_in; + assign data_mem_1_0__T_154_addr = ic_rw_addr_q[11:3]; + assign data_mem_1_0__T_154_mask = 1'h1; + assign data_mem_1_0__T_154_en = ic_b_sb_wren_1[0] & ic_bank_way_clken_1[0]; + assign data_mem_1_0__T_167_data = 71'h0; assign data_mem_1_0__T_167_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_1_0__T_167_data = data_mem_1_0[data_mem_1_0__T_167_addr]; // @[el2_ifu_ic_mem.scala 246:21] - assign data_mem_1_0__T_178_addr = ic_rw_addr_q[11:3]; - assign data_mem_1_0__T_178_data = data_mem_1_0[data_mem_1_0__T_178_addr]; // @[el2_ifu_ic_mem.scala 246:21] - assign data_mem_1_0__T_139_data = 71'h0; - assign data_mem_1_0__T_139_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_1_0__T_139_mask = 1'h0; - assign data_mem_1_0__T_139_en = ic_b_sb_wren_0[0] & ic_bank_way_clken_0[0]; - assign data_mem_1_0__T_150_data = io_test_in; - assign data_mem_1_0__T_150_addr = ic_rw_addr_q[11:3]; - assign data_mem_1_0__T_150_mask = 1'h1; - assign data_mem_1_0__T_150_en = ic_b_sb_wren_1[0] & ic_bank_way_clken_1[0]; - assign data_mem_1_0__T_161_data = 71'h0; - assign data_mem_1_0__T_161_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_1_0__T_161_mask = 1'h0; - assign data_mem_1_0__T_161_en = ic_b_sb_wren_0[1] & ic_bank_way_clken_0[1]; - assign data_mem_1_0__T_172_data = 71'h0; - assign data_mem_1_0__T_172_addr = ic_rw_addr_q[11:3]; - assign data_mem_1_0__T_172_mask = 1'h0; - assign data_mem_1_0__T_172_en = ic_b_sb_wren_1[1] & ic_bank_way_clken_1[1]; - assign data_mem_1_1__T_145_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_1_1__T_145_data = data_mem_1_1[data_mem_1_1__T_145_addr]; // @[el2_ifu_ic_mem.scala 246:21] - assign data_mem_1_1__T_156_addr = ic_rw_addr_q[11:3]; - assign data_mem_1_1__T_156_data = data_mem_1_1[data_mem_1_1__T_156_addr]; // @[el2_ifu_ic_mem.scala 246:21] + assign data_mem_1_0__T_167_mask = 1'h0; + assign data_mem_1_0__T_167_en = ic_b_sb_wren_0[1] & ic_bank_way_clken_0[1]; + assign data_mem_1_0__T_180_data = 71'h0; + assign data_mem_1_0__T_180_addr = ic_rw_addr_q[11:3]; + assign data_mem_1_0__T_180_mask = 1'h0; + assign data_mem_1_0__T_180_en = ic_b_sb_wren_1[1] & ic_bank_way_clken_1[1]; + assign data_mem_1_1__T_147_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; + assign data_mem_1_1__T_147_data = data_mem_1_1[data_mem_1_1__T_147_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_1_1__T_160_addr = ic_rw_addr_q[11:3]; + assign data_mem_1_1__T_160_data = data_mem_1_1[data_mem_1_1__T_160_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_1_1__T_173_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; + assign data_mem_1_1__T_173_data = data_mem_1_1[data_mem_1_1__T_173_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_1_1__T_186_addr = ic_rw_addr_q[11:3]; + assign data_mem_1_1__T_186_data = data_mem_1_1[data_mem_1_1__T_186_addr]; // @[el2_ifu_ic_mem.scala 243:21] + assign data_mem_1_1__T_141_data = 71'h0; + assign data_mem_1_1__T_141_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; + assign data_mem_1_1__T_141_mask = 1'h0; + assign data_mem_1_1__T_141_en = ic_b_sb_wren_0[0] & ic_bank_way_clken_0[0]; + assign data_mem_1_1__T_154_data = 71'h0; + assign data_mem_1_1__T_154_addr = ic_rw_addr_q[11:3]; + assign data_mem_1_1__T_154_mask = 1'h0; + assign data_mem_1_1__T_154_en = ic_b_sb_wren_1[0] & ic_bank_way_clken_1[0]; + assign data_mem_1_1__T_167_data = 71'h0; assign data_mem_1_1__T_167_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_1_1__T_167_data = data_mem_1_1[data_mem_1_1__T_167_addr]; // @[el2_ifu_ic_mem.scala 246:21] - assign data_mem_1_1__T_178_addr = ic_rw_addr_q[11:3]; - assign data_mem_1_1__T_178_data = data_mem_1_1[data_mem_1_1__T_178_addr]; // @[el2_ifu_ic_mem.scala 246:21] - assign data_mem_1_1__T_139_data = 71'h0; - assign data_mem_1_1__T_139_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_1_1__T_139_mask = 1'h0; - assign data_mem_1_1__T_139_en = ic_b_sb_wren_0[0] & ic_bank_way_clken_0[0]; - assign data_mem_1_1__T_150_data = 71'h0; - assign data_mem_1_1__T_150_addr = ic_rw_addr_q[11:3]; - assign data_mem_1_1__T_150_mask = 1'h0; - assign data_mem_1_1__T_150_en = ic_b_sb_wren_1[0] & ic_bank_way_clken_1[0]; - assign data_mem_1_1__T_161_data = 71'h0; - assign data_mem_1_1__T_161_addr = _T_124 ? ic_rw_addr_q[11:3] : _T_129; - assign data_mem_1_1__T_161_mask = 1'h0; - assign data_mem_1_1__T_161_en = ic_b_sb_wren_0[1] & ic_bank_way_clken_0[1]; - assign data_mem_1_1__T_172_data = io_test_in; - assign data_mem_1_1__T_172_addr = ic_rw_addr_q[11:3]; - assign data_mem_1_1__T_172_mask = 1'h1; - assign data_mem_1_1__T_172_en = ic_b_sb_wren_1[1] & ic_bank_way_clken_1[1]; + assign data_mem_1_1__T_167_mask = 1'h0; + assign data_mem_1_1__T_167_en = ic_b_sb_wren_0[1] & ic_bank_way_clken_0[1]; + assign data_mem_1_1__T_180_data = io_test_in; + assign data_mem_1_1__T_180_addr = ic_rw_addr_q[11:3]; + assign data_mem_1_1__T_180_mask = 1'h1; + assign data_mem_1_1__T_180_en = ic_b_sb_wren_1[1] & ic_bank_way_clken_1[1]; assign io_ic_rd_data = 64'h0; // @[el2_ifu_ic_mem.scala 194:17] assign io_ic_debug_rd_data = 71'h0; // @[el2_ifu_ic_mem.scala 195:23] assign io_ic_parerr = 2'h0; // @[el2_ifu_ic_mem.scala 196:16] assign io_ic_eccerr = 2'h0; // @[el2_ifu_ic_mem.scala 197:16] - assign io_test = _T_170 ? _GEN_41 : _GEN_45; // @[el2_ifu_ic_mem.scala 198:11 el2_ifu_ic_mem.scala 209:11 el2_ifu_ic_mem.scala 241:11 el2_ifu_ic_mem.scala 251:15 el2_ifu_ic_mem.scala 251:15 el2_ifu_ic_mem.scala 251:15 el2_ifu_ic_mem.scala 251:15] + assign io_test = _T_178 ? _GEN_41 : _GEN_45; // @[el2_ifu_ic_mem.scala 198:11 el2_ifu_ic_mem.scala 250:15 el2_ifu_ic_mem.scala 250:15 el2_ifu_ic_mem.scala 250:15 el2_ifu_ic_mem.scala 250:15] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -349,53 +349,53 @@ end // initial `endif `endif // SYNTHESIS always @(posedge clock) begin - if(data_mem_0_0__T_139_en & data_mem_0_0__T_139_mask) begin - data_mem_0_0[data_mem_0_0__T_139_addr] <= data_mem_0_0__T_139_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_0_0__T_141_en & data_mem_0_0__T_141_mask) begin + data_mem_0_0[data_mem_0_0__T_141_addr] <= data_mem_0_0__T_141_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_0_0__T_150_en & data_mem_0_0__T_150_mask) begin - data_mem_0_0[data_mem_0_0__T_150_addr] <= data_mem_0_0__T_150_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_0_0__T_154_en & data_mem_0_0__T_154_mask) begin + data_mem_0_0[data_mem_0_0__T_154_addr] <= data_mem_0_0__T_154_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_0_0__T_161_en & data_mem_0_0__T_161_mask) begin - data_mem_0_0[data_mem_0_0__T_161_addr] <= data_mem_0_0__T_161_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_0_0__T_167_en & data_mem_0_0__T_167_mask) begin + data_mem_0_0[data_mem_0_0__T_167_addr] <= data_mem_0_0__T_167_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_0_0__T_172_en & data_mem_0_0__T_172_mask) begin - data_mem_0_0[data_mem_0_0__T_172_addr] <= data_mem_0_0__T_172_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_0_0__T_180_en & data_mem_0_0__T_180_mask) begin + data_mem_0_0[data_mem_0_0__T_180_addr] <= data_mem_0_0__T_180_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_0_1__T_139_en & data_mem_0_1__T_139_mask) begin - data_mem_0_1[data_mem_0_1__T_139_addr] <= data_mem_0_1__T_139_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_0_1__T_141_en & data_mem_0_1__T_141_mask) begin + data_mem_0_1[data_mem_0_1__T_141_addr] <= data_mem_0_1__T_141_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_0_1__T_150_en & data_mem_0_1__T_150_mask) begin - data_mem_0_1[data_mem_0_1__T_150_addr] <= data_mem_0_1__T_150_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_0_1__T_154_en & data_mem_0_1__T_154_mask) begin + data_mem_0_1[data_mem_0_1__T_154_addr] <= data_mem_0_1__T_154_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_0_1__T_161_en & data_mem_0_1__T_161_mask) begin - data_mem_0_1[data_mem_0_1__T_161_addr] <= data_mem_0_1__T_161_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_0_1__T_167_en & data_mem_0_1__T_167_mask) begin + data_mem_0_1[data_mem_0_1__T_167_addr] <= data_mem_0_1__T_167_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_0_1__T_172_en & data_mem_0_1__T_172_mask) begin - data_mem_0_1[data_mem_0_1__T_172_addr] <= data_mem_0_1__T_172_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_0_1__T_180_en & data_mem_0_1__T_180_mask) begin + data_mem_0_1[data_mem_0_1__T_180_addr] <= data_mem_0_1__T_180_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_1_0__T_139_en & data_mem_1_0__T_139_mask) begin - data_mem_1_0[data_mem_1_0__T_139_addr] <= data_mem_1_0__T_139_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_1_0__T_141_en & data_mem_1_0__T_141_mask) begin + data_mem_1_0[data_mem_1_0__T_141_addr] <= data_mem_1_0__T_141_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_1_0__T_150_en & data_mem_1_0__T_150_mask) begin - data_mem_1_0[data_mem_1_0__T_150_addr] <= data_mem_1_0__T_150_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_1_0__T_154_en & data_mem_1_0__T_154_mask) begin + data_mem_1_0[data_mem_1_0__T_154_addr] <= data_mem_1_0__T_154_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_1_0__T_161_en & data_mem_1_0__T_161_mask) begin - data_mem_1_0[data_mem_1_0__T_161_addr] <= data_mem_1_0__T_161_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_1_0__T_167_en & data_mem_1_0__T_167_mask) begin + data_mem_1_0[data_mem_1_0__T_167_addr] <= data_mem_1_0__T_167_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_1_0__T_172_en & data_mem_1_0__T_172_mask) begin - data_mem_1_0[data_mem_1_0__T_172_addr] <= data_mem_1_0__T_172_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_1_0__T_180_en & data_mem_1_0__T_180_mask) begin + data_mem_1_0[data_mem_1_0__T_180_addr] <= data_mem_1_0__T_180_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_1_1__T_139_en & data_mem_1_1__T_139_mask) begin - data_mem_1_1[data_mem_1_1__T_139_addr] <= data_mem_1_1__T_139_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_1_1__T_141_en & data_mem_1_1__T_141_mask) begin + data_mem_1_1[data_mem_1_1__T_141_addr] <= data_mem_1_1__T_141_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_1_1__T_150_en & data_mem_1_1__T_150_mask) begin - data_mem_1_1[data_mem_1_1__T_150_addr] <= data_mem_1_1__T_150_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_1_1__T_154_en & data_mem_1_1__T_154_mask) begin + data_mem_1_1[data_mem_1_1__T_154_addr] <= data_mem_1_1__T_154_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_1_1__T_161_en & data_mem_1_1__T_161_mask) begin - data_mem_1_1[data_mem_1_1__T_161_addr] <= data_mem_1_1__T_161_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_1_1__T_167_en & data_mem_1_1__T_167_mask) begin + data_mem_1_1[data_mem_1_1__T_167_addr] <= data_mem_1_1__T_167_data; // @[el2_ifu_ic_mem.scala 243:21] end - if(data_mem_1_1__T_172_en & data_mem_1_1__T_172_mask) begin - data_mem_1_1[data_mem_1_1__T_172_addr] <= data_mem_1_1__T_172_data; // @[el2_ifu_ic_mem.scala 246:21] + if(data_mem_1_1__T_180_en & data_mem_1_1__T_180_mask) begin + data_mem_1_1[data_mem_1_1__T_180_addr] <= data_mem_1_1__T_180_data; // @[el2_ifu_ic_mem.scala 243:21] end end endmodule diff --git a/el2_ifu_bp_ctl.fir b/el2_ifu_bp_ctl.fir index 0a767164..3c829c25 100644 --- a/el2_ifu_bp_ctl.fir +++ b/el2_ifu_bp_ctl.fir @@ -29,18 +29,18 @@ circuit el2_ifu_bp_ctl : dec_tlu_way_wb <= UInt<1>("h00") node _T = eq(leak_one_f, UInt<1>("h00")) @[el2_ifu_bp_ctl.scala 68:43] node exu_mp_valid = and(io.exu_mp_pkt.misp, _T) @[el2_ifu_bp_ctl.scala 68:41] - node _T_1 = bits(io.ifc_fetch_addr_f, 9, 2) @[el2_lib.scala 182:12] - node _T_2 = bits(io.ifc_fetch_addr_f, 17, 10) @[el2_lib.scala 182:46] - node _T_3 = xor(_T_1, _T_2) @[el2_lib.scala 182:42] - node _T_4 = bits(io.ifc_fetch_addr_f, 25, 18) @[el2_lib.scala 182:80] - node btb_rd_addr_f = xor(_T_3, _T_4) @[el2_lib.scala 182:76] + node _T_1 = bits(io.ifc_fetch_addr_f, 9, 2) @[el2_lib.scala 179:12] + node _T_2 = bits(io.ifc_fetch_addr_f, 17, 10) @[el2_lib.scala 179:46] + node _T_3 = xor(_T_1, _T_2) @[el2_lib.scala 179:42] + node _T_4 = bits(io.ifc_fetch_addr_f, 25, 18) @[el2_lib.scala 179:80] + node btb_rd_addr_f = xor(_T_3, _T_4) @[el2_lib.scala 179:76] node _T_5 = add(io.ifc_fetch_addr_f, UInt<3>("h04")) @[el2_ifu_bp_ctl.scala 93:45] node fetch_addr_p1_f = tail(_T_5, 1) @[el2_ifu_bp_ctl.scala 93:45] - node _T_6 = bits(fetch_addr_p1_f, 9, 2) @[el2_lib.scala 182:12] - node _T_7 = bits(fetch_addr_p1_f, 17, 10) @[el2_lib.scala 182:46] - node _T_8 = xor(_T_6, _T_7) @[el2_lib.scala 182:42] - node _T_9 = bits(fetch_addr_p1_f, 25, 18) @[el2_lib.scala 182:80] - node btb_rd_addr_p1_f = xor(_T_8, _T_9) @[el2_lib.scala 182:76] + node _T_6 = bits(fetch_addr_p1_f, 9, 2) @[el2_lib.scala 179:12] + node _T_7 = bits(fetch_addr_p1_f, 17, 10) @[el2_lib.scala 179:46] + node _T_8 = xor(_T_6, _T_7) @[el2_lib.scala 179:42] + node _T_9 = bits(fetch_addr_p1_f, 25, 18) @[el2_lib.scala 179:80] + node btb_rd_addr_p1_f = xor(_T_8, _T_9) @[el2_lib.scala 179:76] node _T_10 = bits(bht_dir_f, 0, 0) @[el2_ifu_bp_ctl.scala 98:33] node _T_11 = not(_T_10) @[el2_ifu_bp_ctl.scala 98:23] node _T_12 = bits(bht_dir_f, 0, 0) @[el2_ifu_bp_ctl.scala 98:46] @@ -55,24 +55,24 @@ circuit el2_ifu_bp_ctl : node branch_error_collision_p1_f = and(dec_tlu_error_wb, _T_17) @[el2_ifu_bp_ctl.scala 105:54] node branch_error_bank_conflict_f = and(branch_error_collision_f, dec_tlu_error_wb) @[el2_ifu_bp_ctl.scala 108:63] node branch_error_bank_conflict_p1_f = and(branch_error_collision_p1_f, dec_tlu_error_wb) @[el2_ifu_bp_ctl.scala 109:69] - node _T_18 = bits(io.ifc_fetch_addr_f, 14, 10) @[el2_lib.scala 175:32] - node _T_19 = bits(io.ifc_fetch_addr_f, 19, 15) @[el2_lib.scala 175:32] - node _T_20 = bits(io.ifc_fetch_addr_f, 24, 20) @[el2_lib.scala 175:32] - wire _T_21 : UInt<5>[3] @[el2_lib.scala 175:24] - _T_21[0] <= _T_18 @[el2_lib.scala 175:24] - _T_21[1] <= _T_19 @[el2_lib.scala 175:24] - _T_21[2] <= _T_20 @[el2_lib.scala 175:24] - node _T_22 = xor(_T_21[0], _T_21[1]) @[el2_lib.scala 175:111] - node fetch_rd_tag_f = xor(_T_22, _T_21[2]) @[el2_lib.scala 175:111] - node _T_23 = bits(fetch_addr_p1_f, 14, 10) @[el2_lib.scala 175:32] - node _T_24 = bits(fetch_addr_p1_f, 19, 15) @[el2_lib.scala 175:32] - node _T_25 = bits(fetch_addr_p1_f, 24, 20) @[el2_lib.scala 175:32] - wire _T_26 : UInt<5>[3] @[el2_lib.scala 175:24] - _T_26[0] <= _T_23 @[el2_lib.scala 175:24] - _T_26[1] <= _T_24 @[el2_lib.scala 175:24] - _T_26[2] <= _T_25 @[el2_lib.scala 175:24] - node _T_27 = xor(_T_26[0], _T_26[1]) @[el2_lib.scala 175:111] - node fetch_rd_tag_p1_f = xor(_T_27, _T_26[2]) @[el2_lib.scala 175:111] + node _T_18 = bits(io.ifc_fetch_addr_f, 14, 10) @[el2_lib.scala 172:32] + node _T_19 = bits(io.ifc_fetch_addr_f, 19, 15) @[el2_lib.scala 172:32] + node _T_20 = bits(io.ifc_fetch_addr_f, 24, 20) @[el2_lib.scala 172:32] + wire _T_21 : UInt<5>[3] @[el2_lib.scala 172:24] + _T_21[0] <= _T_18 @[el2_lib.scala 172:24] + _T_21[1] <= _T_19 @[el2_lib.scala 172:24] + _T_21[2] <= _T_20 @[el2_lib.scala 172:24] + node _T_22 = xor(_T_21[0], _T_21[1]) @[el2_lib.scala 172:111] + node fetch_rd_tag_f = xor(_T_22, _T_21[2]) @[el2_lib.scala 172:111] + node _T_23 = bits(fetch_addr_p1_f, 14, 10) @[el2_lib.scala 172:32] + node _T_24 = bits(fetch_addr_p1_f, 19, 15) @[el2_lib.scala 172:32] + node _T_25 = bits(fetch_addr_p1_f, 24, 20) @[el2_lib.scala 172:32] + wire _T_26 : UInt<5>[3] @[el2_lib.scala 172:24] + _T_26[0] <= _T_23 @[el2_lib.scala 172:24] + _T_26[1] <= _T_24 @[el2_lib.scala 172:24] + _T_26[2] <= _T_25 @[el2_lib.scala 172:24] + node _T_27 = xor(_T_26[0], _T_26[1]) @[el2_lib.scala 172:111] + node fetch_rd_tag_p1_f = xor(_T_27, _T_26[2]) @[el2_lib.scala 172:111] node _T_28 = eq(io.exu_mp_btag, fetch_rd_tag_f) @[el2_ifu_bp_ctl.scala 114:46] node _T_29 = and(_T_28, exu_mp_valid) @[el2_ifu_bp_ctl.scala 114:66] node _T_30 = and(_T_29, io.ifc_fetch_req_f) @[el2_ifu_bp_ctl.scala 114:81] @@ -544,29 +544,29 @@ circuit el2_ifu_bp_ctl : node _T_384 = cat(_T_383, bp_total_branch_offset_f) @[Cat.scala 29:58] node _T_385 = cat(_T_384, UInt<1>("h00")) @[Cat.scala 29:58] node _T_386 = cat(btb_rd_tgt_f, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_387 = bits(_T_385, 12, 1) @[el2_lib.scala 199:24] - node _T_388 = bits(_T_386, 12, 1) @[el2_lib.scala 199:40] - node _T_389 = add(_T_387, _T_388) @[el2_lib.scala 199:31] - node _T_390 = bits(_T_385, 31, 13) @[el2_lib.scala 200:20] - node _T_391 = add(_T_390, UInt<1>("h01")) @[el2_lib.scala 200:27] - node _T_392 = tail(_T_391, 1) @[el2_lib.scala 200:27] - node _T_393 = bits(_T_385, 31, 13) @[el2_lib.scala 201:20] - node _T_394 = add(_T_393, UInt<1>("h01")) @[el2_lib.scala 201:27] - node _T_395 = tail(_T_394, 1) @[el2_lib.scala 201:27] - node _T_396 = bits(_T_386, 12, 12) @[el2_lib.scala 202:22] - node _T_397 = bits(_T_389, 12, 12) @[el2_lib.scala 203:38] - node _T_398 = eq(_T_397, UInt<1>("h00")) @[el2_lib.scala 203:27] - node _T_399 = xor(_T_396, _T_398) @[el2_lib.scala 203:25] - node _T_400 = bits(_T_399, 0, 0) @[el2_lib.scala 203:63] - node _T_401 = bits(_T_385, 31, 13) @[el2_lib.scala 203:75] - node _T_402 = eq(_T_396, UInt<1>("h00")) @[el2_lib.scala 204:8] - node _T_403 = bits(_T_389, 12, 12) @[el2_lib.scala 204:26] - node _T_404 = and(_T_402, _T_403) @[el2_lib.scala 204:14] - node _T_405 = bits(_T_404, 0, 0) @[el2_lib.scala 204:51] - node _T_406 = bits(_T_389, 12, 12) @[el2_lib.scala 205:26] - node _T_407 = eq(_T_406, UInt<1>("h00")) @[el2_lib.scala 205:15] - node _T_408 = and(_T_396, _T_407) @[el2_lib.scala 205:13] - node _T_409 = bits(_T_408, 0, 0) @[el2_lib.scala 205:51] + node _T_387 = bits(_T_385, 12, 1) @[el2_lib.scala 196:24] + node _T_388 = bits(_T_386, 12, 1) @[el2_lib.scala 196:40] + node _T_389 = add(_T_387, _T_388) @[el2_lib.scala 196:31] + node _T_390 = bits(_T_385, 31, 13) @[el2_lib.scala 197:20] + node _T_391 = add(_T_390, UInt<1>("h01")) @[el2_lib.scala 197:27] + node _T_392 = tail(_T_391, 1) @[el2_lib.scala 197:27] + node _T_393 = bits(_T_385, 31, 13) @[el2_lib.scala 198:20] + node _T_394 = add(_T_393, UInt<1>("h01")) @[el2_lib.scala 198:27] + node _T_395 = tail(_T_394, 1) @[el2_lib.scala 198:27] + node _T_396 = bits(_T_386, 12, 12) @[el2_lib.scala 199:22] + node _T_397 = bits(_T_389, 12, 12) @[el2_lib.scala 200:38] + node _T_398 = eq(_T_397, UInt<1>("h00")) @[el2_lib.scala 200:27] + node _T_399 = xor(_T_396, _T_398) @[el2_lib.scala 200:25] + node _T_400 = bits(_T_399, 0, 0) @[el2_lib.scala 200:63] + node _T_401 = bits(_T_385, 31, 13) @[el2_lib.scala 200:75] + node _T_402 = eq(_T_396, UInt<1>("h00")) @[el2_lib.scala 201:8] + node _T_403 = bits(_T_389, 12, 12) @[el2_lib.scala 201:26] + node _T_404 = and(_T_402, _T_403) @[el2_lib.scala 201:14] + node _T_405 = bits(_T_404, 0, 0) @[el2_lib.scala 201:51] + node _T_406 = bits(_T_389, 12, 12) @[el2_lib.scala 202:26] + node _T_407 = eq(_T_406, UInt<1>("h00")) @[el2_lib.scala 202:15] + node _T_408 = and(_T_396, _T_407) @[el2_lib.scala 202:13] + node _T_409 = bits(_T_408, 0, 0) @[el2_lib.scala 202:51] node _T_410 = mux(_T_400, _T_401, UInt<1>("h00")) @[Mux.scala 27:72] node _T_411 = mux(_T_405, _T_392, UInt<1>("h00")) @[Mux.scala 27:72] node _T_412 = mux(_T_409, _T_395, UInt<1>("h00")) @[Mux.scala 27:72] @@ -574,7 +574,7 @@ circuit el2_ifu_bp_ctl : node _T_414 = or(_T_413, _T_412) @[Mux.scala 27:72] wire _T_415 : UInt<19> @[Mux.scala 27:72] _T_415 <= _T_414 @[Mux.scala 27:72] - node _T_416 = bits(_T_389, 11, 0) @[el2_lib.scala 205:83] + node _T_416 = bits(_T_389, 11, 0) @[el2_lib.scala 202:83] node _T_417 = cat(_T_415, _T_416) @[Cat.scala 29:58] node bp_btb_target_adder_f = cat(_T_417, UInt<1>("h00")) @[Cat.scala 29:58] wire rets_out : UInt<32>[8] @[el2_ifu_bp_ctl.scala 304:22] @@ -602,29 +602,29 @@ circuit el2_ifu_bp_ctl : node _T_430 = not(btb_rd_pc4_f) @[el2_ifu_bp_ctl.scala 311:113] node _T_431 = cat(_T_429, _T_430) @[Cat.scala 29:58] node _T_432 = cat(_T_431, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_433 = bits(_T_428, 12, 1) @[el2_lib.scala 199:24] - node _T_434 = bits(_T_432, 12, 1) @[el2_lib.scala 199:40] - node _T_435 = add(_T_433, _T_434) @[el2_lib.scala 199:31] - node _T_436 = bits(_T_428, 31, 13) @[el2_lib.scala 200:20] - node _T_437 = add(_T_436, UInt<1>("h01")) @[el2_lib.scala 200:27] - node _T_438 = tail(_T_437, 1) @[el2_lib.scala 200:27] - node _T_439 = bits(_T_428, 31, 13) @[el2_lib.scala 201:20] - node _T_440 = add(_T_439, UInt<1>("h01")) @[el2_lib.scala 201:27] - node _T_441 = tail(_T_440, 1) @[el2_lib.scala 201:27] - node _T_442 = bits(_T_432, 12, 12) @[el2_lib.scala 202:22] - node _T_443 = bits(_T_435, 12, 12) @[el2_lib.scala 203:38] - node _T_444 = eq(_T_443, UInt<1>("h00")) @[el2_lib.scala 203:27] - node _T_445 = xor(_T_442, _T_444) @[el2_lib.scala 203:25] - node _T_446 = bits(_T_445, 0, 0) @[el2_lib.scala 203:63] - node _T_447 = bits(_T_428, 31, 13) @[el2_lib.scala 203:75] - node _T_448 = eq(_T_442, UInt<1>("h00")) @[el2_lib.scala 204:8] - node _T_449 = bits(_T_435, 12, 12) @[el2_lib.scala 204:26] - node _T_450 = and(_T_448, _T_449) @[el2_lib.scala 204:14] - node _T_451 = bits(_T_450, 0, 0) @[el2_lib.scala 204:51] - node _T_452 = bits(_T_435, 12, 12) @[el2_lib.scala 205:26] - node _T_453 = eq(_T_452, UInt<1>("h00")) @[el2_lib.scala 205:15] - node _T_454 = and(_T_442, _T_453) @[el2_lib.scala 205:13] - node _T_455 = bits(_T_454, 0, 0) @[el2_lib.scala 205:51] + node _T_433 = bits(_T_428, 12, 1) @[el2_lib.scala 196:24] + node _T_434 = bits(_T_432, 12, 1) @[el2_lib.scala 196:40] + node _T_435 = add(_T_433, _T_434) @[el2_lib.scala 196:31] + node _T_436 = bits(_T_428, 31, 13) @[el2_lib.scala 197:20] + node _T_437 = add(_T_436, UInt<1>("h01")) @[el2_lib.scala 197:27] + node _T_438 = tail(_T_437, 1) @[el2_lib.scala 197:27] + node _T_439 = bits(_T_428, 31, 13) @[el2_lib.scala 198:20] + node _T_440 = add(_T_439, UInt<1>("h01")) @[el2_lib.scala 198:27] + node _T_441 = tail(_T_440, 1) @[el2_lib.scala 198:27] + node _T_442 = bits(_T_432, 12, 12) @[el2_lib.scala 199:22] + node _T_443 = bits(_T_435, 12, 12) @[el2_lib.scala 200:38] + node _T_444 = eq(_T_443, UInt<1>("h00")) @[el2_lib.scala 200:27] + node _T_445 = xor(_T_442, _T_444) @[el2_lib.scala 200:25] + node _T_446 = bits(_T_445, 0, 0) @[el2_lib.scala 200:63] + node _T_447 = bits(_T_428, 31, 13) @[el2_lib.scala 200:75] + node _T_448 = eq(_T_442, UInt<1>("h00")) @[el2_lib.scala 201:8] + node _T_449 = bits(_T_435, 12, 12) @[el2_lib.scala 201:26] + node _T_450 = and(_T_448, _T_449) @[el2_lib.scala 201:14] + node _T_451 = bits(_T_450, 0, 0) @[el2_lib.scala 201:51] + node _T_452 = bits(_T_435, 12, 12) @[el2_lib.scala 202:26] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[el2_lib.scala 202:15] + node _T_454 = and(_T_442, _T_453) @[el2_lib.scala 202:13] + node _T_455 = bits(_T_454, 0, 0) @[el2_lib.scala 202:51] node _T_456 = mux(_T_446, _T_447, UInt<1>("h00")) @[Mux.scala 27:72] node _T_457 = mux(_T_451, _T_438, UInt<1>("h00")) @[Mux.scala 27:72] node _T_458 = mux(_T_455, _T_441, UInt<1>("h00")) @[Mux.scala 27:72] @@ -632,7 +632,7 @@ circuit el2_ifu_bp_ctl : node _T_460 = or(_T_459, _T_458) @[Mux.scala 27:72] wire _T_461 : UInt<19> @[Mux.scala 27:72] _T_461 <= _T_460 @[Mux.scala 27:72] - node _T_462 = bits(_T_435, 11, 0) @[el2_lib.scala 205:83] + node _T_462 = bits(_T_435, 11, 0) @[el2_lib.scala 202:83] node _T_463 = cat(_T_461, _T_462) @[Cat.scala 29:58] node bp_rs_call_target_f = cat(_T_463, UInt<1>("h00")) @[Cat.scala 29:58] node _T_464 = eq(btb_rd_ret_f, UInt<1>("h00")) @[el2_ifu_bp_ctl.scala 313:33] @@ -797,21 +797,21 @@ circuit el2_ifu_bp_ctl : node _T_556 = cat(io.dec_tlu_br0_r_pkt.middle, _T_555) @[Cat.scala 29:58] node bht_wr_en2 = and(_T_554, _T_556) @[el2_ifu_bp_ctl.scala 343:46] node _T_557 = cat(io.exu_mp_index, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_558 = bits(_T_557, 9, 2) @[el2_lib.scala 186:16] - node _T_559 = bits(io.exu_mp_eghr, 7, 0) @[el2_lib.scala 186:40] - node bht_wr_addr0 = xor(_T_558, _T_559) @[el2_lib.scala 186:35] + node _T_558 = bits(_T_557, 9, 2) @[el2_lib.scala 183:16] + node _T_559 = bits(io.exu_mp_eghr, 7, 0) @[el2_lib.scala 183:40] + node bht_wr_addr0 = xor(_T_558, _T_559) @[el2_lib.scala 183:35] node _T_560 = cat(io.exu_i0_br_index_r, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_561 = bits(_T_560, 9, 2) @[el2_lib.scala 186:16] - node _T_562 = bits(io.exu_i0_br_fghr_r, 7, 0) @[el2_lib.scala 186:40] - node bht_wr_addr2 = xor(_T_561, _T_562) @[el2_lib.scala 186:35] + node _T_561 = bits(_T_560, 9, 2) @[el2_lib.scala 183:16] + node _T_562 = bits(io.exu_i0_br_fghr_r, 7, 0) @[el2_lib.scala 183:40] + node bht_wr_addr2 = xor(_T_561, _T_562) @[el2_lib.scala 183:35] node _T_563 = cat(btb_rd_addr_f, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_564 = bits(_T_563, 9, 2) @[el2_lib.scala 186:16] - node _T_565 = bits(fghr, 7, 0) @[el2_lib.scala 186:40] - node bht_rd_addr_f = xor(_T_564, _T_565) @[el2_lib.scala 186:35] + node _T_564 = bits(_T_563, 9, 2) @[el2_lib.scala 183:16] + node _T_565 = bits(fghr, 7, 0) @[el2_lib.scala 183:40] + node bht_rd_addr_f = xor(_T_564, _T_565) @[el2_lib.scala 183:35] node _T_566 = cat(btb_rd_addr_p1_f, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_567 = bits(_T_566, 9, 2) @[el2_lib.scala 186:16] - node _T_568 = bits(fghr, 7, 0) @[el2_lib.scala 186:40] - node bht_rd_addr_hashed_p1_f = xor(_T_567, _T_568) @[el2_lib.scala 186:35] + node _T_567 = bits(_T_566, 9, 2) @[el2_lib.scala 183:16] + node _T_568 = bits(fghr, 7, 0) @[el2_lib.scala 183:40] + node bht_rd_addr_hashed_p1_f = xor(_T_567, _T_568) @[el2_lib.scala 183:35] node _T_569 = eq(btb_wr_addr, UInt<1>("h00")) @[el2_ifu_bp_ctl.scala 360:101] node _T_570 = and(_T_569, btb_wr_en_way0) @[el2_ifu_bp_ctl.scala 360:109] node _T_571 = bits(_T_570, 0, 0) @[el2_ifu_bp_ctl.scala 360:127] diff --git a/el2_ifu_bp_ctl.v b/el2_ifu_bp_ctl.v index 23f10392..2f342800 100644 --- a/el2_ifu_bp_ctl.v +++ b/el2_ifu_bp_ctl.v @@ -1093,11 +1093,11 @@ module el2_ifu_bp_ctl( wire leak_one_f = _T_36 | _T_37; // @[el2_ifu_bp_ctl.scala 122:76] wire _T = ~leak_one_f; // @[el2_ifu_bp_ctl.scala 68:43] wire exu_mp_valid = io_exu_mp_pkt_misp & _T; // @[el2_ifu_bp_ctl.scala 68:41] - wire [7:0] _T_3 = io_ifc_fetch_addr_f[9:2] ^ io_ifc_fetch_addr_f[17:10]; // @[el2_lib.scala 182:42] - wire [7:0] btb_rd_addr_f = _T_3 ^ io_ifc_fetch_addr_f[25:18]; // @[el2_lib.scala 182:76] + wire [7:0] _T_3 = io_ifc_fetch_addr_f[9:2] ^ io_ifc_fetch_addr_f[17:10]; // @[el2_lib.scala 179:42] + wire [7:0] btb_rd_addr_f = _T_3 ^ io_ifc_fetch_addr_f[25:18]; // @[el2_lib.scala 179:76] wire [30:0] fetch_addr_p1_f = io_ifc_fetch_addr_f + 31'h4; // @[el2_ifu_bp_ctl.scala 93:45] - wire [7:0] _T_8 = fetch_addr_p1_f[9:2] ^ fetch_addr_p1_f[17:10]; // @[el2_lib.scala 182:42] - wire [7:0] btb_rd_addr_p1_f = _T_8 ^ fetch_addr_p1_f[25:18]; // @[el2_lib.scala 182:76] + wire [7:0] _T_8 = fetch_addr_p1_f[9:2] ^ fetch_addr_p1_f[17:10]; // @[el2_lib.scala 179:42] + wire [7:0] btb_rd_addr_p1_f = _T_8 ^ fetch_addr_p1_f[25:18]; // @[el2_lib.scala 179:76] wire _T_139 = ~io_ifc_fetch_addr_f[1]; // @[el2_ifu_bp_ctl.scala 169:40] wire _T_2105 = btb_rd_addr_f == 8'h0; // @[el2_ifu_bp_ctl.scala 363:77] reg [21:0] btb_bank0_rd_data_way0_out_0; // @[Reg.scala 27:20] @@ -2122,8 +2122,8 @@ module el2_ifu_bp_ctl( reg [21:0] btb_bank0_rd_data_way0_out_255; // @[Reg.scala 27:20] wire [21:0] _T_2872 = _T_2615 ? btb_bank0_rd_data_way0_out_255 : 22'h0; // @[Mux.scala 27:72] wire [21:0] btb_bank0_rd_data_way0_f = _T_3126 | _T_2872; // @[Mux.scala 27:72] - wire [4:0] _T_22 = io_ifc_fetch_addr_f[14:10] ^ io_ifc_fetch_addr_f[19:15]; // @[el2_lib.scala 175:111] - wire [4:0] fetch_rd_tag_f = _T_22 ^ io_ifc_fetch_addr_f[24:20]; // @[el2_lib.scala 175:111] + wire [4:0] _T_22 = io_ifc_fetch_addr_f[14:10] ^ io_ifc_fetch_addr_f[19:15]; // @[el2_lib.scala 172:111] + wire [4:0] fetch_rd_tag_f = _T_22 ^ io_ifc_fetch_addr_f[24:20]; // @[el2_lib.scala 172:111] wire _T_41 = btb_bank0_rd_data_way0_f[21:17] == fetch_rd_tag_f; // @[el2_ifu_bp_ctl.scala 125:97] wire _T_42 = btb_bank0_rd_data_way0_f[0] & _T_41; // @[el2_ifu_bp_ctl.scala 125:55] reg dec_tlu_way_wb_f; // @[el2_ifu_bp_ctl.scala 118:33] @@ -3691,8 +3691,8 @@ module el2_ifu_bp_ctl( wire _T_4663 = btb_rd_addr_p1_f == 8'hff; // @[el2_ifu_bp_ctl.scala 366:83] wire [21:0] _T_4920 = _T_4663 ? btb_bank0_rd_data_way0_out_255 : 22'h0; // @[Mux.scala 27:72] wire [21:0] btb_bank0_rd_data_way0_p1_f = _T_5174 | _T_4920; // @[Mux.scala 27:72] - wire [4:0] _T_27 = fetch_addr_p1_f[14:10] ^ fetch_addr_p1_f[19:15]; // @[el2_lib.scala 175:111] - wire [4:0] fetch_rd_tag_p1_f = _T_27 ^ fetch_addr_p1_f[24:20]; // @[el2_lib.scala 175:111] + wire [4:0] _T_27 = fetch_addr_p1_f[14:10] ^ fetch_addr_p1_f[19:15]; // @[el2_lib.scala 172:111] + wire [4:0] fetch_rd_tag_p1_f = _T_27 ^ fetch_addr_p1_f[24:20]; // @[el2_lib.scala 172:111] wire _T_59 = btb_bank0_rd_data_way0_p1_f[21:17] == fetch_rd_tag_p1_f; // @[el2_ifu_bp_ctl.scala 131:106] wire _T_60 = btb_bank0_rd_data_way0_p1_f[0] & _T_59; // @[el2_ifu_bp_ctl.scala 131:61] wire _T_63 = _T_60 & _T_44; // @[el2_ifu_bp_ctl.scala 131:129] @@ -4241,7 +4241,7 @@ module el2_ifu_bp_ctl( wire _T_251 = ~io_ifc_fetch_addr_f[0]; // @[el2_ifu_bp_ctl.scala 239:40] wire [9:0] _T_563 = {btb_rd_addr_f,2'h0}; // @[Cat.scala 29:58] reg [7:0] fghr; // @[el2_ifu_bp_ctl.scala 275:18] - wire [7:0] bht_rd_addr_f = _T_563[9:2] ^ fghr; // @[el2_lib.scala 186:35] + wire [7:0] bht_rd_addr_f = _T_563[9:2] ^ fghr; // @[el2_lib.scala 183:35] wire _T_20794 = bht_rd_addr_f == 8'h0; // @[el2_ifu_bp_ctl.scala 382:106] reg [1:0] bht_bank_rd_data_out_1_0; // @[Reg.scala 27:20] wire [1:0] _T_21561 = _T_20794 ? bht_bank_rd_data_out_1_0 : 2'h0; // @[Mux.scala 27:72] @@ -5267,7 +5267,7 @@ module el2_ifu_bp_ctl( wire [1:0] bht_bank1_rd_data_f = _T_22070 | _T_21816; // @[Mux.scala 27:72] wire [1:0] _T_254 = _T_251 ? bht_bank1_rd_data_f : 2'h0; // @[Mux.scala 27:72] wire [9:0] _T_566 = {btb_rd_addr_p1_f,2'h0}; // @[Cat.scala 29:58] - wire [7:0] bht_rd_addr_hashed_p1_f = _T_566[9:2] ^ fghr; // @[el2_lib.scala 186:35] + wire [7:0] bht_rd_addr_hashed_p1_f = _T_566[9:2] ^ fghr; // @[el2_lib.scala 183:35] wire _T_22074 = bht_rd_addr_hashed_p1_f == 8'h0; // @[el2_ifu_bp_ctl.scala 383:112] wire [1:0] _T_22841 = _T_22074 ? bht_bank_rd_data_out_1_0 : 2'h0; // @[Mux.scala 27:72] wire _T_22077 = bht_rd_addr_hashed_p1_f == 8'h1; // @[el2_ifu_bp_ctl.scala 383:112] @@ -6965,13 +6965,13 @@ module el2_ifu_bp_ctl( wire [30:0] adder_pc_in_f = _T_381 | _GEN_1038; // @[Mux.scala 27:72] wire [31:0] _T_385 = {adder_pc_in_f[29:0],bp_total_branch_offset_f,1'h0}; // @[Cat.scala 29:58] wire [12:0] _T_386 = {btb_rd_tgt_f,1'h0}; // @[Cat.scala 29:58] - wire [12:0] _T_389 = _T_385[12:1] + _T_386[12:1]; // @[el2_lib.scala 199:31] - wire [18:0] _T_392 = _T_385[31:13] + 19'h1; // @[el2_lib.scala 200:27] - wire _T_398 = ~_T_389[12]; // @[el2_lib.scala 203:27] - wire _T_399 = _T_386[12] ^ _T_398; // @[el2_lib.scala 203:25] - wire _T_402 = ~_T_386[12]; // @[el2_lib.scala 204:8] - wire _T_404 = _T_402 & _T_389[12]; // @[el2_lib.scala 204:14] - wire _T_408 = _T_386[12] & _T_398; // @[el2_lib.scala 205:13] + wire [12:0] _T_389 = _T_385[12:1] + _T_386[12:1]; // @[el2_lib.scala 196:31] + wire [18:0] _T_392 = _T_385[31:13] + 19'h1; // @[el2_lib.scala 197:27] + wire _T_398 = ~_T_389[12]; // @[el2_lib.scala 200:27] + wire _T_399 = _T_386[12] ^ _T_398; // @[el2_lib.scala 200:25] + wire _T_402 = ~_T_386[12]; // @[el2_lib.scala 201:8] + wire _T_404 = _T_402 & _T_389[12]; // @[el2_lib.scala 201:14] + wire _T_408 = _T_386[12] & _T_398; // @[el2_lib.scala 202:13] wire [18:0] _T_410 = _T_399 ? _T_385[31:13] : 19'h0; // @[Mux.scala 27:72] wire [18:0] _T_411 = _T_404 ? _T_392 : 19'h0; // @[Mux.scala 27:72] wire [18:0] _T_412 = _T_408 ? _T_392 : 19'h0; // @[Mux.scala 27:72] @@ -6983,12 +6983,12 @@ module el2_ifu_bp_ctl( reg [31:0] rets_out_0; // @[Reg.scala 27:20] wire _T_421 = _T_419 & rets_out_0[0]; // @[el2_ifu_bp_ctl.scala 307:64] wire [12:0] _T_432 = {11'h0,_T_362,1'h0}; // @[Cat.scala 29:58] - wire [12:0] _T_435 = _T_385[12:1] + _T_432[12:1]; // @[el2_lib.scala 199:31] - wire _T_444 = ~_T_435[12]; // @[el2_lib.scala 203:27] - wire _T_445 = _T_432[12] ^ _T_444; // @[el2_lib.scala 203:25] - wire _T_448 = ~_T_432[12]; // @[el2_lib.scala 204:8] - wire _T_450 = _T_448 & _T_435[12]; // @[el2_lib.scala 204:14] - wire _T_454 = _T_432[12] & _T_444; // @[el2_lib.scala 205:13] + wire [12:0] _T_435 = _T_385[12:1] + _T_432[12:1]; // @[el2_lib.scala 196:31] + wire _T_444 = ~_T_435[12]; // @[el2_lib.scala 200:27] + wire _T_445 = _T_432[12] ^ _T_444; // @[el2_lib.scala 200:25] + wire _T_448 = ~_T_432[12]; // @[el2_lib.scala 201:8] + wire _T_450 = _T_448 & _T_435[12]; // @[el2_lib.scala 201:14] + wire _T_454 = _T_432[12] & _T_444; // @[el2_lib.scala 202:13] wire [18:0] _T_456 = _T_445 ? _T_385[31:13] : 19'h0; // @[Mux.scala 27:72] wire [18:0] _T_457 = _T_450 ? _T_392 : 19'h0; // @[Mux.scala 27:72] wire [18:0] _T_458 = _T_454 ? _T_392 : 19'h0; // @[Mux.scala 27:72] @@ -7065,9 +7065,9 @@ module el2_ifu_bp_ctl( wire [1:0] _T_556 = {io_dec_tlu_br0_r_pkt_middle,_T_555}; // @[Cat.scala 29:58] wire [1:0] bht_wr_en2 = _T_554 & _T_556; // @[el2_ifu_bp_ctl.scala 343:46] wire [9:0] _T_557 = {io_exu_mp_index,2'h0}; // @[Cat.scala 29:58] - wire [7:0] bht_wr_addr0 = _T_557[9:2] ^ io_exu_mp_eghr; // @[el2_lib.scala 186:35] + wire [7:0] bht_wr_addr0 = _T_557[9:2] ^ io_exu_mp_eghr; // @[el2_lib.scala 183:35] wire [9:0] _T_560 = {io_exu_i0_br_index_r,2'h0}; // @[Cat.scala 29:58] - wire [7:0] bht_wr_addr2 = _T_560[9:2] ^ io_exu_i0_br_fghr_r; // @[el2_lib.scala 186:35] + wire [7:0] bht_wr_addr2 = _T_560[9:2] ^ io_exu_i0_br_fghr_r; // @[el2_lib.scala 183:35] wire _T_569 = btb_wr_addr == 8'h0; // @[el2_ifu_bp_ctl.scala 360:101] wire _T_570 = _T_569 & btb_wr_en_way0; // @[el2_ifu_bp_ctl.scala 360:109] wire _T_572 = btb_wr_addr == 8'h1; // @[el2_ifu_bp_ctl.scala 360:101] diff --git a/el2_ifu_ifc_ctl.fir b/el2_ifu_ifc_ctl.fir index 9cc3dc93..7e167e5d 100644 --- a/el2_ifu_ifc_ctl.fir +++ b/el2_ifu_ifc_ctl.fir @@ -85,186 +85,187 @@ circuit el2_ifu_ifc_ctl : node _T_25 = bits(io.ifc_fetch_addr_f, 30, 1) @[el2_ifu_ifc_ctl.scala 77:42] node _T_26 = add(_T_25, UInt<1>("h01")) @[el2_ifu_ifc_ctl.scala 77:48] node address_upper = tail(_T_26, 1) @[el2_ifu_ifc_ctl.scala 77:48] - node _T_27 = bits(address_upper, 5, 5) @[el2_ifu_ifc_ctl.scala 78:38] - node _T_28 = bits(io.ifc_fetch_addr_f, 5, 5) @[el2_ifu_ifc_ctl.scala 78:83] - node _T_29 = xor(_T_27, _T_28) @[el2_ifu_ifc_ctl.scala 78:62] - node _T_30 = bits(io.ifc_fetch_addr_f, 0, 0) @[el2_ifu_ifc_ctl.scala 78:129] - node _T_31 = and(_T_29, _T_30) @[el2_ifu_ifc_ctl.scala 78:108] - fetch_addr_next_0 <= _T_31 @[el2_ifu_ifc_ctl.scala 78:21] - node _T_32 = cat(address_upper, fetch_addr_next_0) @[Cat.scala 29:58] - fetch_addr_next <= _T_32 @[el2_ifu_ifc_ctl.scala 80:19] - node _T_33 = not(idle) @[el2_ifu_ifc_ctl.scala 82:30] - io.ifc_fetch_req_bf_raw <= _T_33 @[el2_ifu_ifc_ctl.scala 82:27] - node _T_34 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[el2_ifu_ifc_ctl.scala 84:91] - node _T_35 = eq(_T_34, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 84:70] - node _T_36 = and(fb_full_f_ns, _T_35) @[el2_ifu_ifc_ctl.scala 84:68] - node _T_37 = eq(_T_36, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 84:53] - node _T_38 = and(io.ifc_fetch_req_bf_raw, _T_37) @[el2_ifu_ifc_ctl.scala 84:51] - node _T_39 = eq(dma_stall, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 85:5] - node _T_40 = and(_T_38, _T_39) @[el2_ifu_ifc_ctl.scala 84:114] - node _T_41 = eq(io.ic_write_stall, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 85:18] - node _T_42 = and(_T_40, _T_41) @[el2_ifu_ifc_ctl.scala 85:16] - node _T_43 = eq(io.dec_tlu_flush_noredir_wb, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 85:39] - node _T_44 = and(_T_42, _T_43) @[el2_ifu_ifc_ctl.scala 85:37] - io.ifc_fetch_req_bf <= _T_44 @[el2_ifu_ifc_ctl.scala 84:23] - node _T_45 = or(io.exu_flush_final, io.ifc_fetch_req_f) @[el2_ifu_ifc_ctl.scala 87:37] - fetch_bf_en <= _T_45 @[el2_ifu_ifc_ctl.scala 87:15] - node _T_46 = eq(io.ic_hit_f, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 89:34] - node _T_47 = and(io.ifc_fetch_req_f, _T_46) @[el2_ifu_ifc_ctl.scala 89:32] - node _T_48 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 89:49] - node _T_49 = and(_T_47, _T_48) @[el2_ifu_ifc_ctl.scala 89:47] - miss_f <= _T_49 @[el2_ifu_ifc_ctl.scala 89:10] - node _T_50 = or(io.ifu_ic_mb_empty, io.exu_flush_final) @[el2_ifu_ifc_ctl.scala 91:39] - node _T_51 = eq(dma_stall, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 91:63] - node _T_52 = and(_T_50, _T_51) @[el2_ifu_ifc_ctl.scala 91:61] - node _T_53 = eq(miss_f, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 91:76] - node _T_54 = and(_T_52, _T_53) @[el2_ifu_ifc_ctl.scala 91:74] - node _T_55 = eq(miss_a, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 91:86] - node _T_56 = and(_T_54, _T_55) @[el2_ifu_ifc_ctl.scala 91:84] - mb_empty_mod <= _T_56 @[el2_ifu_ifc_ctl.scala 91:16] - node _T_57 = and(io.exu_flush_final, io.dec_tlu_flush_noredir_wb) @[el2_ifu_ifc_ctl.scala 93:35] - goto_idle <= _T_57 @[el2_ifu_ifc_ctl.scala 93:13] - node _T_58 = eq(io.dec_tlu_flush_noredir_wb, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 95:38] - node _T_59 = and(io.exu_flush_final, _T_58) @[el2_ifu_ifc_ctl.scala 95:36] - node _T_60 = and(_T_59, idle) @[el2_ifu_ifc_ctl.scala 95:67] - leave_idle <= _T_60 @[el2_ifu_ifc_ctl.scala 95:14] - node _T_61 = bits(state, 1, 1) @[el2_ifu_ifc_ctl.scala 97:29] - node _T_62 = eq(_T_61, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 97:23] - node _T_63 = bits(state, 0, 0) @[el2_ifu_ifc_ctl.scala 97:40] - node _T_64 = and(_T_62, _T_63) @[el2_ifu_ifc_ctl.scala 97:33] - node _T_65 = and(_T_64, miss_f) @[el2_ifu_ifc_ctl.scala 97:44] - node _T_66 = eq(goto_idle, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 97:55] - node _T_67 = and(_T_65, _T_66) @[el2_ifu_ifc_ctl.scala 97:53] - node _T_68 = bits(state, 1, 1) @[el2_ifu_ifc_ctl.scala 98:11] - node _T_69 = eq(mb_empty_mod, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 98:17] - node _T_70 = and(_T_68, _T_69) @[el2_ifu_ifc_ctl.scala 98:15] - node _T_71 = eq(goto_idle, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 98:33] - node _T_72 = and(_T_70, _T_71) @[el2_ifu_ifc_ctl.scala 98:31] - node next_state_1 = or(_T_67, _T_72) @[el2_ifu_ifc_ctl.scala 97:67] - node _T_73 = eq(goto_idle, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 100:23] - node _T_74 = and(_T_73, leave_idle) @[el2_ifu_ifc_ctl.scala 100:34] - node _T_75 = bits(state, 0, 0) @[el2_ifu_ifc_ctl.scala 100:56] - node _T_76 = eq(goto_idle, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 100:62] - node _T_77 = and(_T_75, _T_76) @[el2_ifu_ifc_ctl.scala 100:60] - node next_state_0 = or(_T_74, _T_77) @[el2_ifu_ifc_ctl.scala 100:48] - node _T_78 = cat(next_state_1, next_state_0) @[Cat.scala 29:58] - reg _T_79 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 102:19] - _T_79 <= _T_78 @[el2_ifu_ifc_ctl.scala 102:19] - state <= _T_79 @[el2_ifu_ifc_ctl.scala 102:9] + node _T_27 = bits(address_upper, 5, 5) @[el2_ifu_ifc_ctl.scala 78:39] + node _T_28 = bits(io.ifc_fetch_addr_f, 5, 5) @[el2_ifu_ifc_ctl.scala 78:84] + node _T_29 = xor(_T_27, _T_28) @[el2_ifu_ifc_ctl.scala 78:63] + node _T_30 = eq(_T_29, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 78:24] + node _T_31 = bits(io.ifc_fetch_addr_f, 0, 0) @[el2_ifu_ifc_ctl.scala 78:130] + node _T_32 = and(_T_30, _T_31) @[el2_ifu_ifc_ctl.scala 78:109] + fetch_addr_next_0 <= _T_32 @[el2_ifu_ifc_ctl.scala 78:21] + node _T_33 = cat(address_upper, fetch_addr_next_0) @[Cat.scala 29:58] + fetch_addr_next <= _T_33 @[el2_ifu_ifc_ctl.scala 80:19] + node _T_34 = not(idle) @[el2_ifu_ifc_ctl.scala 82:30] + io.ifc_fetch_req_bf_raw <= _T_34 @[el2_ifu_ifc_ctl.scala 82:27] + node _T_35 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[el2_ifu_ifc_ctl.scala 84:91] + node _T_36 = eq(_T_35, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 84:70] + node _T_37 = and(fb_full_f_ns, _T_36) @[el2_ifu_ifc_ctl.scala 84:68] + node _T_38 = eq(_T_37, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 84:53] + node _T_39 = and(io.ifc_fetch_req_bf_raw, _T_38) @[el2_ifu_ifc_ctl.scala 84:51] + node _T_40 = eq(dma_stall, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 85:5] + node _T_41 = and(_T_39, _T_40) @[el2_ifu_ifc_ctl.scala 84:114] + node _T_42 = eq(io.ic_write_stall, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 85:18] + node _T_43 = and(_T_41, _T_42) @[el2_ifu_ifc_ctl.scala 85:16] + node _T_44 = eq(io.dec_tlu_flush_noredir_wb, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 85:39] + node _T_45 = and(_T_43, _T_44) @[el2_ifu_ifc_ctl.scala 85:37] + io.ifc_fetch_req_bf <= _T_45 @[el2_ifu_ifc_ctl.scala 84:23] + node _T_46 = or(io.exu_flush_final, io.ifc_fetch_req_f) @[el2_ifu_ifc_ctl.scala 87:37] + fetch_bf_en <= _T_46 @[el2_ifu_ifc_ctl.scala 87:15] + node _T_47 = eq(io.ic_hit_f, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 89:34] + node _T_48 = and(io.ifc_fetch_req_f, _T_47) @[el2_ifu_ifc_ctl.scala 89:32] + node _T_49 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 89:49] + node _T_50 = and(_T_48, _T_49) @[el2_ifu_ifc_ctl.scala 89:47] + miss_f <= _T_50 @[el2_ifu_ifc_ctl.scala 89:10] + node _T_51 = or(io.ifu_ic_mb_empty, io.exu_flush_final) @[el2_ifu_ifc_ctl.scala 91:39] + node _T_52 = eq(dma_stall, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 91:63] + node _T_53 = and(_T_51, _T_52) @[el2_ifu_ifc_ctl.scala 91:61] + node _T_54 = eq(miss_f, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 91:76] + node _T_55 = and(_T_53, _T_54) @[el2_ifu_ifc_ctl.scala 91:74] + node _T_56 = eq(miss_a, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 91:86] + node _T_57 = and(_T_55, _T_56) @[el2_ifu_ifc_ctl.scala 91:84] + mb_empty_mod <= _T_57 @[el2_ifu_ifc_ctl.scala 91:16] + node _T_58 = and(io.exu_flush_final, io.dec_tlu_flush_noredir_wb) @[el2_ifu_ifc_ctl.scala 93:35] + goto_idle <= _T_58 @[el2_ifu_ifc_ctl.scala 93:13] + node _T_59 = eq(io.dec_tlu_flush_noredir_wb, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 95:38] + node _T_60 = and(io.exu_flush_final, _T_59) @[el2_ifu_ifc_ctl.scala 95:36] + node _T_61 = and(_T_60, idle) @[el2_ifu_ifc_ctl.scala 95:67] + leave_idle <= _T_61 @[el2_ifu_ifc_ctl.scala 95:14] + node _T_62 = bits(state, 1, 1) @[el2_ifu_ifc_ctl.scala 97:29] + node _T_63 = eq(_T_62, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 97:23] + node _T_64 = bits(state, 0, 0) @[el2_ifu_ifc_ctl.scala 97:40] + node _T_65 = and(_T_63, _T_64) @[el2_ifu_ifc_ctl.scala 97:33] + node _T_66 = and(_T_65, miss_f) @[el2_ifu_ifc_ctl.scala 97:44] + node _T_67 = eq(goto_idle, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 97:55] + node _T_68 = and(_T_66, _T_67) @[el2_ifu_ifc_ctl.scala 97:53] + node _T_69 = bits(state, 1, 1) @[el2_ifu_ifc_ctl.scala 98:11] + node _T_70 = eq(mb_empty_mod, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 98:17] + node _T_71 = and(_T_69, _T_70) @[el2_ifu_ifc_ctl.scala 98:15] + node _T_72 = eq(goto_idle, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 98:33] + node _T_73 = and(_T_71, _T_72) @[el2_ifu_ifc_ctl.scala 98:31] + node next_state_1 = or(_T_68, _T_73) @[el2_ifu_ifc_ctl.scala 97:67] + node _T_74 = eq(goto_idle, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 100:23] + node _T_75 = and(_T_74, leave_idle) @[el2_ifu_ifc_ctl.scala 100:34] + node _T_76 = bits(state, 0, 0) @[el2_ifu_ifc_ctl.scala 100:56] + node _T_77 = eq(goto_idle, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 100:62] + node _T_78 = and(_T_76, _T_77) @[el2_ifu_ifc_ctl.scala 100:60] + node next_state_0 = or(_T_75, _T_78) @[el2_ifu_ifc_ctl.scala 100:48] + node _T_79 = cat(next_state_1, next_state_0) @[Cat.scala 29:58] + reg _T_80 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 102:19] + _T_80 <= _T_79 @[el2_ifu_ifc_ctl.scala 102:19] + state <= _T_80 @[el2_ifu_ifc_ctl.scala 102:9] flush_fb <= io.exu_flush_final @[el2_ifu_ifc_ctl.scala 104:12] - node _T_80 = eq(io.ifu_fb_consume2, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 106:38] - node _T_81 = and(io.ifu_fb_consume1, _T_80) @[el2_ifu_ifc_ctl.scala 106:36] - node _T_82 = eq(io.ifc_fetch_req_f, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 106:61] - node _T_83 = or(_T_82, miss_f) @[el2_ifu_ifc_ctl.scala 106:81] - node _T_84 = and(_T_81, _T_83) @[el2_ifu_ifc_ctl.scala 106:58] - node _T_85 = and(io.ifu_fb_consume2, io.ifc_fetch_req_f) @[el2_ifu_ifc_ctl.scala 107:25] - node _T_86 = or(_T_84, _T_85) @[el2_ifu_ifc_ctl.scala 106:92] - fb_right <= _T_86 @[el2_ifu_ifc_ctl.scala 106:12] - node _T_87 = not(io.ifc_fetch_req_f) @[el2_ifu_ifc_ctl.scala 109:39] - node _T_88 = or(_T_87, miss_f) @[el2_ifu_ifc_ctl.scala 109:59] - node _T_89 = and(io.ifu_fb_consume2, _T_88) @[el2_ifu_ifc_ctl.scala 109:36] - fb_right2 <= _T_89 @[el2_ifu_ifc_ctl.scala 109:13] - node _T_90 = or(io.ifu_fb_consume1, io.ifu_fb_consume2) @[el2_ifu_ifc_ctl.scala 110:56] - node _T_91 = eq(_T_90, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 110:35] - node _T_92 = and(io.ifc_fetch_req_f, _T_91) @[el2_ifu_ifc_ctl.scala 110:33] - node _T_93 = eq(miss_f, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 110:80] - node _T_94 = and(_T_92, _T_93) @[el2_ifu_ifc_ctl.scala 110:78] - fb_left <= _T_94 @[el2_ifu_ifc_ctl.scala 110:11] - node _T_95 = bits(flush_fb, 0, 0) @[el2_ifu_ifc_ctl.scala 112:37] - node _T_96 = eq(flush_fb, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 113:6] - node _T_97 = and(_T_96, fb_right) @[el2_ifu_ifc_ctl.scala 113:16] - node _T_98 = bits(_T_97, 0, 0) @[el2_ifu_ifc_ctl.scala 113:28] - node _T_99 = bits(fb_write_f, 3, 1) @[el2_ifu_ifc_ctl.scala 113:62] - node _T_100 = cat(UInt<1>("h00"), _T_99) @[Cat.scala 29:58] - node _T_101 = eq(flush_fb, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 114:6] - node _T_102 = and(_T_101, fb_right2) @[el2_ifu_ifc_ctl.scala 114:16] - node _T_103 = bits(_T_102, 0, 0) @[el2_ifu_ifc_ctl.scala 114:29] - node _T_104 = bits(fb_write_f, 3, 2) @[el2_ifu_ifc_ctl.scala 114:63] - node _T_105 = cat(UInt<2>("h00"), _T_104) @[Cat.scala 29:58] - node _T_106 = eq(flush_fb, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 115:6] - node _T_107 = and(_T_106, fb_left) @[el2_ifu_ifc_ctl.scala 115:16] - node _T_108 = bits(_T_107, 0, 0) @[el2_ifu_ifc_ctl.scala 115:27] - node _T_109 = bits(fb_write_f, 2, 0) @[el2_ifu_ifc_ctl.scala 115:51] - node _T_110 = cat(_T_109, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_111 = eq(flush_fb, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 116:6] - node _T_112 = eq(fb_right, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 116:18] - node _T_113 = and(_T_111, _T_112) @[el2_ifu_ifc_ctl.scala 116:16] - node _T_114 = eq(fb_right2, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 116:30] - node _T_115 = and(_T_113, _T_114) @[el2_ifu_ifc_ctl.scala 116:28] - node _T_116 = eq(fb_left, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 116:43] - node _T_117 = and(_T_115, _T_116) @[el2_ifu_ifc_ctl.scala 116:41] - node _T_118 = bits(_T_117, 0, 0) @[el2_ifu_ifc_ctl.scala 116:53] - node _T_119 = bits(fb_write_f, 3, 0) @[el2_ifu_ifc_ctl.scala 116:73] - node _T_120 = mux(_T_95, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_121 = mux(_T_98, _T_100, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_122 = mux(_T_103, _T_105, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_123 = mux(_T_108, _T_110, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_124 = mux(_T_118, _T_119, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_125 = or(_T_120, _T_121) @[Mux.scala 27:72] - node _T_126 = or(_T_125, _T_122) @[Mux.scala 27:72] + node _T_81 = eq(io.ifu_fb_consume2, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 106:38] + node _T_82 = and(io.ifu_fb_consume1, _T_81) @[el2_ifu_ifc_ctl.scala 106:36] + node _T_83 = eq(io.ifc_fetch_req_f, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 106:61] + node _T_84 = or(_T_83, miss_f) @[el2_ifu_ifc_ctl.scala 106:81] + node _T_85 = and(_T_82, _T_84) @[el2_ifu_ifc_ctl.scala 106:58] + node _T_86 = and(io.ifu_fb_consume2, io.ifc_fetch_req_f) @[el2_ifu_ifc_ctl.scala 107:25] + node _T_87 = or(_T_85, _T_86) @[el2_ifu_ifc_ctl.scala 106:92] + fb_right <= _T_87 @[el2_ifu_ifc_ctl.scala 106:12] + node _T_88 = not(io.ifc_fetch_req_f) @[el2_ifu_ifc_ctl.scala 109:39] + node _T_89 = or(_T_88, miss_f) @[el2_ifu_ifc_ctl.scala 109:59] + node _T_90 = and(io.ifu_fb_consume2, _T_89) @[el2_ifu_ifc_ctl.scala 109:36] + fb_right2 <= _T_90 @[el2_ifu_ifc_ctl.scala 109:13] + node _T_91 = or(io.ifu_fb_consume1, io.ifu_fb_consume2) @[el2_ifu_ifc_ctl.scala 110:56] + node _T_92 = eq(_T_91, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 110:35] + node _T_93 = and(io.ifc_fetch_req_f, _T_92) @[el2_ifu_ifc_ctl.scala 110:33] + node _T_94 = eq(miss_f, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 110:80] + node _T_95 = and(_T_93, _T_94) @[el2_ifu_ifc_ctl.scala 110:78] + fb_left <= _T_95 @[el2_ifu_ifc_ctl.scala 110:11] + node _T_96 = bits(flush_fb, 0, 0) @[el2_ifu_ifc_ctl.scala 112:37] + node _T_97 = eq(flush_fb, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 113:6] + node _T_98 = and(_T_97, fb_right) @[el2_ifu_ifc_ctl.scala 113:16] + node _T_99 = bits(_T_98, 0, 0) @[el2_ifu_ifc_ctl.scala 113:28] + node _T_100 = bits(fb_write_f, 3, 1) @[el2_ifu_ifc_ctl.scala 113:62] + node _T_101 = cat(UInt<1>("h00"), _T_100) @[Cat.scala 29:58] + node _T_102 = eq(flush_fb, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 114:6] + node _T_103 = and(_T_102, fb_right2) @[el2_ifu_ifc_ctl.scala 114:16] + node _T_104 = bits(_T_103, 0, 0) @[el2_ifu_ifc_ctl.scala 114:29] + node _T_105 = bits(fb_write_f, 3, 2) @[el2_ifu_ifc_ctl.scala 114:63] + node _T_106 = cat(UInt<2>("h00"), _T_105) @[Cat.scala 29:58] + node _T_107 = eq(flush_fb, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 115:6] + node _T_108 = and(_T_107, fb_left) @[el2_ifu_ifc_ctl.scala 115:16] + node _T_109 = bits(_T_108, 0, 0) @[el2_ifu_ifc_ctl.scala 115:27] + node _T_110 = bits(fb_write_f, 2, 0) @[el2_ifu_ifc_ctl.scala 115:51] + node _T_111 = cat(_T_110, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_112 = eq(flush_fb, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 116:6] + node _T_113 = eq(fb_right, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 116:18] + node _T_114 = and(_T_112, _T_113) @[el2_ifu_ifc_ctl.scala 116:16] + node _T_115 = eq(fb_right2, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 116:30] + node _T_116 = and(_T_114, _T_115) @[el2_ifu_ifc_ctl.scala 116:28] + node _T_117 = eq(fb_left, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 116:43] + node _T_118 = and(_T_116, _T_117) @[el2_ifu_ifc_ctl.scala 116:41] + node _T_119 = bits(_T_118, 0, 0) @[el2_ifu_ifc_ctl.scala 116:53] + node _T_120 = bits(fb_write_f, 3, 0) @[el2_ifu_ifc_ctl.scala 116:73] + node _T_121 = mux(_T_96, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_122 = mux(_T_99, _T_101, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_123 = mux(_T_104, _T_106, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_124 = mux(_T_109, _T_111, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_125 = mux(_T_119, _T_120, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_126 = or(_T_121, _T_122) @[Mux.scala 27:72] node _T_127 = or(_T_126, _T_123) @[Mux.scala 27:72] node _T_128 = or(_T_127, _T_124) @[Mux.scala 27:72] - wire _T_129 : UInt<4> @[Mux.scala 27:72] - _T_129 <= _T_128 @[Mux.scala 27:72] - fb_write_ns <= _T_129 @[el2_ifu_ifc_ctl.scala 112:15] - node _T_130 = bits(fb_write_ns, 3, 3) @[el2_ifu_ifc_ctl.scala 119:38] - reg _T_131 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 119:26] - _T_131 <= _T_130 @[el2_ifu_ifc_ctl.scala 119:26] - fb_full_f_ns <= _T_131 @[el2_ifu_ifc_ctl.scala 119:16] - node _T_132 = eq(state, UInt<2>("h00")) @[el2_ifu_ifc_ctl.scala 121:17] - idle <= _T_132 @[el2_ifu_ifc_ctl.scala 121:8] - node _T_133 = eq(state, UInt<2>("h03")) @[el2_ifu_ifc_ctl.scala 122:16] - wfm <= _T_133 @[el2_ifu_ifc_ctl.scala 122:7] - node _T_134 = bits(fb_write_ns, 3, 3) @[el2_ifu_ifc_ctl.scala 124:30] - fb_full_f_ns <= _T_134 @[el2_ifu_ifc_ctl.scala 124:16] + node _T_129 = or(_T_128, _T_125) @[Mux.scala 27:72] + wire _T_130 : UInt<4> @[Mux.scala 27:72] + _T_130 <= _T_129 @[Mux.scala 27:72] + fb_write_ns <= _T_130 @[el2_ifu_ifc_ctl.scala 112:15] + node _T_131 = bits(fb_write_ns, 3, 3) @[el2_ifu_ifc_ctl.scala 119:38] + reg _T_132 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 119:26] + _T_132 <= _T_131 @[el2_ifu_ifc_ctl.scala 119:26] + fb_full_f_ns <= _T_132 @[el2_ifu_ifc_ctl.scala 119:16] + node _T_133 = eq(state, UInt<2>("h00")) @[el2_ifu_ifc_ctl.scala 121:17] + idle <= _T_133 @[el2_ifu_ifc_ctl.scala 121:8] + node _T_134 = eq(state, UInt<2>("h03")) @[el2_ifu_ifc_ctl.scala 122:16] + wfm <= _T_134 @[el2_ifu_ifc_ctl.scala 122:7] + node _T_135 = bits(fb_write_ns, 3, 3) @[el2_ifu_ifc_ctl.scala 124:30] + fb_full_f_ns <= _T_135 @[el2_ifu_ifc_ctl.scala 124:16] reg fb_full_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 125:26] fb_full_f <= fb_full_f_ns @[el2_ifu_ifc_ctl.scala 125:26] - reg _T_135 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 126:24] - _T_135 <= fb_write_ns @[el2_ifu_ifc_ctl.scala 126:24] - fb_write_f <= _T_135 @[el2_ifu_ifc_ctl.scala 126:14] - node _T_136 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[el2_ifu_ifc_ctl.scala 129:40] - node _T_137 = or(_T_136, io.exu_flush_final) @[el2_ifu_ifc_ctl.scala 129:61] - node _T_138 = eq(_T_137, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 129:19] - node _T_139 = and(fb_full_f, _T_138) @[el2_ifu_ifc_ctl.scala 129:17] - node _T_140 = or(_T_139, dma_stall) @[el2_ifu_ifc_ctl.scala 129:84] - node _T_141 = and(io.ifc_fetch_req_bf_raw, _T_140) @[el2_ifu_ifc_ctl.scala 128:60] - node _T_142 = or(wfm, _T_141) @[el2_ifu_ifc_ctl.scala 128:33] - io.ifu_pmu_fetch_stall <= _T_142 @[el2_ifu_ifc_ctl.scala 128:26] - node _T_143 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_144 = bits(_T_143, 31, 28) @[el2_lib.scala 211:25] - node iccm_acc_in_region_bf = eq(_T_144, UInt<4>("h0e")) @[el2_lib.scala 211:47] - node _T_145 = bits(_T_143, 31, 16) @[el2_lib.scala 214:14] - node iccm_acc_in_range_bf = eq(_T_145, UInt<16>("h0ee00")) @[el2_lib.scala 214:29] + reg _T_136 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 126:24] + _T_136 <= fb_write_ns @[el2_ifu_ifc_ctl.scala 126:24] + fb_write_f <= _T_136 @[el2_ifu_ifc_ctl.scala 126:14] + node _T_137 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[el2_ifu_ifc_ctl.scala 129:40] + node _T_138 = or(_T_137, io.exu_flush_final) @[el2_ifu_ifc_ctl.scala 129:61] + node _T_139 = eq(_T_138, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 129:19] + node _T_140 = and(fb_full_f, _T_139) @[el2_ifu_ifc_ctl.scala 129:17] + node _T_141 = or(_T_140, dma_stall) @[el2_ifu_ifc_ctl.scala 129:84] + node _T_142 = and(io.ifc_fetch_req_bf_raw, _T_141) @[el2_ifu_ifc_ctl.scala 128:60] + node _T_143 = or(wfm, _T_142) @[el2_ifu_ifc_ctl.scala 128:33] + io.ifu_pmu_fetch_stall <= _T_143 @[el2_ifu_ifc_ctl.scala 128:26] + node _T_144 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_145 = bits(_T_144, 31, 28) @[el2_lib.scala 211:25] + node iccm_acc_in_region_bf = eq(_T_145, UInt<4>("h0e")) @[el2_lib.scala 211:47] + node _T_146 = bits(_T_144, 31, 16) @[el2_lib.scala 214:14] + node iccm_acc_in_range_bf = eq(_T_146, UInt<16>("h0ee00")) @[el2_lib.scala 214:29] io.ifc_iccm_access_bf <= iccm_acc_in_range_bf @[el2_ifu_ifc_ctl.scala 134:25] - node _T_146 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 135:30] - node _T_147 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[el2_ifu_ifc_ctl.scala 136:39] - node _T_148 = eq(_T_147, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 136:18] - node _T_149 = and(fb_full_f, _T_148) @[el2_ifu_ifc_ctl.scala 136:16] - node _T_150 = or(_T_146, _T_149) @[el2_ifu_ifc_ctl.scala 135:53] - node _T_151 = eq(io.ifc_fetch_req_bf, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 137:13] - node _T_152 = and(wfm, _T_151) @[el2_ifu_ifc_ctl.scala 137:11] - node _T_153 = or(_T_150, _T_152) @[el2_ifu_ifc_ctl.scala 136:62] - node _T_154 = or(_T_153, idle) @[el2_ifu_ifc_ctl.scala 137:35] - node _T_155 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 137:46] - node _T_156 = and(_T_154, _T_155) @[el2_ifu_ifc_ctl.scala 137:44] - node _T_157 = or(_T_156, dma_iccm_stall_any_f) @[el2_ifu_ifc_ctl.scala 137:67] - io.ifc_dma_access_ok <= _T_157 @[el2_ifu_ifc_ctl.scala 135:24] - node _T_158 = eq(iccm_acc_in_range_bf, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 139:33] - node _T_159 = and(_T_158, iccm_acc_in_region_bf) @[el2_ifu_ifc_ctl.scala 139:55] - io.ifc_region_acc_fault_bf <= _T_159 @[el2_ifu_ifc_ctl.scala 139:30] - node _T_160 = bits(io.ifc_fetch_addr_bf, 30, 27) @[el2_ifu_ifc_ctl.scala 140:78] - node _T_161 = cat(_T_160, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_162 = dshr(io.dec_tlu_mrac_ff, _T_161) @[el2_ifu_ifc_ctl.scala 140:53] - node _T_163 = bits(_T_162, 0, 0) @[el2_ifu_ifc_ctl.scala 140:53] - node _T_164 = not(_T_163) @[el2_ifu_ifc_ctl.scala 140:34] - io.ifc_fetch_uncacheable_bf <= _T_164 @[el2_ifu_ifc_ctl.scala 140:31] - reg _T_165 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 142:32] - _T_165 <= io.ifc_fetch_req_bf @[el2_ifu_ifc_ctl.scala 142:32] - io.ifc_fetch_req_f <= _T_165 @[el2_ifu_ifc_ctl.scala 142:22] - node _T_166 = or(io.exu_flush_final, io.ifc_fetch_req_f) @[el2_ifu_ifc_ctl.scala 144:88] - reg _T_167 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_166 : @[Reg.scala 28:19] - _T_167 <= io.ifc_fetch_addr_bf @[Reg.scala 28:23] + node _T_147 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 135:30] + node _T_148 = or(io.ifu_fb_consume2, io.ifu_fb_consume1) @[el2_ifu_ifc_ctl.scala 136:39] + node _T_149 = eq(_T_148, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 136:18] + node _T_150 = and(fb_full_f, _T_149) @[el2_ifu_ifc_ctl.scala 136:16] + node _T_151 = or(_T_147, _T_150) @[el2_ifu_ifc_ctl.scala 135:53] + node _T_152 = eq(io.ifc_fetch_req_bf, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 137:13] + node _T_153 = and(wfm, _T_152) @[el2_ifu_ifc_ctl.scala 137:11] + node _T_154 = or(_T_151, _T_153) @[el2_ifu_ifc_ctl.scala 136:62] + node _T_155 = or(_T_154, idle) @[el2_ifu_ifc_ctl.scala 137:35] + node _T_156 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 137:46] + node _T_157 = and(_T_155, _T_156) @[el2_ifu_ifc_ctl.scala 137:44] + node _T_158 = or(_T_157, dma_iccm_stall_any_f) @[el2_ifu_ifc_ctl.scala 137:67] + io.ifc_dma_access_ok <= _T_158 @[el2_ifu_ifc_ctl.scala 135:24] + node _T_159 = eq(iccm_acc_in_range_bf, UInt<1>("h00")) @[el2_ifu_ifc_ctl.scala 139:33] + node _T_160 = and(_T_159, iccm_acc_in_region_bf) @[el2_ifu_ifc_ctl.scala 139:55] + io.ifc_region_acc_fault_bf <= _T_160 @[el2_ifu_ifc_ctl.scala 139:30] + node _T_161 = bits(io.ifc_fetch_addr_bf, 30, 27) @[el2_ifu_ifc_ctl.scala 140:78] + node _T_162 = cat(_T_161, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_163 = dshr(io.dec_tlu_mrac_ff, _T_162) @[el2_ifu_ifc_ctl.scala 140:53] + node _T_164 = bits(_T_163, 0, 0) @[el2_ifu_ifc_ctl.scala 140:53] + node _T_165 = not(_T_164) @[el2_ifu_ifc_ctl.scala 140:34] + io.ifc_fetch_uncacheable_bf <= _T_165 @[el2_ifu_ifc_ctl.scala 140:31] + reg _T_166 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_ifc_ctl.scala 142:32] + _T_166 <= io.ifc_fetch_req_bf @[el2_ifu_ifc_ctl.scala 142:32] + io.ifc_fetch_req_f <= _T_166 @[el2_ifu_ifc_ctl.scala 142:22] + node _T_167 = or(io.exu_flush_final, io.ifc_fetch_req_f) @[el2_ifu_ifc_ctl.scala 144:88] + reg _T_168 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_167 : @[Reg.scala 28:19] + _T_168 <= io.ifc_fetch_addr_bf @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.ifc_fetch_addr_f <= _T_167 @[el2_ifu_ifc_ctl.scala 144:23] + io.ifc_fetch_addr_f <= _T_168 @[el2_ifu_ifc_ctl.scala 144:23] diff --git a/el2_ifu_ifc_ctl.v b/el2_ifu_ifc_ctl.v index 873deb1d..cf50ac2e 100644 --- a/el2_ifu_ifc_ctl.v +++ b/el2_ifu_ifc_ctl.v @@ -55,115 +55,116 @@ module el2_ifu_ifc_ctl( wire [30:0] _T_18 = sel_last_addr_bf ? io_ifc_fetch_addr_f : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_19 = sel_btb_addr_bf ? io_ifu_bp_btb_target_f : 31'h0; // @[Mux.scala 27:72] wire [29:0] address_upper = io_ifc_fetch_addr_f[30:1] + 30'h1; // @[el2_ifu_ifc_ctl.scala 77:48] - wire _T_29 = address_upper[5] ^ io_ifc_fetch_addr_f[5]; // @[el2_ifu_ifc_ctl.scala 78:62] - wire fetch_addr_next_0 = _T_29 & io_ifc_fetch_addr_f[0]; // @[el2_ifu_ifc_ctl.scala 78:108] + wire _T_29 = address_upper[5] ^ io_ifc_fetch_addr_f[5]; // @[el2_ifu_ifc_ctl.scala 78:63] + wire _T_30 = ~_T_29; // @[el2_ifu_ifc_ctl.scala 78:24] + wire fetch_addr_next_0 = _T_30 & io_ifc_fetch_addr_f[0]; // @[el2_ifu_ifc_ctl.scala 78:109] wire [30:0] fetch_addr_next = {address_upper,fetch_addr_next_0}; // @[Cat.scala 29:58] wire [30:0] _T_20 = sel_next_addr_bf ? fetch_addr_next : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_21 = _T_17 | _T_18; // @[Mux.scala 27:72] wire [30:0] _T_22 = _T_21 | _T_19; // @[Mux.scala 27:72] reg [1:0] state; // @[el2_ifu_ifc_ctl.scala 102:19] wire idle = state == 2'h0; // @[el2_ifu_ifc_ctl.scala 121:17] - wire _T_34 = io_ifu_fb_consume2 | io_ifu_fb_consume1; // @[el2_ifu_ifc_ctl.scala 84:91] - wire _T_35 = ~_T_34; // @[el2_ifu_ifc_ctl.scala 84:70] - wire [3:0] _T_120 = io_exu_flush_final ? 4'h1 : 4'h0; // @[Mux.scala 27:72] - wire _T_80 = ~io_ifu_fb_consume2; // @[el2_ifu_ifc_ctl.scala 106:38] - wire _T_81 = io_ifu_fb_consume1 & _T_80; // @[el2_ifu_ifc_ctl.scala 106:36] - wire _T_47 = io_ifc_fetch_req_f & _T_4; // @[el2_ifu_ifc_ctl.scala 89:32] - wire miss_f = _T_47 & _T_2; // @[el2_ifu_ifc_ctl.scala 89:47] - wire _T_83 = _T_3 | miss_f; // @[el2_ifu_ifc_ctl.scala 106:81] - wire _T_84 = _T_81 & _T_83; // @[el2_ifu_ifc_ctl.scala 106:58] - wire _T_85 = io_ifu_fb_consume2 & io_ifc_fetch_req_f; // @[el2_ifu_ifc_ctl.scala 107:25] - wire fb_right = _T_84 | _T_85; // @[el2_ifu_ifc_ctl.scala 106:92] - wire _T_97 = _T_2 & fb_right; // @[el2_ifu_ifc_ctl.scala 113:16] + wire _T_35 = io_ifu_fb_consume2 | io_ifu_fb_consume1; // @[el2_ifu_ifc_ctl.scala 84:91] + wire _T_36 = ~_T_35; // @[el2_ifu_ifc_ctl.scala 84:70] + wire [3:0] _T_121 = io_exu_flush_final ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire _T_81 = ~io_ifu_fb_consume2; // @[el2_ifu_ifc_ctl.scala 106:38] + wire _T_82 = io_ifu_fb_consume1 & _T_81; // @[el2_ifu_ifc_ctl.scala 106:36] + wire _T_48 = io_ifc_fetch_req_f & _T_4; // @[el2_ifu_ifc_ctl.scala 89:32] + wire miss_f = _T_48 & _T_2; // @[el2_ifu_ifc_ctl.scala 89:47] + wire _T_84 = _T_3 | miss_f; // @[el2_ifu_ifc_ctl.scala 106:81] + wire _T_85 = _T_82 & _T_84; // @[el2_ifu_ifc_ctl.scala 106:58] + wire _T_86 = io_ifu_fb_consume2 & io_ifc_fetch_req_f; // @[el2_ifu_ifc_ctl.scala 107:25] + wire fb_right = _T_85 | _T_86; // @[el2_ifu_ifc_ctl.scala 106:92] + wire _T_98 = _T_2 & fb_right; // @[el2_ifu_ifc_ctl.scala 113:16] reg [3:0] fb_write_f; // @[el2_ifu_ifc_ctl.scala 126:24] - wire [3:0] _T_100 = {1'h0,fb_write_f[3:1]}; // @[Cat.scala 29:58] - wire [3:0] _T_121 = _T_97 ? _T_100 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_125 = _T_120 | _T_121; // @[Mux.scala 27:72] - wire fb_right2 = io_ifu_fb_consume2 & _T_83; // @[el2_ifu_ifc_ctl.scala 109:36] - wire _T_102 = _T_2 & fb_right2; // @[el2_ifu_ifc_ctl.scala 114:16] - wire [3:0] _T_105 = {2'h0,fb_write_f[3:2]}; // @[Cat.scala 29:58] - wire [3:0] _T_122 = _T_102 ? _T_105 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_126 = _T_125 | _T_122; // @[Mux.scala 27:72] - wire _T_90 = io_ifu_fb_consume1 | io_ifu_fb_consume2; // @[el2_ifu_ifc_ctl.scala 110:56] - wire _T_91 = ~_T_90; // @[el2_ifu_ifc_ctl.scala 110:35] - wire _T_92 = io_ifc_fetch_req_f & _T_91; // @[el2_ifu_ifc_ctl.scala 110:33] - wire _T_93 = ~miss_f; // @[el2_ifu_ifc_ctl.scala 110:80] - wire fb_left = _T_92 & _T_93; // @[el2_ifu_ifc_ctl.scala 110:78] - wire _T_107 = _T_2 & fb_left; // @[el2_ifu_ifc_ctl.scala 115:16] - wire [3:0] _T_110 = {fb_write_f[2:0],1'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_123 = _T_107 ? _T_110 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_101 = {1'h0,fb_write_f[3:1]}; // @[Cat.scala 29:58] + wire [3:0] _T_122 = _T_98 ? _T_101 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_126 = _T_121 | _T_122; // @[Mux.scala 27:72] + wire fb_right2 = io_ifu_fb_consume2 & _T_84; // @[el2_ifu_ifc_ctl.scala 109:36] + wire _T_103 = _T_2 & fb_right2; // @[el2_ifu_ifc_ctl.scala 114:16] + wire [3:0] _T_106 = {2'h0,fb_write_f[3:2]}; // @[Cat.scala 29:58] + wire [3:0] _T_123 = _T_103 ? _T_106 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_127 = _T_126 | _T_123; // @[Mux.scala 27:72] - wire _T_112 = ~fb_right; // @[el2_ifu_ifc_ctl.scala 116:18] - wire _T_113 = _T_2 & _T_112; // @[el2_ifu_ifc_ctl.scala 116:16] - wire _T_114 = ~fb_right2; // @[el2_ifu_ifc_ctl.scala 116:30] - wire _T_115 = _T_113 & _T_114; // @[el2_ifu_ifc_ctl.scala 116:28] - wire _T_116 = ~fb_left; // @[el2_ifu_ifc_ctl.scala 116:43] - wire _T_117 = _T_115 & _T_116; // @[el2_ifu_ifc_ctl.scala 116:41] - wire [3:0] _T_124 = _T_117 ? fb_write_f : 4'h0; // @[Mux.scala 27:72] - wire [3:0] fb_write_ns = _T_127 | _T_124; // @[Mux.scala 27:72] + wire _T_91 = io_ifu_fb_consume1 | io_ifu_fb_consume2; // @[el2_ifu_ifc_ctl.scala 110:56] + wire _T_92 = ~_T_91; // @[el2_ifu_ifc_ctl.scala 110:35] + wire _T_93 = io_ifc_fetch_req_f & _T_92; // @[el2_ifu_ifc_ctl.scala 110:33] + wire _T_94 = ~miss_f; // @[el2_ifu_ifc_ctl.scala 110:80] + wire fb_left = _T_93 & _T_94; // @[el2_ifu_ifc_ctl.scala 110:78] + wire _T_108 = _T_2 & fb_left; // @[el2_ifu_ifc_ctl.scala 115:16] + wire [3:0] _T_111 = {fb_write_f[2:0],1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_124 = _T_108 ? _T_111 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_128 = _T_127 | _T_124; // @[Mux.scala 27:72] + wire _T_113 = ~fb_right; // @[el2_ifu_ifc_ctl.scala 116:18] + wire _T_114 = _T_2 & _T_113; // @[el2_ifu_ifc_ctl.scala 116:16] + wire _T_115 = ~fb_right2; // @[el2_ifu_ifc_ctl.scala 116:30] + wire _T_116 = _T_114 & _T_115; // @[el2_ifu_ifc_ctl.scala 116:28] + wire _T_117 = ~fb_left; // @[el2_ifu_ifc_ctl.scala 116:43] + wire _T_118 = _T_116 & _T_117; // @[el2_ifu_ifc_ctl.scala 116:41] + wire [3:0] _T_125 = _T_118 ? fb_write_f : 4'h0; // @[Mux.scala 27:72] + wire [3:0] fb_write_ns = _T_128 | _T_125; // @[Mux.scala 27:72] wire fb_full_f_ns = fb_write_ns[3]; // @[el2_ifu_ifc_ctl.scala 124:30] - wire _T_36 = fb_full_f_ns & _T_35; // @[el2_ifu_ifc_ctl.scala 84:68] - wire _T_37 = ~_T_36; // @[el2_ifu_ifc_ctl.scala 84:53] - wire _T_38 = io_ifc_fetch_req_bf_raw & _T_37; // @[el2_ifu_ifc_ctl.scala 84:51] - wire _T_39 = ~dma_stall; // @[el2_ifu_ifc_ctl.scala 85:5] - wire _T_40 = _T_38 & _T_39; // @[el2_ifu_ifc_ctl.scala 84:114] - wire _T_41 = ~io_ic_write_stall; // @[el2_ifu_ifc_ctl.scala 85:18] - wire _T_42 = _T_40 & _T_41; // @[el2_ifu_ifc_ctl.scala 85:16] - wire _T_43 = ~io_dec_tlu_flush_noredir_wb; // @[el2_ifu_ifc_ctl.scala 85:39] + wire _T_37 = fb_full_f_ns & _T_36; // @[el2_ifu_ifc_ctl.scala 84:68] + wire _T_38 = ~_T_37; // @[el2_ifu_ifc_ctl.scala 84:53] + wire _T_39 = io_ifc_fetch_req_bf_raw & _T_38; // @[el2_ifu_ifc_ctl.scala 84:51] + wire _T_40 = ~dma_stall; // @[el2_ifu_ifc_ctl.scala 85:5] + wire _T_41 = _T_39 & _T_40; // @[el2_ifu_ifc_ctl.scala 84:114] + wire _T_42 = ~io_ic_write_stall; // @[el2_ifu_ifc_ctl.scala 85:18] + wire _T_43 = _T_41 & _T_42; // @[el2_ifu_ifc_ctl.scala 85:16] + wire _T_44 = ~io_dec_tlu_flush_noredir_wb; // @[el2_ifu_ifc_ctl.scala 85:39] wire fetch_bf_en = io_exu_flush_final | io_ifc_fetch_req_f; // @[el2_ifu_ifc_ctl.scala 87:37] - wire _T_50 = io_ifu_ic_mb_empty | io_exu_flush_final; // @[el2_ifu_ifc_ctl.scala 91:39] - wire _T_52 = _T_50 & _T_39; // @[el2_ifu_ifc_ctl.scala 91:61] - wire _T_54 = _T_52 & _T_93; // @[el2_ifu_ifc_ctl.scala 91:74] - wire _T_55 = ~miss_a; // @[el2_ifu_ifc_ctl.scala 91:86] - wire mb_empty_mod = _T_54 & _T_55; // @[el2_ifu_ifc_ctl.scala 91:84] + wire _T_51 = io_ifu_ic_mb_empty | io_exu_flush_final; // @[el2_ifu_ifc_ctl.scala 91:39] + wire _T_53 = _T_51 & _T_40; // @[el2_ifu_ifc_ctl.scala 91:61] + wire _T_55 = _T_53 & _T_94; // @[el2_ifu_ifc_ctl.scala 91:74] + wire _T_56 = ~miss_a; // @[el2_ifu_ifc_ctl.scala 91:86] + wire mb_empty_mod = _T_55 & _T_56; // @[el2_ifu_ifc_ctl.scala 91:84] wire goto_idle = io_exu_flush_final & io_dec_tlu_flush_noredir_wb; // @[el2_ifu_ifc_ctl.scala 93:35] - wire _T_59 = io_exu_flush_final & _T_43; // @[el2_ifu_ifc_ctl.scala 95:36] - wire leave_idle = _T_59 & idle; // @[el2_ifu_ifc_ctl.scala 95:67] - wire _T_62 = ~state[1]; // @[el2_ifu_ifc_ctl.scala 97:23] - wire _T_64 = _T_62 & state[0]; // @[el2_ifu_ifc_ctl.scala 97:33] - wire _T_65 = _T_64 & miss_f; // @[el2_ifu_ifc_ctl.scala 97:44] - wire _T_66 = ~goto_idle; // @[el2_ifu_ifc_ctl.scala 97:55] - wire _T_67 = _T_65 & _T_66; // @[el2_ifu_ifc_ctl.scala 97:53] - wire _T_69 = ~mb_empty_mod; // @[el2_ifu_ifc_ctl.scala 98:17] - wire _T_70 = state[1] & _T_69; // @[el2_ifu_ifc_ctl.scala 98:15] - wire _T_72 = _T_70 & _T_66; // @[el2_ifu_ifc_ctl.scala 98:31] - wire next_state_1 = _T_67 | _T_72; // @[el2_ifu_ifc_ctl.scala 97:67] - wire _T_74 = _T_66 & leave_idle; // @[el2_ifu_ifc_ctl.scala 100:34] - wire _T_77 = state[0] & _T_66; // @[el2_ifu_ifc_ctl.scala 100:60] - wire next_state_0 = _T_74 | _T_77; // @[el2_ifu_ifc_ctl.scala 100:48] + wire _T_60 = io_exu_flush_final & _T_44; // @[el2_ifu_ifc_ctl.scala 95:36] + wire leave_idle = _T_60 & idle; // @[el2_ifu_ifc_ctl.scala 95:67] + wire _T_63 = ~state[1]; // @[el2_ifu_ifc_ctl.scala 97:23] + wire _T_65 = _T_63 & state[0]; // @[el2_ifu_ifc_ctl.scala 97:33] + wire _T_66 = _T_65 & miss_f; // @[el2_ifu_ifc_ctl.scala 97:44] + wire _T_67 = ~goto_idle; // @[el2_ifu_ifc_ctl.scala 97:55] + wire _T_68 = _T_66 & _T_67; // @[el2_ifu_ifc_ctl.scala 97:53] + wire _T_70 = ~mb_empty_mod; // @[el2_ifu_ifc_ctl.scala 98:17] + wire _T_71 = state[1] & _T_70; // @[el2_ifu_ifc_ctl.scala 98:15] + wire _T_73 = _T_71 & _T_67; // @[el2_ifu_ifc_ctl.scala 98:31] + wire next_state_1 = _T_68 | _T_73; // @[el2_ifu_ifc_ctl.scala 97:67] + wire _T_75 = _T_67 & leave_idle; // @[el2_ifu_ifc_ctl.scala 100:34] + wire _T_78 = state[0] & _T_67; // @[el2_ifu_ifc_ctl.scala 100:60] + wire next_state_0 = _T_75 | _T_78; // @[el2_ifu_ifc_ctl.scala 100:48] wire wfm = state == 2'h3; // @[el2_ifu_ifc_ctl.scala 122:16] reg fb_full_f; // @[el2_ifu_ifc_ctl.scala 125:26] - wire _T_137 = _T_34 | io_exu_flush_final; // @[el2_ifu_ifc_ctl.scala 129:61] - wire _T_138 = ~_T_137; // @[el2_ifu_ifc_ctl.scala 129:19] - wire _T_139 = fb_full_f & _T_138; // @[el2_ifu_ifc_ctl.scala 129:17] - wire _T_140 = _T_139 | dma_stall; // @[el2_ifu_ifc_ctl.scala 129:84] - wire _T_141 = io_ifc_fetch_req_bf_raw & _T_140; // @[el2_ifu_ifc_ctl.scala 128:60] - wire [31:0] _T_143 = {io_ifc_fetch_addr_bf,1'h0}; // @[Cat.scala 29:58] - wire iccm_acc_in_region_bf = _T_143[31:28] == 4'he; // @[el2_lib.scala 211:47] - wire iccm_acc_in_range_bf = _T_143[31:16] == 16'hee00; // @[el2_lib.scala 214:29] - wire _T_146 = ~io_ifc_iccm_access_bf; // @[el2_ifu_ifc_ctl.scala 135:30] - wire _T_149 = fb_full_f & _T_35; // @[el2_ifu_ifc_ctl.scala 136:16] - wire _T_150 = _T_146 | _T_149; // @[el2_ifu_ifc_ctl.scala 135:53] - wire _T_151 = ~io_ifc_fetch_req_bf; // @[el2_ifu_ifc_ctl.scala 137:13] - wire _T_152 = wfm & _T_151; // @[el2_ifu_ifc_ctl.scala 137:11] - wire _T_153 = _T_150 | _T_152; // @[el2_ifu_ifc_ctl.scala 136:62] - wire _T_154 = _T_153 | idle; // @[el2_ifu_ifc_ctl.scala 137:35] - wire _T_156 = _T_154 & _T_2; // @[el2_ifu_ifc_ctl.scala 137:44] - wire _T_158 = ~iccm_acc_in_range_bf; // @[el2_ifu_ifc_ctl.scala 139:33] - wire [4:0] _T_161 = {io_ifc_fetch_addr_bf[30:27],1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_162 = io_dec_tlu_mrac_ff >> _T_161; // @[el2_ifu_ifc_ctl.scala 140:53] - reg _T_165; // @[el2_ifu_ifc_ctl.scala 142:32] - reg [30:0] _T_167; // @[Reg.scala 27:20] - assign io_ifc_fetch_addr_f = _T_167; // @[el2_ifu_ifc_ctl.scala 144:23] + wire _T_138 = _T_35 | io_exu_flush_final; // @[el2_ifu_ifc_ctl.scala 129:61] + wire _T_139 = ~_T_138; // @[el2_ifu_ifc_ctl.scala 129:19] + wire _T_140 = fb_full_f & _T_139; // @[el2_ifu_ifc_ctl.scala 129:17] + wire _T_141 = _T_140 | dma_stall; // @[el2_ifu_ifc_ctl.scala 129:84] + wire _T_142 = io_ifc_fetch_req_bf_raw & _T_141; // @[el2_ifu_ifc_ctl.scala 128:60] + wire [31:0] _T_144 = {io_ifc_fetch_addr_bf,1'h0}; // @[Cat.scala 29:58] + wire iccm_acc_in_region_bf = _T_144[31:28] == 4'he; // @[el2_lib.scala 211:47] + wire iccm_acc_in_range_bf = _T_144[31:16] == 16'hee00; // @[el2_lib.scala 214:29] + wire _T_147 = ~io_ifc_iccm_access_bf; // @[el2_ifu_ifc_ctl.scala 135:30] + wire _T_150 = fb_full_f & _T_36; // @[el2_ifu_ifc_ctl.scala 136:16] + wire _T_151 = _T_147 | _T_150; // @[el2_ifu_ifc_ctl.scala 135:53] + wire _T_152 = ~io_ifc_fetch_req_bf; // @[el2_ifu_ifc_ctl.scala 137:13] + wire _T_153 = wfm & _T_152; // @[el2_ifu_ifc_ctl.scala 137:11] + wire _T_154 = _T_151 | _T_153; // @[el2_ifu_ifc_ctl.scala 136:62] + wire _T_155 = _T_154 | idle; // @[el2_ifu_ifc_ctl.scala 137:35] + wire _T_157 = _T_155 & _T_2; // @[el2_ifu_ifc_ctl.scala 137:44] + wire _T_159 = ~iccm_acc_in_range_bf; // @[el2_ifu_ifc_ctl.scala 139:33] + wire [4:0] _T_162 = {io_ifc_fetch_addr_bf[30:27],1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_163 = io_dec_tlu_mrac_ff >> _T_162; // @[el2_ifu_ifc_ctl.scala 140:53] + reg _T_166; // @[el2_ifu_ifc_ctl.scala 142:32] + reg [30:0] _T_168; // @[Reg.scala 27:20] + assign io_ifc_fetch_addr_f = _T_168; // @[el2_ifu_ifc_ctl.scala 144:23] assign io_ifc_fetch_addr_bf = _T_22 | _T_20; // @[el2_ifu_ifc_ctl.scala 72:24] - assign io_ifc_fetch_req_f = _T_165; // @[el2_ifu_ifc_ctl.scala 142:22] - assign io_ifu_pmu_fetch_stall = wfm | _T_141; // @[el2_ifu_ifc_ctl.scala 128:26] - assign io_ifc_fetch_uncacheable_bf = ~_T_162[0]; // @[el2_ifu_ifc_ctl.scala 140:31] - assign io_ifc_fetch_req_bf = _T_42 & _T_43; // @[el2_ifu_ifc_ctl.scala 84:23] + assign io_ifc_fetch_req_f = _T_166; // @[el2_ifu_ifc_ctl.scala 142:22] + assign io_ifu_pmu_fetch_stall = wfm | _T_142; // @[el2_ifu_ifc_ctl.scala 128:26] + assign io_ifc_fetch_uncacheable_bf = ~_T_163[0]; // @[el2_ifu_ifc_ctl.scala 140:31] + assign io_ifc_fetch_req_bf = _T_43 & _T_44; // @[el2_ifu_ifc_ctl.scala 84:23] assign io_ifc_fetch_req_bf_raw = ~idle; // @[el2_ifu_ifc_ctl.scala 82:27] - assign io_ifc_iccm_access_bf = _T_143[31:16] == 16'hee00; // @[el2_ifu_ifc_ctl.scala 134:25] - assign io_ifc_region_acc_fault_bf = _T_158 & iccm_acc_in_region_bf; // @[el2_ifu_ifc_ctl.scala 139:30] - assign io_ifc_dma_access_ok = _T_156 | dma_iccm_stall_any_f; // @[el2_ifu_ifc_ctl.scala 135:24] + assign io_ifc_iccm_access_bf = _T_144[31:16] == 16'hee00; // @[el2_ifu_ifc_ctl.scala 134:25] + assign io_ifc_region_acc_fault_bf = _T_159 & iccm_acc_in_region_bf; // @[el2_ifu_ifc_ctl.scala 139:30] + assign io_ifc_dma_access_ok = _T_157 | dma_iccm_stall_any_f; // @[el2_ifu_ifc_ctl.scala 135:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -210,9 +211,9 @@ initial begin _RAND_4 = {1{`RANDOM}}; fb_full_f = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; - _T_165 = _RAND_5[0:0]; + _T_166 = _RAND_5[0:0]; _RAND_6 = {1{`RANDOM}}; - _T_167 = _RAND_6[30:0]; + _T_168 = _RAND_6[30:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin dma_iccm_stall_any_f = 1'h0; @@ -230,10 +231,10 @@ initial begin fb_full_f = 1'h0; end if (reset) begin - _T_165 = 1'h0; + _T_166 = 1'h0; end if (reset) begin - _T_167 = 31'h0; + _T_168 = 31'h0; end `endif // RANDOMIZE end // initial @@ -252,7 +253,7 @@ end // initial if (reset) begin miss_a <= 1'h0; end else begin - miss_a <= _T_47 & _T_2; + miss_a <= _T_48 & _T_2; end end always @(posedge clock or posedge reset) begin @@ -266,7 +267,7 @@ end // initial if (reset) begin fb_write_f <= 4'h0; end else begin - fb_write_f <= _T_127 | _T_124; + fb_write_f <= _T_128 | _T_125; end end always @(posedge clock or posedge reset) begin @@ -278,16 +279,16 @@ end // initial end always @(posedge clock or posedge reset) begin if (reset) begin - _T_165 <= 1'h0; + _T_166 <= 1'h0; end else begin - _T_165 <= io_ifc_fetch_req_bf; + _T_166 <= io_ifc_fetch_req_bf; end end always @(posedge clock or posedge reset) begin if (reset) begin - _T_167 <= 31'h0; + _T_168 <= 31'h0; end else if (fetch_bf_en) begin - _T_167 <= io_ifc_fetch_addr_bf; + _T_168 <= io_ifc_fetch_addr_bf; end end endmodule diff --git a/src/main/scala/ifu/el2_ifu_ic_mem.scala b/src/main/scala/ifu/el2_ifu_ic_mem.scala index 18eb6367..e937b09d 100644 --- a/src/main/scala/ifu/el2_ifu_ic_mem.scala +++ b/src/main/scala/ifu/el2_ifu_ic_mem.scala @@ -206,7 +206,6 @@ class EL2_IC_DATA extends Module with el2_lib { val ic_rw_addr_q = Mux((io.ic_debug_rd_en | io.ic_debug_wr_en).asBool, Cat(io.ic_debug_addr,0.U(2.W)), io.ic_rw_addr) val ic_rw_addr_q_inc = ic_rw_addr_q(ICACHE_TAG_LO-2,ICACHE_DATA_INDEX_LO-1) + 1.U - io.test := ic_rw_addr_q_inc val ic_b_sb_wren = (0 until ICACHE_NUM_WAYS).map(i=> io.ic_wr_en | ic_debug_wr_way_en & Fill(ICACHE_NUM_WAYS, io.ic_debug_addr(ICACHE_BANK_HI-3,ICACHE_BANK_LO-3)===i.U)) val ic_debug_sel_sb = (0 until ICACHE_NUM_WAYS).map(i=> (io.ic_debug_addr(ICACHE_BANK_HI-3,ICACHE_BANK_LO-3)===i.U).asUInt).reverse.reduce(Cat(_,_)) @@ -238,18 +237,17 @@ class EL2_IC_DATA extends Module with el2_lib { val ic_cacheline_wrap_ff = ic_rw_addr_ff(ICACHE_TAG_INDEX_LO-2,ICACHE_BANK_LO-1) === Fill(ICACHE_TAG_INDEX_LO-ICACHE_BANK_LO, 1.U) - io.test := ic_rw_addr_bank_q(1) - //////////////////////////////////////////// Memory stated val (data_mem_word, tag_mem_word, ecc_offset) = DATA_MEM_LINE - + val wb_out = Wire(Vec(ICACHE_BANKS_WAY,Vec(ICACHE_NUM_WAYS, UInt(data_mem_word.W)))) val data_mem = Mem(ICACHE_DATA_DEPTH, Vec(ICACHE_BANKS_WAY,Vec(ICACHE_NUM_WAYS, UInt(data_mem_word.W)))) for(i<-0 until ICACHE_NUM_WAYS; k<-0 until ICACHE_BANKS_WAY){ -// val - when((ic_b_sb_wren(k)(i)&ic_bank_way_clken(k)(i)).asBool){ + val WE = if(ICACHE_WAYPACK) ic_b_sb_wren(k).orR else ic_b_sb_wren(k)(i) + val ME = if(ICACHE_WAYPACK) ic_bank_way_clken(k).orR else ic_bank_way_clken(k)(i) + when((ic_b_sb_wren(k)(i) & ic_bank_way_clken(k)(i)).asBool){ data_mem(ic_rw_addr_bank_q(k))(k)(i) := io.test_in }.elsewhen((!ic_b_sb_wren(k)(i)&ic_bank_way_clken(k)(i)).asBool){ - io.test := data_mem(ic_rw_addr_bank_q(k))(k)(i) + wb_out := data_mem(ic_rw_addr_bank_q(k))(k)(i) } } diff --git a/src/main/scala/ifu/el2_ifu_ifc_ctl.scala b/src/main/scala/ifu/el2_ifu_ifc_ctl.scala index b397133f..fc847fce 100644 --- a/src/main/scala/ifu/el2_ifu_ifc_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_ifc_ctl.scala @@ -75,7 +75,7 @@ class el2_ifu_ifc_ctl extends Module with el2_lib with RequireAsyncReset { sel_next_addr_bf.asBool -> fetch_addr_next)) // PC+4 val address_upper = io.ifc_fetch_addr_f(30,1)+1.U - fetch_addr_next_0 := (address_upper(ICACHE_TAG_INDEX_LO-1) ^ io.ifc_fetch_addr_f(ICACHE_TAG_INDEX_LO-1)) & io.ifc_fetch_addr_f(0) + fetch_addr_next_0 := !(address_upper(ICACHE_TAG_INDEX_LO-1) ^ io.ifc_fetch_addr_f(ICACHE_TAG_INDEX_LO-1)) & io.ifc_fetch_addr_f(0) fetch_addr_next := Cat(address_upper, fetch_addr_next_0) diff --git a/target/scala-2.12/classes/ifu/EL2_IC_DATA.class b/target/scala-2.12/classes/ifu/EL2_IC_DATA.class index e7acd9198df00e64608261f15909e5c9e89610a7..01f3330c4d5689237ac143e96457b0fdf0aad216 100644 GIT binary patch literal 75113 zcmcIt2Vh&p`M=W}vgBt+cBZnOI3aO%9I``D94B#NXW7}qk!?9K@knfEF#?p;GRiKi zP@uHz0)dzSg;G}8tCU?zDW$AJ%Z5_;|Gv8?=}9^%uMvNU@9us2zTbU!_uamC@A2>M zzK=0B$$XBpZcU9l#+6r2tgR@kog1nNc^T(yK>e1cj!1LqxTe<5NPBCzd0b^=bGUv_ zRa?W3=7^VRoaHt*)s2fZPppOSWd>(G=mB80P4%@ck(RL?_2K3)X9FumU|f5ou{l!T zIj)+>)lHjQ!<{?YBQrQN>h^R-I=Js$lN*snKBwOl9+|T&)PozIS!LTpJ$ad@yD=eG z(^qqDR`6biPg}%`f<fg36+DDq7+1--~yHzzcP^eyUZ z__Zk>p|5s)2zY%czJdZD(lJ}oDIEoQG95$8LK8(gLZQLH8|fuI3_}Bbl7n6mr6b*w zql#V^7XKrXN4;j7*MWp{Q1Nv9m`d1-cYy2WzQyg>6Dx!sr$TCid2wx**O7C`-+`9mtFjO#mPQg>gld}K)9 zUY5VUcgxz+T+LWi6k1-arKEtyxIN4XUu#8t~dEwrR*BDyAlJ47! z`c5gGJ+bnzF+uXJ>OD4JD_B$%?mbk?^Nre)-*-x5!GNZfi^!h$rIFdN|d;S!Sr9 zbLs>6M@ohzU73MhL))#i45L#KJi-C|%R)BE5Qy=vJm5EA=Y%bcbG}*G_C$w6I4`-JIDg zMZI3j^Q-d_f8U8am+Z}*pVxP~uiJ6a^lhi~#pCJKN$reB;*IwV0G_qBw0`TrV|Omu zFurjE+MDg6QPf_AM|1yC^Y;#2Rkdcumf6d#T`j98bVSU`9LSqdeS!}8acgFwe$HBE z=S!IKCA@I-s<8uSiF~B?smBUYZnatk(zkkgU&hh5~1)d_Lt8&B4O=X3}hqbMqwyAo0|K3IAu=9m8caIL1Mk0H2caQEjwIO7L z$qwpgFYZBh-MC?80ore$sd@dr;cLB`mb*Mu6fX2EG%5gn||e@Yw6SI*qkyrHxOdLe(j$cKI-P!1iUKCI#0kba3H z?W0H&^@8f#=*?rfN%^*`azJV029G~P_EJvrs85TgTgwuk_3k2H{MP2yb)x(y=dGXI zxWO!-dRSjJef=&`p7{+^#`c|Bq|I`+D@cc__u&coI%CAR;ItyGJb_Q(pq$8lV&zo1 zW$DF(SS3XOuV9b;HZsqduT zp?S#n!qJC~**J;Xqn)Uan-)z!wy~;jfoEu<{Wor)_?`88Veu}si<_$Y>fJfvY?Q=8-ed(m40^gdE;bE|w6+M^vJv!{BPmx|)*JK{Ma_rhEo7#@( zv0#kpf&BImwWqTuk6zWfdgiuBA@tH`)GgV&b=8>l<9F9CEbd*D`0Rz9HqOsKcIV>0 zlR6hIw4{R=po7#&`g@7~tjRNvHD z*4DDEsX4M_TW3>SYe#Y7V_9>!qr=N`F_z3M=Vf`Ebyo;;)-x)(EnL4fyg5=lr>(8I z*vkSK04M$AWrY}&ir*D-D=Jz$A=eWuB8YKsl6>*XNIf|EaHdm;v%$#>jqPnMfT?M# zY{KX|-0WrjI140G9m$O<9b;M5)X=%b%La0mpGf1ZchY#ixH#O})>>TZWks9~J1V?0 zJgzz1x)~$;oo!nqD6akz@R& z?U9B^V{xdxy=_;hqoa-TVFYKE^H(n`!Q{cg!kMS34e76pX49MU9%k#QBR4UyfE zhU&<6=rzS1=gh?P905sEUZxv!FhtE+9w*r&t-XOW zUV(h+3~zRj{E_xJa zB8opxO!|FdZQ}2xNxzqh-*YHhsX_jn6&00pYeNg>pcrb9R=-VHT`>ubL+qh;aj2$Z zC4L8~x_0UE8p^sVs+v3MzC|P@$!Q3OyB6XsVz>R|OT?DyYymAzf9W>P5-WF3)lN{q!Tq#{s4Vk|G8U$JCyRHf?B+~u*b zsLB#Z=wU0LH@7NOJ7>kbXoKLF&(*aRsIXS_fh?Zl5WLm3sL~jB%nMc5pyJk)FJHc5 zX-)0&^0^hu%gazB@+!(gWedt{CsHOsw}AcZ$g_MWi5jW|0@dOICx@MLPN3@ARUzoq zJ<3)dax{A}Mxx3bi{goVwOhX)wy>bd>~%)%ECRpHieif=Fj{1V_K%5$W)meYrO~n} zm5Xbu(ac7b6r~77kyG7l4k96WRIWB<$>K_+HY!qGws>xBCGtPcARDx(Ce<~e8nje0 z=}{?aiS3j}vyDjcghvaGsFV@WLV+qvLuHEuA<_1cq$q(>i0Gq_aa5lqiu!@%fFYW1 z@=2ypBp$y>Iorwwx5LUMl*|h$9J6@ADlMKbgw@MuVfFrsc`IP!woOMLY@3cg3Y#vd zSPYv^YRi*p)b=FPsO?Fnl`3e|_9XLB+mlSAwkMfJZMl;cZ_87u)Rw1GsVz^XLQe%1 znkuN&mZu_6Tb@d#w%kdj_LJK3WLk255?^wD5-mADiI$w7L`%+3q9x}i(MZ3_C84>s zHA`w&RF~H-tz1zJUA&dmD<-0)!(afRxZOk_Y`cj*3cJatC$iJUa|jW0#6&`@r6+PV z21T;75KlFZ*0*5s5^T$$76_jjQE0Ig8#NQl)|6G^A&(yBgrF@A@h~eUk)u7hfLnR-nZP%e(J1n!p zZ$HVLsHvzbUtU{TJ|Fny=!&}2K9HPr7EAB&X`GE2;TX?~`TmTN4oY>^a(>XhqqVcCB{HtMvwcTBwwuDuW#Q)LYRtO5>~k~c1i_j;+aj5NbgFNQMirc4u`k(Ie)a|Xx|_+q!E!@*+qULC zoE0O{2TL7<31uBc!;pi?13TShrQ-40&iPbGXO1RWjl~Oip`QnMcXXVQ)(-4GhU)7h z9UV=Wx^p(!iJv5z5w$1p!|wUIS|NlJXw1ed44QPytd3b8v#t6hDW9H$fM0MPU$B zz@Y1~u-sDHwlmV+-qaAu;pk8jAixoYKu`gJp2~}@@J=i|4#=d!N5>VVQrzj0`3ha!Q*kqN$@@Ec5>yn^L+$f1z zp$qIRK_G5|Q56QZO~fsbmwf>QVo9LXvpgVu(>8p48S% zjavo<6#^)1X9}mr?SO&`fh0Sa8n*)qDg=`3U~1eBDCnhyN;=TN0{u;+KEEKBnfyYk{5ZQry;{;n2zs>u9Z{<5tgEGJxh34O z1=}1WV(YeIL3U)~BQ__tVH2PrSr8hHO4KM(E(ylsKj)W|epg^!JQ=IDv8@?3yO)w) zZ1Jn4=+zE2#D0ZLKw(rYMuW(&q{y!wN#4Ar-A?l8q$Fq59BbtiPZM?#G(b3Xhyo%j zre(^CjPMq}iQh~a^BWXgdt_TPXM;vmWE8=oG5}TTl@~bpJMDn zrLEerd%}X+$|}xgj<7Atv9Uw@IBIHA@p86aEgs(o5E~drsNv#V_MSiryf3OKQd^Oj zTD5{|Y7(x+@8Q3tthg7}rINjios)Q+UE z!WJKt1^f_fG2!>kv@=zZOoG54r13rq@b;Z`?Xc?z?9Pnt-S}ff@IEQQpF&sJzOxbY|*xaJL}PAP0v_?6ZK3ga?~=yf=4BYT%{+mMVJ@~ z6vjNpsc;Nd*l3z3ku^zCTKoO7RMPGWz9TH(_ zBSuzOYz13Hiv81KD`n_oi>;EOe+!JP`4-zOLjj9z zk)cA1HA%c47CTCYdRc6%4E3>Cv*hb*u@)KXZ?RSx8fdXL85(S{Z89{(V%ue?*kbK6 zG~8kxGBm+++shGp&E;wE_GRHu`?uXwZ+bqA=trLGPK@eKb4`47CT#pHd*W( z8LG3`xiZvXvGZi8(PHOIu>~0ytfC0517IF{!Eo!#sE{okOf4RqE_sP(`7W>nF^fGcLr+-j5gB^QVtg3@Lx#ovCPQ9}y)8pNi~U`OaxM0b4CPtu zA2QUG>;oCN%1}RxeI!EzEcUSs4ZkNajIl zxZ)hjM9?u0XM!^_WlSj{C8xAlr4$%r=SZaP8QJ0q7?lPs`P4~fP_ZXThf!RyMU$LP zMS(^~S6raci53@ZbkM~WTNF)M^!BkB38b{RgwryTuSk`{k`ISyB+p@)c0ELs`)iTQXAztL&WS_p~=JSya7q()q4~Fvd?1NyfCw#ZH4sK2?|M zI3y;SRIO_A*|CG@7e8!s5dC6ja1NrMJZ~VG(&jL&pd5@PHb_ZZ2=P;F5=+(H$^&cm z;Wo*p>aU{b+A8Ks9@X$E%0p)!B$u*~;wR)J(^!R>r8zh!1ysqf&wx!7=jkMys_<>L z*fBfFsj@jIXLRInFuv%}LDg_M@npG(wV8t`DUR?-B~?vl6x4CD@8D^V9{7`-8FUp@ zQ=EpAtg4Zst$|>bRmDXnB-eSB!P&r6>qSOoD0JE1O16;-W3r(fM-L^hs@~YVGAYq= zR~fs_C=M!0xC})p+6Fqmc+iN6la4h?MpgZ>Bc>C62aPv&2=btC@%cc89CRF+JZRK( zK+<758LXE$6HO;WSrc>A!ZK7x`#7f5Qq4#GSYm&tF6Jm-)ELzH6Xi-wh^l=Thu7jg zsJe&&=d|jyOtvwI8lpC5AW5C#QKrq0q%JJ2_Ho-wtJuMMx!74$Sw#AXg)}Ko8)Mu& zm2E}r@Tx>p7NpISxpQ(Hb(K)c<}vvMtb5iBV^HUrPg*?4Fa}L#%`gT{X3a1LO=it7 z22EzoFa}L#%`k^@vL18}mCBr94wcHBVGfnbZ0E1C4RhFn)H%Z(Dit}y94eJL!yGD= zIl~;v$xPFN40EVtR+sims4nf7SY6sLvAVQhVs&Z1#Ol(1i8VvMrks?P?ZQCCkOaq? z=>WB4P&H|E?pdBCmOQE^Ey|O*NsDq(&nfx|Rr60w)cVWA*^*B++)Ltf3}jV30dd<$ zxh15kixN!n6W)?h)$FDm{Fbb$+>4(FmprNgMZz(0$);NJi=H0O3=}(5o>?bnub3{f zcvqKB>-b4@QJ$)1NpWCZYNjgu#B=PDPt_C0j<-t|Rf#)Jy{FHK_+j|;IwhWwmwbgd zMV0hIw8h4-vDkRh?vv-PqCblbd5eu>^4o5A?!Al$`E#&Cdm+Xn+}(u zCpa4!JG13D{*vas=4ozuz&1QWP=IW=B%Y*!7wtaj@%ECPUcunkz%t^(vPk966w) zZP6?i=Nc?FkIg5L_bs+iZUTL1u}T^G$YND8^e@iFIz$uR`AvARbLEH`7F#T}`!q3a z^2Op=4zU0I)nbb+Wy?tEFL9K|fwVkQk2j?e^%(75KYqp=2ED~i0gXFai*c4Q_R2fG zXfb3&%3->Sc;<+7;51gTJb1^Oa5gUW_;>~G8>kP{3-dMMcAV=k-(4RO*FAW3hBGd) zoE9(tdvyfd}S4`=J zIQ0@u9OaZ(?|~Ys-B0@VLYYK=+tJz7JZ@f7Gj658Z7FzTDD?+=;X?20(}OxTB(LKi z62t(D7t6$BLoyc8oTknWuU>>h0FrAc4gtsz9m`sf_yMOM#jYHjK~f^x=DWcCXi71#^4 z^l|!lzdlBXVfmX{K$I-9 zVWCg2&~d(h7`C`mIAZsb*q5B3sTrVG`600wr#Dwv`ckR`{qnww_ExKN!y6? z#^QZAN7aeGf0MQWMgM1R-w|#mK@YuJBc3SG*V&@W_Xy;TBE=IdK2kOtjm~BRw`_QI zoG|o}!F7JFdYsqe(^iniXX??x8Tog>R5jkuR4jHYPj2nA#G%(-KT z6utOm6{NRC8iX`z_0jq<)Ic4}*`#>pQJbtj|C>@F*|+*$KQulbFJd{1Fn$FL^~zQ> z4{$gb$^Q|0(OB|{BvO8|Pd`aN1>-H*Nv1BNoM;#SU(!A9j)Q^J+;NS(rB}#-yzFwKgJnx zX-mIT4j&FsH!!4!-Nx;G5NOt1ccco8Kn zgQIDY_5s+3{3tP+63U$W1L=y2qcRED4K=v14D#@lI!B=UpU|DK84~Sj&iW_VR>D|fCc|s|HO|tJ`LzdhP*s3Iwedh%HnWCcw1+rK~$FHm#r#Nb3Z;t zw1)v-=wDLFe$~y?zZR3slmbf<3F!aP@KU>%9FAeB%*E1bIYz6Vkq$9}86 zGTc(v5XS4S;l^-%XIuLouL1e|a5KGL9;I=1s&fWni^_Lo6EDb{;YggDO8}IEi4-N{ zul^gFq5BPwVRSPMlg8Jo>9=l6f$n${rlmrYD%%!$mLAYM8&Nl zexsdeu8lmOVHubKTlL}AIXjw~8|L9I!!+whc)hf4kL3jveKMai zJ;RLQxkf*Ort-1Yw>7e>c*4|!Hn?JtgKXYP4KAA9iCA}#xfc=^)!}asVG{0yC8?=q6SgMump{IC1WLSq%~Gq#z>=tu-3rN*9Nrr z#F9=*#}Mm=qBVKEF#QdMOfxY5#VZyo1I8xH7-x(pusVR%Q-iOe)e(yz#Vz$Ki#yOJ z;GNC%=+W+zYUI>$3uBSl(rAbpjm?EjH!$7ZlU&QjM<;4AvG}n4P)k0RZ_YBHM*Ao~ za&N0;%$K>>f&{k~GQ-$t84F|{YzL{mkeQ@wY>4iF@ftg@NEB)Ah)|hW#xBC#Y3zoD ztty981t-Z=Ces)xHT$R{|6HsT8hf3iJ<*m-iTC(36tb%JFls*zWs&nI7$;KE{s_rB zi8>Frk^$qCLgu9o&fD0AF+05s*(15^Cd@!0j2|06p$7hRTz3<%!pZAkv=(Wc>4y=V zg=&wj%W>l63$KAWrCChtn0OB|U%m$^ei6{;8t3I2=NRYH7D2)*k`(W`#)Wv-(fFBA zEZI82fCw-4vmpkiiJl5nI>-CxqJfEzcx3BnTxR^7^6GMnA1Pa?Us$|chOV;sLK*s{ z#h1v?uPk08L)Th-jSO9H@r^QcBaQXI{4Waw6lZQz z7p!YlQ+uQWIf4PIW3iK{b0dx69nGB>AQ%UXyRgD%+)Z=7XwgTP45a4T?sPu&2c-(kW zm8sNu%S?UR#|jK=tbMD!=SS!{i*J{O_eYC&%Fv%IzDtH)!tyI6w7>C+#gCS>*YH}M ztoe9J>`EE>i(Gpy-qyUM!!rIV7AcLlu$CShWF&4C#T$sy)CM9`Etk1(ylwoQEbkpO z4F903u2LGP=oBW}F3Vx>dxb2=cpn3Qr&@{Yol(s!<3kz8KM}`Ah0I5C_Vv|{**MlN zDx0=q?Duc9HSKr-zP%%28K1IGbB#}o&n$hL9MOFNkS`0FpT=y1osm0N>f%vHw=h#Nd^2p}J7;PbOt!vv|(^f&N{1^7(qR+6*#pV*iTdLlQP1PZl zB05oXIVG|h`79GzMK>dqXG{I)r$AV@Z4hKkk#KOo%$6EUj+&Kt?W8a#Menh%CVXE{4 z#}w^`%qBmw;3%5>+g}I6Gz}TnoUri#lE|-CpV?xz;Z<^(8JJ7`KV}A(%5F0-nj3?aC(vJd-i6mQM(H=yEdgL;(-o zGA5r8@X%FZ@(BSC-TEb;5b)5IUh)Y658c-#pAhiSWnA)!bfHVPhlcjyD{g*<&vGj-x-D>G4%FsSbzgmXwu=J;7sLRs-Awvf+ODb-NblB^H z5xM$@q5KqQBWJJgMOP0a4U!Ej<|$gCGfiwuCF|UH6khYUoSmfHBhw7I3fO$_LD=;6#94Pi-KCCL2i91^Isyp$?l&bKy z8d_hawh@Ot7Vl`O!`+^eQdo(DJ(g8brrLSGBHe64!BtT3g#X#bF^Z1~AB#*R)DZkh)dVrKJ_E^tlKcOk)TnC{Ujj zF%P37MJDciD5N8ESUV`B({aMb**M|jWSsDEE>8G36(@Y0i4#6fsxfXpjIf7Ig*?uy z2|7-zCD3tZP0(>-ErE`6YYERdy(ai@4lPCx0T-vy1RZD5gpZSG!pAu@;p4~|V}U~I zuf#JBo(Uhv&V-LcXTrw`vKa0X;NsL*?0Gq!ag|Q&xdzX;!A8j8{u<%q_8Q^i)*9jC z&Klw4#v0+{z8c|gu>Fm;kDF=)eUtr+TWZ8J?x+zyZm1D{gY8FbztQ$L+x`~Y$E`Gi zA9vCSA2-qnzuEr1#r9imzs>e>4~^i%Ei}T%9W=tn4K%{X{WHSH?K8s1-7~_+%`?Ks zy)(kctuw;MoioD6jWfc>eKW#8&c@km`?zUF&`+>^+%hAcamS4Cal?%8PqzJ2Z2wf- zKh5@kZ2P!XM)2cK8R6qb8R4I4`)AqyPi_Bf+s8dJf)BUI2p@OI2p>1d2p{*y2p_k{ z2p@OH2p>1c2p{*x2p_k`2p@OG2p>1b2p{*w2>){1zryx$Q;eWrY5TY(Mm*z=7~$iF z7~x-I(|=|Azqb8rZT~vk$E`4e{|5URH^PYLn{5AP+y9O2-(vf?2S)JW78v2<4jAF% z1{mSv{ukln_7~yf?ib-0&iv zalec3al4D~AF}<2ZT}J5|AXy6YWuj+Mesjv`?$?TJU?msPuc#{w*QRn;|>?WhZ|gk zkNaDMkK0>>kGorhkDFVBk9%8$k6T-Wk2_n0j~iQrkNaALkK0;=kGoog|7Y8O!}f7Y zi=e-0`?#S+JmY>A;p27|;lFMBf4BX2Z2up&|E}%hMi#;UzU|{S7V-R{?f=vEKeGLg z$>--VAD#WgDB%%sAB%RMR*J{m&Aw->a#Tqd_f(C3gc+l6-N$oEx^w@iE}q}Tdvx(W zRijEqck%wKM&Akn&HMx0T*m*)3cc(LFCS_?3WAAVgt6z%$KVbIvVo6T4d4So&1FOQ zAU+s<17c&J@FFljjadtnBQhz46JP(YO8qe*r%GZ7=i^qX((IL*6jSQ(OiJOff?K7g9*R=0C*;($m{QX- zDTQq_w@S@A6s5jOQ0j=7QnNEDg_Ux*O3gVGr5;F7sw}3|+)PSg(cZ06^AAO-2NRT9 z5L2omlTz3}aH~}1p(yoGf>Kp6r50yW3R@X&m0ETvNvXQ))vdrLfoJR;f+dD;16@RhLOA?0C6VDw4fY zjWMM*XHp8AZ*G-3q`vR5gyL(8DRopPrLYg^R;fcOzC@*(V@kDTQVJW9Zk0Nu;!9Mj zEvD4AOiE#c&8rLgVjR;fc84ks$L zJEqj0OiE$j)2&j+9!istsMK*WrS@i03fpLIl{)cIlzJnZD9cVMoucQio*d ziAtRkQ|i=AN?~)+tx|_H?@Ltb$1$aTl1VA-ZvMY2^=3lxoe@*&%uGsQAJMH+hg5tY zCMfmOm{Mn_SBmp<__?-H<1nG7iMCO4fd7o9;~vNC`#OPbNd|rqzZf%Zu`0{Y!x7+1 z_@y?;bj-zRHg1-TI>3LSM(HIvBnt8J%dpDI?U_3s0)V_y4f)F~Ag@tFUYiBvb^Lle z;pJGEphXXF$*9o>_$_KoTD<^EEahH)1EpNhZbZl<^1$Z-Ilyle`G7+}DKYdz40pPX zfmYyT47WRD*oPQy7cm^*cVXp)7H$&LaG%>4Zs)(VW7vm~$F_^RqiI1b9I>HH?@yCk z54(-&_p#i1z?qicBZdb=43DUb_%U?^sfH*bh#Debp)}5Uq!a)Z@zZL|)FKwfEsFRF zr_wNU{)C9(8UCzY0L!qpWq{RJGH5_hKfqsB$5Da>HZaA^$j6_@@+cLukJEx98JUm2 zfY@}qFrE`>%G1CY080QrQrceOui9x_3P=LYf%%R(!2g;l;+$B-Is7%dL~{7+lsH1* zf5u*k7LD*VMD{xV{wyMVOI<$isH00QpTALb(en8lLDESKI}Py$><<2}8Zli1_8x!V zE&@jbwx7Ry8xoY-45sLX)yCMi4*$T`Pb@)lwt{~Mm8p6;_50{1C31p(NZd-L@W0#% zuTlzs;!b!q|5Ty&=kA2pDr5fAo$xxP@Yn8y*DHnp<4$;kQux2_gf}XMzjr5GtIBN; zcPG3_6|+ZkCmdGBY`7DyQ^xFdCtR--_PG;oPzvX|6OJf_^V|tHDuuhb6W**8E^sHj zMJe3fop6(~oO`+xK1wOv+nw-MrEt)laI-Sa{oDz+D1`^O6K+)s4{|5mrW7u6C%jE5 zJk*`=cBSwzcf##T;ltbscPNENx)bhH3XgIpyhCYWW84YvR0@xCC%j83Ji(ptZl!Rk zJK;S_;ltetAFULg;!gM&rSLR&!pACwXSfqSPH7~w+zIbh3eR>Ye7sUPH{8OcHtvlhfmBL|n!sjT3>)i>T zs}zp76FyHVyxE=b`AQ>cawmL&GUl!BgfCPIx40AjnNqmTo$y6U;qC5(FIEb7xD&>W zZ>jTV&klFOxFk*?yvv>NWlG^a?u7BusUqfM+zDT<6h6+K@D)no!APWTC>@XPLmpHvFJ>Q4A6 zrSR+Sgr8Olzu`{!8Kv->?u4IJ3cuw}_&KHU+wO#)R|>!5PWX>X;dk8$zn~O;-<|NE zl)@jn6Mj)C>~ahFC8e;-E##M#!Y;RvUr`Ew<}S^zDuusrC;XaH_$zn9uPcSWaVPv| zrSP}zgx^pKf9Fp4FDhY;xf6a)8yQ)~I9d&rc$9HEB%It$2=YRLa&0a>Dk{BIVJqtuY!X8}1{S>hfX zn{|71FQvrCD7Exx?jci3e5_ha!#!k*mgCe~dfh{&XgOXD>B|Cgf*LY63&@FT$h<5d zOVyCwvVfeVhAhYe@^Cd|_bebMtL?II}6B4HDo9Y$SO5tSr(9s)sW>`KrT^3&d&mJsT#5(3&>?^$VFK| zE>}ZVWdT{OhFp>bWQ`hfSr(8h)R5I#K(16nuE+v%mAWNbn+>-@l zlN$1vEFh0kLmrm}rtcBn!wrYRJp7fIM0ad3hF)$EYEHkp<+jYRIdyfILoZM89+onPNnH)mr|_ zJ!Faz9j}JGHVeoT)R5O_0ePYt^2RJ6f21y+o83dE6wgU&$Xl|2JXxLa+uTE@l=vxX z$lJ4kJXH<3KMTmy)R1>(0r_L?C$U|8d99LJGHAc&ZugM54kz*W!s%))f9oDHrFhOz zL*ADK~h_$k(%g zyi5)GMi!7iS3|y;1?1&w$hWe9yh086b{3GoP(!|x1>}`#$p7IEw3Ht5DmCQ$S!j8+ z8uG&|Ab+Wb%;vDKg%L7uT?{Skp<*+YRIp$fV^G}`Ars( zH>e@M%>wd9HRN|$K;EQ;)L0gfH>)8%SwQ|q4XI}Vd5ap-%mVUOHDpc}khiHJ{aHZn zQ$t!=K;Eu~%+CVy4mD&T3&{Oy$igfjyVQ_9vVgo(4cRLT$OCG~K3PECrH1UA1?1go z$o^SC-lK*bm<8l-)sTY^9g>aF?v1_v9ldb-4()fz_tjpXdf_(S6~{-36~YfB3(N0? zSn}m^`?g-rCMbm;N*0#iU`Q68s1$xAS@;R1+NDb2N0Wu0R0>a03O}AKEU)=bRvTZU zR%FVnW1g%%WiLZHuI|;IO%{GGRajoTtUZkqn5NX=`D76J(XM3mrzlVQv%_t(OtUtfbJWu-K*zz>D{hnzQtp@^zOgAU+;;3C0%;&eR_X*1BrXO9@GW@ z;GiB8$enHkjhM&~@D`g5aEF@>`}9%w=wsG&*T)vH=L3uH)F*c7lkeB3g1%3m)uo5T zV_BCzf1h62uS;Ljr7usE*`8izWtYA>k$HG}=Jko31?f3!Z^g~^2J69k>zqx(XAl;^ zmmi~C#Psi3Z>D*fhkJF6!QtgzJ@}`os6kn@BXgdQb5$2)SIx=QQNUERR5; z^k$~MNe|=Bex3E#>+qRm4?Z%Sqt}CGu!*clZvYKf^YcF1cl6l}5&E7!>L4~ad>-w) zKtTJR1p-0(K(}4u!kAb%IGAo@W^2flg^qeiX zq6S4vM3zKv=24b}BUfb~N?%8yTy2fzYMaPa#tuYt5TP_VxGnazhbj~%ea|4cPv2Dn z#r1pjo@=yG)%WXry7c3^^b?XRp^ROA8z87}$CnD|zo6b8`(}a919AWaDl2?K-7c&D z;5(*NJIC$QPempAaX)7^y%4BMpG{{tO7|>9={DW3Hzk5CO%HZXB4?iq<1%uLUDIT|Xev{TqTR)NZT3%j2^7^}2vsnS*?R@(1jPO)07 zdG(XLdXuQf9f?(zDtJmgj`KULO%A%K4p+e1t`OEX8FlyZo1le_{n>f%=8}^lSI&Hz9Mp_v*h{qwUjgLl}8`JN}CGgeLdJz<~6* zmXN_yPPd~rIy;JzZjUE9sq6nz13^{ zj!4gW0aT@2(~*PmPU@w=%Jd@lA!%l6f?f)&OD}^~F5a=Jvg4(|=8V!#-RjbFo+C2O z$dqv}yUw_m1GCb{L>ZTmD2xb_`|X!Abr!OW%}lDk5*U?UfrK)CB``2OXP2{RU&&;5 zYHN5Uuqr)x8f$nZus*#^qBSTo?v+4OM(MaUyb`ES&nc`S+Bg0Fe*M8N{Si5udo(di zcBIEh7%{z4z|Kt1>iD8kp%`eq$o|1z@(gA#d!Asgh%v3`3H1x3EgnK?`rP;zmj35H z*AWwXTKc|{>~o)V_PO}VlK!OVbDxT~t*n*#Meja6Hq_OhN$9Cw4XjC@`ZF*NP452X z3|Mym)1!%I)6*sTS^YV?`@bARH3Qv$5425x>UWp^s)yaL59#kLwbuhZ(<}2D^~N-U z$DsbtSY5IQ^|^B7PQ&`-VZiHwV0yT}K#jkqQzJu{{92;I8EW%i5;;TZbrHkBS*(&R ziS_LxX&AVK=`{96UoA)E)M3Xd9@(`!x@!4J?McYyCFqvlLbt55nQWl`H+0MRSQhUQ zEic|fe_nns4I%oJmi!`Ho<@Ug{1gC@25)a4`Y=)I5*;S~J!Z1+I8FBNi0K^>(?4P+ zdlXcq0qMkj`iICt^t1m$Q4Q?UKP%~Xx8Y}OpV6($=-y=n?>9gwX6!Cw1lbZsO3|w@ zq|v{|ikVZ5iP7YuaAr?-;r4JWR~|M-Nuyv78>5qq0zU;ngi#pd4Ell} zzVyZOSq@ccb_&uu5r32Vbs5u85Y8lg73h{$G2@8)jmbjBnA{(t@fvO%sjT6cxL+Kb zc7GKZ4P<+^ZOpw5DXV1b*v7;mSdEQI<$xlH0H@V z#7>E2*D0~=Iwezf&5xPX7~7;iPH$3Q2a3|>mY&e`d>zOz)9*@ed|ziWl%yDn)9aF8 zd|ziAjwT!5*ACkqGh`b#fiv&b&`+dX4KCHg!tA#G6@)z`8a zPRSt&9sK8kinOBoYl~ws8)KC*e-W6RR@{y`E}0nf7lG=uqWWvo^?ko-vN5uRO9(*$U$rglK`PB3j_QLKHI~ z5L%qvV4|@Eks8cnjpJm2v!!<5hEY$vZ!?a!`?k{<>oQW_xcN-jn(RpXN26x_l|2~a z`Z!~gTuSX%ij}^xjOG6Z%R00od8hHyk^{yC_`9g2b!%-dH(3AX=elIn8x0m>5^5UocT1Js9ZiC`pNA1~Do19x$#6nvU_F zH|UM6$*OdENX+9Cb;=3m2&7*Ja~w#%pf4lR!wMvS&@Yg#3;G>Mxj`&3+e^zTogN9~ zCZsMl9LLJD6|@B64MEF+m>0~Gh%~Ad`I;Zh7koDb^BsKMg56?#32nxsfq95hy((?o z?Chc+4a`l;sbj*mT0|cR21N9?1Otxf3xWl)geOJ6F+J$|{n`U1C1|wd+IwNJP(a@n zEObD34|b2GOy)q3U=P7}d$5OtuV=7lj4wfj$6V*YW0`Zn*e`ORSFo3e{?1@8NA$ge zz12DJSRiu_JcN2#*=5|b&!7o+V1AeJ`!3_*E&~(UlzR4r7#Bos`YDXD_G^#CvGx85rg;Iw|xhgs}Pwv+EP=BU1B1u#Y1(!C)|!nKIM+2Kx%W7lVBreEovh)KE>j zo(_yjU+xK0qNf9M(sN>EZkJHAf3UxZ{^ekQNAv@N17evz1hy%oBzxIw`|F*sD;_*%r%83qqJtkI&l{_0*k~U+EzwOtaL>b1r4>>iu+xWmaGtyt$uRW_|`>g)JK zYQOfpQuaHiEJg8xip|8d$uUQZM`3!MQM{Na`%IUKhV`?OyUlJ+af;>T1Wwsq-fi|s zW_uOo@Te%{!NI{)$Y!tLU`HVr1&iV)EBJ;4hX}qt!66R5p~0a^e8s_H!Phrf?BE*~ z92PU0gm&kRz{2$9SM4;fH!>|pCam$j5tyD9Q%@L-zmdt}{u1lC-*EP~WoI6OF9 z6zss@a7V!&7CcN{ux|#AO&h59hdtxP3@(nJd#7dA&GwtRofw3r8ByUj-2*yAxrsv6I~ z1(v5xqkdn!WlzkP3=J-|*p8Uv$|pD5Z)ciaM`KQmc1T)P&9VEnH&KkG$gXD;{q%cc zGt2JW%}JtHdCTUfvO(S?Y~{S4X%B%aS@J0TeX)#`7{S{z0&}v0{{zv4B=Wx_`B7;` z1V@NUGc`EEQE5g7N5)!B)d={*0A)}j=DTQh%<0k6*M}z>&_@SJCaSPG5?MMNJA=_~ zH0j{PM}eBOrJ}FguYEuY%$!~lEO~^@2qGLhV9uXBib4x1G@3$H6dH4ndBmEYqYjwM zdX6S%44ga7n#yZg;992LW3F5iEV|&2=RucbMxW)_(1u_7mal*FLtN2y?&o zZ{+EweP%s|4aW;lA1DWdh&2)&!8{skvOf_+t7sN(anSoXverrO;h=BDTHAi@Geo%! z@a^uS{WL&J*QwEd>Rf*_w>WFVry1(LxnsZfm0|#69*60#dBSa&wU)4HY$lfRwy_i0 z$?)%HPq3$T&UN0C_kq8d*YY~}SMuBV9q=FJukhD(?wRjd?O6x^YR~PSF8GgoUh}-6 zbFD&Kt8LJ^-di7mW{2yu^`&|>{QLB0^yhVMSGy-v3LS&UpW4 zL1(5u%!2=Gyw11}Nm#~@!z?1N`yERBP8|*zK-P@dp_-j+z@e(*R3|GCS*N5*og#zg zu|e5r<u# z>D2WH1=XYa3YGb@?64nFWvALdIIyF+(?+wAKeXOX-Tz;}h5qJv(HeZhM*V;2?=_FW zDPiWBgTL>w6*m?>Xq2=c^v^tkbG$zUbg_MN1v?DeH`lR3c0C)$9$-hY2iYC$A@&`6 zm@igx@4QRdC;55o)BN+HfXqqRAvyvlOfAdG!i1DzKS&n&3I~i3qb3kZ2;{;(0Xh0LHikKz4TF_T?ATC?+Mz)p!LzKLAwOBe)=rXE(NVG&XMGp zmw`4w|1D@g2d%$R1lr}G4Ki{;y8^Um$ zitazYZT#JiXcnxH&er%!Fq1`sZb^-OGb7c z8+U>HO^E<^!(z#1y;y>Oh%v%tXvCg?yAbWW#qwD<_yw#xX6QcF3x03-LHK>)_lG|K z{vh~+;SUk=1vr8=98GqRjbx+HEc(nRLC1XAd`uY>u`r?HK`3GMoSK==&9 z#vJ`~{TuyT{lEHm2GhUOza^S6z!;3Fx=k~Rhz9O;#`+j-J!qy8HX863Az7QJO~KRQ z!z0K%AYTh+IhF&RJ8&IWQel2c2+ zZlX|_LUk0XC#Qj&2sw@9Y$j(5IZecO6ggYTX(n0=Ij!Whk+Y4Q?c}tR(?L!rIXg&V zCpo*w*-g$Ka*ihF7;=s!=Qv{COV07+oIuWrI@2|P4pM-F{*o83>&@5!N0 zSu^@1HG7Dhhsk+_oIjBBC^_^AWk#PuX7ov8_9Qt^k@GY;&yYi(?q&1|UiLgW^hsPs zpSET6iCRXVnq~CKSVo_IW%LPGMxSD3^hs4lpGIYWCg%-u=#!)DO>+JUho6H2Rg9S+{|ODJ?D97eA;i`R0+d&-Pn*k<&yF%Gig2YPpv(c7=$y?I72(Tdlx#hdVq zUP>451&dd68NFuC=yh>MFB;?EHc;qYefbXNd;EPSzN#p`gQ(G$3pM)IpmrFA=zD$| zeSuFaA!igh^j$h_429_1ZyJ5AO{4FtX%onqNKPp^lgK%o9QqcQMqkg;=zCY%G@?x> zX9hVl$)PVTY4mL*Z8kad{UI$x4t?WCqp$L4^c@|IzI>z6w`w%{x{OBOgTZ1ioQ1@^ zh#dN^iB?4peLF;>uYG9reGY9IIm^kZCZ~p+739#j95nj+f=1s<(AJQ%mYj9utS5(V z>euLQeXW+9O~f3g5Zw{4(XH+p-NUZYZQmMQpRUn`=h|j+=u&a*C~~%vL-%59E#%PM z(;D3tt zPqh=tp*u!3x)oHTdptF|c~hgiGPTplq5CMc(|LWb_qF`l5-h3KPQLo9n zrO~ZY8r=h>(alZTwdBz4NE+Q|q}@QyjpWcRKibXY&`mlT-F>6cZ8h3$A>ycriI6$r(+~1ac;mGlQHX$tfpiAvsIP zsUc?#IUC8TCua*eTgho9XFEBa1@lEC0oUXVtNT7 zv;ZMMXh|pu1aPo0C185*y@voHKnNkppM;X|e{W`YZ|`pIyzv28GqdmB`{vEeo3hjP ziT~bpFJo+?@q)s7HgD)EsjM!mud1k@9jS}>nWC`4jhmV~VlCw*&8?lW_SR@iNp);v zv~l;swx+I@n4hT%%WY|HD2cU{)x-BQU17cH0bupbjrCh&TgP`aMq8o^8&b^!CGD{d zEwRSVl3F6yHg9Z=c6PPLrYlTu*xecHPy%-tihkq!s)Cs@ifzF{&bc^nhBS6ov~cl*(aK^GkK5(AO*M_fp5zhqVy?LoAO5lsx+lR395mW8sus?Ze(bFVIXX9dcMD5 zR%8t68x8A0wZzBuRd+^!*N@`MUz&q-Ocr!X$5KI!BPo%pr zureo}zL{3~0_uq(9aE8x{CrABv*DW^nWaSZKxrZA-$#f3^DX@sAYD^~JY7c+eW8oK z(nVkFqVIOmms<21;56rQoIWF?6~hN{$yUK*LVcFMNqW9R2bmnb=NhgDB588CTbuRvhL=!mwx zqQ{zkTUV9mswd1ZRBDE+c}E;KcifQ48~Wh)fbN^uXHr0!U!lxb^P>G0t<=?i!+LEm z95A_jW?A*&;}q@4+G*8u7l(3vgJ?sYRRz+3Zwmpse!<8J9`Y$b1D|~;`XeLuA02H|Fm5* zjiR{=*XH`>LZ94Ky1F3PD^j?8L|gN)soS^qA$!j6r&Ju#G;>|=U1JAK3G^H>Xe8CM zsYWEfb>)PPsy$;i!k$_V4^lmw?CUdP(9V`2)7DoRMg7OkQCbW0rfz6OKGX+$l}47A zH=!O);ra&5!eOh+*2fBtpEE8zy&->a*rqGPL9goDdqvx-3A4k zj;iDJccs#!wg=)LP_|?7p4_>41EvLfcG>CMPU(xs)3+1#_h>Gs9HaD%%S|8Ec1(F2 z>@FPT`4#OOsYHGij6HnZx{2$XjTt+~hNpE@Y(Tpa_1W>}x#atg8;W}0FU<3`(%|uK zkM(U?(X)O+tfI!Gb}UDvqr^9Y@^@|d)|s<y%z`J$udDdd!T54wU!! zNO1GqJ;PTlTseKy%=tw-Th^5xI}`acLUGYyFBZLD)RiA?^N-(Avhv9F3#MtkqO*}t zL33m4YNwoig-BmD^jxtA`P#p{q1gZ*$s-=v-}<(ZMLDhg%QsXmpV3d5Bc($RKlJOh ztL>Q5Sk?UF`<6r!pLK?eq-?X9gEkNw~Yw|nzc&RceH*)`8dtzn>D&HzqBecTpdxhV*HxvT@}Hm z6$@3>H%}kYD^gLmZDrZm$$9IJ*tWJfKfiRoVr=YT&KtF;|s0ZW(g?B(zJ=OY2og`M9Weyxu7} zNWZ`l_L0x+znbc6-_Cv!s?WjWNA_G*-WJiLWG{^*Up=^d!&;*`@!1#T`BG_gPHyGp zKX7jA>hd(cqGpeaQTfcCC8FjTcXwRniA6GwN!`eON8}q7^f<*f-Z=?9#^&9Qi z@`Y8RqWZaV%(YuyXxl`t*D4Verh4Lm+M#eZ9%=9(oqGyeCtX#&73rL1EsylL*9<2Ei_HElz)v2y9wm1XPe2TVl2H@#3rZ)4#Rer;i&seQLEi!ASz7pPj0 z@6!hMZSglo#$qXCX+qMFxuC2NmgXL(8pAA%4D4FU?uC27g zvZA@EbCaJ9QCN>en!@@e4bO{;qOEPMMdf~0sIU>6qdTG{Ez#DE7^v@P+Y&2T80*~B z)-*5L+SC&3D5`F2+tRho&xRxN%&dMkLScsBS6F{BWM9%AYl>|sinO=4?TmDEv{62c zQkd!f)z6CYF5qHOn6J4F>94l4X;v30gMoelUJROI4P6`S+neflMt9f8T9IIfkMci( zYiGN|)f1u((bg^XpfyE1@mkRf6u`t<>vuMHZW6dqji@{8qfJfi_1mF@`?0>cwNY?1 z)OR!hiK0K;dOK-sYoWIjm}^P%)~#Kg(T0{-NmXl8Y*(zQHntslP4>n)BQZTkL6Vdg z>BcJ+qE=WQC|m_AF#$X`AUUKFC4nm_9`osuryLp9*s_IK2+&UD&i3dwg%Ouc;oL}k zo}&ru7HSN3KTFgU5mT)78#V|XZAg0VgD8?pkMmK~4&9RN4O(NgaZ{|NxiyBIj&9?u z@v^4s2$i&5MWsiO4G4>>>)O^5D+fJ~%9vgv>vy)bHz`c1LcVlHH@Zl{SYu;-+lCDt zu}+2M*!=EPFN|#k)O07+c#fwUS(4^~o8eK@>3^Wn8*%-@%7yjSRf{SWHYY*T8P)Mh zwYXt3>}R?c3IXTNnpamJnLWFvejd^mf2v-L;^n{9)I?U+&#qijHxC&^l)3Z39I2gG zUy2M_g$%KYwN6^>_3Zk{yjduQ zI;1sd5ss;vh)V7})GvzERV~NwAl23{si~t3oD*4AU56UNOEOYXQCVBd^JapChMY~| zE0fU5B{XP~A`9D2p-qy|CZj!b>sPrbGOM~08cL|pQ9^~55-RkRP@$=W3SA{sXe*&Y z--L86jMOej&QGEx=O@vU^OI=F`AM|o{3Kd(eiAJ?KZyqYdd#km5tg56;-pTh6xHU1B)U6Dk~~%mRv3*iUuaepXRtF1cs<1B2Iy^Xqiw1 zN(hXa%DGjG7uhP+MrPMIVP2I55ZA*}K5zEINd2s3bL<8oD4uKUt59K0`++Q;;^4fs z^{CPqKg@~L)}i9oRo2ujTT)kFQ#re;rm_MxBCo0O!!%*NySYPEj7En!X*+3SwlT?9dk6~z`$ zpj~7I){lvWW)TH0rO~t~)r;zD(ahRP@=}DN$f=$d2a%9GDp!lLcu_S{Ym3xYESg@HMliu<4|>JefvqPcn_#o@83Nghp*oG9R@)$uw$vl4;bIyJ_*Z zJe5jqc`B9K@>DAHlu)6mgi39BDgw3TsZ?sq-BfBnsVz^YCFdvcCFdv6lJk>j$@xjN z?K#(uEu#(v~>(}SMK zP8ZE0M7--I5}cNv$h8<0iOzyQ)wx>V{6&kgT7p`@eQHFZ#S*NalvS*(sK!GcJhFY{s8C8vhB+as7(LHM7xja5=kra6ZvQaK5_5b&+anyisxl;XK>9(4(djgT6Y{ zdTXc@AhoSJlxu}WRs^jlkrQ=Q3oC2tt1IUMzY<+hFIt`vFONmSJ7TKB#*K1~XZd^k z^wBPgy`&=qFsfBCo=2{Zax+;2;}H7-ug+qe-`>^Q*}OGYQrp?y)reJ~XiG)3rKJ{c z&wloIGztxEZJiyR{Ke*cw+0#Baoq!Nz&5vTbW;)p>vnI8W&Y8vz9niaINfCb$Nm{) z|6u>>X|R7|+90}ZTgz^R6(P|FOC5y2VqX_v9ja;nI$C@}eji)SyZt}LQgxeUD1O+{6-fZ|hhSRUTQ@Xj1-%~Aa57`8>*qg!K` zrJzjc;6=6CRiL7XO(mcNp_GCtnx64D95HXBU`{5mG1e){TwzDM3&_g(R7iJ@CRvTC z^i)DYrH7J_jx*NUfknYcV`HqNqZzN@3Y+A{PZG_D+DqvjL>}~kRbo0oVRPL;BB8F7 z|1Ze>l>U@EVbpWv<)*f#3VPM0`Z6rJTv7}il_W<95&wYn8c?g3l=qPBA15Vh>{kwx zRpLo#$!W4dyr9#xNREQZAh+JcVyQ&I)P`UZs&s+?o^1gHB?9OcdN`3<);A^z1Uxnf zf)Wt)BQ$*@G`FUGauiCBE7K=|%Z5Qv0)wu{#I#9$+m2X!dvjAPM?uGv009mg0znA` z1pHW`?!*LAjxsGt8b8|72uh^Ur)eaeqs&Ya#V@ufb z2?BB3qMsx&z*G_h;#M?SYDJS_8wmn&v!S0-W0;au*Xh}^6ADTMU<|yzhzZ~v<@m%H zr^M}sf)W52f?LHYaT}qaM1aa()KKbSPfHSyTgDWrWlTX~C&U-G1Nuo4Uy>b6iQ54M zB?3uyFePpW6qE=g*};^!9Z*mrkYoo_;&wnmKh2$1M5@scIRhkTns;6jDU12k94j6g z9F3}WZB4>}Rg9IaSWV?Ju+C|6Lsj9pID(I&02prZ}ZW~PSDhI*>_o1z_?u!1tm znM&q!#G?}*vF@=A>kIkGg3xG8qDF~wNie4JbLAJL-!C!co{Uw$p{=D!VST0aB2&3R zh~DT@gD-`M1Qgg}4hCt#UhM%sv!cdu&^a!iJ8j$|!<)axAteSS`{?0MR2sZrjK#ZYp;x_fU+#LoHv} zwX1Yqef2_x%@}1_lxxk1mWbrkq~cZB8o79UHG;2=93_W~b6Lw2DewVXQKYsiF|~39 z<EMDV^Sz+XaV+`gk7OQtbP z!^1|+Pq716lTn7&;HZPJ#EXR&o@10&QNny^wm?jKi6Ecx(x@V$3vla$V#8av+eiwwBBK{3cr`XaSSe3tFzp)(cvm$)Y0E(_{@Ily9;|5$a{KCK2jmvX}_t@ES*HjcVX`g}nryNiA~e-xJ4Fc7E4xJKNR#arp_wK-R)iuZ zJ5C^1nCy5Fsx;XNA~e@zdqfCjcA^L^Fxg2Ww9sTHi_l_|ogzX@O?IjX)tc-y5n5)l z(?w{7$<7d=l_on=gjSpEETPLP>dG2t`fyLlJ5;**PKTll@qPI!tzv2z8n4ViDSDvP(p0x5<7YLdTixQV}}A zWIq+56HRuR2%T)Q%SGr^lU*S~rt$c_zD7 z{Ca`Oel9{kHrX#k=wg%oQiOhDvg<_XrzX2z$X;%;8wBlVCc9CDt}@xLMCclm{aS>6 zZnB$1=$9tDS%j`P*>42OjV8NA(0*;QTSe$*liemlx0r0N2;F9~-wKJ}n(THF+GnzT z;@3M&)-6JJn(Ph{y4z&?MF;~1)c*M1LVq;bGa~dSlRYa!pP1}95klkpya@fp zWG{%&UrqL+2>s1uFNqLZz?Ve`E#NC6^ly{BDnehG>@^Yk#$>OH(0@(#1`nysWN(U) z&tz|jkY=*CMaVGOJ0g^0vUf!&XtLjnkZH2_M5u?!{vbjjlf5rO1t$AIgnFCoLlNq0 zvOkJYf0KPALIX_pClMNCvX4b*h{-+?p~Fn}sR#`-*`GzI$Yh_1&`3-SQfI^`U*la8 z-{m28fQSxB8&yU9Mn>N1#e$djax%d9&JMviB2CQk-6VG8t0?l}8Q5uILNE_a!xiU{ zCW3aJxD%X_DPu|rDLJJ@GNr)iY;6&`XJm^fU`!gcQ>kZk;U2n`* z{(57!a@ZTQmB-$gtz7oTY~}O83Yr$pCwMD8V)bah#Ol$0iPfY1601l1C0392OROI4 zmsqWQm9<-9pP0RgOl0a|GCQaDd)h7_SY*9((w4J>Fvd4E3C6U_IUCdjpR7xD?OPK} zvQ{;DJKI6@i|_wAhT>xTJ*?-?S%~#!JjB&0ansAWMd|Ev<}i@e^#a!nfF*oqvK; zW^-;%d*pC1K6~gOYq;EaqFngg%t4goy9$MpvZm7pb!|30c-rkfh=MbNuDojU4SIrA zHd3@S;H;vmc*un0IxjOg3z%v>&!`NAF8W)+HdaLjhHxTr=?(&)gLQj+H`o(c%6Nj2Zf8j4`j$e*DlV3MooJ*UAB|K zdWkd9bTX7RG20fFp*mVSM1_`eKI+F3`#X6t+kCb$$n(eMN=%5Xedqh>;ytLmhymxe z>ap}Oxpv zrq_ZD?@-CC9_^Pd}6Q)ua6qYleJH*}N^dw%Fb*cw|kQ&6BxFv$?3}A8`?7iIs4o*>*Veg(?u5V>e6W) z-yF}&Q`Rg=_RtH>WQCu&wO;VadSYkCyCIGk63Lg6(OuPm5C5mo61E9t4$L{2&+v;h|miP8}01b za&3P}b7Xp|SKj#k32R%6$tJPMq$Ad{ri!?+mNiY#u$DDl(6E*@L(s66b)*PkE$b-p z>mRUv-IX`u6!g$UiX`Tl>}ZM^t6sAN4pzM?Lz*EeEu<&CX)Jufb$< z*jxhn!esNsBGBJWRxLvRXR?JN^sht(#ewA`U10zFk!6!DVv8x}udyG;g;W!3#7XMu zFbwsfAb$QYP6qOu1U7WF7GVdYb0nS)a10xjvLmi4o*x!u!vZyK1%uyK$+OTb#*qkl+0;v z!L1eAAUcVZ`U4&0(1rxG!P-!)hAV$3h(c2tCK8Wr{7yu(nmarE+6b)V3$DYlk}pD| zv1vx^Xt6GH@N3wK-_zQ{Wzl#<;{w`PZ9MkR*orjUSHE?%b+tFfnp-!tmDKWJHBR;W zwFx;4M@ozt&8^LyM^VnWip)9)QiTOgQ!Cdd2DMTR8&reMTg3$-bYf{d(f>4YWg+o*&1r6Bh95Ge(gww6*}3ThKpy-%z$>3b~MsT$!hGRLn-m`>)N@ zs)E``4d*XI_AmC8Bz_HBbqgE;o6NIeQ9xU$;k@YxtW&3OIQN!Vm!P1j8LTZ0LZSv+ zFqfHH9o2zB+A^3el7}u@{8QMvbbHjYPOfN+wH4YiK`pFdBhFXK{vfK;YTA|v9im&e zeV@@=xxH3fN72_?kv2rzO)V;D*q!R*=j>cn$3EASwgH808{500EhOlpBRl+w0t4JF zx;Qu^ZX78pHI>6fv$5ITY~U6SzlM#p0W!D_(pOD450a!WEsFkbVCSImT%iBZ#FBUxU)ywiGIQ{Lx;{Sb$3A9r5%gkC2hT5!?6I9 z?j)&+ZNR-XQ8Yy}MoAd$jpMFyj(suA3P^8@HF0Uw>OI9Pn}%Vf>01>Xl7953s`*$v*?VsFQpm@sytx(9YDb1#7D4aek<5f+BhjE-gHk{=L!cm(UxBoM3G-jbqlpd)GY*j zbTm#pA<)}xR^p~1GO1p+N%88#caFoduE)s(Ck?J&xPjdm&~DIv1r9N)a8(ZMfrs!- z7zs!>hztAtO+dR@y9N2_+Gbnk*KVV;kO|`y*Nsv<-rok;Xzg~qlZb5ZhV`S->S~R) z@2)Sxl}8&*ZNDhDJ2B3POPku=V)$?mRj#egtz8{O8{1=1>xYLvDUiwC=Mldi18!ZfCq!fn}-w@ zPQj?6d&Mx!^lOjs(uL1BXuY%Afasv>Gi!J#WrWe~`eEYv8< zAj>-lGt$*pq{Ke=UenyTsfzBM)BX&jv`i-XM{f0h322{bpVPp(wNrFD?T8wQtunPQ zw7*fW^LLEm=sbN(+?>&2j>Nc8(t*V@u!c@Rz=Hoz`)3ew{41p4w3fJB&VD6KD$1g0 zQ*>Krtch2askt8?BU;0Nue7hJWWVWYX#e3anJER9BoflTRdHC}PY%w%7l>S} zjkPyNTbhsM6+%~m6vwen>sLp&HZ(I4_qANCy)sO2)4g(EWN&P&f2IPeTvV_*(Y*EfPPctCinUSBmlVSGrli z6dechM|!F$MSi`f!X!;p+$!QX-tp#I$5oUey@0yEXzQ%5=9Z>8xa%;@BoI#Npkx|L zDqO77O})3?CkPO{7hAVKxEjHD#M~VHdVjP8Tj@f(YFo{Cf>WDTX^gUVKp&tF4C-MW zFWRX}xSHX`t&K6ij%ygFxGlqK{9Q)ibO-T5wMZfTFjM=Jaw`m7ABN%_9#U=<^BIZd zSH{b4WC7R?N27y_7P}fTy?~-m=98vpv|gO657p^a-f4YXV>^pVQHc-I;POEZvUv+N zxM+6EFmuM6KpoFXXc&O^l^7$v)w4zFmz2mOOg2;ffI>JPnrn|IFxTeSA)W7jRkV8~ zeR@!zrq6J(W1h>eV-Df_dlj@tOZw44eWo5Um8rZd&?_*SEP_SiN=-Twv@|}H;}Xs= z=un4i%T2vfpA*!s(C1PIgEacSf^+5XafWAy$Vd~@b@l?nwVbiNZ z>R5~Pb9DgfHhL%jz-+%6COod8vL_gG0cJj@g&D20| z4kGuqnEC>dds~s<)&i#M>rA~`SqU0^my|PV1==S zpONtEKSJdxqM6Dfj041RMg9wiK2JYCS3g(3fM)U&PBK!w=jlJjkwpC>u2`~7f&md; z5@f^lpP-IbVRp=QOq@3%@iC2PgY=*3mr-6_ZYmX`cK^&&=84c%rm|3kt}&Gw5&F5Q ztPr7Jn#w8>y53akMd(Hv&EIJ%P2%w;Q)w2V-8;K#dV5lkhIL|?fJ07w^}DI-agFS4 zHfLs#-*Suw;V}Jogn2L8p%s{dbw%#fzYWdp?VT+pmV|ylzdy)^>JKQaBwqG%=6H6C zQ70-P7CiduSP64(c6O)HuRp4=mN;r+v!D3n#F#Q8?s5KASO8RdJg7gWKOxIh>YhcW zJ{4g3`qOAd)V~H1de&6hMd3YfDqSMp_ehiN(mjLziKMS3EJy8{U&Pun>d{( zLT`)N<)UpZT^*+WE}w?fe{U)$2@$*x9l>Ahbi5A@b%qv+3q(;>Ef)Emfn7Gv0G>{*!{GC<>+`}8s=6jahB88%+&uP;`khK{I!4uNY1*{ z+BN*dj74?x7L54t4&`sh+4c60n5q9C`yyBWhyG7f>lEX-e*@&p0v4o^+F|a<-Sczt zsJ{_W{|8e4EnvA6b>h1uzv#KB)RDkrlQ5yzG{sNXqQPcVu zuMnmYL}6-SBUe^N;srepo+rS20I6pI>p|*ddd+jGRUjmLL9%xN>j|}#Q@NQK{Y>R7 z5el2i4@GDoT3F1;w6)im#$cL>2^&M;=yPcP&?iw+niQUg@vH_$4_7aeRe zjX#W$0b_)L^{k_~SsJ5pYLr{DF$SkRMQEJDI+81J$_o*{p}}s!vaZOqmW;VKqa+CJ zFmiL&QEFlv7h#NrBw^|!o+O*hlQc14lp99`jdC69?j<5!Q%vOokwMe2+AL@@Oywd$ zI|>Kfl}pJ+unJ|0w24#eeq$E;o%&MKn9aTj8Wlz*X3_+MJxZF@wky_ABQBEj8yJQL zyILFCcGb02(R4q?3@I-$m|ZZcjQK%hp0R+?%S>aTKwm_U<)*PjJT8ScHdJgQ-M!E^ zaUup$5PiC7)Eae!hvgqklBLAxg~Iz2U>~*fm_wTqb;U>twGPVX0DP}Og$Eavy0$KaEATncnNF8nJ$LhzCId-CL!1y%J z8N65V(Ys_YX}9UNRVIzyOKI#*!Z4Mc#;yVuGIpattU+PX*5!@(%`}eVgFpi#Ss&ew zCf=nG@J+&tuXsYhHwmwv;t2sC-73bPDBzo069PWEMN2#(;G-+D#1rX4_g{%8(uFR!5>KQH-CV_=DBz=OsKgWLLU&AwC(?zk zj1o_z3*84Lo=6wE>`6S4E_9QVcp_csnkMl?y3id=;%SPhy`;TNg@)Ptg47CU>Pz(+ z`uS#4W12#tTTHE4gl;pn(?#gFrgohO?K8FKMd%Jw`&5MP#7j(3Q>??9qKk=%IJEAQ z-Id;&YO^QuVoib#lh-K?1A4f`)=aR@jz{4)ey6Y>OW?ojnLNn^VS>ii@9}9p_J78G zLE~QI04mA-m-Q~| z-(vY&Eg$!|a6a7P!hPJ~!hPJ}!hPJ|!hPJ{!hPJ`!hPJ_!hPJ^!hPJ@!hPJ?!hPJ> z!hPJ=!u{hcoZ~GYH??s39?QoqE&TZ;%f}5Z{P`5iKh^S2v;5O7{|w8=tt^}$cd~FF zH?na5Y|H!@~J+3k&yg2MhOc0}Jk9XA=L+|6;|lk2-wO9HxBM$CA2+RV`jwWCTUPip?pWbIZdl>|wHE#7mj4UO|E1+$ zXZg5Qh4bT174G9k74HAa@_%jlH(CD8mXCW>I3I3N;XdwA;XZCq;Xdw9;XZCp;Xdw8 z;XZCo;Xdw7;XZCn;Xdw6;Xdw4;r`v$^F5Z2TT(dvUdzV~Dg60><>Ph~{``RDKWO<6 zS^mS8|A^(|MikDE`%t)#+fcawgylbJ`A=E?)0U4rP&glMK;b^_KjA)ZKjA*^KH)xY zKH)y@J>foXJ>fp?JmEfWJmEg>JK;WVJK;X=I^q7Cmj9OJz2)O} z6aM@M%YWbUKd}4{E&q>}j~h)mKkhT(K5jGN{wJ3IspbFK@;@VAxsU~D+a*Q?kAnMn zwDUA^JMJ#_AI7T36n86Z;n+u*KK9nVic#E4$r;nFnB7W2x6*gvnBuYB%77JPZ-szr zJO($HDgR*we)bQ)QfNF5f`MLxu@{Xe;2s8K9p9N6s0;!%mkm<}D?`v1AU5__Whj`R zL|9kYUs;F+Xgj1=rHZmwYJ{WI$V^IMv(@*i)Jq9DHOf(HbS9;+SI4VTV-F>#UQSSI zoTJqEOiE#kjaQ{gvsbFjQK~$XQrIWpRjEmbqSV_7IW^f)YDy-huwvy^sp*HJ)K>{g z&2W@DGLuqRpz^BJ(TAecHwj8b9HnMuQVR3xUX`jm6r~2&)Z9!;VT#|YQu7Z* zsRt93THq*Eok=OIHh5KP@u4X7P=ZoR9Ho|KQVI(nUX`jl6r~Z@)bdP9VGYKs zQio*dk0dB{jHA@bOiE!n$g5Io4kf1^OHgX9qtv=gN?~Qnt5VVIm1=O5YRse**2lam zwIO?@Habde%A^#Q>bxp-NFDOy3B|YBQEE#jrLbz~RjETNzC@+AI!d)>QVPqKUX?ne z;!9L&o1@hBOiE!n&Z|;~RQsMuD83Fysm@GFVP(*(Qio(yiAwEol-ij|DJ)WYRqBw& z=!r`0c9c3clTuhE^{Uhfhteb@Dz(Q^>cmV+VI9`1Qis&dJfC1wCp$`=l1VA7`gv9A z^h3$1cN3I4!%^zYOiEz^(yLO3RQnQ@`hla=*_o8WTBuj04(Sa!QK@qrrGAu2DXhGH zuS&g_P<-b(N}ZocDXeySRqBw6@3RD@E_9UoaVDj(-0D@SL#lmWBq(*Uqtqqol~R*U3@(3J!rnyvnth6j!W?7d(UjdA%I+S6M**S`PV}EFf=DZnY9#iFqZyoxFI= z*!@bk9Fr!Wu;biGxnH@BQqF065%Tdo2>3t_DSLT7U~^SU3@l~$DG`H z+?|%k5X0jUY06W< z7y?TOKT_I$ue@g&*AhSyXb#MG+pWlWA zr8a{pdSSBIS(Q`%X6eUgkQG*|{2eM&^>XX?e>X{y6ZAvk>ZHQ|@+Q1YD*UB4;pNI# z61BhaCVY%E=Kp#VUMUmy;nICsQ6y*BDygu~oA7F>u;xv8ja1n1CcIXb+rAuc!t10l z2fYc`OJg>@39pyN+{2r2R4N?uCfpzuF7PJYC>8GQO}I%a+}E3MOe);poA3sy@BnYZ z8>Qtu$eZvcsqheQ!p&0Q!@LP^mZo`_H{mT(;UaIsEmGl;-h{VGg-3Z4Zj}lbdlPPx z3Xkjh*{6nd5lQ-dWq{17#3I9kcyvdvJxl-ZH-h|JS3b%L@ zK3^)_>P`3psgZ2+CVZhZ=5}wwKb8u2dK11#D!jv+@WoQ$UEYK*kqRH{O&E9OrM^G= zj`t>v>-8kUd%Ow%R4ROuH(?y+mBf6CH{r{r!l!u?zCtQ|hBsjxppmG3mN#J>UX%!* z?M?V9sqi`8gs+xX$#cC4Un3Ph-<$BYQnfGiCX6$3k_@}ZoA58B!k2gx{-sp-Qg6bz z?-O`vJ^cM3y zQsIZa3I9$i{HQnKd!@pUdlSA-D*U83;R90Pr@aZ^FBN{)oA3it;pe>xKPVM`(VOr? zQsI}q2|p|qe$|`sBU0hly$L@m6@Js3@MBWpx4j8JE){;)oA47-;rF}=KPeS{-<$AL zQsEE12|q0r{>Yo~Gg9G?y$L@n75>zl@N-h(&%6mgFBSgWoA3)#;V--izbF;{yEoyN zq{1GTkYAPxdt5?(MJnuZ3Hep2@Ymkb{F+qwKi-62mkNLDP52F&u&Q_yep4!}dJ}$2 zDy(}Gep@Q+_a^*~R5;*G_+6=Rt~cS|ONH~i3BM;5?&(eV4^rWLZ^G|Og?o7u{y-|+ z$D8nnQsI8yg#RcN4to>+NGd$goA95c!h^jDe=HRq>P`3)sc@k;;ZLQ)!@UXrSt>lj zoA75+;ln)%tE1G>)~2ZVex`x@l>hU-p9z}=6ZSEw#d64hWdS)x4*6vkkYm+xvV?!* z9dbo-!pF2k>a zSwPN^Lk`FS@<_Q|4)P9}VwXqBA%|oEIa3aKSQd~+%ky%WcgU356Ols}WdS)$4mmOl z$O<{+s4O67%OQ)ifUJ~5j?DscjvR7)7Lar0kQ1_ioF|7Y%L1}WUVA2bhfJyC^W~6} zvVdG5hn$iHWVIY}S{9HC<&ZP7fLtVpJSq#w#d64_vw&P8hn$rKQuOdxuPEiPp;@8?u0m$|0Mw zfNYRMZpZ?%Q4YB&3&!G%fZQ&J zJS_{zb~)r3SwMEkA#SCfZQQ(+|Kn5nbNrJltZ4M1>`Py!Y}j= znbKbFmP1~Y1>~`E$V;+-JWdXIX%>*j%ONkz0`dfTiC^IzGR27Y$hExEJ7h|UpD2gC zIt$2?GcgPeYIztZm zn=ByDltbQ{1>{+B$h}!W{y<(lw|j?7DW0?Cklk58{!pIq{oWx{O8gu-~i2$mg?w{HYxB#VjB%lS96o1?1&& z$XBy~yh0B7dKQpBlS96l1>}`-$hWhAyh;xFZWfSN%OT&(0`eL;kV& znayU&U&|r0*(`aJ95S2Dk~hmCzs@2re5`dWp~WUuKP!m;K;9*X?2`rL-Ezo&SwP++hYV){`8zq}z$_r|l|v5B0`fjNO;=)Z~MaS+to*s@2kBr^}=nO7036SCBly<3yUwS zB;So%DiwY*Sy+6nB3ZahD*SY^@RL%t%ca84CJR3$6`m*+em+@Pd=Md7ZG3NDl3_0< z3qL1~d6N2)H4Wvux>tQQS@?xiVR7xU`Z9`as#Js5lR?Bc*OJwrCe`50WMT1bv;<+7 z2GgY)yqyg4N@_Bo{tT%GDHl_}nJVnk;7BRRd&wHSl@SErXqOb_`^g~UdjSb~fn>~7 zKadpVN6EtPr`6y?fXtF=@NqK8C#fJ1#%I?h>VKLn{HauUwp2Lf+U!3|g)61PDK}(` zZyF?L+#L0<61D%9toG;9nCDB+u>B+X4BJ1X!qrmYe|i&MC>8#QhZRC$`b4(SKzW(vF#})7>|YGwg=4$5-2GbKSoL>W z&u*>P^(?SxT({Qmz5`kq|BAb{fqS*X;0+`0Xm2i zroCFp-CF6&URqf`doi@=4sBAmHtm2m1N6OGq+6@xk8``V1$(t61G}}_Zf$v@%=Yv$ ztGcx{iOeI@Gp|qN%umnRi0?1p%KYA}pQf{k_=3Sa_~J953z+sT>&H|-^C^DK&*12l zey!)XteELG(f;9E=1(N*el5)Z4TfA#*OxHFXGD)eq4Z;h)}+O7-M+>KX&dlCY9Bu5 zoTF_7O=o4SP}>9=Zq--%Yd-p71`nz9JqEru5%6igP)Ji*2qlhBp<5+B0^dTVtN8c3 zN_>mF#M2hvOQGuYd6uU5UJA9Pms#a5k(WX{({paW6^)%;BBCVvF`u*~T)8T`Kl-o% zu(reb+yKg|i-ka-vckvet+M)>j77BFHl^phG@)U*EN#PZ1yXZmVruf)`RQ@bOVnUSdd_Rm zP*J6w%;vBvRMuPA0rsF%X;)FDtxBx4-@=@nTCDoDGyU3DUXMExt1MOUlzJTJcUhYl zFHs$?g0)@Ct!)zO@XxumJ%~E|3toqBMjig8RfkJ#3sg$dS>ScHU1%?}e6m38;=S6h z_iDEybNs*4_O4X-YWomI-rj+~QCdQi`)X)#`dmxM-&aEu(sN$wE{Rt|q4b=0b!)$K zx8zII)HeOq(9HAzXw&bnU8!YC)N7&Q^zsRbdM$KRdd^FsD&?An9E?}6*FwwFi#&j& z8L0_+Ewnnl3|hH($0o~;*FqaJO1pJyNY8l@&p16(#=Y)2<6aLPnLZ}UxP(MuM3CHX zzn-bH5M^v+QuU3{nDh!Hl<^y(A?Z2qau@9zne0w(4R3^2qz6x94R3_jq?bvw21&-f z5o*pT9k+%zLXGJ;xi#2*)58a}N4vEr#AxoR#4PDbkC8B9dLy5mlb+S}&R)O=8n3dC z*lWJS*z3M0*&BRJ%X>oYV!OpdC{3UH%%S(Wu9(o%KI`r#VAi?Zt$i>dnx~^r=4&pU%b4E?thw{Xf`cfqF+Mu&OcPI{0u`ie6LCA zjkf9afp==}_}Bq$MFh2KDcabB)pY$eo7u$-{uRL*evr??a6b z)2Wf6OMW*|;S9C;{Y1`4dR_Q1@JLq87CU`At|a-EEoK;s`xIwHP91if;uBrFtE(0t zGoOfTUW{(}kLZ>)HiHe(K0>#Q?_4Rp?eaqC74fMvgkD8Rd`vA*<%8^3U`VfWL;BO9 zo2<~q9wvV5m~8y3R2U|HjF|9cDhv}pbxd|MRHXrF*(>5VJn$~P z2V;BnUfp`XZhi0pedt~KD8}y8$C52!q-3v138R0D6*0FOWp?seSsHWI$LV-6p$||g zD$JF>4fb$)JNYs+Abpv_T=g=!eSH}!%OK~rnlCdAa}ox!Uxp^9$GkM2GX`Eb82gg9 zT;lU<4?DT?uwEjJf<3HHNHPli6ao=NrI+gytvu?%a;QqP(~;Im_?t4YTR##7;ZDL= zp`K|K(`Oyfr*Rp5+8~I=Yq&mJTEp?;eueYe{Z(izkgd0E9cN4x)S%UDU1A+t=c+OG zpf{loU6J_8`W4R_szd8oj$Xy<&;m9@pD*eVJKf2y)1B-(JyUiqa7=2PWm2D~H>s~f zg=uq3OK5t&4rO@Lzbn1*eI1(WIfkP2oC(JFb;jXnvhjWGGQQ89-2B|h&CfICX0>g6 z>_4JEA>*_A1$|LktI1%Y-(;x9$rkDu-#6T>6O1qMJ-)wqkB^%g8QbbwSKU* z#oX33*xHgqwY8;sji{RJI#f++zUubs#}p4j!&%Q5_nR4W67eN3H#-q6{P%4ZU#E-j z>rkburtOXw=m2`5XJ6vQ{wvlC{uuT(D`(%Z>C9cobxtAI@uH;`s7|jw7Kc{%Vej)xYE-t>C4O>}!hkj|de#HUJzfXOH%udWP>Q_qUB&eyv z%Y^ls=w$f=yxQ7XK94}`sYm_l^fL?+qz6O&T!kW#j40t>{FdXDa*M);Fq}*_BMx;kVxe2Lr#;?dPGi-9i z8^WdwF)y4a5NR05^R-8~2j}}$xQC0cXBe}=)^IVQr+O?jCvAJDV`d`$hVocwc3Msi zZzyYc^r3KwM}KoT-Tl*4|eO1NNUHEyd$-3`bi8*_Nh-h^3QbZ&q;)y3XO0Xoe+8! zLYS_E+4T?i=c#!i+~1X&a5(H_rpWXG;Q^fQrSJe3-@q_d0c39}&xFRMFZYCZh-X5x z(sN=e>o~6Fpzt6b{VU-?uILAc2RoTQ61FU+d96Hvr`wtNT72f0!wm@!;c#z+hq&N| zhKD+EXj0-;n)hfi`VG)LQ~SC zUqr>9$en(g*(l@&Fe*HX7wquxC|ALb4v&@>?0cc()5f1zu8E9SWJ$xZ)+pM08W;+`s5@<{(vK7XGW!KWeu zW2%Jz&%6mq9=NCymGIWVd8y_D32%8=r-)+pg-Y)>DpvL>*>5cG zGl85^ICmH;tFLFF>zR7Dv3g~A{2j)+`^M}u))yDwZmbtr`_z9}PlUHm{ipRrnETX! zqikZ(VB=n6Gc-Mcd)hEDI0e1M9#K8w=>N|UO#<7+(dMGNX0nVnw~7BVLxncl_o?4V zh8xDQcrP|ixQ+G2?v1Hz1}4O|v6IIAj1(Go0-zJJ{euRmH7NRv@BI36*y744T7+W}}r`v#f#K+F|z{ zitm0_n5}lUhP+W#mb2mC1)vQ|t>bqF=(I#b*@*8-Nw=ckDJV8pp@>A|GL#+uUFz%B z`8x;JY`wYa%5!Y=cdfcx{qF_b)xN4@*_iJ`e|H+bw+MJTjB;MlA7kUbuXNaH;SB(5 zW+mTeM1G?JJB^ud7XH4)a$XsH&`5{x<46dWT$EXL!QR=bvA^8mYn6|fuG2=)Nm z%pPR7vxnG!*~7{__K0#Jd(3wUdtAMgJ*mFNp3?HzGgwA@PJf;~Z}ed=7&F*Q#xC}< zaTR;TxEg=7Bj`2wZ?ZT2I(y4Mmc5&^iMEd&#Px&!~~f+7ChN;ad&bIiThHDna`ZXdz!WXy<~~ z%Xc+s=Ydw>dk3`hLF?mt473YC>#eQ@?LyG{sdGX5F=&0YF`!)pT3G7?+Qp#t*J?q# z1hj$Lk)Ztqv;o=+pj`^uVC^2zehS(ky%4m^KpU#(f_6D*L-bEUy8^UA{bkU82HIi% z`Ji11+Hn6w(5?b)n7-k*%@-o1D5+%O8#BHxvaEKJg)&OzgD;~wk8PcQ7- zp&bE!tU^4@7~wKBc2B{bk3PXvW)qBO;O8R39Q>hX2aRX3*=s%efG#JnF!1rx3pZ2AJ*%c=aD@{gDs;m)8=7VgXB${D#7(0v(kxt_Q)XV=O ze^w*yZF&b%^9eNlO8?f-j2t6m^a1a4DB#yo&=+Xf_^(i9P&90aRW$5XRSa0LLg%;? z9c_j}n*kNFJw?OzQH5GYMZ;D^g%18GRQVMR2Urw3TcYTwu?p=NQFQEnQK)rOXd9nG z`_vR2yRQ@-n})DL=5bR#*xBInoS+(gdJ;ZBfB{jSuk1B)=qs#@zNX6FB;~!$=pH5WiV}~kzo=~L^3aa!8K$Sk)rmU=YNBIL{>r-B^%IFm}BQ&Q)UL!T^C z=_5m!_l5+0$Va75@2K>#9F;zAqtXXyRQiOBN*{eumlAUgIrJ$MwT>M6+=xma2vO;i z9_lgVtR!a@IjhN8Lk@lZL8T8isPzq{)xUSM2=_=i7uF^f^>UMJ4$)T&X)lPEgx@wg!m{#dZXmuAo?k4A0 za*iYCcyj3aV3jWXRZk@6ByvtB=M-{IC5JADRp~lc^$c?8%2bsuIaTReQk53U6-F2q#n3QP3@3SCGJUF@h{L=Ih^sM2+ZDqT>hUP{hS$+?W2%gMQd9JvB}O;6~j~&Yk4kMb6#i+(QmshN9B-CF*_T z93ba@avmV(K{)I$>~p3JBj<2(ipeP3K~-?XzW^e}@`Y+gpLMUC+_OIn5&u3H;#DV$Khwjj-x zec(u3vPtQ-9GmaJh4?7RpMhqsoh5p^4Y1Ql-fSIU-~ngTvf=_;FoS<%Q|xR@}ZptGL8CNCK*E{ zR>I1Sn+0g^k(h&xecBeX-b;;N;D4|3@P9aGIJ0|EjCAtz#l<r{* z_c|{(PDEM0F~Y}0CL1$+Ok#OP+{X%7z}W9&e(XE#V__CDx-C?V9v=(EqB*5~Oy1SW ze}9tt6_3jq*UA5U@e;p+Z=}-}dphX&obgWwEi`7xEW`#I>t)s~h~JxKxpQLgOzMhQ zzoh7AlWZ7nem*mpn0%1W9;C=J4mTfNxXHh%>Vu61b1tRc?@ax=)0UUyAvS`ev?R*f z8D{?*ja6Xwz8E`*turxJk(Sq)dSgzN%?QMpXasb&2|JGHR$1~Zoqfbu%y@qgYe~(= zp{uPf{f&~r>?ru!U^bn_lA$3i$^d}gZ8*axKl#^Z*fnbg z$&qX|c1Mbtk&?KV`Pf0+bewlwc64!5C=iATBaqXDTA>cPQRoo%A&(313g@`#yzBhd z`GlLU#jbU(jmSst40k3s-2wLy_b}wk?qlu~$oJj9bzkPDr_fXCnSlJ*)9ty6yytnG zh4I8{u~}^8WHhIhlGKp3sRT#8S)G1f%K4@zFJ?a zZxuIXE{k$DQj<&MQsl4YKg!+6f5|=aV{ZC??*EnlBJxlE+x|PqZ*%Rr0yl$~gV%!B zk^fa}N;*%NN&89#T)Lr??quio6in%FXDQ=*;MBZfc~tv@GON?HAe^rP9j0 zW?D6%l2!-C(VBr8tZF`>jxVrOoQ2BrNTZ~CTxPR9_E0J7tX;1*t5bhm=iY9`2^;{=6GThNTlTgXM3&!^MDne4^cP(R-R?8OaM05W39|USf$ts%ZF8o^I!$A zdEy~h5LP2@gDJ3TZwf2~s}=9T!m#dJe}IjFtSJ^J)Z@>pjg*)5^S?l3!4mk zQJD;z0^6dz1DgsUGo)nKz=dsHuMHmpN!gUx~MQ(Iu=u)XRjSOsjqdJt9# zdpWWdHW&74q!Bg`_DXa%tP1vebTq6Q_L`OjtAQmBMxOxZ0}p6tfVIFw+F{rN*bg-8 z##{*dp=RBfbuc4lopC+vux6cc1MH31E!ZO1(O4I3G3mASn`>Fl_wjB1h{x?`F?5(GNS{{AC H;_3efhhbu) delta 2421 zcmYk;d2AHt83yq8!{c4statWce9U2d?e6UE?5qu@fK4zM3?v+O0NXLQF_$lbIm!`W zaSS$&9WGObge16eAZdj}X^0nM4F!?Vs6+@Nzy(Tc+7uN-phNskQ%(YrlyL;+H zOM0Ru%_4SRtSb`bBn%ZBiwsR0X`}I6L+k)q-9r89;v7qE68FU7v9aAl1wI?=7#jI) zR4`N;w6U%s3)$Ggp;0)AyB+`Dhkf;xjOBco-1wj;(LrhSK2dmN^gA2NN@iZ;jLJk~ zw8$o~c|+r{#O!S1voSv+(8rxCEKD!#<32lQNiiOY%+FeWP}gX%6ebyY*(|}Rk(eM$ zfj&#(FXQVtlY3GfhYjnzi}8>9;{VoX$uJH{ESF^(QHd!m+qfk$6({h03{@jXWh_i@yqyHZ;Tp8K9bE@FC~coV%ib8n_M-&=rO?``$Aai;Hz zZ@_n#Gu2l0uxcQGt^QWMhkWcOe;jA}OZ}DpYGjjtr@w_W1Cs-D0~MSZ6oTHMA2|^G zD)@*qwGG;CtsQwxJEdU-v@6vvDJQ5Vb%2(qouQhv`?MncD_SL%(i(9u)l0XiL7qeP@;+)( zw$R#)-_g2}CDfc5qV-v6v>`i=Hs z`IcIIlW3Rk6t()!(r$G)?NL=~Rd-OEdWqWAuc_T%M0*3t)E*d19f2su52zz}p4x*q zs8egAuF!GXr)zW|oDp?=#BQ@l@&F}RT zfl06zU9Z7pSh-t-DX@8NjO-c5LhF|mP-RJ z6HmfIuv+l|OouHOuff8wmEt*A9&Cj)1C|e4EscVWf~}Gs!$!mEq&u(z*cv$%HU`!p zGuV${_3|m$Sng?rn&czEall6TCU86oUzRVx3Sn!NBd{V^v$6{|0k%%L0DBI$LHPhS z5w>1=2rGtdQm(@$!8Ur%!Y0Eud)|SSz<%r*giV2M_521FnF`$Ejor^u;47Zk{hS8d z<}H9thwboYz-GXgmtgyYepof^P|yuq06Q3b1X~D;91h+BE&_II?ZCyrBU&?T z3G63Y?7>_LJF3MV%w;e`i(PRI>`g6p#mixDgwDfiVQ+0~#ZYv<`EVm7ikUC@wkFj g1%XM)$v(W!jLwrM@cObkGpGZ_9`RNH$!Naa046OSmjD0& delta 99 zcmbOxH%)HCZ7xQa$#=MHf#d@o@yUkVo>0~#ZYv<`EVm7ikUC@wkFj g1%XM)$v(W!jINU>@cOd4GN=Q^9`RNH$!Naa04mEKu>b%7 diff --git a/target/scala-2.12/classes/ifu/ifu_ic$delayedInit$body.class b/target/scala-2.12/classes/ifu/ifu_ic$delayedInit$body.class index a3c79e523564be32dbd5f9d2cafe954329c72654..85a6f90160d22c4191d6c11bd0df7aa7cc0120a8 100644 GIT binary patch delta 19 Zcmcb~dXsg74HKi&WLu^HAlb*{4FErl1;GFS delta 19 Zcmcb~dXsg74HKiwWLu^HAlb*{4FEr_1;qdW