Update el2_bundle.scala

This commit is contained in:
Junaid Ahmed 2020-09-22 10:29:21 +05:00 committed by GitHub
parent faebf955b4
commit 2da85e1800
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 1 additions and 0 deletions

View File

@ -322,3 +322,4 @@ class el2_cache_debug_pkt_t extends Bundle {
val icache_wr_valid = UInt(1.W) val icache_wr_valid = UInt(1.W)
} }