From 3b5490b3b7443639b592a2d4e3c2c751b133b3aa Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Thu, 29 Oct 2020 13:56:26 +0500 Subject: [PATCH] IMC DONE --- el2_ifu_mem_ctl.fir | 21542 ++++++++-------- el2_ifu_mem_ctl.v | 6682 ++--- src/main/scala/ifu/el2_ifu_mem_ctl.scala | 2 - src/main/scala/lib/el2_lib.scala | 15 +- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 632 + target/scala-2.12/classes/ifu/ifu_mem$.class | Bin 3876 -> 3876 bytes .../ifu/ifu_mem$delayedInit$body.class | Bin 736 -> 736 bytes .../classes/ifu/mem_ctl_bundle.class | Bin 69533 -> 69533 bytes .../lib/el2_lib$TEC_RV_ICG$$anon$2.class | Bin 1825 -> 1825 bytes .../classes/lib/el2_lib$TEC_RV_ICG.class | Bin 2078 -> 2078 bytes .../lib/el2_lib$rvclkhdr$$anon$3.class | Bin 1825 -> 1825 bytes .../classes/lib/el2_lib$rvclkhdr$.class | Bin 5501 -> 5501 bytes .../classes/lib/el2_lib$rvclkhdr.class | Bin 7402 -> 7402 bytes .../classes/lib/el2_lib$rvdffe$.class | Bin 8753 -> 8753 bytes .../lib/el2_lib$rvecc_encode_64$$anon$1.class | Bin 1794 -> 1794 bytes .../classes/lib/el2_lib$rvecc_encode_64.class | Bin 15822 -> 15822 bytes target/scala-2.12/classes/lib/el2_lib.class | Bin 45830 -> 45830 bytes 17 files changed, 14752 insertions(+), 14121 deletions(-) create mode 100644 src/main/scala/lsu/el2_lsu_bus_buffer.scala diff --git a/el2_ifu_mem_ctl.fir b/el2_ifu_mem_ctl.fir index 23343b60..ad31b218 100644 --- a/el2_ifu_mem_ctl.fir +++ b/el2_ifu_mem_ctl.fir @@ -5,26 +5,26 @@ circuit el2_ifu_mem_ctl : input reset : UInt<1> output io : {flip free_clk : Clock, flip active_clk : Clock, flip exu_flush_final : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip ifc_fetch_addr_bf : UInt<31>, flip ifc_fetch_uncacheable_bf : UInt<1>, flip ifc_fetch_req_bf : UInt<1>, flip ifc_fetch_req_bf_raw : UInt<1>, flip ifc_iccm_access_bf : UInt<1>, flip ifc_region_acc_fault_bf : UInt<1>, flip ifc_dma_access_ok : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip ifu_bp_hit_taken_f : UInt<1>, flip ifu_bp_inst_mask_f : UInt<1>, flip ifu_axi_arready : UInt<1>, flip ifu_axi_rvalid : UInt<1>, flip ifu_axi_rid : UInt<3>, flip ifu_axi_rdata : UInt<64>, flip ifu_axi_rresp : UInt<2>, flip ifu_bus_clk_en : UInt<1>, flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>, flip ic_rd_data : UInt<64>, flip ic_debug_rd_data : UInt<71>, flip ictag_debug_rd_data : UInt<26>, flip ic_eccerr : UInt<2>, flip ic_parerr : UInt<2>, flip ic_rd_hit : UInt<2>, flip ic_tag_perr : UInt<1>, flip iccm_rd_data : UInt<64>, flip iccm_rd_data_ecc : UInt<78>, flip ifu_fetch_val : UInt<2>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, ifu_miss_state_idle : UInt<1>, ifu_ic_mb_empty : UInt<1>, ic_dma_active : UInt<1>, ic_write_stall : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_axi_awvalid : UInt<1>, ifu_axi_awid : UInt<3>, ifu_axi_awaddr : UInt<32>, ifu_axi_awregion : UInt<4>, ifu_axi_awlen : UInt<8>, ifu_axi_awsize : UInt<3>, ifu_axi_awburst : UInt<2>, ifu_axi_awlock : UInt<1>, ifu_axi_awcache : UInt<4>, ifu_axi_awprot : UInt<3>, ifu_axi_awqos : UInt<4>, ifu_axi_wvalid : UInt<1>, ifu_axi_wdata : UInt<64>, ifu_axi_wstrb : UInt<8>, ifu_axi_wlast : UInt<1>, ifu_axi_bready : UInt<1>, ifu_axi_arvalid : UInt<1>, ifu_axi_arid : UInt<3>, ifu_axi_araddr : UInt<32>, ifu_axi_arregion : UInt<4>, ifu_axi_arlen : UInt<8>, ifu_axi_arsize : UInt<3>, ifu_axi_arburst : UInt<2>, ifu_axi_arlock : UInt<1>, ifu_axi_arcache : UInt<4>, ifu_axi_arprot : UInt<3>, ifu_axi_arqos : UInt<4>, ifu_axi_rready : UInt<1>, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, ic_rw_addr : UInt<31>, ic_wr_en : UInt<2>, ic_rd_en : UInt<1>, ic_wr_data : UInt<71>[2], ic_debug_wr_data : UInt<71>, ifu_ic_debug_rd_data : UInt<71>, ic_debug_addr : UInt<10>, ic_debug_rd_en : UInt<1>, ic_debug_wr_en : UInt<1>, ic_debug_tag_array : UInt<1>, ic_debug_way : UInt<2>, ic_tag_valid : UInt<2>, iccm_rw_addr : UInt<15>, iccm_wren : UInt<1>, iccm_rden : UInt<1>, iccm_wr_data : UInt<78>, iccm_wr_size : UInt<3>, ic_hit_f : UInt<1>, ic_access_fault_f : UInt<1>, ic_access_fault_type_f : UInt<2>, iccm_rd_ecc_single_err : UInt<1>, iccm_rd_ecc_double_err : UInt<1>, ic_error_start : UInt<1>, ifu_async_error_start : UInt<1>, iccm_dma_sb_error : UInt<1>, ic_fetch_val_f : UInt<2>, ic_data_f : UInt<32>, ic_premux_data : UInt<64>, ic_sel_premux_data : UInt<1>, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_ic_debug_rd_data_valid : UInt<1>, iccm_buf_correct_ecc : UInt<1>, iccm_correction_state : UInt<1>, flip scan_mode : UInt<1>} - io.ifu_axi_wvalid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 137:21] - io.ifu_axi_wdata <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 138:20] - io.ifu_axi_awqos <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 139:20] - io.ifu_axi_awaddr <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 140:21] - io.ifu_axi_awprot <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 141:21] - io.ifu_axi_awlen <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 142:20] - io.ifu_axi_arlock <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 143:21] - io.ifu_axi_awregion <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 144:23] - io.ifu_axi_awid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 145:19] - io.ifu_axi_awvalid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 146:22] - io.ifu_axi_wstrb <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 147:20] - io.ifu_axi_awcache <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 148:22] - io.ifu_axi_arqos <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 149:20] - io.ifu_axi_awlock <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 150:21] - io.ifu_axi_bready <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 151:21] - io.ifu_axi_arlen <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 152:20] - io.ifu_axi_awsize <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 153:21] - io.ifu_axi_arprot <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 154:21] - io.ifu_axi_awburst <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 155:22] - io.ifu_axi_wlast <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 156:20] + io.ifu_axi_wvalid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 132:21] + io.ifu_axi_wdata <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 133:20] + io.ifu_axi_awqos <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 134:20] + io.ifu_axi_awaddr <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 135:21] + io.ifu_axi_awprot <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 136:21] + io.ifu_axi_awlen <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 137:20] + io.ifu_axi_arlock <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 138:21] + io.ifu_axi_awregion <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 139:23] + io.ifu_axi_awid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 140:19] + io.ifu_axi_awvalid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 141:22] + io.ifu_axi_wstrb <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 142:20] + io.ifu_axi_awcache <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 143:22] + io.ifu_axi_arqos <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 144:20] + io.ifu_axi_awlock <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 145:21] + io.ifu_axi_bready <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 146:21] + io.ifu_axi_arlen <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 147:20] + io.ifu_axi_awsize <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 148:21] + io.ifu_axi_arprot <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 149:21] + io.ifu_axi_awburst <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 150:22] + io.ifu_axi_wlast <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 151:20] wire iccm_single_ecc_error : UInt<2> iccm_single_ecc_error <= UInt<1>("h00") wire ifc_fetch_req_f : UInt<1> @@ -77,229 +77,229 @@ circuit el2_ifu_mem_ctl : ic_ignore_2nd_miss_f <= UInt<1>("h00") wire ic_debug_rd_en_ff : UInt<1> ic_debug_rd_en_ff <= UInt<1>("h00") - reg flush_final_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 189:30] - flush_final_f <= io.exu_flush_final @[el2_ifu_mem_ctl.scala 189:30] - node _T = or(io.ifc_fetch_req_bf_raw, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 190:53] - node _T_1 = or(_T, miss_pending) @[el2_ifu_mem_ctl.scala 190:71] - node _T_2 = or(_T_1, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 190:86] - node fetch_bf_f_c1_clken = or(_T_2, scnd_miss_req) @[el2_ifu_mem_ctl.scala 190:107] - node debug_c1_clken = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 191:42] - node _T_3 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 194:52] - node _T_4 = bits(dma_iccm_req_f, 0, 0) @[el2_ifu_mem_ctl.scala 194:78] - node _T_5 = and(_T_3, _T_4) @[el2_ifu_mem_ctl.scala 194:55] - io.iccm_dma_sb_error <= _T_5 @[el2_ifu_mem_ctl.scala 194:24] - node _T_6 = or(io.iccm_rd_ecc_single_err, io.ic_error_start) @[el2_ifu_mem_ctl.scala 195:57] - io.ifu_async_error_start <= _T_6 @[el2_ifu_mem_ctl.scala 195:28] - node _T_7 = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 196:54] - node _T_8 = or(iccm_correct_ecc, _T_7) @[el2_ifu_mem_ctl.scala 196:40] - node _T_9 = eq(err_stop_state, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 196:90] - node _T_10 = or(_T_8, _T_9) @[el2_ifu_mem_ctl.scala 196:72] - node _T_11 = or(_T_10, err_stop_fetch) @[el2_ifu_mem_ctl.scala 196:112] - node _T_12 = or(_T_11, io.dec_tlu_flush_err_wb) @[el2_ifu_mem_ctl.scala 196:129] - io.ic_dma_active <= _T_12 @[el2_ifu_mem_ctl.scala 196:20] - node _T_13 = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 198:44] - node _T_14 = and(_T_13, ifu_bus_rsp_ready) @[el2_ifu_mem_ctl.scala 198:65] - node _T_15 = andr(bus_new_data_beat_count) @[el2_ifu_mem_ctl.scala 198:112] - node _T_16 = and(_T_14, _T_15) @[el2_ifu_mem_ctl.scala 198:85] - node _T_17 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 199:5] - node _T_18 = and(_T_16, _T_17) @[el2_ifu_mem_ctl.scala 198:118] - node _T_19 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 199:41] - node _T_20 = eq(miss_nxtstate, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 199:73] - node _T_21 = or(_T_19, _T_20) @[el2_ifu_mem_ctl.scala 199:57] - node _T_22 = and(_T_18, _T_21) @[el2_ifu_mem_ctl.scala 199:26] - node _T_23 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 199:93] - node scnd_miss_req_in = and(_T_22, _T_23) @[el2_ifu_mem_ctl.scala 199:91] - node ifu_bp_hit_taken_q_f = and(io.ifu_bp_hit_taken_f, io.ic_hit_f) @[el2_ifu_mem_ctl.scala 201:52] + reg flush_final_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 184:30] + flush_final_f <= io.exu_flush_final @[el2_ifu_mem_ctl.scala 184:30] + node _T = or(io.ifc_fetch_req_bf_raw, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 185:53] + node _T_1 = or(_T, miss_pending) @[el2_ifu_mem_ctl.scala 185:71] + node _T_2 = or(_T_1, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 185:86] + node fetch_bf_f_c1_clken = or(_T_2, scnd_miss_req) @[el2_ifu_mem_ctl.scala 185:107] + node debug_c1_clken = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 186:42] + node _T_3 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 189:52] + node _T_4 = bits(dma_iccm_req_f, 0, 0) @[el2_ifu_mem_ctl.scala 189:78] + node _T_5 = and(_T_3, _T_4) @[el2_ifu_mem_ctl.scala 189:55] + io.iccm_dma_sb_error <= _T_5 @[el2_ifu_mem_ctl.scala 189:24] + node _T_6 = or(io.iccm_rd_ecc_single_err, io.ic_error_start) @[el2_ifu_mem_ctl.scala 190:57] + io.ifu_async_error_start <= _T_6 @[el2_ifu_mem_ctl.scala 190:28] + node _T_7 = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 191:54] + node _T_8 = or(iccm_correct_ecc, _T_7) @[el2_ifu_mem_ctl.scala 191:40] + node _T_9 = eq(err_stop_state, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 191:90] + node _T_10 = or(_T_8, _T_9) @[el2_ifu_mem_ctl.scala 191:72] + node _T_11 = or(_T_10, err_stop_fetch) @[el2_ifu_mem_ctl.scala 191:112] + node _T_12 = or(_T_11, io.dec_tlu_flush_err_wb) @[el2_ifu_mem_ctl.scala 191:129] + io.ic_dma_active <= _T_12 @[el2_ifu_mem_ctl.scala 191:20] + node _T_13 = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 193:44] + node _T_14 = and(_T_13, ifu_bus_rsp_ready) @[el2_ifu_mem_ctl.scala 193:65] + node _T_15 = andr(bus_new_data_beat_count) @[el2_ifu_mem_ctl.scala 193:112] + node _T_16 = and(_T_14, _T_15) @[el2_ifu_mem_ctl.scala 193:85] + node _T_17 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 194:5] + node _T_18 = and(_T_16, _T_17) @[el2_ifu_mem_ctl.scala 193:118] + node _T_19 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 194:41] + node _T_20 = eq(miss_nxtstate, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 194:73] + node _T_21 = or(_T_19, _T_20) @[el2_ifu_mem_ctl.scala 194:57] + node _T_22 = and(_T_18, _T_21) @[el2_ifu_mem_ctl.scala 194:26] + node _T_23 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 194:93] + node scnd_miss_req_in = and(_T_22, _T_23) @[el2_ifu_mem_ctl.scala 194:91] + node ifu_bp_hit_taken_q_f = and(io.ifu_bp_hit_taken_f, io.ic_hit_f) @[el2_ifu_mem_ctl.scala 196:52] node _T_24 = eq(UInt<3>("h00"), miss_state) @[Conditional.scala 37:30] when _T_24 : @[Conditional.scala 40:58] - node _T_25 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 205:45] - node _T_26 = and(ic_act_miss_f, _T_25) @[el2_ifu_mem_ctl.scala 205:43] - node _T_27 = bits(_T_26, 0, 0) @[el2_ifu_mem_ctl.scala 205:66] - node _T_28 = mux(_T_27, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 205:27] - miss_nxtstate <= _T_28 @[el2_ifu_mem_ctl.scala 205:21] - node _T_29 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 206:40] - node _T_30 = and(ic_act_miss_f, _T_29) @[el2_ifu_mem_ctl.scala 206:38] - miss_state_en <= _T_30 @[el2_ifu_mem_ctl.scala 206:21] + node _T_25 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 200:45] + node _T_26 = and(ic_act_miss_f, _T_25) @[el2_ifu_mem_ctl.scala 200:43] + node _T_27 = bits(_T_26, 0, 0) @[el2_ifu_mem_ctl.scala 200:66] + node _T_28 = mux(_T_27, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 200:27] + miss_nxtstate <= _T_28 @[el2_ifu_mem_ctl.scala 200:21] + node _T_29 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 201:40] + node _T_30 = and(ic_act_miss_f, _T_29) @[el2_ifu_mem_ctl.scala 201:38] + miss_state_en <= _T_30 @[el2_ifu_mem_ctl.scala 201:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_31 = eq(UInt<3>("h01"), miss_state) @[Conditional.scala 37:30] when _T_31 : @[Conditional.scala 39:67] - node _T_32 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 209:113] - node _T_33 = or(last_data_recieved_ff, _T_32) @[el2_ifu_mem_ctl.scala 209:93] - node _T_34 = and(ic_byp_hit_f, _T_33) @[el2_ifu_mem_ctl.scala 209:67] - node _T_35 = and(_T_34, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 209:127] - node _T_36 = or(io.dec_tlu_force_halt, _T_35) @[el2_ifu_mem_ctl.scala 209:51] - node _T_37 = bits(_T_36, 0, 0) @[el2_ifu_mem_ctl.scala 209:152] - node _T_38 = eq(last_data_recieved_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:30] - node _T_39 = and(ic_byp_hit_f, _T_38) @[el2_ifu_mem_ctl.scala 210:27] - node _T_40 = and(_T_39, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 210:53] - node _T_41 = bits(_T_40, 0, 0) @[el2_ifu_mem_ctl.scala 210:77] - node _T_42 = eq(ic_byp_hit_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:16] - node _T_43 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:32] - node _T_44 = and(_T_42, _T_43) @[el2_ifu_mem_ctl.scala 211:30] - node _T_45 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 211:72] - node _T_46 = and(_T_44, _T_45) @[el2_ifu_mem_ctl.scala 211:52] - node _T_47 = and(_T_46, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 211:85] - node _T_48 = bits(_T_47, 0, 0) @[el2_ifu_mem_ctl.scala 211:109] - node _T_49 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 212:36] - node _T_50 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 212:51] - node _T_51 = and(_T_49, _T_50) @[el2_ifu_mem_ctl.scala 212:49] - node _T_52 = bits(_T_51, 0, 0) @[el2_ifu_mem_ctl.scala 212:73] - node _T_53 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 213:35] - node _T_54 = and(ic_byp_hit_f, _T_53) @[el2_ifu_mem_ctl.scala 213:33] - node _T_55 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 213:76] - node _T_56 = eq(_T_55, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 213:57] - node _T_57 = and(_T_54, _T_56) @[el2_ifu_mem_ctl.scala 213:55] - node _T_58 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 213:91] - node _T_59 = and(_T_57, _T_58) @[el2_ifu_mem_ctl.scala 213:89] - node _T_60 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 213:115] - node _T_61 = and(_T_59, _T_60) @[el2_ifu_mem_ctl.scala 213:113] - node _T_62 = bits(_T_61, 0, 0) @[el2_ifu_mem_ctl.scala 213:137] - node _T_63 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 214:41] - node _T_64 = and(bus_ifu_wr_en_ff, _T_63) @[el2_ifu_mem_ctl.scala 214:39] - node _T_65 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 214:82] - node _T_66 = eq(_T_65, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 214:63] - node _T_67 = and(_T_64, _T_66) @[el2_ifu_mem_ctl.scala 214:61] - node _T_68 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 214:97] - node _T_69 = and(_T_67, _T_68) @[el2_ifu_mem_ctl.scala 214:95] - node _T_70 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 214:121] - node _T_71 = and(_T_69, _T_70) @[el2_ifu_mem_ctl.scala 214:119] - node _T_72 = bits(_T_71, 0, 0) @[el2_ifu_mem_ctl.scala 214:143] - node _T_73 = eq(ic_byp_hit_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 215:22] - node _T_74 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 215:40] - node _T_75 = and(_T_73, _T_74) @[el2_ifu_mem_ctl.scala 215:37] - node _T_76 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 215:81] - node _T_77 = and(_T_75, _T_76) @[el2_ifu_mem_ctl.scala 215:60] - node _T_78 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 215:102] - node _T_79 = and(_T_77, _T_78) @[el2_ifu_mem_ctl.scala 215:100] - node _T_80 = bits(_T_79, 0, 0) @[el2_ifu_mem_ctl.scala 215:124] - node _T_81 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 216:44] - node _T_82 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 216:89] - node _T_83 = eq(_T_82, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 216:70] - node _T_84 = and(_T_81, _T_83) @[el2_ifu_mem_ctl.scala 216:68] - node _T_85 = bits(_T_84, 0, 0) @[el2_ifu_mem_ctl.scala 216:103] - node _T_86 = mux(_T_85, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 216:22] - node _T_87 = mux(_T_80, UInt<3>("h00"), _T_86) @[el2_ifu_mem_ctl.scala 215:20] - node _T_88 = mux(_T_72, UInt<3>("h06"), _T_87) @[el2_ifu_mem_ctl.scala 214:20] - node _T_89 = mux(_T_62, UInt<3>("h06"), _T_88) @[el2_ifu_mem_ctl.scala 213:18] - node _T_90 = mux(_T_52, UInt<3>("h00"), _T_89) @[el2_ifu_mem_ctl.scala 212:16] - node _T_91 = mux(_T_48, UInt<3>("h04"), _T_90) @[el2_ifu_mem_ctl.scala 211:14] - node _T_92 = mux(_T_41, UInt<3>("h03"), _T_91) @[el2_ifu_mem_ctl.scala 210:12] - node _T_93 = mux(_T_37, UInt<3>("h00"), _T_92) @[el2_ifu_mem_ctl.scala 209:27] - miss_nxtstate <= _T_93 @[el2_ifu_mem_ctl.scala 209:21] - node _T_94 = or(io.dec_tlu_force_halt, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 217:46] - node _T_95 = or(_T_94, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 217:67] - node _T_96 = or(_T_95, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 217:82] - node _T_97 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 217:125] - node _T_98 = or(_T_96, _T_97) @[el2_ifu_mem_ctl.scala 217:105] - node _T_99 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 217:160] - node _T_100 = and(bus_ifu_wr_en_ff, _T_99) @[el2_ifu_mem_ctl.scala 217:158] - node _T_101 = or(_T_98, _T_100) @[el2_ifu_mem_ctl.scala 217:138] - miss_state_en <= _T_101 @[el2_ifu_mem_ctl.scala 217:21] + node _T_32 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 204:113] + node _T_33 = or(last_data_recieved_ff, _T_32) @[el2_ifu_mem_ctl.scala 204:93] + node _T_34 = and(ic_byp_hit_f, _T_33) @[el2_ifu_mem_ctl.scala 204:67] + node _T_35 = and(_T_34, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 204:127] + node _T_36 = or(io.dec_tlu_force_halt, _T_35) @[el2_ifu_mem_ctl.scala 204:51] + node _T_37 = bits(_T_36, 0, 0) @[el2_ifu_mem_ctl.scala 204:152] + node _T_38 = eq(last_data_recieved_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 205:30] + node _T_39 = and(ic_byp_hit_f, _T_38) @[el2_ifu_mem_ctl.scala 205:27] + node _T_40 = and(_T_39, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 205:53] + node _T_41 = bits(_T_40, 0, 0) @[el2_ifu_mem_ctl.scala 205:77] + node _T_42 = eq(ic_byp_hit_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 206:16] + node _T_43 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 206:32] + node _T_44 = and(_T_42, _T_43) @[el2_ifu_mem_ctl.scala 206:30] + node _T_45 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 206:72] + node _T_46 = and(_T_44, _T_45) @[el2_ifu_mem_ctl.scala 206:52] + node _T_47 = and(_T_46, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 206:85] + node _T_48 = bits(_T_47, 0, 0) @[el2_ifu_mem_ctl.scala 206:109] + node _T_49 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 207:36] + node _T_50 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 207:51] + node _T_51 = and(_T_49, _T_50) @[el2_ifu_mem_ctl.scala 207:49] + node _T_52 = bits(_T_51, 0, 0) @[el2_ifu_mem_ctl.scala 207:73] + node _T_53 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 208:35] + node _T_54 = and(ic_byp_hit_f, _T_53) @[el2_ifu_mem_ctl.scala 208:33] + node _T_55 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 208:76] + node _T_56 = eq(_T_55, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 208:57] + node _T_57 = and(_T_54, _T_56) @[el2_ifu_mem_ctl.scala 208:55] + node _T_58 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 208:91] + node _T_59 = and(_T_57, _T_58) @[el2_ifu_mem_ctl.scala 208:89] + node _T_60 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 208:115] + node _T_61 = and(_T_59, _T_60) @[el2_ifu_mem_ctl.scala 208:113] + node _T_62 = bits(_T_61, 0, 0) @[el2_ifu_mem_ctl.scala 208:137] + node _T_63 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:41] + node _T_64 = and(bus_ifu_wr_en_ff, _T_63) @[el2_ifu_mem_ctl.scala 209:39] + node _T_65 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 209:82] + node _T_66 = eq(_T_65, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:63] + node _T_67 = and(_T_64, _T_66) @[el2_ifu_mem_ctl.scala 209:61] + node _T_68 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:97] + node _T_69 = and(_T_67, _T_68) @[el2_ifu_mem_ctl.scala 209:95] + node _T_70 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:121] + node _T_71 = and(_T_69, _T_70) @[el2_ifu_mem_ctl.scala 209:119] + node _T_72 = bits(_T_71, 0, 0) @[el2_ifu_mem_ctl.scala 209:143] + node _T_73 = eq(ic_byp_hit_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:22] + node _T_74 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:40] + node _T_75 = and(_T_73, _T_74) @[el2_ifu_mem_ctl.scala 210:37] + node _T_76 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 210:81] + node _T_77 = and(_T_75, _T_76) @[el2_ifu_mem_ctl.scala 210:60] + node _T_78 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:102] + node _T_79 = and(_T_77, _T_78) @[el2_ifu_mem_ctl.scala 210:100] + node _T_80 = bits(_T_79, 0, 0) @[el2_ifu_mem_ctl.scala 210:124] + node _T_81 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 211:44] + node _T_82 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 211:89] + node _T_83 = eq(_T_82, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:70] + node _T_84 = and(_T_81, _T_83) @[el2_ifu_mem_ctl.scala 211:68] + node _T_85 = bits(_T_84, 0, 0) @[el2_ifu_mem_ctl.scala 211:103] + node _T_86 = mux(_T_85, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 211:22] + node _T_87 = mux(_T_80, UInt<3>("h00"), _T_86) @[el2_ifu_mem_ctl.scala 210:20] + node _T_88 = mux(_T_72, UInt<3>("h06"), _T_87) @[el2_ifu_mem_ctl.scala 209:20] + node _T_89 = mux(_T_62, UInt<3>("h06"), _T_88) @[el2_ifu_mem_ctl.scala 208:18] + node _T_90 = mux(_T_52, UInt<3>("h00"), _T_89) @[el2_ifu_mem_ctl.scala 207:16] + node _T_91 = mux(_T_48, UInt<3>("h04"), _T_90) @[el2_ifu_mem_ctl.scala 206:14] + node _T_92 = mux(_T_41, UInt<3>("h03"), _T_91) @[el2_ifu_mem_ctl.scala 205:12] + node _T_93 = mux(_T_37, UInt<3>("h00"), _T_92) @[el2_ifu_mem_ctl.scala 204:27] + miss_nxtstate <= _T_93 @[el2_ifu_mem_ctl.scala 204:21] + node _T_94 = or(io.dec_tlu_force_halt, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 212:46] + node _T_95 = or(_T_94, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 212:67] + node _T_96 = or(_T_95, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 212:82] + node _T_97 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 212:125] + node _T_98 = or(_T_96, _T_97) @[el2_ifu_mem_ctl.scala 212:105] + node _T_99 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 212:160] + node _T_100 = and(bus_ifu_wr_en_ff, _T_99) @[el2_ifu_mem_ctl.scala 212:158] + node _T_101 = or(_T_98, _T_100) @[el2_ifu_mem_ctl.scala 212:138] + miss_state_en <= _T_101 @[el2_ifu_mem_ctl.scala 212:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_102 = eq(UInt<3>("h04"), miss_state) @[Conditional.scala 37:30] when _T_102 : @[Conditional.scala 39:67] - miss_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 220:21] - node _T_103 = or(io.exu_flush_final, flush_final_f) @[el2_ifu_mem_ctl.scala 221:43] - node _T_104 = or(_T_103, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 221:59] - node _T_105 = or(_T_104, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 221:74] - miss_state_en <= _T_105 @[el2_ifu_mem_ctl.scala 221:21] + miss_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 215:21] + node _T_103 = or(io.exu_flush_final, flush_final_f) @[el2_ifu_mem_ctl.scala 216:43] + node _T_104 = or(_T_103, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 216:59] + node _T_105 = or(_T_104, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 216:74] + miss_state_en <= _T_105 @[el2_ifu_mem_ctl.scala 216:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_106 = eq(UInt<3>("h06"), miss_state) @[Conditional.scala 37:30] when _T_106 : @[Conditional.scala 39:67] - node _T_107 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 224:49] - node _T_108 = or(_T_107, stream_eol_f) @[el2_ifu_mem_ctl.scala 224:72] - node _T_109 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 224:108] - node _T_110 = eq(_T_109, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 224:89] - node _T_111 = and(_T_108, _T_110) @[el2_ifu_mem_ctl.scala 224:87] - node _T_112 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 224:124] - node _T_113 = and(_T_111, _T_112) @[el2_ifu_mem_ctl.scala 224:122] - node _T_114 = bits(_T_113, 0, 0) @[el2_ifu_mem_ctl.scala 224:148] - node _T_115 = mux(_T_114, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 224:27] - miss_nxtstate <= _T_115 @[el2_ifu_mem_ctl.scala 224:21] - node _T_116 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 225:43] - node _T_117 = or(_T_116, stream_eol_f) @[el2_ifu_mem_ctl.scala 225:67] - node _T_118 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 225:105] - node _T_119 = or(_T_117, _T_118) @[el2_ifu_mem_ctl.scala 225:84] - node _T_120 = or(_T_119, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 225:118] - miss_state_en <= _T_120 @[el2_ifu_mem_ctl.scala 225:21] + node _T_107 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 219:49] + node _T_108 = or(_T_107, stream_eol_f) @[el2_ifu_mem_ctl.scala 219:72] + node _T_109 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 219:108] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 219:89] + node _T_111 = and(_T_108, _T_110) @[el2_ifu_mem_ctl.scala 219:87] + node _T_112 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 219:124] + node _T_113 = and(_T_111, _T_112) @[el2_ifu_mem_ctl.scala 219:122] + node _T_114 = bits(_T_113, 0, 0) @[el2_ifu_mem_ctl.scala 219:148] + node _T_115 = mux(_T_114, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 219:27] + miss_nxtstate <= _T_115 @[el2_ifu_mem_ctl.scala 219:21] + node _T_116 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 220:43] + node _T_117 = or(_T_116, stream_eol_f) @[el2_ifu_mem_ctl.scala 220:67] + node _T_118 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 220:105] + node _T_119 = or(_T_117, _T_118) @[el2_ifu_mem_ctl.scala 220:84] + node _T_120 = or(_T_119, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 220:118] + miss_state_en <= _T_120 @[el2_ifu_mem_ctl.scala 220:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_121 = eq(UInt<3>("h03"), miss_state) @[Conditional.scala 37:30] when _T_121 : @[Conditional.scala 39:67] - node _T_122 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 228:69] - node _T_123 = eq(_T_122, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 228:50] - node _T_124 = and(io.exu_flush_final, _T_123) @[el2_ifu_mem_ctl.scala 228:48] - node _T_125 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 228:84] - node _T_126 = and(_T_124, _T_125) @[el2_ifu_mem_ctl.scala 228:82] - node _T_127 = bits(_T_126, 0, 0) @[el2_ifu_mem_ctl.scala 228:108] - node _T_128 = mux(_T_127, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 228:27] - miss_nxtstate <= _T_128 @[el2_ifu_mem_ctl.scala 228:21] - node _T_129 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 229:63] - node _T_130 = or(io.exu_flush_final, _T_129) @[el2_ifu_mem_ctl.scala 229:43] - node _T_131 = or(_T_130, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 229:76] - miss_state_en <= _T_131 @[el2_ifu_mem_ctl.scala 229:21] + node _T_122 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 223:69] + node _T_123 = eq(_T_122, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 223:50] + node _T_124 = and(io.exu_flush_final, _T_123) @[el2_ifu_mem_ctl.scala 223:48] + node _T_125 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 223:84] + node _T_126 = and(_T_124, _T_125) @[el2_ifu_mem_ctl.scala 223:82] + node _T_127 = bits(_T_126, 0, 0) @[el2_ifu_mem_ctl.scala 223:108] + node _T_128 = mux(_T_127, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 223:27] + miss_nxtstate <= _T_128 @[el2_ifu_mem_ctl.scala 223:21] + node _T_129 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 224:63] + node _T_130 = or(io.exu_flush_final, _T_129) @[el2_ifu_mem_ctl.scala 224:43] + node _T_131 = or(_T_130, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 224:76] + miss_state_en <= _T_131 @[el2_ifu_mem_ctl.scala 224:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_132 = eq(UInt<3>("h02"), miss_state) @[Conditional.scala 37:30] when _T_132 : @[Conditional.scala 39:67] - node _T_133 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 232:71] - node _T_134 = eq(_T_133, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 232:52] - node _T_135 = and(ic_miss_under_miss_f, _T_134) @[el2_ifu_mem_ctl.scala 232:50] - node _T_136 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 232:86] - node _T_137 = and(_T_135, _T_136) @[el2_ifu_mem_ctl.scala 232:84] - node _T_138 = bits(_T_137, 0, 0) @[el2_ifu_mem_ctl.scala 232:110] - node _T_139 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 233:56] - node _T_140 = eq(_T_139, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 233:37] - node _T_141 = and(ic_ignore_2nd_miss_f, _T_140) @[el2_ifu_mem_ctl.scala 233:35] - node _T_142 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 233:71] - node _T_143 = and(_T_141, _T_142) @[el2_ifu_mem_ctl.scala 233:69] - node _T_144 = bits(_T_143, 0, 0) @[el2_ifu_mem_ctl.scala 233:95] - node _T_145 = mux(_T_144, UInt<3>("h07"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 233:12] - node _T_146 = mux(_T_138, UInt<3>("h05"), _T_145) @[el2_ifu_mem_ctl.scala 232:27] - miss_nxtstate <= _T_146 @[el2_ifu_mem_ctl.scala 232:21] - node _T_147 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 234:42] - node _T_148 = or(_T_147, ic_miss_under_miss_f) @[el2_ifu_mem_ctl.scala 234:55] - node _T_149 = or(_T_148, ic_ignore_2nd_miss_f) @[el2_ifu_mem_ctl.scala 234:78] - node _T_150 = or(_T_149, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 234:101] - miss_state_en <= _T_150 @[el2_ifu_mem_ctl.scala 234:21] + node _T_133 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 227:71] + node _T_134 = eq(_T_133, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 227:52] + node _T_135 = and(ic_miss_under_miss_f, _T_134) @[el2_ifu_mem_ctl.scala 227:50] + node _T_136 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 227:86] + node _T_137 = and(_T_135, _T_136) @[el2_ifu_mem_ctl.scala 227:84] + node _T_138 = bits(_T_137, 0, 0) @[el2_ifu_mem_ctl.scala 227:110] + node _T_139 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 228:56] + node _T_140 = eq(_T_139, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 228:37] + node _T_141 = and(ic_ignore_2nd_miss_f, _T_140) @[el2_ifu_mem_ctl.scala 228:35] + node _T_142 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 228:71] + node _T_143 = and(_T_141, _T_142) @[el2_ifu_mem_ctl.scala 228:69] + node _T_144 = bits(_T_143, 0, 0) @[el2_ifu_mem_ctl.scala 228:95] + node _T_145 = mux(_T_144, UInt<3>("h07"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 228:12] + node _T_146 = mux(_T_138, UInt<3>("h05"), _T_145) @[el2_ifu_mem_ctl.scala 227:27] + miss_nxtstate <= _T_146 @[el2_ifu_mem_ctl.scala 227:21] + node _T_147 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 229:42] + node _T_148 = or(_T_147, ic_miss_under_miss_f) @[el2_ifu_mem_ctl.scala 229:55] + node _T_149 = or(_T_148, ic_ignore_2nd_miss_f) @[el2_ifu_mem_ctl.scala 229:78] + node _T_150 = or(_T_149, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 229:101] + miss_state_en <= _T_150 @[el2_ifu_mem_ctl.scala 229:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_151 = eq(UInt<3>("h05"), miss_state) @[Conditional.scala 37:30] when _T_151 : @[Conditional.scala 39:67] - node _T_152 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 238:31] - node _T_153 = bits(_T_152, 0, 0) @[el2_ifu_mem_ctl.scala 238:44] - node _T_154 = mux(_T_153, UInt<3>("h00"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 238:12] - node _T_155 = mux(io.exu_flush_final, _T_154, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 237:62] - node _T_156 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), _T_155) @[el2_ifu_mem_ctl.scala 237:27] - miss_nxtstate <= _T_156 @[el2_ifu_mem_ctl.scala 237:21] - node _T_157 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 239:42] - node _T_158 = or(_T_157, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 239:55] - node _T_159 = or(_T_158, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 239:76] - miss_state_en <= _T_159 @[el2_ifu_mem_ctl.scala 239:21] + node _T_152 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 233:31] + node _T_153 = bits(_T_152, 0, 0) @[el2_ifu_mem_ctl.scala 233:44] + node _T_154 = mux(_T_153, UInt<3>("h00"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 233:12] + node _T_155 = mux(io.exu_flush_final, _T_154, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 232:62] + node _T_156 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), _T_155) @[el2_ifu_mem_ctl.scala 232:27] + miss_nxtstate <= _T_156 @[el2_ifu_mem_ctl.scala 232:21] + node _T_157 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 234:42] + node _T_158 = or(_T_157, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 234:55] + node _T_159 = or(_T_158, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 234:76] + miss_state_en <= _T_159 @[el2_ifu_mem_ctl.scala 234:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_160 = eq(UInt<3>("h07"), miss_state) @[Conditional.scala 37:30] when _T_160 : @[Conditional.scala 39:67] - node _T_161 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 243:31] - node _T_162 = bits(_T_161, 0, 0) @[el2_ifu_mem_ctl.scala 243:44] - node _T_163 = mux(_T_162, UInt<3>("h00"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 243:12] - node _T_164 = mux(io.exu_flush_final, _T_163, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 242:62] - node _T_165 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), _T_164) @[el2_ifu_mem_ctl.scala 242:27] - miss_nxtstate <= _T_165 @[el2_ifu_mem_ctl.scala 242:21] - node _T_166 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 244:42] - node _T_167 = or(_T_166, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 244:55] - node _T_168 = or(_T_167, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 244:76] - miss_state_en <= _T_168 @[el2_ifu_mem_ctl.scala 244:21] + node _T_161 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 238:31] + node _T_162 = bits(_T_161, 0, 0) @[el2_ifu_mem_ctl.scala 238:44] + node _T_163 = mux(_T_162, UInt<3>("h00"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 238:12] + node _T_164 = mux(io.exu_flush_final, _T_163, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 237:62] + node _T_165 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), _T_164) @[el2_ifu_mem_ctl.scala 237:27] + miss_nxtstate <= _T_165 @[el2_ifu_mem_ctl.scala 237:21] + node _T_166 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 239:42] + node _T_167 = or(_T_166, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 239:55] + node _T_168 = or(_T_167, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 239:76] + miss_state_en <= _T_168 @[el2_ifu_mem_ctl.scala 239:21] skip @[Conditional.scala 39:67] - node _T_169 = bits(miss_state_en, 0, 0) @[el2_ifu_mem_ctl.scala 247:61] + node _T_169 = bits(miss_state_en, 0, 0) @[el2_ifu_mem_ctl.scala 242:61] reg _T_170 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_169 : @[Reg.scala 28:19] _T_170 <= miss_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - miss_state <= _T_170 @[el2_ifu_mem_ctl.scala 247:14] + miss_state <= _T_170 @[el2_ifu_mem_ctl.scala 242:14] wire crit_byp_hit_f : UInt<1> crit_byp_hit_f <= UInt<1>("h00") wire way_status_mb_scnd_ff : UInt<1> @@ -318,272 +318,272 @@ circuit el2_ifu_mem_ctl : bus_rd_addr_count <= UInt<1>("h00") wire ifu_bus_rid_ff : UInt<3> ifu_bus_rid_ff <= UInt<1>("h00") - node _T_171 = neq(miss_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 257:30] - miss_pending <= _T_171 @[el2_ifu_mem_ctl.scala 257:16] - node _T_172 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 258:39] - node _T_173 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 258:73] - node _T_174 = eq(flush_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 258:95] - node _T_175 = and(_T_173, _T_174) @[el2_ifu_mem_ctl.scala 258:93] - node crit_wd_byp_ok_ff = or(_T_172, _T_175) @[el2_ifu_mem_ctl.scala 258:58] - node _T_176 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 259:57] - node _T_177 = eq(_T_176, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 259:38] - node _T_178 = and(miss_pending, _T_177) @[el2_ifu_mem_ctl.scala 259:36] - node _T_179 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 259:86] - node _T_180 = and(_T_179, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 259:106] - node _T_181 = eq(_T_180, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 259:72] - node _T_182 = and(_T_178, _T_181) @[el2_ifu_mem_ctl.scala 259:70] - node _T_183 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 260:37] - node _T_184 = and(_T_183, crit_byp_hit_f) @[el2_ifu_mem_ctl.scala 260:57] - node _T_185 = eq(_T_184, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 260:23] - node _T_186 = and(_T_182, _T_185) @[el2_ifu_mem_ctl.scala 259:128] - node _T_187 = or(_T_186, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 260:77] - node _T_188 = eq(miss_nxtstate, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 261:36] - node _T_189 = and(miss_pending, _T_188) @[el2_ifu_mem_ctl.scala 261:19] - node sel_hold_imb = or(_T_187, _T_189) @[el2_ifu_mem_ctl.scala 260:93] - node _T_190 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 263:40] - node _T_191 = or(_T_190, ic_miss_under_miss_f) @[el2_ifu_mem_ctl.scala 263:57] - node _T_192 = eq(flush_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 263:83] - node sel_hold_imb_scnd = and(_T_191, _T_192) @[el2_ifu_mem_ctl.scala 263:81] - node _T_193 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 264:46] - node way_status_mb_scnd_in = mux(_T_193, way_status_mb_scnd_ff, way_status) @[el2_ifu_mem_ctl.scala 264:34] - node _T_194 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 266:40] - node _T_195 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 266:96] + node _T_171 = neq(miss_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 252:30] + miss_pending <= _T_171 @[el2_ifu_mem_ctl.scala 252:16] + node _T_172 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 253:39] + node _T_173 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 253:73] + node _T_174 = eq(flush_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 253:95] + node _T_175 = and(_T_173, _T_174) @[el2_ifu_mem_ctl.scala 253:93] + node crit_wd_byp_ok_ff = or(_T_172, _T_175) @[el2_ifu_mem_ctl.scala 253:58] + node _T_176 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 254:57] + node _T_177 = eq(_T_176, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 254:38] + node _T_178 = and(miss_pending, _T_177) @[el2_ifu_mem_ctl.scala 254:36] + node _T_179 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 254:86] + node _T_180 = and(_T_179, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 254:106] + node _T_181 = eq(_T_180, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 254:72] + node _T_182 = and(_T_178, _T_181) @[el2_ifu_mem_ctl.scala 254:70] + node _T_183 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 255:37] + node _T_184 = and(_T_183, crit_byp_hit_f) @[el2_ifu_mem_ctl.scala 255:57] + node _T_185 = eq(_T_184, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 255:23] + node _T_186 = and(_T_182, _T_185) @[el2_ifu_mem_ctl.scala 254:128] + node _T_187 = or(_T_186, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 255:77] + node _T_188 = eq(miss_nxtstate, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 256:36] + node _T_189 = and(miss_pending, _T_188) @[el2_ifu_mem_ctl.scala 256:19] + node sel_hold_imb = or(_T_187, _T_189) @[el2_ifu_mem_ctl.scala 255:93] + node _T_190 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 258:40] + node _T_191 = or(_T_190, ic_miss_under_miss_f) @[el2_ifu_mem_ctl.scala 258:57] + node _T_192 = eq(flush_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 258:83] + node sel_hold_imb_scnd = and(_T_191, _T_192) @[el2_ifu_mem_ctl.scala 258:81] + node _T_193 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 259:46] + node way_status_mb_scnd_in = mux(_T_193, way_status_mb_scnd_ff, way_status) @[el2_ifu_mem_ctl.scala 259:34] + node _T_194 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 261:40] + node _T_195 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 261:96] node _T_196 = bits(_T_195, 0, 0) @[Bitwise.scala 72:15] node _T_197 = mux(_T_196, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_198 = and(_T_197, io.ic_tag_valid) @[el2_ifu_mem_ctl.scala 266:113] - node tagv_mb_scnd_in = mux(_T_194, tagv_mb_scnd_ff, _T_198) @[el2_ifu_mem_ctl.scala 266:28] - node _T_199 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 267:56] - node uncacheable_miss_scnd_in = mux(_T_199, uncacheable_miss_scnd_ff, io.ifc_fetch_uncacheable_bf) @[el2_ifu_mem_ctl.scala 267:37] - reg _T_200 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 268:38] - _T_200 <= uncacheable_miss_scnd_in @[el2_ifu_mem_ctl.scala 268:38] - uncacheable_miss_scnd_ff <= _T_200 @[el2_ifu_mem_ctl.scala 268:28] - node _T_201 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 269:43] - node imb_scnd_in = mux(_T_201, imb_scnd_ff, io.ifc_fetch_addr_bf) @[el2_ifu_mem_ctl.scala 269:24] - reg _T_202 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 270:25] - _T_202 <= imb_scnd_in @[el2_ifu_mem_ctl.scala 270:25] - imb_scnd_ff <= _T_202 @[el2_ifu_mem_ctl.scala 270:15] - reg _T_203 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 271:35] - _T_203 <= way_status_mb_scnd_in @[el2_ifu_mem_ctl.scala 271:35] - way_status_mb_scnd_ff <= _T_203 @[el2_ifu_mem_ctl.scala 271:25] - reg _T_204 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 272:29] - _T_204 <= tagv_mb_scnd_in @[el2_ifu_mem_ctl.scala 272:29] - tagv_mb_scnd_ff <= _T_204 @[el2_ifu_mem_ctl.scala 272:19] + node _T_198 = and(_T_197, io.ic_tag_valid) @[el2_ifu_mem_ctl.scala 261:113] + node tagv_mb_scnd_in = mux(_T_194, tagv_mb_scnd_ff, _T_198) @[el2_ifu_mem_ctl.scala 261:28] + node _T_199 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 262:56] + node uncacheable_miss_scnd_in = mux(_T_199, uncacheable_miss_scnd_ff, io.ifc_fetch_uncacheable_bf) @[el2_ifu_mem_ctl.scala 262:37] + reg _T_200 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 263:38] + _T_200 <= uncacheable_miss_scnd_in @[el2_ifu_mem_ctl.scala 263:38] + uncacheable_miss_scnd_ff <= _T_200 @[el2_ifu_mem_ctl.scala 263:28] + node _T_201 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 264:43] + node imb_scnd_in = mux(_T_201, imb_scnd_ff, io.ifc_fetch_addr_bf) @[el2_ifu_mem_ctl.scala 264:24] + reg _T_202 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 265:25] + _T_202 <= imb_scnd_in @[el2_ifu_mem_ctl.scala 265:25] + imb_scnd_ff <= _T_202 @[el2_ifu_mem_ctl.scala 265:15] + reg _T_203 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 266:35] + _T_203 <= way_status_mb_scnd_in @[el2_ifu_mem_ctl.scala 266:35] + way_status_mb_scnd_ff <= _T_203 @[el2_ifu_mem_ctl.scala 266:25] + reg _T_204 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 267:29] + _T_204 <= tagv_mb_scnd_in @[el2_ifu_mem_ctl.scala 267:29] + tagv_mb_scnd_ff <= _T_204 @[el2_ifu_mem_ctl.scala 267:19] node _T_205 = bits(bus_ifu_wr_en_ff, 0, 0) @[Bitwise.scala 72:15] node _T_206 = mux(_T_205, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node ic_wr_addr_bits_hi_3 = and(ifu_bus_rid_ff, _T_206) @[el2_ifu_mem_ctl.scala 275:45] + node ic_wr_addr_bits_hi_3 = and(ifu_bus_rid_ff, _T_206) @[el2_ifu_mem_ctl.scala 270:45] wire ifc_iccm_access_f : UInt<1> ifc_iccm_access_f <= UInt<1>("h00") wire ifc_region_acc_fault_final_f : UInt<1> ifc_region_acc_fault_final_f <= UInt<1>("h00") - node _T_207 = eq(ifc_iccm_access_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 278:48] - node _T_208 = and(ifc_fetch_req_f, _T_207) @[el2_ifu_mem_ctl.scala 278:46] - node _T_209 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 278:69] - node fetch_req_icache_f = and(_T_208, _T_209) @[el2_ifu_mem_ctl.scala 278:67] - node fetch_req_iccm_f = and(ifc_fetch_req_f, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 279:46] - node _T_210 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 280:45] - node _T_211 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 280:73] - node _T_212 = or(_T_210, _T_211) @[el2_ifu_mem_ctl.scala 280:59] - node _T_213 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 280:105] - node _T_214 = or(_T_212, _T_213) @[el2_ifu_mem_ctl.scala 280:91] - node ic_iccm_hit_f = and(fetch_req_iccm_f, _T_214) @[el2_ifu_mem_ctl.scala 280:41] + node _T_207 = eq(ifc_iccm_access_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 273:48] + node _T_208 = and(ifc_fetch_req_f, _T_207) @[el2_ifu_mem_ctl.scala 273:46] + node _T_209 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 273:69] + node fetch_req_icache_f = and(_T_208, _T_209) @[el2_ifu_mem_ctl.scala 273:67] + node fetch_req_iccm_f = and(ifc_fetch_req_f, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 274:46] + node _T_210 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 275:45] + node _T_211 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 275:73] + node _T_212 = or(_T_210, _T_211) @[el2_ifu_mem_ctl.scala 275:59] + node _T_213 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 275:105] + node _T_214 = or(_T_212, _T_213) @[el2_ifu_mem_ctl.scala 275:91] + node ic_iccm_hit_f = and(fetch_req_iccm_f, _T_214) @[el2_ifu_mem_ctl.scala 275:41] wire stream_hit_f : UInt<1> stream_hit_f <= UInt<1>("h00") - node _T_215 = or(crit_byp_hit_f, stream_hit_f) @[el2_ifu_mem_ctl.scala 282:35] - node _T_216 = and(_T_215, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 282:52] - node _T_217 = and(_T_216, miss_pending) @[el2_ifu_mem_ctl.scala 282:73] - ic_byp_hit_f <= _T_217 @[el2_ifu_mem_ctl.scala 282:16] + node _T_215 = or(crit_byp_hit_f, stream_hit_f) @[el2_ifu_mem_ctl.scala 277:35] + node _T_216 = and(_T_215, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 277:52] + node _T_217 = and(_T_216, miss_pending) @[el2_ifu_mem_ctl.scala 277:73] + ic_byp_hit_f <= _T_217 @[el2_ifu_mem_ctl.scala 277:16] wire sel_mb_addr_ff : UInt<1> sel_mb_addr_ff <= UInt<1>("h00") wire imb_ff : UInt<31> imb_ff <= UInt<1>("h00") wire ifu_fetch_addr_int_f : UInt<31> ifu_fetch_addr_int_f <= UInt<1>("h00") - node _T_218 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 286:35] - node _T_219 = and(_T_218, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 286:39] - node _T_220 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 286:62] - node _T_221 = and(_T_219, _T_220) @[el2_ifu_mem_ctl.scala 286:60] - node _T_222 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 286:81] - node _T_223 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 286:108] - node _T_224 = or(_T_222, _T_223) @[el2_ifu_mem_ctl.scala 286:95] - node _T_225 = and(_T_221, _T_224) @[el2_ifu_mem_ctl.scala 286:78] - node _T_226 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 286:128] - node ic_act_hit_f = and(_T_225, _T_226) @[el2_ifu_mem_ctl.scala 286:126] - node _T_227 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 287:37] - node _T_228 = eq(_T_227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 287:23] - node _T_229 = or(_T_228, reset_all_tags) @[el2_ifu_mem_ctl.scala 287:41] - node _T_230 = and(_T_229, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 287:59] - node _T_231 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 287:82] - node _T_232 = and(_T_230, _T_231) @[el2_ifu_mem_ctl.scala 287:80] - node _T_233 = or(_T_232, scnd_miss_req) @[el2_ifu_mem_ctl.scala 287:97] - node _T_234 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 287:116] - node _T_235 = and(_T_233, _T_234) @[el2_ifu_mem_ctl.scala 287:114] - ic_act_miss_f <= _T_235 @[el2_ifu_mem_ctl.scala 287:17] - node _T_236 = eq(io.ic_rd_hit, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 288:28] - node _T_237 = or(_T_236, reset_all_tags) @[el2_ifu_mem_ctl.scala 288:42] - node _T_238 = and(_T_237, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 288:60] - node _T_239 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 288:94] - node _T_240 = and(_T_238, _T_239) @[el2_ifu_mem_ctl.scala 288:81] - node _T_241 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 289:12] - node _T_242 = bits(ifu_fetch_addr_int_f, 30, 5) @[el2_ifu_mem_ctl.scala 289:63] - node _T_243 = neq(_T_241, _T_242) @[el2_ifu_mem_ctl.scala 289:39] - node _T_244 = and(_T_240, _T_243) @[el2_ifu_mem_ctl.scala 288:111] - node _T_245 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 289:93] - node _T_246 = and(_T_244, _T_245) @[el2_ifu_mem_ctl.scala 289:91] - node _T_247 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 289:116] - node _T_248 = and(_T_246, _T_247) @[el2_ifu_mem_ctl.scala 289:114] - node _T_249 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 289:134] - node _T_250 = and(_T_248, _T_249) @[el2_ifu_mem_ctl.scala 289:132] - ic_miss_under_miss_f <= _T_250 @[el2_ifu_mem_ctl.scala 288:24] - node _T_251 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 290:42] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 290:28] - node _T_253 = or(_T_252, reset_all_tags) @[el2_ifu_mem_ctl.scala 290:46] - node _T_254 = and(_T_253, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 290:64] - node _T_255 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 290:99] - node _T_256 = and(_T_254, _T_255) @[el2_ifu_mem_ctl.scala 290:85] - node _T_257 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 291:13] - node _T_258 = bits(ifu_fetch_addr_int_f, 30, 5) @[el2_ifu_mem_ctl.scala 291:62] - node _T_259 = eq(_T_257, _T_258) @[el2_ifu_mem_ctl.scala 291:39] - node _T_260 = or(_T_259, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 291:91] - node _T_261 = and(_T_256, _T_260) @[el2_ifu_mem_ctl.scala 290:117] - ic_ignore_2nd_miss_f <= _T_261 @[el2_ifu_mem_ctl.scala 290:24] - node _T_262 = or(ic_act_hit_f, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 293:31] - node _T_263 = or(_T_262, ic_iccm_hit_f) @[el2_ifu_mem_ctl.scala 293:46] - node _T_264 = and(ifc_region_acc_fault_final_f, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 293:94] - node _T_265 = or(_T_263, _T_264) @[el2_ifu_mem_ctl.scala 293:62] - io.ic_hit_f <= _T_265 @[el2_ifu_mem_ctl.scala 293:15] - node _T_266 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 294:47] - node _T_267 = bits(sel_hold_imb, 0, 0) @[el2_ifu_mem_ctl.scala 294:98] - node _T_268 = mux(_T_267, uncacheable_miss_ff, io.ifc_fetch_uncacheable_bf) @[el2_ifu_mem_ctl.scala 294:84] - node uncacheable_miss_in = mux(_T_266, uncacheable_miss_scnd_ff, _T_268) @[el2_ifu_mem_ctl.scala 294:32] - node _T_269 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 295:34] - node _T_270 = bits(sel_hold_imb, 0, 0) @[el2_ifu_mem_ctl.scala 295:72] - node _T_271 = mux(_T_270, imb_ff, io.ifc_fetch_addr_bf) @[el2_ifu_mem_ctl.scala 295:58] - node imb_in = mux(_T_269, imb_scnd_ff, _T_271) @[el2_ifu_mem_ctl.scala 295:19] + node _T_218 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 281:35] + node _T_219 = and(_T_218, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 281:39] + node _T_220 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 281:62] + node _T_221 = and(_T_219, _T_220) @[el2_ifu_mem_ctl.scala 281:60] + node _T_222 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 281:81] + node _T_223 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 281:108] + node _T_224 = or(_T_222, _T_223) @[el2_ifu_mem_ctl.scala 281:95] + node _T_225 = and(_T_221, _T_224) @[el2_ifu_mem_ctl.scala 281:78] + node _T_226 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 281:128] + node ic_act_hit_f = and(_T_225, _T_226) @[el2_ifu_mem_ctl.scala 281:126] + node _T_227 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 282:37] + node _T_228 = eq(_T_227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 282:23] + node _T_229 = or(_T_228, reset_all_tags) @[el2_ifu_mem_ctl.scala 282:41] + node _T_230 = and(_T_229, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 282:59] + node _T_231 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 282:82] + node _T_232 = and(_T_230, _T_231) @[el2_ifu_mem_ctl.scala 282:80] + node _T_233 = or(_T_232, scnd_miss_req) @[el2_ifu_mem_ctl.scala 282:97] + node _T_234 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 282:116] + node _T_235 = and(_T_233, _T_234) @[el2_ifu_mem_ctl.scala 282:114] + ic_act_miss_f <= _T_235 @[el2_ifu_mem_ctl.scala 282:17] + node _T_236 = eq(io.ic_rd_hit, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 283:28] + node _T_237 = or(_T_236, reset_all_tags) @[el2_ifu_mem_ctl.scala 283:42] + node _T_238 = and(_T_237, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 283:60] + node _T_239 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 283:94] + node _T_240 = and(_T_238, _T_239) @[el2_ifu_mem_ctl.scala 283:81] + node _T_241 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 284:12] + node _T_242 = bits(ifu_fetch_addr_int_f, 30, 5) @[el2_ifu_mem_ctl.scala 284:63] + node _T_243 = neq(_T_241, _T_242) @[el2_ifu_mem_ctl.scala 284:39] + node _T_244 = and(_T_240, _T_243) @[el2_ifu_mem_ctl.scala 283:111] + node _T_245 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 284:93] + node _T_246 = and(_T_244, _T_245) @[el2_ifu_mem_ctl.scala 284:91] + node _T_247 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 284:116] + node _T_248 = and(_T_246, _T_247) @[el2_ifu_mem_ctl.scala 284:114] + node _T_249 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 284:134] + node _T_250 = and(_T_248, _T_249) @[el2_ifu_mem_ctl.scala 284:132] + ic_miss_under_miss_f <= _T_250 @[el2_ifu_mem_ctl.scala 283:24] + node _T_251 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 285:42] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 285:28] + node _T_253 = or(_T_252, reset_all_tags) @[el2_ifu_mem_ctl.scala 285:46] + node _T_254 = and(_T_253, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 285:64] + node _T_255 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 285:99] + node _T_256 = and(_T_254, _T_255) @[el2_ifu_mem_ctl.scala 285:85] + node _T_257 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 286:13] + node _T_258 = bits(ifu_fetch_addr_int_f, 30, 5) @[el2_ifu_mem_ctl.scala 286:62] + node _T_259 = eq(_T_257, _T_258) @[el2_ifu_mem_ctl.scala 286:39] + node _T_260 = or(_T_259, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 286:91] + node _T_261 = and(_T_256, _T_260) @[el2_ifu_mem_ctl.scala 285:117] + ic_ignore_2nd_miss_f <= _T_261 @[el2_ifu_mem_ctl.scala 285:24] + node _T_262 = or(ic_act_hit_f, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 288:31] + node _T_263 = or(_T_262, ic_iccm_hit_f) @[el2_ifu_mem_ctl.scala 288:46] + node _T_264 = and(ifc_region_acc_fault_final_f, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 288:94] + node _T_265 = or(_T_263, _T_264) @[el2_ifu_mem_ctl.scala 288:62] + io.ic_hit_f <= _T_265 @[el2_ifu_mem_ctl.scala 288:15] + node _T_266 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 289:47] + node _T_267 = bits(sel_hold_imb, 0, 0) @[el2_ifu_mem_ctl.scala 289:98] + node _T_268 = mux(_T_267, uncacheable_miss_ff, io.ifc_fetch_uncacheable_bf) @[el2_ifu_mem_ctl.scala 289:84] + node uncacheable_miss_in = mux(_T_266, uncacheable_miss_scnd_ff, _T_268) @[el2_ifu_mem_ctl.scala 289:32] + node _T_269 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 290:34] + node _T_270 = bits(sel_hold_imb, 0, 0) @[el2_ifu_mem_ctl.scala 290:72] + node _T_271 = mux(_T_270, imb_ff, io.ifc_fetch_addr_bf) @[el2_ifu_mem_ctl.scala 290:58] + node imb_in = mux(_T_269, imb_scnd_ff, _T_271) @[el2_ifu_mem_ctl.scala 290:19] wire ifu_wr_cumulative_err_data : UInt<1> ifu_wr_cumulative_err_data <= UInt<1>("h00") - node _T_272 = bits(imb_ff, 11, 5) @[el2_ifu_mem_ctl.scala 297:38] - node _T_273 = bits(imb_scnd_ff, 11, 5) @[el2_ifu_mem_ctl.scala 297:93] - node _T_274 = eq(_T_272, _T_273) @[el2_ifu_mem_ctl.scala 297:79] - node _T_275 = and(_T_274, scnd_miss_req) @[el2_ifu_mem_ctl.scala 297:135] - node _T_276 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 297:153] - node scnd_miss_index_match = and(_T_275, _T_276) @[el2_ifu_mem_ctl.scala 297:151] + node _T_272 = bits(imb_ff, 11, 5) @[el2_ifu_mem_ctl.scala 292:38] + node _T_273 = bits(imb_scnd_ff, 11, 5) @[el2_ifu_mem_ctl.scala 292:93] + node _T_274 = eq(_T_272, _T_273) @[el2_ifu_mem_ctl.scala 292:79] + node _T_275 = and(_T_274, scnd_miss_req) @[el2_ifu_mem_ctl.scala 292:135] + node _T_276 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 292:153] + node scnd_miss_index_match = and(_T_275, _T_276) @[el2_ifu_mem_ctl.scala 292:151] wire way_status_mb_ff : UInt<1> way_status_mb_ff <= UInt<1>("h00") wire way_status_rep_new : UInt<1> way_status_rep_new <= UInt<1>("h00") - node _T_277 = eq(scnd_miss_index_match, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 300:47] - node _T_278 = and(scnd_miss_req, _T_277) @[el2_ifu_mem_ctl.scala 300:45] - node _T_279 = bits(_T_278, 0, 0) @[el2_ifu_mem_ctl.scala 300:71] - node _T_280 = and(scnd_miss_req, scnd_miss_index_match) @[el2_ifu_mem_ctl.scala 301:26] - node _T_281 = bits(_T_280, 0, 0) @[el2_ifu_mem_ctl.scala 301:52] - node _T_282 = bits(miss_pending, 0, 0) @[el2_ifu_mem_ctl.scala 302:26] - node _T_283 = mux(_T_282, way_status_mb_ff, way_status) @[el2_ifu_mem_ctl.scala 302:12] - node _T_284 = mux(_T_281, way_status_rep_new, _T_283) @[el2_ifu_mem_ctl.scala 301:10] - node way_status_mb_in = mux(_T_279, way_status_mb_scnd_ff, _T_284) @[el2_ifu_mem_ctl.scala 300:29] - wire replace_way_mb_any : UInt<1>[2] @[el2_ifu_mem_ctl.scala 303:32] + node _T_277 = eq(scnd_miss_index_match, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 295:47] + node _T_278 = and(scnd_miss_req, _T_277) @[el2_ifu_mem_ctl.scala 295:45] + node _T_279 = bits(_T_278, 0, 0) @[el2_ifu_mem_ctl.scala 295:71] + node _T_280 = and(scnd_miss_req, scnd_miss_index_match) @[el2_ifu_mem_ctl.scala 296:26] + node _T_281 = bits(_T_280, 0, 0) @[el2_ifu_mem_ctl.scala 296:52] + node _T_282 = bits(miss_pending, 0, 0) @[el2_ifu_mem_ctl.scala 297:26] + node _T_283 = mux(_T_282, way_status_mb_ff, way_status) @[el2_ifu_mem_ctl.scala 297:12] + node _T_284 = mux(_T_281, way_status_rep_new, _T_283) @[el2_ifu_mem_ctl.scala 296:10] + node way_status_mb_in = mux(_T_279, way_status_mb_scnd_ff, _T_284) @[el2_ifu_mem_ctl.scala 295:29] + wire replace_way_mb_any : UInt<1>[2] @[el2_ifu_mem_ctl.scala 298:32] wire tagv_mb_ff : UInt<2> tagv_mb_ff <= UInt<1>("h00") - node _T_285 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 305:38] + node _T_285 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 300:38] node _T_286 = bits(scnd_miss_index_match, 0, 0) @[Bitwise.scala 72:15] node _T_287 = mux(_T_286, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] node _T_288 = cat(replace_way_mb_any[1], replace_way_mb_any[0]) @[Cat.scala 29:58] - node _T_289 = and(_T_287, _T_288) @[el2_ifu_mem_ctl.scala 305:110] - node _T_290 = or(tagv_mb_scnd_ff, _T_289) @[el2_ifu_mem_ctl.scala 305:62] - node _T_291 = bits(miss_pending, 0, 0) @[el2_ifu_mem_ctl.scala 306:20] - node _T_292 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 306:80] + node _T_289 = and(_T_287, _T_288) @[el2_ifu_mem_ctl.scala 300:110] + node _T_290 = or(tagv_mb_scnd_ff, _T_289) @[el2_ifu_mem_ctl.scala 300:62] + node _T_291 = bits(miss_pending, 0, 0) @[el2_ifu_mem_ctl.scala 301:20] + node _T_292 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 301:80] node _T_293 = bits(_T_292, 0, 0) @[Bitwise.scala 72:15] node _T_294 = mux(_T_293, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_295 = and(io.ic_tag_valid, _T_294) @[el2_ifu_mem_ctl.scala 306:56] - node _T_296 = mux(_T_291, tagv_mb_ff, _T_295) @[el2_ifu_mem_ctl.scala 306:6] - node tagv_mb_in = mux(_T_285, _T_290, _T_296) @[el2_ifu_mem_ctl.scala 305:23] + node _T_295 = and(io.ic_tag_valid, _T_294) @[el2_ifu_mem_ctl.scala 301:56] + node _T_296 = mux(_T_291, tagv_mb_ff, _T_295) @[el2_ifu_mem_ctl.scala 301:6] + node tagv_mb_in = mux(_T_285, _T_290, _T_296) @[el2_ifu_mem_ctl.scala 300:23] wire scnd_miss_req_q : UInt<1> scnd_miss_req_q <= UInt<1>("h00") wire reset_ic_ff : UInt<1> reset_ic_ff <= UInt<1>("h00") - node _T_297 = eq(scnd_miss_req_q, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 309:36] - node _T_298 = and(miss_pending, _T_297) @[el2_ifu_mem_ctl.scala 309:34] - node _T_299 = or(reset_all_tags, reset_ic_ff) @[el2_ifu_mem_ctl.scala 309:72] - node reset_ic_in = and(_T_298, _T_299) @[el2_ifu_mem_ctl.scala 309:53] - reg _T_300 : UInt, clock @[el2_ifu_mem_ctl.scala 310:25] - _T_300 <= reset_ic_in @[el2_ifu_mem_ctl.scala 310:25] - reset_ic_ff <= _T_300 @[el2_ifu_mem_ctl.scala 310:15] - reg fetch_uncacheable_ff : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 311:37] - fetch_uncacheable_ff <= io.ifc_fetch_uncacheable_bf @[el2_ifu_mem_ctl.scala 311:37] - reg _T_301 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 312:34] - _T_301 <= io.ifc_fetch_addr_bf @[el2_ifu_mem_ctl.scala 312:34] - ifu_fetch_addr_int_f <= _T_301 @[el2_ifu_mem_ctl.scala 312:24] - node vaddr_f = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 313:37] - reg _T_302 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 314:33] - _T_302 <= uncacheable_miss_in @[el2_ifu_mem_ctl.scala 314:33] - uncacheable_miss_ff <= _T_302 @[el2_ifu_mem_ctl.scala 314:23] - reg _T_303 : UInt, clock @[el2_ifu_mem_ctl.scala 315:20] - _T_303 <= imb_in @[el2_ifu_mem_ctl.scala 315:20] - imb_ff <= _T_303 @[el2_ifu_mem_ctl.scala 315:10] + node _T_297 = eq(scnd_miss_req_q, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 304:36] + node _T_298 = and(miss_pending, _T_297) @[el2_ifu_mem_ctl.scala 304:34] + node _T_299 = or(reset_all_tags, reset_ic_ff) @[el2_ifu_mem_ctl.scala 304:72] + node reset_ic_in = and(_T_298, _T_299) @[el2_ifu_mem_ctl.scala 304:53] + reg _T_300 : UInt, clock @[el2_ifu_mem_ctl.scala 305:25] + _T_300 <= reset_ic_in @[el2_ifu_mem_ctl.scala 305:25] + reset_ic_ff <= _T_300 @[el2_ifu_mem_ctl.scala 305:15] + reg fetch_uncacheable_ff : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 306:37] + fetch_uncacheable_ff <= io.ifc_fetch_uncacheable_bf @[el2_ifu_mem_ctl.scala 306:37] + reg _T_301 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 307:34] + _T_301 <= io.ifc_fetch_addr_bf @[el2_ifu_mem_ctl.scala 307:34] + ifu_fetch_addr_int_f <= _T_301 @[el2_ifu_mem_ctl.scala 307:24] + node vaddr_f = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 308:37] + reg _T_302 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 309:33] + _T_302 <= uncacheable_miss_in @[el2_ifu_mem_ctl.scala 309:33] + uncacheable_miss_ff <= _T_302 @[el2_ifu_mem_ctl.scala 309:23] + reg _T_303 : UInt, clock @[el2_ifu_mem_ctl.scala 310:20] + _T_303 <= imb_in @[el2_ifu_mem_ctl.scala 310:20] + imb_ff <= _T_303 @[el2_ifu_mem_ctl.scala 310:10] wire miss_addr : UInt<26> miss_addr <= UInt<1>("h00") - node _T_304 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 317:26] - node _T_305 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 317:47] - node _T_306 = bits(scnd_miss_req_q, 0, 0) @[el2_ifu_mem_ctl.scala 318:25] - node _T_307 = bits(imb_scnd_ff, 30, 5) @[el2_ifu_mem_ctl.scala 318:44] - node _T_308 = mux(_T_306, _T_307, miss_addr) @[el2_ifu_mem_ctl.scala 318:8] - node miss_addr_in = mux(_T_304, _T_305, _T_308) @[el2_ifu_mem_ctl.scala 317:25] - reg _T_309 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 319:23] - _T_309 <= miss_addr_in @[el2_ifu_mem_ctl.scala 319:23] - miss_addr <= _T_309 @[el2_ifu_mem_ctl.scala 319:13] - reg _T_310 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 320:30] - _T_310 <= way_status_mb_in @[el2_ifu_mem_ctl.scala 320:30] - way_status_mb_ff <= _T_310 @[el2_ifu_mem_ctl.scala 320:20] - reg _T_311 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 321:24] - _T_311 <= tagv_mb_in @[el2_ifu_mem_ctl.scala 321:24] - tagv_mb_ff <= _T_311 @[el2_ifu_mem_ctl.scala 321:14] + node _T_304 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 312:26] + node _T_305 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 312:47] + node _T_306 = bits(scnd_miss_req_q, 0, 0) @[el2_ifu_mem_ctl.scala 313:25] + node _T_307 = bits(imb_scnd_ff, 30, 5) @[el2_ifu_mem_ctl.scala 313:44] + node _T_308 = mux(_T_306, _T_307, miss_addr) @[el2_ifu_mem_ctl.scala 313:8] + node miss_addr_in = mux(_T_304, _T_305, _T_308) @[el2_ifu_mem_ctl.scala 312:25] + reg _T_309 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 314:23] + _T_309 <= miss_addr_in @[el2_ifu_mem_ctl.scala 314:23] + miss_addr <= _T_309 @[el2_ifu_mem_ctl.scala 314:13] + reg _T_310 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 315:30] + _T_310 <= way_status_mb_in @[el2_ifu_mem_ctl.scala 315:30] + way_status_mb_ff <= _T_310 @[el2_ifu_mem_ctl.scala 315:20] + reg _T_311 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 316:24] + _T_311 <= tagv_mb_in @[el2_ifu_mem_ctl.scala 316:24] + tagv_mb_ff <= _T_311 @[el2_ifu_mem_ctl.scala 316:14] wire stream_miss_f : UInt<1> stream_miss_f <= UInt<1>("h00") - node _T_312 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 323:68] - node _T_313 = and(_T_312, flush_final_f) @[el2_ifu_mem_ctl.scala 323:87] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 323:55] - node _T_315 = and(io.ifc_fetch_req_bf, _T_314) @[el2_ifu_mem_ctl.scala 323:53] - node _T_316 = eq(stream_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 323:106] - node ifc_fetch_req_qual_bf = and(_T_315, _T_316) @[el2_ifu_mem_ctl.scala 323:104] - reg ifc_fetch_req_f_raw : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 324:36] - ifc_fetch_req_f_raw <= ifc_fetch_req_qual_bf @[el2_ifu_mem_ctl.scala 324:36] - node _T_317 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 325:44] - node _T_318 = and(ifc_fetch_req_f_raw, _T_317) @[el2_ifu_mem_ctl.scala 325:42] - ifc_fetch_req_f <= _T_318 @[el2_ifu_mem_ctl.scala 325:19] - reg _T_319 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 326:31] - _T_319 <= io.ifc_iccm_access_bf @[el2_ifu_mem_ctl.scala 326:31] - ifc_iccm_access_f <= _T_319 @[el2_ifu_mem_ctl.scala 326:21] + node _T_312 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 318:68] + node _T_313 = and(_T_312, flush_final_f) @[el2_ifu_mem_ctl.scala 318:87] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 318:55] + node _T_315 = and(io.ifc_fetch_req_bf, _T_314) @[el2_ifu_mem_ctl.scala 318:53] + node _T_316 = eq(stream_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 318:106] + node ifc_fetch_req_qual_bf = and(_T_315, _T_316) @[el2_ifu_mem_ctl.scala 318:104] + reg ifc_fetch_req_f_raw : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 319:36] + ifc_fetch_req_f_raw <= ifc_fetch_req_qual_bf @[el2_ifu_mem_ctl.scala 319:36] + node _T_317 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 320:44] + node _T_318 = and(ifc_fetch_req_f_raw, _T_317) @[el2_ifu_mem_ctl.scala 320:42] + ifc_fetch_req_f <= _T_318 @[el2_ifu_mem_ctl.scala 320:19] + reg _T_319 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 321:31] + _T_319 <= io.ifc_iccm_access_bf @[el2_ifu_mem_ctl.scala 321:31] + ifc_iccm_access_f <= _T_319 @[el2_ifu_mem_ctl.scala 321:21] wire ifc_region_acc_fault_final_bf : UInt<1> ifc_region_acc_fault_final_bf <= UInt<1>("h00") - reg _T_320 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 328:42] - _T_320 <= ifc_region_acc_fault_final_bf @[el2_ifu_mem_ctl.scala 328:42] - ifc_region_acc_fault_final_f <= _T_320 @[el2_ifu_mem_ctl.scala 328:32] - reg ifc_region_acc_fault_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 329:39] - ifc_region_acc_fault_f <= io.ifc_region_acc_fault_bf @[el2_ifu_mem_ctl.scala 329:39] + reg _T_320 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 323:42] + _T_320 <= ifc_region_acc_fault_final_bf @[el2_ifu_mem_ctl.scala 323:42] + ifc_region_acc_fault_final_f <= _T_320 @[el2_ifu_mem_ctl.scala 323:32] + reg ifc_region_acc_fault_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 324:39] + ifc_region_acc_fault_f <= io.ifc_region_acc_fault_bf @[el2_ifu_mem_ctl.scala 324:39] node ifu_ic_req_addr_f = cat(miss_addr, bus_rd_addr_count) @[Cat.scala 29:58] - node _T_321 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 331:38] - node _T_322 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 331:68] - node _T_323 = or(_T_321, _T_322) @[el2_ifu_mem_ctl.scala 331:55] - node _T_324 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 331:103] - node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 331:84] - node _T_326 = and(_T_323, _T_325) @[el2_ifu_mem_ctl.scala 331:82] - node _T_327 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 331:119] - node _T_328 = or(_T_326, _T_327) @[el2_ifu_mem_ctl.scala 331:117] - io.ifu_ic_mb_empty <= _T_328 @[el2_ifu_mem_ctl.scala 331:22] - node _T_329 = eq(miss_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 332:40] - io.ifu_miss_state_idle <= _T_329 @[el2_ifu_mem_ctl.scala 332:26] + node _T_321 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 326:38] + node _T_322 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 326:68] + node _T_323 = or(_T_321, _T_322) @[el2_ifu_mem_ctl.scala 326:55] + node _T_324 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 326:103] + node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 326:84] + node _T_326 = and(_T_323, _T_325) @[el2_ifu_mem_ctl.scala 326:82] + node _T_327 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 326:119] + node _T_328 = or(_T_326, _T_327) @[el2_ifu_mem_ctl.scala 326:117] + io.ifu_ic_mb_empty <= _T_328 @[el2_ifu_mem_ctl.scala 326:22] + node _T_329 = eq(miss_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 327:40] + io.ifu_miss_state_idle <= _T_329 @[el2_ifu_mem_ctl.scala 327:26] wire write_ic_16_bytes : UInt<1> write_ic_16_bytes <= UInt<1>("h00") wire reset_tag_valid_for_miss : UInt<1> reset_tag_valid_for_miss <= UInt<1>("h00") - node _T_330 = and(miss_pending, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 335:35] - node _T_331 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 335:57] - node _T_332 = and(_T_330, _T_331) @[el2_ifu_mem_ctl.scala 335:55] - node sel_mb_addr = or(_T_332, reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 335:79] - node _T_333 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 336:63] - node _T_334 = bits(imb_ff, 1, 0) @[el2_ifu_mem_ctl.scala 336:119] + node _T_330 = and(miss_pending, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 330:35] + node _T_331 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 330:57] + node _T_332 = and(_T_330, _T_331) @[el2_ifu_mem_ctl.scala 330:55] + node sel_mb_addr = or(_T_332, reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 330:79] + node _T_333 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 331:63] + node _T_334 = bits(imb_ff, 1, 0) @[el2_ifu_mem_ctl.scala 331:119] node _T_335 = cat(_T_333, ic_wr_addr_bits_hi_3) @[Cat.scala 29:58] node _T_336 = cat(_T_335, _T_334) @[Cat.scala 29:58] - node _T_337 = eq(sel_mb_addr, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 337:37] + node _T_337 = eq(sel_mb_addr, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 332:37] node _T_338 = mux(sel_mb_addr, _T_336, UInt<1>("h00")) @[Mux.scala 27:72] node _T_339 = mux(_T_337, io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Mux.scala 27:72] node _T_340 = or(_T_338, _T_339) @[Mux.scala 27:72] @@ -591,1274 +591,1274 @@ circuit el2_ifu_mem_ctl : ifu_ic_rw_int_addr <= _T_340 @[Mux.scala 27:72] wire bus_ifu_wr_en_ff_q : UInt<1> bus_ifu_wr_en_ff_q <= UInt<1>("h00") - node _T_341 = and(miss_pending, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 339:41] - node _T_342 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 339:63] - node _T_343 = and(_T_341, _T_342) @[el2_ifu_mem_ctl.scala 339:61] - node _T_344 = and(_T_343, last_beat) @[el2_ifu_mem_ctl.scala 339:84] - node sel_mb_status_addr = and(_T_344, bus_ifu_wr_en_ff_q) @[el2_ifu_mem_ctl.scala 339:96] - node _T_345 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 340:62] - node _T_346 = bits(imb_ff, 1, 0) @[el2_ifu_mem_ctl.scala 340:116] + node _T_341 = and(miss_pending, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 334:41] + node _T_342 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 334:63] + node _T_343 = and(_T_341, _T_342) @[el2_ifu_mem_ctl.scala 334:61] + node _T_344 = and(_T_343, last_beat) @[el2_ifu_mem_ctl.scala 334:84] + node sel_mb_status_addr = and(_T_344, bus_ifu_wr_en_ff_q) @[el2_ifu_mem_ctl.scala 334:96] + node _T_345 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 335:62] + node _T_346 = bits(imb_ff, 1, 0) @[el2_ifu_mem_ctl.scala 335:116] node _T_347 = cat(_T_345, ic_wr_addr_bits_hi_3) @[Cat.scala 29:58] node _T_348 = cat(_T_347, _T_346) @[Cat.scala 29:58] - node ifu_status_wr_addr = mux(sel_mb_status_addr, _T_348, ifu_fetch_addr_int_f) @[el2_ifu_mem_ctl.scala 340:31] - io.ic_rw_addr <= ifu_ic_rw_int_addr @[el2_ifu_mem_ctl.scala 341:17] - reg _T_349 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 342:51] - _T_349 <= sel_mb_addr @[el2_ifu_mem_ctl.scala 342:51] - sel_mb_addr_ff <= _T_349 @[el2_ifu_mem_ctl.scala 342:18] + node ifu_status_wr_addr = mux(sel_mb_status_addr, _T_348, ifu_fetch_addr_int_f) @[el2_ifu_mem_ctl.scala 335:31] + io.ic_rw_addr <= ifu_ic_rw_int_addr @[el2_ifu_mem_ctl.scala 336:17] + reg _T_349 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 337:51] + _T_349 <= sel_mb_addr @[el2_ifu_mem_ctl.scala 337:51] + sel_mb_addr_ff <= _T_349 @[el2_ifu_mem_ctl.scala 337:18] wire ifu_bus_rdata_ff : UInt<64> ifu_bus_rdata_ff <= UInt<1>("h00") wire ic_miss_buff_half : UInt<64> ic_miss_buff_half <= UInt<1>("h00") - wire _T_350 : UInt<1>[35] @[el2_lib.scala 363:18] - wire _T_351 : UInt<1>[35] @[el2_lib.scala 364:18] - wire _T_352 : UInt<1>[35] @[el2_lib.scala 365:18] - wire _T_353 : UInt<1>[31] @[el2_lib.scala 366:18] - wire _T_354 : UInt<1>[31] @[el2_lib.scala 367:18] - wire _T_355 : UInt<1>[31] @[el2_lib.scala 368:18] - wire _T_356 : UInt<1>[7] @[el2_lib.scala 369:18] - node _T_357 = bits(ifu_bus_rdata_ff, 0, 0) @[el2_lib.scala 376:36] - _T_350[0] <= _T_357 @[el2_lib.scala 376:30] - node _T_358 = bits(ifu_bus_rdata_ff, 0, 0) @[el2_lib.scala 377:36] - _T_351[0] <= _T_358 @[el2_lib.scala 377:30] - node _T_359 = bits(ifu_bus_rdata_ff, 1, 1) @[el2_lib.scala 376:36] - _T_350[1] <= _T_359 @[el2_lib.scala 376:30] - node _T_360 = bits(ifu_bus_rdata_ff, 1, 1) @[el2_lib.scala 378:36] - _T_352[0] <= _T_360 @[el2_lib.scala 378:30] - node _T_361 = bits(ifu_bus_rdata_ff, 2, 2) @[el2_lib.scala 377:36] - _T_351[1] <= _T_361 @[el2_lib.scala 377:30] - node _T_362 = bits(ifu_bus_rdata_ff, 2, 2) @[el2_lib.scala 378:36] - _T_352[1] <= _T_362 @[el2_lib.scala 378:30] - node _T_363 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 376:36] - _T_350[2] <= _T_363 @[el2_lib.scala 376:30] - node _T_364 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 377:36] - _T_351[2] <= _T_364 @[el2_lib.scala 377:30] - node _T_365 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 378:36] - _T_352[2] <= _T_365 @[el2_lib.scala 378:30] - node _T_366 = bits(ifu_bus_rdata_ff, 4, 4) @[el2_lib.scala 376:36] - _T_350[3] <= _T_366 @[el2_lib.scala 376:30] - node _T_367 = bits(ifu_bus_rdata_ff, 4, 4) @[el2_lib.scala 379:36] - _T_353[0] <= _T_367 @[el2_lib.scala 379:30] - node _T_368 = bits(ifu_bus_rdata_ff, 5, 5) @[el2_lib.scala 377:36] - _T_351[3] <= _T_368 @[el2_lib.scala 377:30] - node _T_369 = bits(ifu_bus_rdata_ff, 5, 5) @[el2_lib.scala 379:36] - _T_353[1] <= _T_369 @[el2_lib.scala 379:30] - node _T_370 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 376:36] - _T_350[4] <= _T_370 @[el2_lib.scala 376:30] - node _T_371 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 377:36] - _T_351[4] <= _T_371 @[el2_lib.scala 377:30] - node _T_372 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 379:36] - _T_353[2] <= _T_372 @[el2_lib.scala 379:30] - node _T_373 = bits(ifu_bus_rdata_ff, 7, 7) @[el2_lib.scala 378:36] - _T_352[3] <= _T_373 @[el2_lib.scala 378:30] - node _T_374 = bits(ifu_bus_rdata_ff, 7, 7) @[el2_lib.scala 379:36] - _T_353[3] <= _T_374 @[el2_lib.scala 379:30] - node _T_375 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 376:36] - _T_350[5] <= _T_375 @[el2_lib.scala 376:30] - node _T_376 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 378:36] - _T_352[4] <= _T_376 @[el2_lib.scala 378:30] - node _T_377 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 379:36] - _T_353[4] <= _T_377 @[el2_lib.scala 379:30] - node _T_378 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 377:36] - _T_351[5] <= _T_378 @[el2_lib.scala 377:30] - node _T_379 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 378:36] - _T_352[5] <= _T_379 @[el2_lib.scala 378:30] - node _T_380 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 379:36] - _T_353[5] <= _T_380 @[el2_lib.scala 379:30] - node _T_381 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 376:36] - _T_350[6] <= _T_381 @[el2_lib.scala 376:30] - node _T_382 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 377:36] - _T_351[6] <= _T_382 @[el2_lib.scala 377:30] - node _T_383 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 378:36] - _T_352[6] <= _T_383 @[el2_lib.scala 378:30] - node _T_384 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 379:36] - _T_353[6] <= _T_384 @[el2_lib.scala 379:30] - node _T_385 = bits(ifu_bus_rdata_ff, 11, 11) @[el2_lib.scala 376:36] - _T_350[7] <= _T_385 @[el2_lib.scala 376:30] - node _T_386 = bits(ifu_bus_rdata_ff, 11, 11) @[el2_lib.scala 380:36] - _T_354[0] <= _T_386 @[el2_lib.scala 380:30] - node _T_387 = bits(ifu_bus_rdata_ff, 12, 12) @[el2_lib.scala 377:36] - _T_351[7] <= _T_387 @[el2_lib.scala 377:30] - node _T_388 = bits(ifu_bus_rdata_ff, 12, 12) @[el2_lib.scala 380:36] - _T_354[1] <= _T_388 @[el2_lib.scala 380:30] - node _T_389 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 376:36] - _T_350[8] <= _T_389 @[el2_lib.scala 376:30] - node _T_390 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 377:36] - _T_351[8] <= _T_390 @[el2_lib.scala 377:30] - node _T_391 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 380:36] - _T_354[2] <= _T_391 @[el2_lib.scala 380:30] - node _T_392 = bits(ifu_bus_rdata_ff, 14, 14) @[el2_lib.scala 378:36] - _T_352[7] <= _T_392 @[el2_lib.scala 378:30] - node _T_393 = bits(ifu_bus_rdata_ff, 14, 14) @[el2_lib.scala 380:36] - _T_354[3] <= _T_393 @[el2_lib.scala 380:30] - node _T_394 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 376:36] - _T_350[9] <= _T_394 @[el2_lib.scala 376:30] - node _T_395 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 378:36] - _T_352[8] <= _T_395 @[el2_lib.scala 378:30] - node _T_396 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 380:36] - _T_354[4] <= _T_396 @[el2_lib.scala 380:30] - node _T_397 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 377:36] - _T_351[9] <= _T_397 @[el2_lib.scala 377:30] - node _T_398 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 378:36] - _T_352[9] <= _T_398 @[el2_lib.scala 378:30] - node _T_399 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 380:36] - _T_354[5] <= _T_399 @[el2_lib.scala 380:30] - node _T_400 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 376:36] - _T_350[10] <= _T_400 @[el2_lib.scala 376:30] - node _T_401 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 377:36] - _T_351[10] <= _T_401 @[el2_lib.scala 377:30] - node _T_402 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 378:36] - _T_352[10] <= _T_402 @[el2_lib.scala 378:30] - node _T_403 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 380:36] - _T_354[6] <= _T_403 @[el2_lib.scala 380:30] - node _T_404 = bits(ifu_bus_rdata_ff, 18, 18) @[el2_lib.scala 379:36] - _T_353[7] <= _T_404 @[el2_lib.scala 379:30] - node _T_405 = bits(ifu_bus_rdata_ff, 18, 18) @[el2_lib.scala 380:36] - _T_354[7] <= _T_405 @[el2_lib.scala 380:30] - node _T_406 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 376:36] - _T_350[11] <= _T_406 @[el2_lib.scala 376:30] - node _T_407 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 379:36] - _T_353[8] <= _T_407 @[el2_lib.scala 379:30] - node _T_408 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 380:36] - _T_354[8] <= _T_408 @[el2_lib.scala 380:30] - node _T_409 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 377:36] - _T_351[11] <= _T_409 @[el2_lib.scala 377:30] - node _T_410 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 379:36] - _T_353[9] <= _T_410 @[el2_lib.scala 379:30] - node _T_411 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 380:36] - _T_354[9] <= _T_411 @[el2_lib.scala 380:30] - node _T_412 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 376:36] - _T_350[12] <= _T_412 @[el2_lib.scala 376:30] - node _T_413 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 377:36] - _T_351[12] <= _T_413 @[el2_lib.scala 377:30] - node _T_414 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 379:36] - _T_353[10] <= _T_414 @[el2_lib.scala 379:30] - node _T_415 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 380:36] - _T_354[10] <= _T_415 @[el2_lib.scala 380:30] - node _T_416 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 378:36] - _T_352[11] <= _T_416 @[el2_lib.scala 378:30] - node _T_417 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 379:36] - _T_353[11] <= _T_417 @[el2_lib.scala 379:30] - node _T_418 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 380:36] - _T_354[11] <= _T_418 @[el2_lib.scala 380:30] - node _T_419 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 376:36] - _T_350[13] <= _T_419 @[el2_lib.scala 376:30] - node _T_420 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 378:36] - _T_352[12] <= _T_420 @[el2_lib.scala 378:30] - node _T_421 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 379:36] - _T_353[12] <= _T_421 @[el2_lib.scala 379:30] - node _T_422 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 380:36] - _T_354[12] <= _T_422 @[el2_lib.scala 380:30] - node _T_423 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 377:36] - _T_351[13] <= _T_423 @[el2_lib.scala 377:30] - node _T_424 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 378:36] - _T_352[13] <= _T_424 @[el2_lib.scala 378:30] - node _T_425 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 379:36] - _T_353[13] <= _T_425 @[el2_lib.scala 379:30] - node _T_426 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 380:36] - _T_354[13] <= _T_426 @[el2_lib.scala 380:30] - node _T_427 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 376:36] - _T_350[14] <= _T_427 @[el2_lib.scala 376:30] - node _T_428 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 377:36] - _T_351[14] <= _T_428 @[el2_lib.scala 377:30] - node _T_429 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 378:36] - _T_352[14] <= _T_429 @[el2_lib.scala 378:30] - node _T_430 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 379:36] - _T_353[14] <= _T_430 @[el2_lib.scala 379:30] - node _T_431 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 380:36] - _T_354[14] <= _T_431 @[el2_lib.scala 380:30] - node _T_432 = bits(ifu_bus_rdata_ff, 26, 26) @[el2_lib.scala 376:36] - _T_350[15] <= _T_432 @[el2_lib.scala 376:30] - node _T_433 = bits(ifu_bus_rdata_ff, 26, 26) @[el2_lib.scala 381:36] - _T_355[0] <= _T_433 @[el2_lib.scala 381:30] - node _T_434 = bits(ifu_bus_rdata_ff, 27, 27) @[el2_lib.scala 377:36] - _T_351[15] <= _T_434 @[el2_lib.scala 377:30] - node _T_435 = bits(ifu_bus_rdata_ff, 27, 27) @[el2_lib.scala 381:36] - _T_355[1] <= _T_435 @[el2_lib.scala 381:30] - node _T_436 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 376:36] - _T_350[16] <= _T_436 @[el2_lib.scala 376:30] - node _T_437 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 377:36] - _T_351[16] <= _T_437 @[el2_lib.scala 377:30] - node _T_438 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 381:36] - _T_355[2] <= _T_438 @[el2_lib.scala 381:30] - node _T_439 = bits(ifu_bus_rdata_ff, 29, 29) @[el2_lib.scala 378:36] - _T_352[15] <= _T_439 @[el2_lib.scala 378:30] - node _T_440 = bits(ifu_bus_rdata_ff, 29, 29) @[el2_lib.scala 381:36] - _T_355[3] <= _T_440 @[el2_lib.scala 381:30] - node _T_441 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 376:36] - _T_350[17] <= _T_441 @[el2_lib.scala 376:30] - node _T_442 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 378:36] - _T_352[16] <= _T_442 @[el2_lib.scala 378:30] - node _T_443 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 381:36] - _T_355[4] <= _T_443 @[el2_lib.scala 381:30] - node _T_444 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 377:36] - _T_351[17] <= _T_444 @[el2_lib.scala 377:30] - node _T_445 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 378:36] - _T_352[17] <= _T_445 @[el2_lib.scala 378:30] - node _T_446 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 381:36] - _T_355[5] <= _T_446 @[el2_lib.scala 381:30] - node _T_447 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 376:36] - _T_350[18] <= _T_447 @[el2_lib.scala 376:30] - node _T_448 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 377:36] - _T_351[18] <= _T_448 @[el2_lib.scala 377:30] - node _T_449 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 378:36] - _T_352[18] <= _T_449 @[el2_lib.scala 378:30] - node _T_450 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 381:36] - _T_355[6] <= _T_450 @[el2_lib.scala 381:30] - node _T_451 = bits(ifu_bus_rdata_ff, 33, 33) @[el2_lib.scala 379:36] - _T_353[15] <= _T_451 @[el2_lib.scala 379:30] - node _T_452 = bits(ifu_bus_rdata_ff, 33, 33) @[el2_lib.scala 381:36] - _T_355[7] <= _T_452 @[el2_lib.scala 381:30] - node _T_453 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 376:36] - _T_350[19] <= _T_453 @[el2_lib.scala 376:30] - node _T_454 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 379:36] - _T_353[16] <= _T_454 @[el2_lib.scala 379:30] - node _T_455 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 381:36] - _T_355[8] <= _T_455 @[el2_lib.scala 381:30] - node _T_456 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 377:36] - _T_351[19] <= _T_456 @[el2_lib.scala 377:30] - node _T_457 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 379:36] - _T_353[17] <= _T_457 @[el2_lib.scala 379:30] - node _T_458 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 381:36] - _T_355[9] <= _T_458 @[el2_lib.scala 381:30] - node _T_459 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 376:36] - _T_350[20] <= _T_459 @[el2_lib.scala 376:30] - node _T_460 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 377:36] - _T_351[20] <= _T_460 @[el2_lib.scala 377:30] - node _T_461 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 379:36] - _T_353[18] <= _T_461 @[el2_lib.scala 379:30] - node _T_462 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 381:36] - _T_355[10] <= _T_462 @[el2_lib.scala 381:30] - node _T_463 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 378:36] - _T_352[19] <= _T_463 @[el2_lib.scala 378:30] - node _T_464 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 379:36] - _T_353[19] <= _T_464 @[el2_lib.scala 379:30] - node _T_465 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 381:36] - _T_355[11] <= _T_465 @[el2_lib.scala 381:30] - node _T_466 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 376:36] - _T_350[21] <= _T_466 @[el2_lib.scala 376:30] - node _T_467 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 378:36] - _T_352[20] <= _T_467 @[el2_lib.scala 378:30] - node _T_468 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 379:36] - _T_353[20] <= _T_468 @[el2_lib.scala 379:30] - node _T_469 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 381:36] - _T_355[12] <= _T_469 @[el2_lib.scala 381:30] - node _T_470 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 377:36] - _T_351[21] <= _T_470 @[el2_lib.scala 377:30] - node _T_471 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 378:36] - _T_352[21] <= _T_471 @[el2_lib.scala 378:30] - node _T_472 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 379:36] - _T_353[21] <= _T_472 @[el2_lib.scala 379:30] - node _T_473 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 381:36] - _T_355[13] <= _T_473 @[el2_lib.scala 381:30] - node _T_474 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 376:36] - _T_350[22] <= _T_474 @[el2_lib.scala 376:30] - node _T_475 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 377:36] - _T_351[22] <= _T_475 @[el2_lib.scala 377:30] - node _T_476 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 378:36] - _T_352[22] <= _T_476 @[el2_lib.scala 378:30] - node _T_477 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 379:36] - _T_353[22] <= _T_477 @[el2_lib.scala 379:30] - node _T_478 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 381:36] - _T_355[14] <= _T_478 @[el2_lib.scala 381:30] - node _T_479 = bits(ifu_bus_rdata_ff, 41, 41) @[el2_lib.scala 380:36] - _T_354[15] <= _T_479 @[el2_lib.scala 380:30] - node _T_480 = bits(ifu_bus_rdata_ff, 41, 41) @[el2_lib.scala 381:36] - _T_355[15] <= _T_480 @[el2_lib.scala 381:30] - node _T_481 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 376:36] - _T_350[23] <= _T_481 @[el2_lib.scala 376:30] - node _T_482 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 380:36] - _T_354[16] <= _T_482 @[el2_lib.scala 380:30] - node _T_483 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 381:36] - _T_355[16] <= _T_483 @[el2_lib.scala 381:30] - node _T_484 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 377:36] - _T_351[23] <= _T_484 @[el2_lib.scala 377:30] - node _T_485 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 380:36] - _T_354[17] <= _T_485 @[el2_lib.scala 380:30] - node _T_486 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 381:36] - _T_355[17] <= _T_486 @[el2_lib.scala 381:30] - node _T_487 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 376:36] - _T_350[24] <= _T_487 @[el2_lib.scala 376:30] - node _T_488 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 377:36] - _T_351[24] <= _T_488 @[el2_lib.scala 377:30] - node _T_489 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 380:36] - _T_354[18] <= _T_489 @[el2_lib.scala 380:30] - node _T_490 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 381:36] - _T_355[18] <= _T_490 @[el2_lib.scala 381:30] - node _T_491 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 378:36] - _T_352[23] <= _T_491 @[el2_lib.scala 378:30] - node _T_492 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 380:36] - _T_354[19] <= _T_492 @[el2_lib.scala 380:30] - node _T_493 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 381:36] - _T_355[19] <= _T_493 @[el2_lib.scala 381:30] - node _T_494 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 376:36] - _T_350[25] <= _T_494 @[el2_lib.scala 376:30] - node _T_495 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 378:36] - _T_352[24] <= _T_495 @[el2_lib.scala 378:30] - node _T_496 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 380:36] - _T_354[20] <= _T_496 @[el2_lib.scala 380:30] - node _T_497 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 381:36] - _T_355[20] <= _T_497 @[el2_lib.scala 381:30] - node _T_498 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 377:36] - _T_351[25] <= _T_498 @[el2_lib.scala 377:30] - node _T_499 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 378:36] - _T_352[25] <= _T_499 @[el2_lib.scala 378:30] - node _T_500 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 380:36] - _T_354[21] <= _T_500 @[el2_lib.scala 380:30] - node _T_501 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 381:36] - _T_355[21] <= _T_501 @[el2_lib.scala 381:30] - node _T_502 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 376:36] - _T_350[26] <= _T_502 @[el2_lib.scala 376:30] - node _T_503 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 377:36] - _T_351[26] <= _T_503 @[el2_lib.scala 377:30] - node _T_504 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 378:36] - _T_352[26] <= _T_504 @[el2_lib.scala 378:30] - node _T_505 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 380:36] - _T_354[22] <= _T_505 @[el2_lib.scala 380:30] - node _T_506 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 381:36] - _T_355[22] <= _T_506 @[el2_lib.scala 381:30] - node _T_507 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 379:36] - _T_353[23] <= _T_507 @[el2_lib.scala 379:30] - node _T_508 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 380:36] - _T_354[23] <= _T_508 @[el2_lib.scala 380:30] - node _T_509 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 381:36] - _T_355[23] <= _T_509 @[el2_lib.scala 381:30] - node _T_510 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 376:36] - _T_350[27] <= _T_510 @[el2_lib.scala 376:30] - node _T_511 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 379:36] - _T_353[24] <= _T_511 @[el2_lib.scala 379:30] - node _T_512 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 380:36] - _T_354[24] <= _T_512 @[el2_lib.scala 380:30] - node _T_513 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 381:36] - _T_355[24] <= _T_513 @[el2_lib.scala 381:30] - node _T_514 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 377:36] - _T_351[27] <= _T_514 @[el2_lib.scala 377:30] - node _T_515 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 379:36] - _T_353[25] <= _T_515 @[el2_lib.scala 379:30] - node _T_516 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 380:36] - _T_354[25] <= _T_516 @[el2_lib.scala 380:30] - node _T_517 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 381:36] - _T_355[25] <= _T_517 @[el2_lib.scala 381:30] - node _T_518 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 376:36] - _T_350[28] <= _T_518 @[el2_lib.scala 376:30] - node _T_519 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 377:36] - _T_351[28] <= _T_519 @[el2_lib.scala 377:30] - node _T_520 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 379:36] - _T_353[26] <= _T_520 @[el2_lib.scala 379:30] - node _T_521 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 380:36] - _T_354[26] <= _T_521 @[el2_lib.scala 380:30] - node _T_522 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 381:36] - _T_355[26] <= _T_522 @[el2_lib.scala 381:30] - node _T_523 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 378:36] - _T_352[27] <= _T_523 @[el2_lib.scala 378:30] - node _T_524 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 379:36] - _T_353[27] <= _T_524 @[el2_lib.scala 379:30] - node _T_525 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 380:36] - _T_354[27] <= _T_525 @[el2_lib.scala 380:30] - node _T_526 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 381:36] - _T_355[27] <= _T_526 @[el2_lib.scala 381:30] - node _T_527 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 376:36] - _T_350[29] <= _T_527 @[el2_lib.scala 376:30] - node _T_528 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 378:36] - _T_352[28] <= _T_528 @[el2_lib.scala 378:30] - node _T_529 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 379:36] - _T_353[28] <= _T_529 @[el2_lib.scala 379:30] - node _T_530 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 380:36] - _T_354[28] <= _T_530 @[el2_lib.scala 380:30] - node _T_531 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 381:36] - _T_355[28] <= _T_531 @[el2_lib.scala 381:30] - node _T_532 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 377:36] - _T_351[29] <= _T_532 @[el2_lib.scala 377:30] - node _T_533 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 378:36] - _T_352[29] <= _T_533 @[el2_lib.scala 378:30] - node _T_534 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 379:36] - _T_353[29] <= _T_534 @[el2_lib.scala 379:30] - node _T_535 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 380:36] - _T_354[29] <= _T_535 @[el2_lib.scala 380:30] - node _T_536 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 381:36] - _T_355[29] <= _T_536 @[el2_lib.scala 381:30] - node _T_537 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 376:36] - _T_350[30] <= _T_537 @[el2_lib.scala 376:30] - node _T_538 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 377:36] - _T_351[30] <= _T_538 @[el2_lib.scala 377:30] - node _T_539 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 378:36] - _T_352[30] <= _T_539 @[el2_lib.scala 378:30] - node _T_540 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 379:36] - _T_353[30] <= _T_540 @[el2_lib.scala 379:30] - node _T_541 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 380:36] - _T_354[30] <= _T_541 @[el2_lib.scala 380:30] - node _T_542 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 381:36] - _T_355[30] <= _T_542 @[el2_lib.scala 381:30] - node _T_543 = bits(ifu_bus_rdata_ff, 57, 57) @[el2_lib.scala 376:36] - _T_350[31] <= _T_543 @[el2_lib.scala 376:30] - node _T_544 = bits(ifu_bus_rdata_ff, 57, 57) @[el2_lib.scala 382:36] - _T_356[0] <= _T_544 @[el2_lib.scala 382:30] - node _T_545 = bits(ifu_bus_rdata_ff, 58, 58) @[el2_lib.scala 377:36] - _T_351[31] <= _T_545 @[el2_lib.scala 377:30] - node _T_546 = bits(ifu_bus_rdata_ff, 58, 58) @[el2_lib.scala 382:36] - _T_356[1] <= _T_546 @[el2_lib.scala 382:30] - node _T_547 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 376:36] - _T_350[32] <= _T_547 @[el2_lib.scala 376:30] - node _T_548 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 377:36] - _T_351[32] <= _T_548 @[el2_lib.scala 377:30] - node _T_549 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 382:36] - _T_356[2] <= _T_549 @[el2_lib.scala 382:30] - node _T_550 = bits(ifu_bus_rdata_ff, 60, 60) @[el2_lib.scala 378:36] - _T_352[31] <= _T_550 @[el2_lib.scala 378:30] - node _T_551 = bits(ifu_bus_rdata_ff, 60, 60) @[el2_lib.scala 382:36] - _T_356[3] <= _T_551 @[el2_lib.scala 382:30] - node _T_552 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 376:36] - _T_350[33] <= _T_552 @[el2_lib.scala 376:30] - node _T_553 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 378:36] - _T_352[32] <= _T_553 @[el2_lib.scala 378:30] - node _T_554 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 382:36] - _T_356[4] <= _T_554 @[el2_lib.scala 382:30] - node _T_555 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 377:36] - _T_351[33] <= _T_555 @[el2_lib.scala 377:30] - node _T_556 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 378:36] - _T_352[33] <= _T_556 @[el2_lib.scala 378:30] - node _T_557 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 382:36] - _T_356[5] <= _T_557 @[el2_lib.scala 382:30] - node _T_558 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 376:36] - _T_350[34] <= _T_558 @[el2_lib.scala 376:30] - node _T_559 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 377:36] - _T_351[34] <= _T_559 @[el2_lib.scala 377:30] - node _T_560 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 378:36] - _T_352[34] <= _T_560 @[el2_lib.scala 378:30] - node _T_561 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 382:36] - _T_356[6] <= _T_561 @[el2_lib.scala 382:30] - node _T_562 = cat(_T_356[2], _T_356[1]) @[el2_lib.scala 384:13] - node _T_563 = cat(_T_562, _T_356[0]) @[el2_lib.scala 384:13] - node _T_564 = cat(_T_356[4], _T_356[3]) @[el2_lib.scala 384:13] - node _T_565 = cat(_T_356[6], _T_356[5]) @[el2_lib.scala 384:13] - node _T_566 = cat(_T_565, _T_564) @[el2_lib.scala 384:13] - node _T_567 = cat(_T_566, _T_563) @[el2_lib.scala 384:13] - node _T_568 = xorr(_T_567) @[el2_lib.scala 384:20] - node _T_569 = cat(_T_355[2], _T_355[1]) @[el2_lib.scala 384:30] - node _T_570 = cat(_T_569, _T_355[0]) @[el2_lib.scala 384:30] - node _T_571 = cat(_T_355[4], _T_355[3]) @[el2_lib.scala 384:30] - node _T_572 = cat(_T_355[6], _T_355[5]) @[el2_lib.scala 384:30] - node _T_573 = cat(_T_572, _T_571) @[el2_lib.scala 384:30] - node _T_574 = cat(_T_573, _T_570) @[el2_lib.scala 384:30] - node _T_575 = cat(_T_355[8], _T_355[7]) @[el2_lib.scala 384:30] - node _T_576 = cat(_T_355[10], _T_355[9]) @[el2_lib.scala 384:30] - node _T_577 = cat(_T_576, _T_575) @[el2_lib.scala 384:30] - node _T_578 = cat(_T_355[12], _T_355[11]) @[el2_lib.scala 384:30] - node _T_579 = cat(_T_355[14], _T_355[13]) @[el2_lib.scala 384:30] - node _T_580 = cat(_T_579, _T_578) @[el2_lib.scala 384:30] - node _T_581 = cat(_T_580, _T_577) @[el2_lib.scala 384:30] - node _T_582 = cat(_T_581, _T_574) @[el2_lib.scala 384:30] - node _T_583 = cat(_T_355[16], _T_355[15]) @[el2_lib.scala 384:30] - node _T_584 = cat(_T_355[18], _T_355[17]) @[el2_lib.scala 384:30] - node _T_585 = cat(_T_584, _T_583) @[el2_lib.scala 384:30] - node _T_586 = cat(_T_355[20], _T_355[19]) @[el2_lib.scala 384:30] - node _T_587 = cat(_T_355[22], _T_355[21]) @[el2_lib.scala 384:30] - node _T_588 = cat(_T_587, _T_586) @[el2_lib.scala 384:30] - node _T_589 = cat(_T_588, _T_585) @[el2_lib.scala 384:30] - node _T_590 = cat(_T_355[24], _T_355[23]) @[el2_lib.scala 384:30] - node _T_591 = cat(_T_355[26], _T_355[25]) @[el2_lib.scala 384:30] - node _T_592 = cat(_T_591, _T_590) @[el2_lib.scala 384:30] - node _T_593 = cat(_T_355[28], _T_355[27]) @[el2_lib.scala 384:30] - node _T_594 = cat(_T_355[30], _T_355[29]) @[el2_lib.scala 384:30] - node _T_595 = cat(_T_594, _T_593) @[el2_lib.scala 384:30] - node _T_596 = cat(_T_595, _T_592) @[el2_lib.scala 384:30] - node _T_597 = cat(_T_596, _T_589) @[el2_lib.scala 384:30] - node _T_598 = cat(_T_597, _T_582) @[el2_lib.scala 384:30] - node _T_599 = xorr(_T_598) @[el2_lib.scala 384:37] - node _T_600 = cat(_T_354[2], _T_354[1]) @[el2_lib.scala 384:47] - node _T_601 = cat(_T_600, _T_354[0]) @[el2_lib.scala 384:47] - node _T_602 = cat(_T_354[4], _T_354[3]) @[el2_lib.scala 384:47] - node _T_603 = cat(_T_354[6], _T_354[5]) @[el2_lib.scala 384:47] - node _T_604 = cat(_T_603, _T_602) @[el2_lib.scala 384:47] - node _T_605 = cat(_T_604, _T_601) @[el2_lib.scala 384:47] - node _T_606 = cat(_T_354[8], _T_354[7]) @[el2_lib.scala 384:47] - node _T_607 = cat(_T_354[10], _T_354[9]) @[el2_lib.scala 384:47] - node _T_608 = cat(_T_607, _T_606) @[el2_lib.scala 384:47] - node _T_609 = cat(_T_354[12], _T_354[11]) @[el2_lib.scala 384:47] - node _T_610 = cat(_T_354[14], _T_354[13]) @[el2_lib.scala 384:47] - node _T_611 = cat(_T_610, _T_609) @[el2_lib.scala 384:47] - node _T_612 = cat(_T_611, _T_608) @[el2_lib.scala 384:47] - node _T_613 = cat(_T_612, _T_605) @[el2_lib.scala 384:47] - node _T_614 = cat(_T_354[16], _T_354[15]) @[el2_lib.scala 384:47] - node _T_615 = cat(_T_354[18], _T_354[17]) @[el2_lib.scala 384:47] - node _T_616 = cat(_T_615, _T_614) @[el2_lib.scala 384:47] - node _T_617 = cat(_T_354[20], _T_354[19]) @[el2_lib.scala 384:47] - node _T_618 = cat(_T_354[22], _T_354[21]) @[el2_lib.scala 384:47] - node _T_619 = cat(_T_618, _T_617) @[el2_lib.scala 384:47] - node _T_620 = cat(_T_619, _T_616) @[el2_lib.scala 384:47] - node _T_621 = cat(_T_354[24], _T_354[23]) @[el2_lib.scala 384:47] - node _T_622 = cat(_T_354[26], _T_354[25]) @[el2_lib.scala 384:47] - node _T_623 = cat(_T_622, _T_621) @[el2_lib.scala 384:47] - node _T_624 = cat(_T_354[28], _T_354[27]) @[el2_lib.scala 384:47] - node _T_625 = cat(_T_354[30], _T_354[29]) @[el2_lib.scala 384:47] - node _T_626 = cat(_T_625, _T_624) @[el2_lib.scala 384:47] - node _T_627 = cat(_T_626, _T_623) @[el2_lib.scala 384:47] - node _T_628 = cat(_T_627, _T_620) @[el2_lib.scala 384:47] - node _T_629 = cat(_T_628, _T_613) @[el2_lib.scala 384:47] - node _T_630 = xorr(_T_629) @[el2_lib.scala 384:54] - node _T_631 = cat(_T_353[2], _T_353[1]) @[el2_lib.scala 384:64] - node _T_632 = cat(_T_631, _T_353[0]) @[el2_lib.scala 384:64] - node _T_633 = cat(_T_353[4], _T_353[3]) @[el2_lib.scala 384:64] - node _T_634 = cat(_T_353[6], _T_353[5]) @[el2_lib.scala 384:64] - node _T_635 = cat(_T_634, _T_633) @[el2_lib.scala 384:64] - node _T_636 = cat(_T_635, _T_632) @[el2_lib.scala 384:64] - node _T_637 = cat(_T_353[8], _T_353[7]) @[el2_lib.scala 384:64] - node _T_638 = cat(_T_353[10], _T_353[9]) @[el2_lib.scala 384:64] - node _T_639 = cat(_T_638, _T_637) @[el2_lib.scala 384:64] - node _T_640 = cat(_T_353[12], _T_353[11]) @[el2_lib.scala 384:64] - node _T_641 = cat(_T_353[14], _T_353[13]) @[el2_lib.scala 384:64] - node _T_642 = cat(_T_641, _T_640) @[el2_lib.scala 384:64] - node _T_643 = cat(_T_642, _T_639) @[el2_lib.scala 384:64] - node _T_644 = cat(_T_643, _T_636) @[el2_lib.scala 384:64] - node _T_645 = cat(_T_353[16], _T_353[15]) @[el2_lib.scala 384:64] - node _T_646 = cat(_T_353[18], _T_353[17]) @[el2_lib.scala 384:64] - node _T_647 = cat(_T_646, _T_645) @[el2_lib.scala 384:64] - node _T_648 = cat(_T_353[20], _T_353[19]) @[el2_lib.scala 384:64] - node _T_649 = cat(_T_353[22], _T_353[21]) @[el2_lib.scala 384:64] - node _T_650 = cat(_T_649, _T_648) @[el2_lib.scala 384:64] - node _T_651 = cat(_T_650, _T_647) @[el2_lib.scala 384:64] - node _T_652 = cat(_T_353[24], _T_353[23]) @[el2_lib.scala 384:64] - node _T_653 = cat(_T_353[26], _T_353[25]) @[el2_lib.scala 384:64] - node _T_654 = cat(_T_653, _T_652) @[el2_lib.scala 384:64] - node _T_655 = cat(_T_353[28], _T_353[27]) @[el2_lib.scala 384:64] - node _T_656 = cat(_T_353[30], _T_353[29]) @[el2_lib.scala 384:64] - node _T_657 = cat(_T_656, _T_655) @[el2_lib.scala 384:64] - node _T_658 = cat(_T_657, _T_654) @[el2_lib.scala 384:64] - node _T_659 = cat(_T_658, _T_651) @[el2_lib.scala 384:64] - node _T_660 = cat(_T_659, _T_644) @[el2_lib.scala 384:64] - node _T_661 = xorr(_T_660) @[el2_lib.scala 384:71] - node _T_662 = cat(_T_352[1], _T_352[0]) @[el2_lib.scala 384:81] - node _T_663 = cat(_T_352[3], _T_352[2]) @[el2_lib.scala 384:81] - node _T_664 = cat(_T_663, _T_662) @[el2_lib.scala 384:81] - node _T_665 = cat(_T_352[5], _T_352[4]) @[el2_lib.scala 384:81] - node _T_666 = cat(_T_352[7], _T_352[6]) @[el2_lib.scala 384:81] - node _T_667 = cat(_T_666, _T_665) @[el2_lib.scala 384:81] - node _T_668 = cat(_T_667, _T_664) @[el2_lib.scala 384:81] - node _T_669 = cat(_T_352[9], _T_352[8]) @[el2_lib.scala 384:81] - node _T_670 = cat(_T_352[11], _T_352[10]) @[el2_lib.scala 384:81] - node _T_671 = cat(_T_670, _T_669) @[el2_lib.scala 384:81] - node _T_672 = cat(_T_352[13], _T_352[12]) @[el2_lib.scala 384:81] - node _T_673 = cat(_T_352[16], _T_352[15]) @[el2_lib.scala 384:81] - node _T_674 = cat(_T_673, _T_352[14]) @[el2_lib.scala 384:81] - node _T_675 = cat(_T_674, _T_672) @[el2_lib.scala 384:81] - node _T_676 = cat(_T_675, _T_671) @[el2_lib.scala 384:81] - node _T_677 = cat(_T_676, _T_668) @[el2_lib.scala 384:81] - node _T_678 = cat(_T_352[18], _T_352[17]) @[el2_lib.scala 384:81] - node _T_679 = cat(_T_352[20], _T_352[19]) @[el2_lib.scala 384:81] - node _T_680 = cat(_T_679, _T_678) @[el2_lib.scala 384:81] - node _T_681 = cat(_T_352[22], _T_352[21]) @[el2_lib.scala 384:81] - node _T_682 = cat(_T_352[25], _T_352[24]) @[el2_lib.scala 384:81] - node _T_683 = cat(_T_682, _T_352[23]) @[el2_lib.scala 384:81] - node _T_684 = cat(_T_683, _T_681) @[el2_lib.scala 384:81] - node _T_685 = cat(_T_684, _T_680) @[el2_lib.scala 384:81] - node _T_686 = cat(_T_352[27], _T_352[26]) @[el2_lib.scala 384:81] - node _T_687 = cat(_T_352[29], _T_352[28]) @[el2_lib.scala 384:81] - node _T_688 = cat(_T_687, _T_686) @[el2_lib.scala 384:81] - node _T_689 = cat(_T_352[31], _T_352[30]) @[el2_lib.scala 384:81] - node _T_690 = cat(_T_352[34], _T_352[33]) @[el2_lib.scala 384:81] - node _T_691 = cat(_T_690, _T_352[32]) @[el2_lib.scala 384:81] - node _T_692 = cat(_T_691, _T_689) @[el2_lib.scala 384:81] - node _T_693 = cat(_T_692, _T_688) @[el2_lib.scala 384:81] - node _T_694 = cat(_T_693, _T_685) @[el2_lib.scala 384:81] - node _T_695 = cat(_T_694, _T_677) @[el2_lib.scala 384:81] - node _T_696 = xorr(_T_695) @[el2_lib.scala 384:88] - node _T_697 = cat(_T_351[1], _T_351[0]) @[el2_lib.scala 384:98] - node _T_698 = cat(_T_351[3], _T_351[2]) @[el2_lib.scala 384:98] - node _T_699 = cat(_T_698, _T_697) @[el2_lib.scala 384:98] - node _T_700 = cat(_T_351[5], _T_351[4]) @[el2_lib.scala 384:98] - node _T_701 = cat(_T_351[7], _T_351[6]) @[el2_lib.scala 384:98] - node _T_702 = cat(_T_701, _T_700) @[el2_lib.scala 384:98] - node _T_703 = cat(_T_702, _T_699) @[el2_lib.scala 384:98] - node _T_704 = cat(_T_351[9], _T_351[8]) @[el2_lib.scala 384:98] - node _T_705 = cat(_T_351[11], _T_351[10]) @[el2_lib.scala 384:98] - node _T_706 = cat(_T_705, _T_704) @[el2_lib.scala 384:98] - node _T_707 = cat(_T_351[13], _T_351[12]) @[el2_lib.scala 384:98] - node _T_708 = cat(_T_351[16], _T_351[15]) @[el2_lib.scala 384:98] - node _T_709 = cat(_T_708, _T_351[14]) @[el2_lib.scala 384:98] - node _T_710 = cat(_T_709, _T_707) @[el2_lib.scala 384:98] - node _T_711 = cat(_T_710, _T_706) @[el2_lib.scala 384:98] - node _T_712 = cat(_T_711, _T_703) @[el2_lib.scala 384:98] - node _T_713 = cat(_T_351[18], _T_351[17]) @[el2_lib.scala 384:98] - node _T_714 = cat(_T_351[20], _T_351[19]) @[el2_lib.scala 384:98] - node _T_715 = cat(_T_714, _T_713) @[el2_lib.scala 384:98] - node _T_716 = cat(_T_351[22], _T_351[21]) @[el2_lib.scala 384:98] - node _T_717 = cat(_T_351[25], _T_351[24]) @[el2_lib.scala 384:98] - node _T_718 = cat(_T_717, _T_351[23]) @[el2_lib.scala 384:98] - node _T_719 = cat(_T_718, _T_716) @[el2_lib.scala 384:98] - node _T_720 = cat(_T_719, _T_715) @[el2_lib.scala 384:98] - node _T_721 = cat(_T_351[27], _T_351[26]) @[el2_lib.scala 384:98] - node _T_722 = cat(_T_351[29], _T_351[28]) @[el2_lib.scala 384:98] - node _T_723 = cat(_T_722, _T_721) @[el2_lib.scala 384:98] - node _T_724 = cat(_T_351[31], _T_351[30]) @[el2_lib.scala 384:98] - node _T_725 = cat(_T_351[34], _T_351[33]) @[el2_lib.scala 384:98] - node _T_726 = cat(_T_725, _T_351[32]) @[el2_lib.scala 384:98] - node _T_727 = cat(_T_726, _T_724) @[el2_lib.scala 384:98] - node _T_728 = cat(_T_727, _T_723) @[el2_lib.scala 384:98] - node _T_729 = cat(_T_728, _T_720) @[el2_lib.scala 384:98] - node _T_730 = cat(_T_729, _T_712) @[el2_lib.scala 384:98] - node _T_731 = xorr(_T_730) @[el2_lib.scala 384:105] - node _T_732 = cat(_T_350[1], _T_350[0]) @[el2_lib.scala 384:115] - node _T_733 = cat(_T_350[3], _T_350[2]) @[el2_lib.scala 384:115] - node _T_734 = cat(_T_733, _T_732) @[el2_lib.scala 384:115] - node _T_735 = cat(_T_350[5], _T_350[4]) @[el2_lib.scala 384:115] - node _T_736 = cat(_T_350[7], _T_350[6]) @[el2_lib.scala 384:115] - node _T_737 = cat(_T_736, _T_735) @[el2_lib.scala 384:115] - node _T_738 = cat(_T_737, _T_734) @[el2_lib.scala 384:115] - node _T_739 = cat(_T_350[9], _T_350[8]) @[el2_lib.scala 384:115] - node _T_740 = cat(_T_350[11], _T_350[10]) @[el2_lib.scala 384:115] - node _T_741 = cat(_T_740, _T_739) @[el2_lib.scala 384:115] - node _T_742 = cat(_T_350[13], _T_350[12]) @[el2_lib.scala 384:115] - node _T_743 = cat(_T_350[16], _T_350[15]) @[el2_lib.scala 384:115] - node _T_744 = cat(_T_743, _T_350[14]) @[el2_lib.scala 384:115] - node _T_745 = cat(_T_744, _T_742) @[el2_lib.scala 384:115] - node _T_746 = cat(_T_745, _T_741) @[el2_lib.scala 384:115] - node _T_747 = cat(_T_746, _T_738) @[el2_lib.scala 384:115] - node _T_748 = cat(_T_350[18], _T_350[17]) @[el2_lib.scala 384:115] - node _T_749 = cat(_T_350[20], _T_350[19]) @[el2_lib.scala 384:115] - node _T_750 = cat(_T_749, _T_748) @[el2_lib.scala 384:115] - node _T_751 = cat(_T_350[22], _T_350[21]) @[el2_lib.scala 384:115] - node _T_752 = cat(_T_350[25], _T_350[24]) @[el2_lib.scala 384:115] - node _T_753 = cat(_T_752, _T_350[23]) @[el2_lib.scala 384:115] - node _T_754 = cat(_T_753, _T_751) @[el2_lib.scala 384:115] - node _T_755 = cat(_T_754, _T_750) @[el2_lib.scala 384:115] - node _T_756 = cat(_T_350[27], _T_350[26]) @[el2_lib.scala 384:115] - node _T_757 = cat(_T_350[29], _T_350[28]) @[el2_lib.scala 384:115] - node _T_758 = cat(_T_757, _T_756) @[el2_lib.scala 384:115] - node _T_759 = cat(_T_350[31], _T_350[30]) @[el2_lib.scala 384:115] - node _T_760 = cat(_T_350[34], _T_350[33]) @[el2_lib.scala 384:115] - node _T_761 = cat(_T_760, _T_350[32]) @[el2_lib.scala 384:115] - node _T_762 = cat(_T_761, _T_759) @[el2_lib.scala 384:115] - node _T_763 = cat(_T_762, _T_758) @[el2_lib.scala 384:115] - node _T_764 = cat(_T_763, _T_755) @[el2_lib.scala 384:115] - node _T_765 = cat(_T_764, _T_747) @[el2_lib.scala 384:115] - node _T_766 = xorr(_T_765) @[el2_lib.scala 384:122] + wire _T_350 : UInt<1>[35] @[el2_lib.scala 362:18] + wire _T_351 : UInt<1>[35] @[el2_lib.scala 363:18] + wire _T_352 : UInt<1>[35] @[el2_lib.scala 364:18] + wire _T_353 : UInt<1>[31] @[el2_lib.scala 365:18] + wire _T_354 : UInt<1>[31] @[el2_lib.scala 366:18] + wire _T_355 : UInt<1>[31] @[el2_lib.scala 367:18] + wire _T_356 : UInt<1>[7] @[el2_lib.scala 368:18] + node _T_357 = bits(ifu_bus_rdata_ff, 0, 0) @[el2_lib.scala 375:36] + _T_350[0] <= _T_357 @[el2_lib.scala 375:30] + node _T_358 = bits(ifu_bus_rdata_ff, 0, 0) @[el2_lib.scala 376:36] + _T_351[0] <= _T_358 @[el2_lib.scala 376:30] + node _T_359 = bits(ifu_bus_rdata_ff, 1, 1) @[el2_lib.scala 375:36] + _T_350[1] <= _T_359 @[el2_lib.scala 375:30] + node _T_360 = bits(ifu_bus_rdata_ff, 1, 1) @[el2_lib.scala 377:36] + _T_352[0] <= _T_360 @[el2_lib.scala 377:30] + node _T_361 = bits(ifu_bus_rdata_ff, 2, 2) @[el2_lib.scala 376:36] + _T_351[1] <= _T_361 @[el2_lib.scala 376:30] + node _T_362 = bits(ifu_bus_rdata_ff, 2, 2) @[el2_lib.scala 377:36] + _T_352[1] <= _T_362 @[el2_lib.scala 377:30] + node _T_363 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 375:36] + _T_350[2] <= _T_363 @[el2_lib.scala 375:30] + node _T_364 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 376:36] + _T_351[2] <= _T_364 @[el2_lib.scala 376:30] + node _T_365 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 377:36] + _T_352[2] <= _T_365 @[el2_lib.scala 377:30] + node _T_366 = bits(ifu_bus_rdata_ff, 4, 4) @[el2_lib.scala 375:36] + _T_350[3] <= _T_366 @[el2_lib.scala 375:30] + node _T_367 = bits(ifu_bus_rdata_ff, 4, 4) @[el2_lib.scala 378:36] + _T_353[0] <= _T_367 @[el2_lib.scala 378:30] + node _T_368 = bits(ifu_bus_rdata_ff, 5, 5) @[el2_lib.scala 376:36] + _T_351[3] <= _T_368 @[el2_lib.scala 376:30] + node _T_369 = bits(ifu_bus_rdata_ff, 5, 5) @[el2_lib.scala 378:36] + _T_353[1] <= _T_369 @[el2_lib.scala 378:30] + node _T_370 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 375:36] + _T_350[4] <= _T_370 @[el2_lib.scala 375:30] + node _T_371 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 376:36] + _T_351[4] <= _T_371 @[el2_lib.scala 376:30] + node _T_372 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 378:36] + _T_353[2] <= _T_372 @[el2_lib.scala 378:30] + node _T_373 = bits(ifu_bus_rdata_ff, 7, 7) @[el2_lib.scala 377:36] + _T_352[3] <= _T_373 @[el2_lib.scala 377:30] + node _T_374 = bits(ifu_bus_rdata_ff, 7, 7) @[el2_lib.scala 378:36] + _T_353[3] <= _T_374 @[el2_lib.scala 378:30] + node _T_375 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 375:36] + _T_350[5] <= _T_375 @[el2_lib.scala 375:30] + node _T_376 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 377:36] + _T_352[4] <= _T_376 @[el2_lib.scala 377:30] + node _T_377 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 378:36] + _T_353[4] <= _T_377 @[el2_lib.scala 378:30] + node _T_378 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 376:36] + _T_351[5] <= _T_378 @[el2_lib.scala 376:30] + node _T_379 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 377:36] + _T_352[5] <= _T_379 @[el2_lib.scala 377:30] + node _T_380 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 378:36] + _T_353[5] <= _T_380 @[el2_lib.scala 378:30] + node _T_381 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 375:36] + _T_350[6] <= _T_381 @[el2_lib.scala 375:30] + node _T_382 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 376:36] + _T_351[6] <= _T_382 @[el2_lib.scala 376:30] + node _T_383 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 377:36] + _T_352[6] <= _T_383 @[el2_lib.scala 377:30] + node _T_384 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 378:36] + _T_353[6] <= _T_384 @[el2_lib.scala 378:30] + node _T_385 = bits(ifu_bus_rdata_ff, 11, 11) @[el2_lib.scala 375:36] + _T_350[7] <= _T_385 @[el2_lib.scala 375:30] + node _T_386 = bits(ifu_bus_rdata_ff, 11, 11) @[el2_lib.scala 379:36] + _T_354[0] <= _T_386 @[el2_lib.scala 379:30] + node _T_387 = bits(ifu_bus_rdata_ff, 12, 12) @[el2_lib.scala 376:36] + _T_351[7] <= _T_387 @[el2_lib.scala 376:30] + node _T_388 = bits(ifu_bus_rdata_ff, 12, 12) @[el2_lib.scala 379:36] + _T_354[1] <= _T_388 @[el2_lib.scala 379:30] + node _T_389 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 375:36] + _T_350[8] <= _T_389 @[el2_lib.scala 375:30] + node _T_390 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 376:36] + _T_351[8] <= _T_390 @[el2_lib.scala 376:30] + node _T_391 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 379:36] + _T_354[2] <= _T_391 @[el2_lib.scala 379:30] + node _T_392 = bits(ifu_bus_rdata_ff, 14, 14) @[el2_lib.scala 377:36] + _T_352[7] <= _T_392 @[el2_lib.scala 377:30] + node _T_393 = bits(ifu_bus_rdata_ff, 14, 14) @[el2_lib.scala 379:36] + _T_354[3] <= _T_393 @[el2_lib.scala 379:30] + node _T_394 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 375:36] + _T_350[9] <= _T_394 @[el2_lib.scala 375:30] + node _T_395 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 377:36] + _T_352[8] <= _T_395 @[el2_lib.scala 377:30] + node _T_396 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 379:36] + _T_354[4] <= _T_396 @[el2_lib.scala 379:30] + node _T_397 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 376:36] + _T_351[9] <= _T_397 @[el2_lib.scala 376:30] + node _T_398 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 377:36] + _T_352[9] <= _T_398 @[el2_lib.scala 377:30] + node _T_399 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 379:36] + _T_354[5] <= _T_399 @[el2_lib.scala 379:30] + node _T_400 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 375:36] + _T_350[10] <= _T_400 @[el2_lib.scala 375:30] + node _T_401 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 376:36] + _T_351[10] <= _T_401 @[el2_lib.scala 376:30] + node _T_402 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 377:36] + _T_352[10] <= _T_402 @[el2_lib.scala 377:30] + node _T_403 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 379:36] + _T_354[6] <= _T_403 @[el2_lib.scala 379:30] + node _T_404 = bits(ifu_bus_rdata_ff, 18, 18) @[el2_lib.scala 378:36] + _T_353[7] <= _T_404 @[el2_lib.scala 378:30] + node _T_405 = bits(ifu_bus_rdata_ff, 18, 18) @[el2_lib.scala 379:36] + _T_354[7] <= _T_405 @[el2_lib.scala 379:30] + node _T_406 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 375:36] + _T_350[11] <= _T_406 @[el2_lib.scala 375:30] + node _T_407 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 378:36] + _T_353[8] <= _T_407 @[el2_lib.scala 378:30] + node _T_408 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 379:36] + _T_354[8] <= _T_408 @[el2_lib.scala 379:30] + node _T_409 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 376:36] + _T_351[11] <= _T_409 @[el2_lib.scala 376:30] + node _T_410 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 378:36] + _T_353[9] <= _T_410 @[el2_lib.scala 378:30] + node _T_411 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 379:36] + _T_354[9] <= _T_411 @[el2_lib.scala 379:30] + node _T_412 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 375:36] + _T_350[12] <= _T_412 @[el2_lib.scala 375:30] + node _T_413 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 376:36] + _T_351[12] <= _T_413 @[el2_lib.scala 376:30] + node _T_414 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 378:36] + _T_353[10] <= _T_414 @[el2_lib.scala 378:30] + node _T_415 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 379:36] + _T_354[10] <= _T_415 @[el2_lib.scala 379:30] + node _T_416 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 377:36] + _T_352[11] <= _T_416 @[el2_lib.scala 377:30] + node _T_417 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 378:36] + _T_353[11] <= _T_417 @[el2_lib.scala 378:30] + node _T_418 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 379:36] + _T_354[11] <= _T_418 @[el2_lib.scala 379:30] + node _T_419 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 375:36] + _T_350[13] <= _T_419 @[el2_lib.scala 375:30] + node _T_420 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 377:36] + _T_352[12] <= _T_420 @[el2_lib.scala 377:30] + node _T_421 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 378:36] + _T_353[12] <= _T_421 @[el2_lib.scala 378:30] + node _T_422 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 379:36] + _T_354[12] <= _T_422 @[el2_lib.scala 379:30] + node _T_423 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 376:36] + _T_351[13] <= _T_423 @[el2_lib.scala 376:30] + node _T_424 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 377:36] + _T_352[13] <= _T_424 @[el2_lib.scala 377:30] + node _T_425 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 378:36] + _T_353[13] <= _T_425 @[el2_lib.scala 378:30] + node _T_426 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 379:36] + _T_354[13] <= _T_426 @[el2_lib.scala 379:30] + node _T_427 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 375:36] + _T_350[14] <= _T_427 @[el2_lib.scala 375:30] + node _T_428 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 376:36] + _T_351[14] <= _T_428 @[el2_lib.scala 376:30] + node _T_429 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 377:36] + _T_352[14] <= _T_429 @[el2_lib.scala 377:30] + node _T_430 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 378:36] + _T_353[14] <= _T_430 @[el2_lib.scala 378:30] + node _T_431 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 379:36] + _T_354[14] <= _T_431 @[el2_lib.scala 379:30] + node _T_432 = bits(ifu_bus_rdata_ff, 26, 26) @[el2_lib.scala 375:36] + _T_350[15] <= _T_432 @[el2_lib.scala 375:30] + node _T_433 = bits(ifu_bus_rdata_ff, 26, 26) @[el2_lib.scala 380:36] + _T_355[0] <= _T_433 @[el2_lib.scala 380:30] + node _T_434 = bits(ifu_bus_rdata_ff, 27, 27) @[el2_lib.scala 376:36] + _T_351[15] <= _T_434 @[el2_lib.scala 376:30] + node _T_435 = bits(ifu_bus_rdata_ff, 27, 27) @[el2_lib.scala 380:36] + _T_355[1] <= _T_435 @[el2_lib.scala 380:30] + node _T_436 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 375:36] + _T_350[16] <= _T_436 @[el2_lib.scala 375:30] + node _T_437 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 376:36] + _T_351[16] <= _T_437 @[el2_lib.scala 376:30] + node _T_438 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 380:36] + _T_355[2] <= _T_438 @[el2_lib.scala 380:30] + node _T_439 = bits(ifu_bus_rdata_ff, 29, 29) @[el2_lib.scala 377:36] + _T_352[15] <= _T_439 @[el2_lib.scala 377:30] + node _T_440 = bits(ifu_bus_rdata_ff, 29, 29) @[el2_lib.scala 380:36] + _T_355[3] <= _T_440 @[el2_lib.scala 380:30] + node _T_441 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 375:36] + _T_350[17] <= _T_441 @[el2_lib.scala 375:30] + node _T_442 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 377:36] + _T_352[16] <= _T_442 @[el2_lib.scala 377:30] + node _T_443 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 380:36] + _T_355[4] <= _T_443 @[el2_lib.scala 380:30] + node _T_444 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 376:36] + _T_351[17] <= _T_444 @[el2_lib.scala 376:30] + node _T_445 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 377:36] + _T_352[17] <= _T_445 @[el2_lib.scala 377:30] + node _T_446 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 380:36] + _T_355[5] <= _T_446 @[el2_lib.scala 380:30] + node _T_447 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 375:36] + _T_350[18] <= _T_447 @[el2_lib.scala 375:30] + node _T_448 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 376:36] + _T_351[18] <= _T_448 @[el2_lib.scala 376:30] + node _T_449 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 377:36] + _T_352[18] <= _T_449 @[el2_lib.scala 377:30] + node _T_450 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 380:36] + _T_355[6] <= _T_450 @[el2_lib.scala 380:30] + node _T_451 = bits(ifu_bus_rdata_ff, 33, 33) @[el2_lib.scala 378:36] + _T_353[15] <= _T_451 @[el2_lib.scala 378:30] + node _T_452 = bits(ifu_bus_rdata_ff, 33, 33) @[el2_lib.scala 380:36] + _T_355[7] <= _T_452 @[el2_lib.scala 380:30] + node _T_453 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 375:36] + _T_350[19] <= _T_453 @[el2_lib.scala 375:30] + node _T_454 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 378:36] + _T_353[16] <= _T_454 @[el2_lib.scala 378:30] + node _T_455 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 380:36] + _T_355[8] <= _T_455 @[el2_lib.scala 380:30] + node _T_456 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 376:36] + _T_351[19] <= _T_456 @[el2_lib.scala 376:30] + node _T_457 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 378:36] + _T_353[17] <= _T_457 @[el2_lib.scala 378:30] + node _T_458 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 380:36] + _T_355[9] <= _T_458 @[el2_lib.scala 380:30] + node _T_459 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 375:36] + _T_350[20] <= _T_459 @[el2_lib.scala 375:30] + node _T_460 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 376:36] + _T_351[20] <= _T_460 @[el2_lib.scala 376:30] + node _T_461 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 378:36] + _T_353[18] <= _T_461 @[el2_lib.scala 378:30] + node _T_462 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 380:36] + _T_355[10] <= _T_462 @[el2_lib.scala 380:30] + node _T_463 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 377:36] + _T_352[19] <= _T_463 @[el2_lib.scala 377:30] + node _T_464 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 378:36] + _T_353[19] <= _T_464 @[el2_lib.scala 378:30] + node _T_465 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 380:36] + _T_355[11] <= _T_465 @[el2_lib.scala 380:30] + node _T_466 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 375:36] + _T_350[21] <= _T_466 @[el2_lib.scala 375:30] + node _T_467 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 377:36] + _T_352[20] <= _T_467 @[el2_lib.scala 377:30] + node _T_468 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 378:36] + _T_353[20] <= _T_468 @[el2_lib.scala 378:30] + node _T_469 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 380:36] + _T_355[12] <= _T_469 @[el2_lib.scala 380:30] + node _T_470 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 376:36] + _T_351[21] <= _T_470 @[el2_lib.scala 376:30] + node _T_471 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 377:36] + _T_352[21] <= _T_471 @[el2_lib.scala 377:30] + node _T_472 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 378:36] + _T_353[21] <= _T_472 @[el2_lib.scala 378:30] + node _T_473 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 380:36] + _T_355[13] <= _T_473 @[el2_lib.scala 380:30] + node _T_474 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 375:36] + _T_350[22] <= _T_474 @[el2_lib.scala 375:30] + node _T_475 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 376:36] + _T_351[22] <= _T_475 @[el2_lib.scala 376:30] + node _T_476 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 377:36] + _T_352[22] <= _T_476 @[el2_lib.scala 377:30] + node _T_477 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 378:36] + _T_353[22] <= _T_477 @[el2_lib.scala 378:30] + node _T_478 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 380:36] + _T_355[14] <= _T_478 @[el2_lib.scala 380:30] + node _T_479 = bits(ifu_bus_rdata_ff, 41, 41) @[el2_lib.scala 379:36] + _T_354[15] <= _T_479 @[el2_lib.scala 379:30] + node _T_480 = bits(ifu_bus_rdata_ff, 41, 41) @[el2_lib.scala 380:36] + _T_355[15] <= _T_480 @[el2_lib.scala 380:30] + node _T_481 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 375:36] + _T_350[23] <= _T_481 @[el2_lib.scala 375:30] + node _T_482 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 379:36] + _T_354[16] <= _T_482 @[el2_lib.scala 379:30] + node _T_483 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 380:36] + _T_355[16] <= _T_483 @[el2_lib.scala 380:30] + node _T_484 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 376:36] + _T_351[23] <= _T_484 @[el2_lib.scala 376:30] + node _T_485 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 379:36] + _T_354[17] <= _T_485 @[el2_lib.scala 379:30] + node _T_486 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 380:36] + _T_355[17] <= _T_486 @[el2_lib.scala 380:30] + node _T_487 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 375:36] + _T_350[24] <= _T_487 @[el2_lib.scala 375:30] + node _T_488 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 376:36] + _T_351[24] <= _T_488 @[el2_lib.scala 376:30] + node _T_489 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 379:36] + _T_354[18] <= _T_489 @[el2_lib.scala 379:30] + node _T_490 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 380:36] + _T_355[18] <= _T_490 @[el2_lib.scala 380:30] + node _T_491 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 377:36] + _T_352[23] <= _T_491 @[el2_lib.scala 377:30] + node _T_492 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 379:36] + _T_354[19] <= _T_492 @[el2_lib.scala 379:30] + node _T_493 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 380:36] + _T_355[19] <= _T_493 @[el2_lib.scala 380:30] + node _T_494 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 375:36] + _T_350[25] <= _T_494 @[el2_lib.scala 375:30] + node _T_495 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 377:36] + _T_352[24] <= _T_495 @[el2_lib.scala 377:30] + node _T_496 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 379:36] + _T_354[20] <= _T_496 @[el2_lib.scala 379:30] + node _T_497 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 380:36] + _T_355[20] <= _T_497 @[el2_lib.scala 380:30] + node _T_498 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 376:36] + _T_351[25] <= _T_498 @[el2_lib.scala 376:30] + node _T_499 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 377:36] + _T_352[25] <= _T_499 @[el2_lib.scala 377:30] + node _T_500 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 379:36] + _T_354[21] <= _T_500 @[el2_lib.scala 379:30] + node _T_501 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 380:36] + _T_355[21] <= _T_501 @[el2_lib.scala 380:30] + node _T_502 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 375:36] + _T_350[26] <= _T_502 @[el2_lib.scala 375:30] + node _T_503 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 376:36] + _T_351[26] <= _T_503 @[el2_lib.scala 376:30] + node _T_504 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 377:36] + _T_352[26] <= _T_504 @[el2_lib.scala 377:30] + node _T_505 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 379:36] + _T_354[22] <= _T_505 @[el2_lib.scala 379:30] + node _T_506 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 380:36] + _T_355[22] <= _T_506 @[el2_lib.scala 380:30] + node _T_507 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 378:36] + _T_353[23] <= _T_507 @[el2_lib.scala 378:30] + node _T_508 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 379:36] + _T_354[23] <= _T_508 @[el2_lib.scala 379:30] + node _T_509 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 380:36] + _T_355[23] <= _T_509 @[el2_lib.scala 380:30] + node _T_510 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 375:36] + _T_350[27] <= _T_510 @[el2_lib.scala 375:30] + node _T_511 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 378:36] + _T_353[24] <= _T_511 @[el2_lib.scala 378:30] + node _T_512 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 379:36] + _T_354[24] <= _T_512 @[el2_lib.scala 379:30] + node _T_513 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 380:36] + _T_355[24] <= _T_513 @[el2_lib.scala 380:30] + node _T_514 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 376:36] + _T_351[27] <= _T_514 @[el2_lib.scala 376:30] + node _T_515 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 378:36] + _T_353[25] <= _T_515 @[el2_lib.scala 378:30] + node _T_516 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 379:36] + _T_354[25] <= _T_516 @[el2_lib.scala 379:30] + node _T_517 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 380:36] + _T_355[25] <= _T_517 @[el2_lib.scala 380:30] + node _T_518 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 375:36] + _T_350[28] <= _T_518 @[el2_lib.scala 375:30] + node _T_519 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 376:36] + _T_351[28] <= _T_519 @[el2_lib.scala 376:30] + node _T_520 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 378:36] + _T_353[26] <= _T_520 @[el2_lib.scala 378:30] + node _T_521 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 379:36] + _T_354[26] <= _T_521 @[el2_lib.scala 379:30] + node _T_522 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 380:36] + _T_355[26] <= _T_522 @[el2_lib.scala 380:30] + node _T_523 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 377:36] + _T_352[27] <= _T_523 @[el2_lib.scala 377:30] + node _T_524 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 378:36] + _T_353[27] <= _T_524 @[el2_lib.scala 378:30] + node _T_525 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 379:36] + _T_354[27] <= _T_525 @[el2_lib.scala 379:30] + node _T_526 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 380:36] + _T_355[27] <= _T_526 @[el2_lib.scala 380:30] + node _T_527 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 375:36] + _T_350[29] <= _T_527 @[el2_lib.scala 375:30] + node _T_528 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 377:36] + _T_352[28] <= _T_528 @[el2_lib.scala 377:30] + node _T_529 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 378:36] + _T_353[28] <= _T_529 @[el2_lib.scala 378:30] + node _T_530 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 379:36] + _T_354[28] <= _T_530 @[el2_lib.scala 379:30] + node _T_531 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 380:36] + _T_355[28] <= _T_531 @[el2_lib.scala 380:30] + node _T_532 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 376:36] + _T_351[29] <= _T_532 @[el2_lib.scala 376:30] + node _T_533 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 377:36] + _T_352[29] <= _T_533 @[el2_lib.scala 377:30] + node _T_534 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 378:36] + _T_353[29] <= _T_534 @[el2_lib.scala 378:30] + node _T_535 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 379:36] + _T_354[29] <= _T_535 @[el2_lib.scala 379:30] + node _T_536 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 380:36] + _T_355[29] <= _T_536 @[el2_lib.scala 380:30] + node _T_537 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 375:36] + _T_350[30] <= _T_537 @[el2_lib.scala 375:30] + node _T_538 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 376:36] + _T_351[30] <= _T_538 @[el2_lib.scala 376:30] + node _T_539 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 377:36] + _T_352[30] <= _T_539 @[el2_lib.scala 377:30] + node _T_540 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 378:36] + _T_353[30] <= _T_540 @[el2_lib.scala 378:30] + node _T_541 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 379:36] + _T_354[30] <= _T_541 @[el2_lib.scala 379:30] + node _T_542 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 380:36] + _T_355[30] <= _T_542 @[el2_lib.scala 380:30] + node _T_543 = bits(ifu_bus_rdata_ff, 57, 57) @[el2_lib.scala 375:36] + _T_350[31] <= _T_543 @[el2_lib.scala 375:30] + node _T_544 = bits(ifu_bus_rdata_ff, 57, 57) @[el2_lib.scala 381:36] + _T_356[0] <= _T_544 @[el2_lib.scala 381:30] + node _T_545 = bits(ifu_bus_rdata_ff, 58, 58) @[el2_lib.scala 376:36] + _T_351[31] <= _T_545 @[el2_lib.scala 376:30] + node _T_546 = bits(ifu_bus_rdata_ff, 58, 58) @[el2_lib.scala 381:36] + _T_356[1] <= _T_546 @[el2_lib.scala 381:30] + node _T_547 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 375:36] + _T_350[32] <= _T_547 @[el2_lib.scala 375:30] + node _T_548 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 376:36] + _T_351[32] <= _T_548 @[el2_lib.scala 376:30] + node _T_549 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 381:36] + _T_356[2] <= _T_549 @[el2_lib.scala 381:30] + node _T_550 = bits(ifu_bus_rdata_ff, 60, 60) @[el2_lib.scala 377:36] + _T_352[31] <= _T_550 @[el2_lib.scala 377:30] + node _T_551 = bits(ifu_bus_rdata_ff, 60, 60) @[el2_lib.scala 381:36] + _T_356[3] <= _T_551 @[el2_lib.scala 381:30] + node _T_552 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 375:36] + _T_350[33] <= _T_552 @[el2_lib.scala 375:30] + node _T_553 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 377:36] + _T_352[32] <= _T_553 @[el2_lib.scala 377:30] + node _T_554 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 381:36] + _T_356[4] <= _T_554 @[el2_lib.scala 381:30] + node _T_555 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 376:36] + _T_351[33] <= _T_555 @[el2_lib.scala 376:30] + node _T_556 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 377:36] + _T_352[33] <= _T_556 @[el2_lib.scala 377:30] + node _T_557 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 381:36] + _T_356[5] <= _T_557 @[el2_lib.scala 381:30] + node _T_558 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 375:36] + _T_350[34] <= _T_558 @[el2_lib.scala 375:30] + node _T_559 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 376:36] + _T_351[34] <= _T_559 @[el2_lib.scala 376:30] + node _T_560 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 377:36] + _T_352[34] <= _T_560 @[el2_lib.scala 377:30] + node _T_561 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 381:36] + _T_356[6] <= _T_561 @[el2_lib.scala 381:30] + node _T_562 = cat(_T_356[2], _T_356[1]) @[el2_lib.scala 383:13] + node _T_563 = cat(_T_562, _T_356[0]) @[el2_lib.scala 383:13] + node _T_564 = cat(_T_356[4], _T_356[3]) @[el2_lib.scala 383:13] + node _T_565 = cat(_T_356[6], _T_356[5]) @[el2_lib.scala 383:13] + node _T_566 = cat(_T_565, _T_564) @[el2_lib.scala 383:13] + node _T_567 = cat(_T_566, _T_563) @[el2_lib.scala 383:13] + node _T_568 = xorr(_T_567) @[el2_lib.scala 383:20] + node _T_569 = cat(_T_355[2], _T_355[1]) @[el2_lib.scala 383:30] + node _T_570 = cat(_T_569, _T_355[0]) @[el2_lib.scala 383:30] + node _T_571 = cat(_T_355[4], _T_355[3]) @[el2_lib.scala 383:30] + node _T_572 = cat(_T_355[6], _T_355[5]) @[el2_lib.scala 383:30] + node _T_573 = cat(_T_572, _T_571) @[el2_lib.scala 383:30] + node _T_574 = cat(_T_573, _T_570) @[el2_lib.scala 383:30] + node _T_575 = cat(_T_355[8], _T_355[7]) @[el2_lib.scala 383:30] + node _T_576 = cat(_T_355[10], _T_355[9]) @[el2_lib.scala 383:30] + node _T_577 = cat(_T_576, _T_575) @[el2_lib.scala 383:30] + node _T_578 = cat(_T_355[12], _T_355[11]) @[el2_lib.scala 383:30] + node _T_579 = cat(_T_355[14], _T_355[13]) @[el2_lib.scala 383:30] + node _T_580 = cat(_T_579, _T_578) @[el2_lib.scala 383:30] + node _T_581 = cat(_T_580, _T_577) @[el2_lib.scala 383:30] + node _T_582 = cat(_T_581, _T_574) @[el2_lib.scala 383:30] + node _T_583 = cat(_T_355[16], _T_355[15]) @[el2_lib.scala 383:30] + node _T_584 = cat(_T_355[18], _T_355[17]) @[el2_lib.scala 383:30] + node _T_585 = cat(_T_584, _T_583) @[el2_lib.scala 383:30] + node _T_586 = cat(_T_355[20], _T_355[19]) @[el2_lib.scala 383:30] + node _T_587 = cat(_T_355[22], _T_355[21]) @[el2_lib.scala 383:30] + node _T_588 = cat(_T_587, _T_586) @[el2_lib.scala 383:30] + node _T_589 = cat(_T_588, _T_585) @[el2_lib.scala 383:30] + node _T_590 = cat(_T_355[24], _T_355[23]) @[el2_lib.scala 383:30] + node _T_591 = cat(_T_355[26], _T_355[25]) @[el2_lib.scala 383:30] + node _T_592 = cat(_T_591, _T_590) @[el2_lib.scala 383:30] + node _T_593 = cat(_T_355[28], _T_355[27]) @[el2_lib.scala 383:30] + node _T_594 = cat(_T_355[30], _T_355[29]) @[el2_lib.scala 383:30] + node _T_595 = cat(_T_594, _T_593) @[el2_lib.scala 383:30] + node _T_596 = cat(_T_595, _T_592) @[el2_lib.scala 383:30] + node _T_597 = cat(_T_596, _T_589) @[el2_lib.scala 383:30] + node _T_598 = cat(_T_597, _T_582) @[el2_lib.scala 383:30] + node _T_599 = xorr(_T_598) @[el2_lib.scala 383:37] + node _T_600 = cat(_T_354[2], _T_354[1]) @[el2_lib.scala 383:47] + node _T_601 = cat(_T_600, _T_354[0]) @[el2_lib.scala 383:47] + node _T_602 = cat(_T_354[4], _T_354[3]) @[el2_lib.scala 383:47] + node _T_603 = cat(_T_354[6], _T_354[5]) @[el2_lib.scala 383:47] + node _T_604 = cat(_T_603, _T_602) @[el2_lib.scala 383:47] + node _T_605 = cat(_T_604, _T_601) @[el2_lib.scala 383:47] + node _T_606 = cat(_T_354[8], _T_354[7]) @[el2_lib.scala 383:47] + node _T_607 = cat(_T_354[10], _T_354[9]) @[el2_lib.scala 383:47] + node _T_608 = cat(_T_607, _T_606) @[el2_lib.scala 383:47] + node _T_609 = cat(_T_354[12], _T_354[11]) @[el2_lib.scala 383:47] + node _T_610 = cat(_T_354[14], _T_354[13]) @[el2_lib.scala 383:47] + node _T_611 = cat(_T_610, _T_609) @[el2_lib.scala 383:47] + node _T_612 = cat(_T_611, _T_608) @[el2_lib.scala 383:47] + node _T_613 = cat(_T_612, _T_605) @[el2_lib.scala 383:47] + node _T_614 = cat(_T_354[16], _T_354[15]) @[el2_lib.scala 383:47] + node _T_615 = cat(_T_354[18], _T_354[17]) @[el2_lib.scala 383:47] + node _T_616 = cat(_T_615, _T_614) @[el2_lib.scala 383:47] + node _T_617 = cat(_T_354[20], _T_354[19]) @[el2_lib.scala 383:47] + node _T_618 = cat(_T_354[22], _T_354[21]) @[el2_lib.scala 383:47] + node _T_619 = cat(_T_618, _T_617) @[el2_lib.scala 383:47] + node _T_620 = cat(_T_619, _T_616) @[el2_lib.scala 383:47] + node _T_621 = cat(_T_354[24], _T_354[23]) @[el2_lib.scala 383:47] + node _T_622 = cat(_T_354[26], _T_354[25]) @[el2_lib.scala 383:47] + node _T_623 = cat(_T_622, _T_621) @[el2_lib.scala 383:47] + node _T_624 = cat(_T_354[28], _T_354[27]) @[el2_lib.scala 383:47] + node _T_625 = cat(_T_354[30], _T_354[29]) @[el2_lib.scala 383:47] + node _T_626 = cat(_T_625, _T_624) @[el2_lib.scala 383:47] + node _T_627 = cat(_T_626, _T_623) @[el2_lib.scala 383:47] + node _T_628 = cat(_T_627, _T_620) @[el2_lib.scala 383:47] + node _T_629 = cat(_T_628, _T_613) @[el2_lib.scala 383:47] + node _T_630 = xorr(_T_629) @[el2_lib.scala 383:54] + node _T_631 = cat(_T_353[2], _T_353[1]) @[el2_lib.scala 383:64] + node _T_632 = cat(_T_631, _T_353[0]) @[el2_lib.scala 383:64] + node _T_633 = cat(_T_353[4], _T_353[3]) @[el2_lib.scala 383:64] + node _T_634 = cat(_T_353[6], _T_353[5]) @[el2_lib.scala 383:64] + node _T_635 = cat(_T_634, _T_633) @[el2_lib.scala 383:64] + node _T_636 = cat(_T_635, _T_632) @[el2_lib.scala 383:64] + node _T_637 = cat(_T_353[8], _T_353[7]) @[el2_lib.scala 383:64] + node _T_638 = cat(_T_353[10], _T_353[9]) @[el2_lib.scala 383:64] + node _T_639 = cat(_T_638, _T_637) @[el2_lib.scala 383:64] + node _T_640 = cat(_T_353[12], _T_353[11]) @[el2_lib.scala 383:64] + node _T_641 = cat(_T_353[14], _T_353[13]) @[el2_lib.scala 383:64] + node _T_642 = cat(_T_641, _T_640) @[el2_lib.scala 383:64] + node _T_643 = cat(_T_642, _T_639) @[el2_lib.scala 383:64] + node _T_644 = cat(_T_643, _T_636) @[el2_lib.scala 383:64] + node _T_645 = cat(_T_353[16], _T_353[15]) @[el2_lib.scala 383:64] + node _T_646 = cat(_T_353[18], _T_353[17]) @[el2_lib.scala 383:64] + node _T_647 = cat(_T_646, _T_645) @[el2_lib.scala 383:64] + node _T_648 = cat(_T_353[20], _T_353[19]) @[el2_lib.scala 383:64] + node _T_649 = cat(_T_353[22], _T_353[21]) @[el2_lib.scala 383:64] + node _T_650 = cat(_T_649, _T_648) @[el2_lib.scala 383:64] + node _T_651 = cat(_T_650, _T_647) @[el2_lib.scala 383:64] + node _T_652 = cat(_T_353[24], _T_353[23]) @[el2_lib.scala 383:64] + node _T_653 = cat(_T_353[26], _T_353[25]) @[el2_lib.scala 383:64] + node _T_654 = cat(_T_653, _T_652) @[el2_lib.scala 383:64] + node _T_655 = cat(_T_353[28], _T_353[27]) @[el2_lib.scala 383:64] + node _T_656 = cat(_T_353[30], _T_353[29]) @[el2_lib.scala 383:64] + node _T_657 = cat(_T_656, _T_655) @[el2_lib.scala 383:64] + node _T_658 = cat(_T_657, _T_654) @[el2_lib.scala 383:64] + node _T_659 = cat(_T_658, _T_651) @[el2_lib.scala 383:64] + node _T_660 = cat(_T_659, _T_644) @[el2_lib.scala 383:64] + node _T_661 = xorr(_T_660) @[el2_lib.scala 383:71] + node _T_662 = cat(_T_352[1], _T_352[0]) @[el2_lib.scala 383:81] + node _T_663 = cat(_T_352[3], _T_352[2]) @[el2_lib.scala 383:81] + node _T_664 = cat(_T_663, _T_662) @[el2_lib.scala 383:81] + node _T_665 = cat(_T_352[5], _T_352[4]) @[el2_lib.scala 383:81] + node _T_666 = cat(_T_352[7], _T_352[6]) @[el2_lib.scala 383:81] + node _T_667 = cat(_T_666, _T_665) @[el2_lib.scala 383:81] + node _T_668 = cat(_T_667, _T_664) @[el2_lib.scala 383:81] + node _T_669 = cat(_T_352[9], _T_352[8]) @[el2_lib.scala 383:81] + node _T_670 = cat(_T_352[11], _T_352[10]) @[el2_lib.scala 383:81] + node _T_671 = cat(_T_670, _T_669) @[el2_lib.scala 383:81] + node _T_672 = cat(_T_352[13], _T_352[12]) @[el2_lib.scala 383:81] + node _T_673 = cat(_T_352[16], _T_352[15]) @[el2_lib.scala 383:81] + node _T_674 = cat(_T_673, _T_352[14]) @[el2_lib.scala 383:81] + node _T_675 = cat(_T_674, _T_672) @[el2_lib.scala 383:81] + node _T_676 = cat(_T_675, _T_671) @[el2_lib.scala 383:81] + node _T_677 = cat(_T_676, _T_668) @[el2_lib.scala 383:81] + node _T_678 = cat(_T_352[18], _T_352[17]) @[el2_lib.scala 383:81] + node _T_679 = cat(_T_352[20], _T_352[19]) @[el2_lib.scala 383:81] + node _T_680 = cat(_T_679, _T_678) @[el2_lib.scala 383:81] + node _T_681 = cat(_T_352[22], _T_352[21]) @[el2_lib.scala 383:81] + node _T_682 = cat(_T_352[25], _T_352[24]) @[el2_lib.scala 383:81] + node _T_683 = cat(_T_682, _T_352[23]) @[el2_lib.scala 383:81] + node _T_684 = cat(_T_683, _T_681) @[el2_lib.scala 383:81] + node _T_685 = cat(_T_684, _T_680) @[el2_lib.scala 383:81] + node _T_686 = cat(_T_352[27], _T_352[26]) @[el2_lib.scala 383:81] + node _T_687 = cat(_T_352[29], _T_352[28]) @[el2_lib.scala 383:81] + node _T_688 = cat(_T_687, _T_686) @[el2_lib.scala 383:81] + node _T_689 = cat(_T_352[31], _T_352[30]) @[el2_lib.scala 383:81] + node _T_690 = cat(_T_352[34], _T_352[33]) @[el2_lib.scala 383:81] + node _T_691 = cat(_T_690, _T_352[32]) @[el2_lib.scala 383:81] + node _T_692 = cat(_T_691, _T_689) @[el2_lib.scala 383:81] + node _T_693 = cat(_T_692, _T_688) @[el2_lib.scala 383:81] + node _T_694 = cat(_T_693, _T_685) @[el2_lib.scala 383:81] + node _T_695 = cat(_T_694, _T_677) @[el2_lib.scala 383:81] + node _T_696 = xorr(_T_695) @[el2_lib.scala 383:88] + node _T_697 = cat(_T_351[1], _T_351[0]) @[el2_lib.scala 383:98] + node _T_698 = cat(_T_351[3], _T_351[2]) @[el2_lib.scala 383:98] + node _T_699 = cat(_T_698, _T_697) @[el2_lib.scala 383:98] + node _T_700 = cat(_T_351[5], _T_351[4]) @[el2_lib.scala 383:98] + node _T_701 = cat(_T_351[7], _T_351[6]) @[el2_lib.scala 383:98] + node _T_702 = cat(_T_701, _T_700) @[el2_lib.scala 383:98] + node _T_703 = cat(_T_702, _T_699) @[el2_lib.scala 383:98] + node _T_704 = cat(_T_351[9], _T_351[8]) @[el2_lib.scala 383:98] + node _T_705 = cat(_T_351[11], _T_351[10]) @[el2_lib.scala 383:98] + node _T_706 = cat(_T_705, _T_704) @[el2_lib.scala 383:98] + node _T_707 = cat(_T_351[13], _T_351[12]) @[el2_lib.scala 383:98] + node _T_708 = cat(_T_351[16], _T_351[15]) @[el2_lib.scala 383:98] + node _T_709 = cat(_T_708, _T_351[14]) @[el2_lib.scala 383:98] + node _T_710 = cat(_T_709, _T_707) @[el2_lib.scala 383:98] + node _T_711 = cat(_T_710, _T_706) @[el2_lib.scala 383:98] + node _T_712 = cat(_T_711, _T_703) @[el2_lib.scala 383:98] + node _T_713 = cat(_T_351[18], _T_351[17]) @[el2_lib.scala 383:98] + node _T_714 = cat(_T_351[20], _T_351[19]) @[el2_lib.scala 383:98] + node _T_715 = cat(_T_714, _T_713) @[el2_lib.scala 383:98] + node _T_716 = cat(_T_351[22], _T_351[21]) @[el2_lib.scala 383:98] + node _T_717 = cat(_T_351[25], _T_351[24]) @[el2_lib.scala 383:98] + node _T_718 = cat(_T_717, _T_351[23]) @[el2_lib.scala 383:98] + node _T_719 = cat(_T_718, _T_716) @[el2_lib.scala 383:98] + node _T_720 = cat(_T_719, _T_715) @[el2_lib.scala 383:98] + node _T_721 = cat(_T_351[27], _T_351[26]) @[el2_lib.scala 383:98] + node _T_722 = cat(_T_351[29], _T_351[28]) @[el2_lib.scala 383:98] + node _T_723 = cat(_T_722, _T_721) @[el2_lib.scala 383:98] + node _T_724 = cat(_T_351[31], _T_351[30]) @[el2_lib.scala 383:98] + node _T_725 = cat(_T_351[34], _T_351[33]) @[el2_lib.scala 383:98] + node _T_726 = cat(_T_725, _T_351[32]) @[el2_lib.scala 383:98] + node _T_727 = cat(_T_726, _T_724) @[el2_lib.scala 383:98] + node _T_728 = cat(_T_727, _T_723) @[el2_lib.scala 383:98] + node _T_729 = cat(_T_728, _T_720) @[el2_lib.scala 383:98] + node _T_730 = cat(_T_729, _T_712) @[el2_lib.scala 383:98] + node _T_731 = xorr(_T_730) @[el2_lib.scala 383:105] + node _T_732 = cat(_T_350[1], _T_350[0]) @[el2_lib.scala 383:115] + node _T_733 = cat(_T_350[3], _T_350[2]) @[el2_lib.scala 383:115] + node _T_734 = cat(_T_733, _T_732) @[el2_lib.scala 383:115] + node _T_735 = cat(_T_350[5], _T_350[4]) @[el2_lib.scala 383:115] + node _T_736 = cat(_T_350[7], _T_350[6]) @[el2_lib.scala 383:115] + node _T_737 = cat(_T_736, _T_735) @[el2_lib.scala 383:115] + node _T_738 = cat(_T_737, _T_734) @[el2_lib.scala 383:115] + node _T_739 = cat(_T_350[9], _T_350[8]) @[el2_lib.scala 383:115] + node _T_740 = cat(_T_350[11], _T_350[10]) @[el2_lib.scala 383:115] + node _T_741 = cat(_T_740, _T_739) @[el2_lib.scala 383:115] + node _T_742 = cat(_T_350[13], _T_350[12]) @[el2_lib.scala 383:115] + node _T_743 = cat(_T_350[16], _T_350[15]) @[el2_lib.scala 383:115] + node _T_744 = cat(_T_743, _T_350[14]) @[el2_lib.scala 383:115] + node _T_745 = cat(_T_744, _T_742) @[el2_lib.scala 383:115] + node _T_746 = cat(_T_745, _T_741) @[el2_lib.scala 383:115] + node _T_747 = cat(_T_746, _T_738) @[el2_lib.scala 383:115] + node _T_748 = cat(_T_350[18], _T_350[17]) @[el2_lib.scala 383:115] + node _T_749 = cat(_T_350[20], _T_350[19]) @[el2_lib.scala 383:115] + node _T_750 = cat(_T_749, _T_748) @[el2_lib.scala 383:115] + node _T_751 = cat(_T_350[22], _T_350[21]) @[el2_lib.scala 383:115] + node _T_752 = cat(_T_350[25], _T_350[24]) @[el2_lib.scala 383:115] + node _T_753 = cat(_T_752, _T_350[23]) @[el2_lib.scala 383:115] + node _T_754 = cat(_T_753, _T_751) @[el2_lib.scala 383:115] + node _T_755 = cat(_T_754, _T_750) @[el2_lib.scala 383:115] + node _T_756 = cat(_T_350[27], _T_350[26]) @[el2_lib.scala 383:115] + node _T_757 = cat(_T_350[29], _T_350[28]) @[el2_lib.scala 383:115] + node _T_758 = cat(_T_757, _T_756) @[el2_lib.scala 383:115] + node _T_759 = cat(_T_350[31], _T_350[30]) @[el2_lib.scala 383:115] + node _T_760 = cat(_T_350[34], _T_350[33]) @[el2_lib.scala 383:115] + node _T_761 = cat(_T_760, _T_350[32]) @[el2_lib.scala 383:115] + node _T_762 = cat(_T_761, _T_759) @[el2_lib.scala 383:115] + node _T_763 = cat(_T_762, _T_758) @[el2_lib.scala 383:115] + node _T_764 = cat(_T_763, _T_755) @[el2_lib.scala 383:115] + node _T_765 = cat(_T_764, _T_747) @[el2_lib.scala 383:115] + node _T_766 = xorr(_T_765) @[el2_lib.scala 383:122] node _T_767 = cat(_T_696, _T_731) @[Cat.scala 29:58] node _T_768 = cat(_T_767, _T_766) @[Cat.scala 29:58] node _T_769 = cat(_T_630, _T_661) @[Cat.scala 29:58] node _T_770 = cat(_T_568, _T_599) @[Cat.scala 29:58] node _T_771 = cat(_T_770, _T_769) @[Cat.scala 29:58] node ic_wr_ecc = cat(_T_771, _T_768) @[Cat.scala 29:58] - wire _T_772 : UInt<1>[35] @[el2_lib.scala 363:18] - wire _T_773 : UInt<1>[35] @[el2_lib.scala 364:18] - wire _T_774 : UInt<1>[35] @[el2_lib.scala 365:18] - wire _T_775 : UInt<1>[31] @[el2_lib.scala 366:18] - wire _T_776 : UInt<1>[31] @[el2_lib.scala 367:18] - wire _T_777 : UInt<1>[31] @[el2_lib.scala 368:18] - wire _T_778 : UInt<1>[7] @[el2_lib.scala 369:18] - node _T_779 = bits(ic_miss_buff_half, 0, 0) @[el2_lib.scala 376:36] - _T_772[0] <= _T_779 @[el2_lib.scala 376:30] - node _T_780 = bits(ic_miss_buff_half, 0, 0) @[el2_lib.scala 377:36] - _T_773[0] <= _T_780 @[el2_lib.scala 377:30] - node _T_781 = bits(ic_miss_buff_half, 1, 1) @[el2_lib.scala 376:36] - _T_772[1] <= _T_781 @[el2_lib.scala 376:30] - node _T_782 = bits(ic_miss_buff_half, 1, 1) @[el2_lib.scala 378:36] - _T_774[0] <= _T_782 @[el2_lib.scala 378:30] - node _T_783 = bits(ic_miss_buff_half, 2, 2) @[el2_lib.scala 377:36] - _T_773[1] <= _T_783 @[el2_lib.scala 377:30] - node _T_784 = bits(ic_miss_buff_half, 2, 2) @[el2_lib.scala 378:36] - _T_774[1] <= _T_784 @[el2_lib.scala 378:30] - node _T_785 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 376:36] - _T_772[2] <= _T_785 @[el2_lib.scala 376:30] - node _T_786 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 377:36] - _T_773[2] <= _T_786 @[el2_lib.scala 377:30] - node _T_787 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 378:36] - _T_774[2] <= _T_787 @[el2_lib.scala 378:30] - node _T_788 = bits(ic_miss_buff_half, 4, 4) @[el2_lib.scala 376:36] - _T_772[3] <= _T_788 @[el2_lib.scala 376:30] - node _T_789 = bits(ic_miss_buff_half, 4, 4) @[el2_lib.scala 379:36] - _T_775[0] <= _T_789 @[el2_lib.scala 379:30] - node _T_790 = bits(ic_miss_buff_half, 5, 5) @[el2_lib.scala 377:36] - _T_773[3] <= _T_790 @[el2_lib.scala 377:30] - node _T_791 = bits(ic_miss_buff_half, 5, 5) @[el2_lib.scala 379:36] - _T_775[1] <= _T_791 @[el2_lib.scala 379:30] - node _T_792 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 376:36] - _T_772[4] <= _T_792 @[el2_lib.scala 376:30] - node _T_793 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 377:36] - _T_773[4] <= _T_793 @[el2_lib.scala 377:30] - node _T_794 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 379:36] - _T_775[2] <= _T_794 @[el2_lib.scala 379:30] - node _T_795 = bits(ic_miss_buff_half, 7, 7) @[el2_lib.scala 378:36] - _T_774[3] <= _T_795 @[el2_lib.scala 378:30] - node _T_796 = bits(ic_miss_buff_half, 7, 7) @[el2_lib.scala 379:36] - _T_775[3] <= _T_796 @[el2_lib.scala 379:30] - node _T_797 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 376:36] - _T_772[5] <= _T_797 @[el2_lib.scala 376:30] - node _T_798 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 378:36] - _T_774[4] <= _T_798 @[el2_lib.scala 378:30] - node _T_799 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 379:36] - _T_775[4] <= _T_799 @[el2_lib.scala 379:30] - node _T_800 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 377:36] - _T_773[5] <= _T_800 @[el2_lib.scala 377:30] - node _T_801 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 378:36] - _T_774[5] <= _T_801 @[el2_lib.scala 378:30] - node _T_802 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 379:36] - _T_775[5] <= _T_802 @[el2_lib.scala 379:30] - node _T_803 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 376:36] - _T_772[6] <= _T_803 @[el2_lib.scala 376:30] - node _T_804 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 377:36] - _T_773[6] <= _T_804 @[el2_lib.scala 377:30] - node _T_805 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 378:36] - _T_774[6] <= _T_805 @[el2_lib.scala 378:30] - node _T_806 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 379:36] - _T_775[6] <= _T_806 @[el2_lib.scala 379:30] - node _T_807 = bits(ic_miss_buff_half, 11, 11) @[el2_lib.scala 376:36] - _T_772[7] <= _T_807 @[el2_lib.scala 376:30] - node _T_808 = bits(ic_miss_buff_half, 11, 11) @[el2_lib.scala 380:36] - _T_776[0] <= _T_808 @[el2_lib.scala 380:30] - node _T_809 = bits(ic_miss_buff_half, 12, 12) @[el2_lib.scala 377:36] - _T_773[7] <= _T_809 @[el2_lib.scala 377:30] - node _T_810 = bits(ic_miss_buff_half, 12, 12) @[el2_lib.scala 380:36] - _T_776[1] <= _T_810 @[el2_lib.scala 380:30] - node _T_811 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 376:36] - _T_772[8] <= _T_811 @[el2_lib.scala 376:30] - node _T_812 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 377:36] - _T_773[8] <= _T_812 @[el2_lib.scala 377:30] - node _T_813 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 380:36] - _T_776[2] <= _T_813 @[el2_lib.scala 380:30] - node _T_814 = bits(ic_miss_buff_half, 14, 14) @[el2_lib.scala 378:36] - _T_774[7] <= _T_814 @[el2_lib.scala 378:30] - node _T_815 = bits(ic_miss_buff_half, 14, 14) @[el2_lib.scala 380:36] - _T_776[3] <= _T_815 @[el2_lib.scala 380:30] - node _T_816 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 376:36] - _T_772[9] <= _T_816 @[el2_lib.scala 376:30] - node _T_817 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 378:36] - _T_774[8] <= _T_817 @[el2_lib.scala 378:30] - node _T_818 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 380:36] - _T_776[4] <= _T_818 @[el2_lib.scala 380:30] - node _T_819 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 377:36] - _T_773[9] <= _T_819 @[el2_lib.scala 377:30] - node _T_820 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 378:36] - _T_774[9] <= _T_820 @[el2_lib.scala 378:30] - node _T_821 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 380:36] - _T_776[5] <= _T_821 @[el2_lib.scala 380:30] - node _T_822 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 376:36] - _T_772[10] <= _T_822 @[el2_lib.scala 376:30] - node _T_823 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 377:36] - _T_773[10] <= _T_823 @[el2_lib.scala 377:30] - node _T_824 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 378:36] - _T_774[10] <= _T_824 @[el2_lib.scala 378:30] - node _T_825 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 380:36] - _T_776[6] <= _T_825 @[el2_lib.scala 380:30] - node _T_826 = bits(ic_miss_buff_half, 18, 18) @[el2_lib.scala 379:36] - _T_775[7] <= _T_826 @[el2_lib.scala 379:30] - node _T_827 = bits(ic_miss_buff_half, 18, 18) @[el2_lib.scala 380:36] - _T_776[7] <= _T_827 @[el2_lib.scala 380:30] - node _T_828 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 376:36] - _T_772[11] <= _T_828 @[el2_lib.scala 376:30] - node _T_829 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 379:36] - _T_775[8] <= _T_829 @[el2_lib.scala 379:30] - node _T_830 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 380:36] - _T_776[8] <= _T_830 @[el2_lib.scala 380:30] - node _T_831 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 377:36] - _T_773[11] <= _T_831 @[el2_lib.scala 377:30] - node _T_832 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 379:36] - _T_775[9] <= _T_832 @[el2_lib.scala 379:30] - node _T_833 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 380:36] - _T_776[9] <= _T_833 @[el2_lib.scala 380:30] - node _T_834 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 376:36] - _T_772[12] <= _T_834 @[el2_lib.scala 376:30] - node _T_835 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 377:36] - _T_773[12] <= _T_835 @[el2_lib.scala 377:30] - node _T_836 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 379:36] - _T_775[10] <= _T_836 @[el2_lib.scala 379:30] - node _T_837 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 380:36] - _T_776[10] <= _T_837 @[el2_lib.scala 380:30] - node _T_838 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 378:36] - _T_774[11] <= _T_838 @[el2_lib.scala 378:30] - node _T_839 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 379:36] - _T_775[11] <= _T_839 @[el2_lib.scala 379:30] - node _T_840 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 380:36] - _T_776[11] <= _T_840 @[el2_lib.scala 380:30] - node _T_841 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 376:36] - _T_772[13] <= _T_841 @[el2_lib.scala 376:30] - node _T_842 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 378:36] - _T_774[12] <= _T_842 @[el2_lib.scala 378:30] - node _T_843 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 379:36] - _T_775[12] <= _T_843 @[el2_lib.scala 379:30] - node _T_844 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 380:36] - _T_776[12] <= _T_844 @[el2_lib.scala 380:30] - node _T_845 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 377:36] - _T_773[13] <= _T_845 @[el2_lib.scala 377:30] - node _T_846 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 378:36] - _T_774[13] <= _T_846 @[el2_lib.scala 378:30] - node _T_847 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 379:36] - _T_775[13] <= _T_847 @[el2_lib.scala 379:30] - node _T_848 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 380:36] - _T_776[13] <= _T_848 @[el2_lib.scala 380:30] - node _T_849 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 376:36] - _T_772[14] <= _T_849 @[el2_lib.scala 376:30] - node _T_850 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 377:36] - _T_773[14] <= _T_850 @[el2_lib.scala 377:30] - node _T_851 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 378:36] - _T_774[14] <= _T_851 @[el2_lib.scala 378:30] - node _T_852 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 379:36] - _T_775[14] <= _T_852 @[el2_lib.scala 379:30] - node _T_853 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 380:36] - _T_776[14] <= _T_853 @[el2_lib.scala 380:30] - node _T_854 = bits(ic_miss_buff_half, 26, 26) @[el2_lib.scala 376:36] - _T_772[15] <= _T_854 @[el2_lib.scala 376:30] - node _T_855 = bits(ic_miss_buff_half, 26, 26) @[el2_lib.scala 381:36] - _T_777[0] <= _T_855 @[el2_lib.scala 381:30] - node _T_856 = bits(ic_miss_buff_half, 27, 27) @[el2_lib.scala 377:36] - _T_773[15] <= _T_856 @[el2_lib.scala 377:30] - node _T_857 = bits(ic_miss_buff_half, 27, 27) @[el2_lib.scala 381:36] - _T_777[1] <= _T_857 @[el2_lib.scala 381:30] - node _T_858 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 376:36] - _T_772[16] <= _T_858 @[el2_lib.scala 376:30] - node _T_859 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 377:36] - _T_773[16] <= _T_859 @[el2_lib.scala 377:30] - node _T_860 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 381:36] - _T_777[2] <= _T_860 @[el2_lib.scala 381:30] - node _T_861 = bits(ic_miss_buff_half, 29, 29) @[el2_lib.scala 378:36] - _T_774[15] <= _T_861 @[el2_lib.scala 378:30] - node _T_862 = bits(ic_miss_buff_half, 29, 29) @[el2_lib.scala 381:36] - _T_777[3] <= _T_862 @[el2_lib.scala 381:30] - node _T_863 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 376:36] - _T_772[17] <= _T_863 @[el2_lib.scala 376:30] - node _T_864 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 378:36] - _T_774[16] <= _T_864 @[el2_lib.scala 378:30] - node _T_865 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 381:36] - _T_777[4] <= _T_865 @[el2_lib.scala 381:30] - node _T_866 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 377:36] - _T_773[17] <= _T_866 @[el2_lib.scala 377:30] - node _T_867 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 378:36] - _T_774[17] <= _T_867 @[el2_lib.scala 378:30] - node _T_868 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 381:36] - _T_777[5] <= _T_868 @[el2_lib.scala 381:30] - node _T_869 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 376:36] - _T_772[18] <= _T_869 @[el2_lib.scala 376:30] - node _T_870 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 377:36] - _T_773[18] <= _T_870 @[el2_lib.scala 377:30] - node _T_871 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 378:36] - _T_774[18] <= _T_871 @[el2_lib.scala 378:30] - node _T_872 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 381:36] - _T_777[6] <= _T_872 @[el2_lib.scala 381:30] - node _T_873 = bits(ic_miss_buff_half, 33, 33) @[el2_lib.scala 379:36] - _T_775[15] <= _T_873 @[el2_lib.scala 379:30] - node _T_874 = bits(ic_miss_buff_half, 33, 33) @[el2_lib.scala 381:36] - _T_777[7] <= _T_874 @[el2_lib.scala 381:30] - node _T_875 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 376:36] - _T_772[19] <= _T_875 @[el2_lib.scala 376:30] - node _T_876 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 379:36] - _T_775[16] <= _T_876 @[el2_lib.scala 379:30] - node _T_877 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 381:36] - _T_777[8] <= _T_877 @[el2_lib.scala 381:30] - node _T_878 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 377:36] - _T_773[19] <= _T_878 @[el2_lib.scala 377:30] - node _T_879 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 379:36] - _T_775[17] <= _T_879 @[el2_lib.scala 379:30] - node _T_880 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 381:36] - _T_777[9] <= _T_880 @[el2_lib.scala 381:30] - node _T_881 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 376:36] - _T_772[20] <= _T_881 @[el2_lib.scala 376:30] - node _T_882 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 377:36] - _T_773[20] <= _T_882 @[el2_lib.scala 377:30] - node _T_883 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 379:36] - _T_775[18] <= _T_883 @[el2_lib.scala 379:30] - node _T_884 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 381:36] - _T_777[10] <= _T_884 @[el2_lib.scala 381:30] - node _T_885 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 378:36] - _T_774[19] <= _T_885 @[el2_lib.scala 378:30] - node _T_886 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 379:36] - _T_775[19] <= _T_886 @[el2_lib.scala 379:30] - node _T_887 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 381:36] - _T_777[11] <= _T_887 @[el2_lib.scala 381:30] - node _T_888 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 376:36] - _T_772[21] <= _T_888 @[el2_lib.scala 376:30] - node _T_889 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 378:36] - _T_774[20] <= _T_889 @[el2_lib.scala 378:30] - node _T_890 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 379:36] - _T_775[20] <= _T_890 @[el2_lib.scala 379:30] - node _T_891 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 381:36] - _T_777[12] <= _T_891 @[el2_lib.scala 381:30] - node _T_892 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 377:36] - _T_773[21] <= _T_892 @[el2_lib.scala 377:30] - node _T_893 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 378:36] - _T_774[21] <= _T_893 @[el2_lib.scala 378:30] - node _T_894 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 379:36] - _T_775[21] <= _T_894 @[el2_lib.scala 379:30] - node _T_895 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 381:36] - _T_777[13] <= _T_895 @[el2_lib.scala 381:30] - node _T_896 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 376:36] - _T_772[22] <= _T_896 @[el2_lib.scala 376:30] - node _T_897 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 377:36] - _T_773[22] <= _T_897 @[el2_lib.scala 377:30] - node _T_898 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 378:36] - _T_774[22] <= _T_898 @[el2_lib.scala 378:30] - node _T_899 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 379:36] - _T_775[22] <= _T_899 @[el2_lib.scala 379:30] - node _T_900 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 381:36] - _T_777[14] <= _T_900 @[el2_lib.scala 381:30] - node _T_901 = bits(ic_miss_buff_half, 41, 41) @[el2_lib.scala 380:36] - _T_776[15] <= _T_901 @[el2_lib.scala 380:30] - node _T_902 = bits(ic_miss_buff_half, 41, 41) @[el2_lib.scala 381:36] - _T_777[15] <= _T_902 @[el2_lib.scala 381:30] - node _T_903 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 376:36] - _T_772[23] <= _T_903 @[el2_lib.scala 376:30] - node _T_904 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 380:36] - _T_776[16] <= _T_904 @[el2_lib.scala 380:30] - node _T_905 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 381:36] - _T_777[16] <= _T_905 @[el2_lib.scala 381:30] - node _T_906 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 377:36] - _T_773[23] <= _T_906 @[el2_lib.scala 377:30] - node _T_907 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 380:36] - _T_776[17] <= _T_907 @[el2_lib.scala 380:30] - node _T_908 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 381:36] - _T_777[17] <= _T_908 @[el2_lib.scala 381:30] - node _T_909 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 376:36] - _T_772[24] <= _T_909 @[el2_lib.scala 376:30] - node _T_910 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 377:36] - _T_773[24] <= _T_910 @[el2_lib.scala 377:30] - node _T_911 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 380:36] - _T_776[18] <= _T_911 @[el2_lib.scala 380:30] - node _T_912 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 381:36] - _T_777[18] <= _T_912 @[el2_lib.scala 381:30] - node _T_913 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 378:36] - _T_774[23] <= _T_913 @[el2_lib.scala 378:30] - node _T_914 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 380:36] - _T_776[19] <= _T_914 @[el2_lib.scala 380:30] - node _T_915 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 381:36] - _T_777[19] <= _T_915 @[el2_lib.scala 381:30] - node _T_916 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 376:36] - _T_772[25] <= _T_916 @[el2_lib.scala 376:30] - node _T_917 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 378:36] - _T_774[24] <= _T_917 @[el2_lib.scala 378:30] - node _T_918 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 380:36] - _T_776[20] <= _T_918 @[el2_lib.scala 380:30] - node _T_919 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 381:36] - _T_777[20] <= _T_919 @[el2_lib.scala 381:30] - node _T_920 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 377:36] - _T_773[25] <= _T_920 @[el2_lib.scala 377:30] - node _T_921 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 378:36] - _T_774[25] <= _T_921 @[el2_lib.scala 378:30] - node _T_922 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 380:36] - _T_776[21] <= _T_922 @[el2_lib.scala 380:30] - node _T_923 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 381:36] - _T_777[21] <= _T_923 @[el2_lib.scala 381:30] - node _T_924 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 376:36] - _T_772[26] <= _T_924 @[el2_lib.scala 376:30] - node _T_925 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 377:36] - _T_773[26] <= _T_925 @[el2_lib.scala 377:30] - node _T_926 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 378:36] - _T_774[26] <= _T_926 @[el2_lib.scala 378:30] - node _T_927 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 380:36] - _T_776[22] <= _T_927 @[el2_lib.scala 380:30] - node _T_928 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 381:36] - _T_777[22] <= _T_928 @[el2_lib.scala 381:30] - node _T_929 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 379:36] - _T_775[23] <= _T_929 @[el2_lib.scala 379:30] - node _T_930 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 380:36] - _T_776[23] <= _T_930 @[el2_lib.scala 380:30] - node _T_931 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 381:36] - _T_777[23] <= _T_931 @[el2_lib.scala 381:30] - node _T_932 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 376:36] - _T_772[27] <= _T_932 @[el2_lib.scala 376:30] - node _T_933 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 379:36] - _T_775[24] <= _T_933 @[el2_lib.scala 379:30] - node _T_934 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 380:36] - _T_776[24] <= _T_934 @[el2_lib.scala 380:30] - node _T_935 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 381:36] - _T_777[24] <= _T_935 @[el2_lib.scala 381:30] - node _T_936 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 377:36] - _T_773[27] <= _T_936 @[el2_lib.scala 377:30] - node _T_937 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 379:36] - _T_775[25] <= _T_937 @[el2_lib.scala 379:30] - node _T_938 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 380:36] - _T_776[25] <= _T_938 @[el2_lib.scala 380:30] - node _T_939 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 381:36] - _T_777[25] <= _T_939 @[el2_lib.scala 381:30] - node _T_940 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 376:36] - _T_772[28] <= _T_940 @[el2_lib.scala 376:30] - node _T_941 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 377:36] - _T_773[28] <= _T_941 @[el2_lib.scala 377:30] - node _T_942 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 379:36] - _T_775[26] <= _T_942 @[el2_lib.scala 379:30] - node _T_943 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 380:36] - _T_776[26] <= _T_943 @[el2_lib.scala 380:30] - node _T_944 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 381:36] - _T_777[26] <= _T_944 @[el2_lib.scala 381:30] - node _T_945 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 378:36] - _T_774[27] <= _T_945 @[el2_lib.scala 378:30] - node _T_946 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 379:36] - _T_775[27] <= _T_946 @[el2_lib.scala 379:30] - node _T_947 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 380:36] - _T_776[27] <= _T_947 @[el2_lib.scala 380:30] - node _T_948 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 381:36] - _T_777[27] <= _T_948 @[el2_lib.scala 381:30] - node _T_949 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 376:36] - _T_772[29] <= _T_949 @[el2_lib.scala 376:30] - node _T_950 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 378:36] - _T_774[28] <= _T_950 @[el2_lib.scala 378:30] - node _T_951 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 379:36] - _T_775[28] <= _T_951 @[el2_lib.scala 379:30] - node _T_952 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 380:36] - _T_776[28] <= _T_952 @[el2_lib.scala 380:30] - node _T_953 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 381:36] - _T_777[28] <= _T_953 @[el2_lib.scala 381:30] - node _T_954 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 377:36] - _T_773[29] <= _T_954 @[el2_lib.scala 377:30] - node _T_955 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 378:36] - _T_774[29] <= _T_955 @[el2_lib.scala 378:30] - node _T_956 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 379:36] - _T_775[29] <= _T_956 @[el2_lib.scala 379:30] - node _T_957 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 380:36] - _T_776[29] <= _T_957 @[el2_lib.scala 380:30] - node _T_958 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 381:36] - _T_777[29] <= _T_958 @[el2_lib.scala 381:30] - node _T_959 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 376:36] - _T_772[30] <= _T_959 @[el2_lib.scala 376:30] - node _T_960 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 377:36] - _T_773[30] <= _T_960 @[el2_lib.scala 377:30] - node _T_961 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 378:36] - _T_774[30] <= _T_961 @[el2_lib.scala 378:30] - node _T_962 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 379:36] - _T_775[30] <= _T_962 @[el2_lib.scala 379:30] - node _T_963 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 380:36] - _T_776[30] <= _T_963 @[el2_lib.scala 380:30] - node _T_964 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 381:36] - _T_777[30] <= _T_964 @[el2_lib.scala 381:30] - node _T_965 = bits(ic_miss_buff_half, 57, 57) @[el2_lib.scala 376:36] - _T_772[31] <= _T_965 @[el2_lib.scala 376:30] - node _T_966 = bits(ic_miss_buff_half, 57, 57) @[el2_lib.scala 382:36] - _T_778[0] <= _T_966 @[el2_lib.scala 382:30] - node _T_967 = bits(ic_miss_buff_half, 58, 58) @[el2_lib.scala 377:36] - _T_773[31] <= _T_967 @[el2_lib.scala 377:30] - node _T_968 = bits(ic_miss_buff_half, 58, 58) @[el2_lib.scala 382:36] - _T_778[1] <= _T_968 @[el2_lib.scala 382:30] - node _T_969 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 376:36] - _T_772[32] <= _T_969 @[el2_lib.scala 376:30] - node _T_970 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 377:36] - _T_773[32] <= _T_970 @[el2_lib.scala 377:30] - node _T_971 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 382:36] - _T_778[2] <= _T_971 @[el2_lib.scala 382:30] - node _T_972 = bits(ic_miss_buff_half, 60, 60) @[el2_lib.scala 378:36] - _T_774[31] <= _T_972 @[el2_lib.scala 378:30] - node _T_973 = bits(ic_miss_buff_half, 60, 60) @[el2_lib.scala 382:36] - _T_778[3] <= _T_973 @[el2_lib.scala 382:30] - node _T_974 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 376:36] - _T_772[33] <= _T_974 @[el2_lib.scala 376:30] - node _T_975 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 378:36] - _T_774[32] <= _T_975 @[el2_lib.scala 378:30] - node _T_976 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 382:36] - _T_778[4] <= _T_976 @[el2_lib.scala 382:30] - node _T_977 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 377:36] - _T_773[33] <= _T_977 @[el2_lib.scala 377:30] - node _T_978 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 378:36] - _T_774[33] <= _T_978 @[el2_lib.scala 378:30] - node _T_979 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 382:36] - _T_778[5] <= _T_979 @[el2_lib.scala 382:30] - node _T_980 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 376:36] - _T_772[34] <= _T_980 @[el2_lib.scala 376:30] - node _T_981 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 377:36] - _T_773[34] <= _T_981 @[el2_lib.scala 377:30] - node _T_982 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 378:36] - _T_774[34] <= _T_982 @[el2_lib.scala 378:30] - node _T_983 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 382:36] - _T_778[6] <= _T_983 @[el2_lib.scala 382:30] - node _T_984 = cat(_T_778[2], _T_778[1]) @[el2_lib.scala 384:13] - node _T_985 = cat(_T_984, _T_778[0]) @[el2_lib.scala 384:13] - node _T_986 = cat(_T_778[4], _T_778[3]) @[el2_lib.scala 384:13] - node _T_987 = cat(_T_778[6], _T_778[5]) @[el2_lib.scala 384:13] - node _T_988 = cat(_T_987, _T_986) @[el2_lib.scala 384:13] - node _T_989 = cat(_T_988, _T_985) @[el2_lib.scala 384:13] - node _T_990 = xorr(_T_989) @[el2_lib.scala 384:20] - node _T_991 = cat(_T_777[2], _T_777[1]) @[el2_lib.scala 384:30] - node _T_992 = cat(_T_991, _T_777[0]) @[el2_lib.scala 384:30] - node _T_993 = cat(_T_777[4], _T_777[3]) @[el2_lib.scala 384:30] - node _T_994 = cat(_T_777[6], _T_777[5]) @[el2_lib.scala 384:30] - node _T_995 = cat(_T_994, _T_993) @[el2_lib.scala 384:30] - node _T_996 = cat(_T_995, _T_992) @[el2_lib.scala 384:30] - node _T_997 = cat(_T_777[8], _T_777[7]) @[el2_lib.scala 384:30] - node _T_998 = cat(_T_777[10], _T_777[9]) @[el2_lib.scala 384:30] - node _T_999 = cat(_T_998, _T_997) @[el2_lib.scala 384:30] - node _T_1000 = cat(_T_777[12], _T_777[11]) @[el2_lib.scala 384:30] - node _T_1001 = cat(_T_777[14], _T_777[13]) @[el2_lib.scala 384:30] - node _T_1002 = cat(_T_1001, _T_1000) @[el2_lib.scala 384:30] - node _T_1003 = cat(_T_1002, _T_999) @[el2_lib.scala 384:30] - node _T_1004 = cat(_T_1003, _T_996) @[el2_lib.scala 384:30] - node _T_1005 = cat(_T_777[16], _T_777[15]) @[el2_lib.scala 384:30] - node _T_1006 = cat(_T_777[18], _T_777[17]) @[el2_lib.scala 384:30] - node _T_1007 = cat(_T_1006, _T_1005) @[el2_lib.scala 384:30] - node _T_1008 = cat(_T_777[20], _T_777[19]) @[el2_lib.scala 384:30] - node _T_1009 = cat(_T_777[22], _T_777[21]) @[el2_lib.scala 384:30] - node _T_1010 = cat(_T_1009, _T_1008) @[el2_lib.scala 384:30] - node _T_1011 = cat(_T_1010, _T_1007) @[el2_lib.scala 384:30] - node _T_1012 = cat(_T_777[24], _T_777[23]) @[el2_lib.scala 384:30] - node _T_1013 = cat(_T_777[26], _T_777[25]) @[el2_lib.scala 384:30] - node _T_1014 = cat(_T_1013, _T_1012) @[el2_lib.scala 384:30] - node _T_1015 = cat(_T_777[28], _T_777[27]) @[el2_lib.scala 384:30] - node _T_1016 = cat(_T_777[30], _T_777[29]) @[el2_lib.scala 384:30] - node _T_1017 = cat(_T_1016, _T_1015) @[el2_lib.scala 384:30] - node _T_1018 = cat(_T_1017, _T_1014) @[el2_lib.scala 384:30] - node _T_1019 = cat(_T_1018, _T_1011) @[el2_lib.scala 384:30] - node _T_1020 = cat(_T_1019, _T_1004) @[el2_lib.scala 384:30] - node _T_1021 = xorr(_T_1020) @[el2_lib.scala 384:37] - node _T_1022 = cat(_T_776[2], _T_776[1]) @[el2_lib.scala 384:47] - node _T_1023 = cat(_T_1022, _T_776[0]) @[el2_lib.scala 384:47] - node _T_1024 = cat(_T_776[4], _T_776[3]) @[el2_lib.scala 384:47] - node _T_1025 = cat(_T_776[6], _T_776[5]) @[el2_lib.scala 384:47] - node _T_1026 = cat(_T_1025, _T_1024) @[el2_lib.scala 384:47] - node _T_1027 = cat(_T_1026, _T_1023) @[el2_lib.scala 384:47] - node _T_1028 = cat(_T_776[8], _T_776[7]) @[el2_lib.scala 384:47] - node _T_1029 = cat(_T_776[10], _T_776[9]) @[el2_lib.scala 384:47] - node _T_1030 = cat(_T_1029, _T_1028) @[el2_lib.scala 384:47] - node _T_1031 = cat(_T_776[12], _T_776[11]) @[el2_lib.scala 384:47] - node _T_1032 = cat(_T_776[14], _T_776[13]) @[el2_lib.scala 384:47] - node _T_1033 = cat(_T_1032, _T_1031) @[el2_lib.scala 384:47] - node _T_1034 = cat(_T_1033, _T_1030) @[el2_lib.scala 384:47] - node _T_1035 = cat(_T_1034, _T_1027) @[el2_lib.scala 384:47] - node _T_1036 = cat(_T_776[16], _T_776[15]) @[el2_lib.scala 384:47] - node _T_1037 = cat(_T_776[18], _T_776[17]) @[el2_lib.scala 384:47] - node _T_1038 = cat(_T_1037, _T_1036) @[el2_lib.scala 384:47] - node _T_1039 = cat(_T_776[20], _T_776[19]) @[el2_lib.scala 384:47] - node _T_1040 = cat(_T_776[22], _T_776[21]) @[el2_lib.scala 384:47] - node _T_1041 = cat(_T_1040, _T_1039) @[el2_lib.scala 384:47] - node _T_1042 = cat(_T_1041, _T_1038) @[el2_lib.scala 384:47] - node _T_1043 = cat(_T_776[24], _T_776[23]) @[el2_lib.scala 384:47] - node _T_1044 = cat(_T_776[26], _T_776[25]) @[el2_lib.scala 384:47] - node _T_1045 = cat(_T_1044, _T_1043) @[el2_lib.scala 384:47] - node _T_1046 = cat(_T_776[28], _T_776[27]) @[el2_lib.scala 384:47] - node _T_1047 = cat(_T_776[30], _T_776[29]) @[el2_lib.scala 384:47] - node _T_1048 = cat(_T_1047, _T_1046) @[el2_lib.scala 384:47] - node _T_1049 = cat(_T_1048, _T_1045) @[el2_lib.scala 384:47] - node _T_1050 = cat(_T_1049, _T_1042) @[el2_lib.scala 384:47] - node _T_1051 = cat(_T_1050, _T_1035) @[el2_lib.scala 384:47] - node _T_1052 = xorr(_T_1051) @[el2_lib.scala 384:54] - node _T_1053 = cat(_T_775[2], _T_775[1]) @[el2_lib.scala 384:64] - node _T_1054 = cat(_T_1053, _T_775[0]) @[el2_lib.scala 384:64] - node _T_1055 = cat(_T_775[4], _T_775[3]) @[el2_lib.scala 384:64] - node _T_1056 = cat(_T_775[6], _T_775[5]) @[el2_lib.scala 384:64] - node _T_1057 = cat(_T_1056, _T_1055) @[el2_lib.scala 384:64] - node _T_1058 = cat(_T_1057, _T_1054) @[el2_lib.scala 384:64] - node _T_1059 = cat(_T_775[8], _T_775[7]) @[el2_lib.scala 384:64] - node _T_1060 = cat(_T_775[10], _T_775[9]) @[el2_lib.scala 384:64] - node _T_1061 = cat(_T_1060, _T_1059) @[el2_lib.scala 384:64] - node _T_1062 = cat(_T_775[12], _T_775[11]) @[el2_lib.scala 384:64] - node _T_1063 = cat(_T_775[14], _T_775[13]) @[el2_lib.scala 384:64] - node _T_1064 = cat(_T_1063, _T_1062) @[el2_lib.scala 384:64] - node _T_1065 = cat(_T_1064, _T_1061) @[el2_lib.scala 384:64] - node _T_1066 = cat(_T_1065, _T_1058) @[el2_lib.scala 384:64] - node _T_1067 = cat(_T_775[16], _T_775[15]) @[el2_lib.scala 384:64] - node _T_1068 = cat(_T_775[18], _T_775[17]) @[el2_lib.scala 384:64] - node _T_1069 = cat(_T_1068, _T_1067) @[el2_lib.scala 384:64] - node _T_1070 = cat(_T_775[20], _T_775[19]) @[el2_lib.scala 384:64] - node _T_1071 = cat(_T_775[22], _T_775[21]) @[el2_lib.scala 384:64] - node _T_1072 = cat(_T_1071, _T_1070) @[el2_lib.scala 384:64] - node _T_1073 = cat(_T_1072, _T_1069) @[el2_lib.scala 384:64] - node _T_1074 = cat(_T_775[24], _T_775[23]) @[el2_lib.scala 384:64] - node _T_1075 = cat(_T_775[26], _T_775[25]) @[el2_lib.scala 384:64] - node _T_1076 = cat(_T_1075, _T_1074) @[el2_lib.scala 384:64] - node _T_1077 = cat(_T_775[28], _T_775[27]) @[el2_lib.scala 384:64] - node _T_1078 = cat(_T_775[30], _T_775[29]) @[el2_lib.scala 384:64] - node _T_1079 = cat(_T_1078, _T_1077) @[el2_lib.scala 384:64] - node _T_1080 = cat(_T_1079, _T_1076) @[el2_lib.scala 384:64] - node _T_1081 = cat(_T_1080, _T_1073) @[el2_lib.scala 384:64] - node _T_1082 = cat(_T_1081, _T_1066) @[el2_lib.scala 384:64] - node _T_1083 = xorr(_T_1082) @[el2_lib.scala 384:71] - node _T_1084 = cat(_T_774[1], _T_774[0]) @[el2_lib.scala 384:81] - node _T_1085 = cat(_T_774[3], _T_774[2]) @[el2_lib.scala 384:81] - node _T_1086 = cat(_T_1085, _T_1084) @[el2_lib.scala 384:81] - node _T_1087 = cat(_T_774[5], _T_774[4]) @[el2_lib.scala 384:81] - node _T_1088 = cat(_T_774[7], _T_774[6]) @[el2_lib.scala 384:81] - node _T_1089 = cat(_T_1088, _T_1087) @[el2_lib.scala 384:81] - node _T_1090 = cat(_T_1089, _T_1086) @[el2_lib.scala 384:81] - node _T_1091 = cat(_T_774[9], _T_774[8]) @[el2_lib.scala 384:81] - node _T_1092 = cat(_T_774[11], _T_774[10]) @[el2_lib.scala 384:81] - node _T_1093 = cat(_T_1092, _T_1091) @[el2_lib.scala 384:81] - node _T_1094 = cat(_T_774[13], _T_774[12]) @[el2_lib.scala 384:81] - node _T_1095 = cat(_T_774[16], _T_774[15]) @[el2_lib.scala 384:81] - node _T_1096 = cat(_T_1095, _T_774[14]) @[el2_lib.scala 384:81] - node _T_1097 = cat(_T_1096, _T_1094) @[el2_lib.scala 384:81] - node _T_1098 = cat(_T_1097, _T_1093) @[el2_lib.scala 384:81] - node _T_1099 = cat(_T_1098, _T_1090) @[el2_lib.scala 384:81] - node _T_1100 = cat(_T_774[18], _T_774[17]) @[el2_lib.scala 384:81] - node _T_1101 = cat(_T_774[20], _T_774[19]) @[el2_lib.scala 384:81] - node _T_1102 = cat(_T_1101, _T_1100) @[el2_lib.scala 384:81] - node _T_1103 = cat(_T_774[22], _T_774[21]) @[el2_lib.scala 384:81] - node _T_1104 = cat(_T_774[25], _T_774[24]) @[el2_lib.scala 384:81] - node _T_1105 = cat(_T_1104, _T_774[23]) @[el2_lib.scala 384:81] - node _T_1106 = cat(_T_1105, _T_1103) @[el2_lib.scala 384:81] - node _T_1107 = cat(_T_1106, _T_1102) @[el2_lib.scala 384:81] - node _T_1108 = cat(_T_774[27], _T_774[26]) @[el2_lib.scala 384:81] - node _T_1109 = cat(_T_774[29], _T_774[28]) @[el2_lib.scala 384:81] - node _T_1110 = cat(_T_1109, _T_1108) @[el2_lib.scala 384:81] - node _T_1111 = cat(_T_774[31], _T_774[30]) @[el2_lib.scala 384:81] - node _T_1112 = cat(_T_774[34], _T_774[33]) @[el2_lib.scala 384:81] - node _T_1113 = cat(_T_1112, _T_774[32]) @[el2_lib.scala 384:81] - node _T_1114 = cat(_T_1113, _T_1111) @[el2_lib.scala 384:81] - node _T_1115 = cat(_T_1114, _T_1110) @[el2_lib.scala 384:81] - node _T_1116 = cat(_T_1115, _T_1107) @[el2_lib.scala 384:81] - node _T_1117 = cat(_T_1116, _T_1099) @[el2_lib.scala 384:81] - node _T_1118 = xorr(_T_1117) @[el2_lib.scala 384:88] - node _T_1119 = cat(_T_773[1], _T_773[0]) @[el2_lib.scala 384:98] - node _T_1120 = cat(_T_773[3], _T_773[2]) @[el2_lib.scala 384:98] - node _T_1121 = cat(_T_1120, _T_1119) @[el2_lib.scala 384:98] - node _T_1122 = cat(_T_773[5], _T_773[4]) @[el2_lib.scala 384:98] - node _T_1123 = cat(_T_773[7], _T_773[6]) @[el2_lib.scala 384:98] - node _T_1124 = cat(_T_1123, _T_1122) @[el2_lib.scala 384:98] - node _T_1125 = cat(_T_1124, _T_1121) @[el2_lib.scala 384:98] - node _T_1126 = cat(_T_773[9], _T_773[8]) @[el2_lib.scala 384:98] - node _T_1127 = cat(_T_773[11], _T_773[10]) @[el2_lib.scala 384:98] - node _T_1128 = cat(_T_1127, _T_1126) @[el2_lib.scala 384:98] - node _T_1129 = cat(_T_773[13], _T_773[12]) @[el2_lib.scala 384:98] - node _T_1130 = cat(_T_773[16], _T_773[15]) @[el2_lib.scala 384:98] - node _T_1131 = cat(_T_1130, _T_773[14]) @[el2_lib.scala 384:98] - node _T_1132 = cat(_T_1131, _T_1129) @[el2_lib.scala 384:98] - node _T_1133 = cat(_T_1132, _T_1128) @[el2_lib.scala 384:98] - node _T_1134 = cat(_T_1133, _T_1125) @[el2_lib.scala 384:98] - node _T_1135 = cat(_T_773[18], _T_773[17]) @[el2_lib.scala 384:98] - node _T_1136 = cat(_T_773[20], _T_773[19]) @[el2_lib.scala 384:98] - node _T_1137 = cat(_T_1136, _T_1135) @[el2_lib.scala 384:98] - node _T_1138 = cat(_T_773[22], _T_773[21]) @[el2_lib.scala 384:98] - node _T_1139 = cat(_T_773[25], _T_773[24]) @[el2_lib.scala 384:98] - node _T_1140 = cat(_T_1139, _T_773[23]) @[el2_lib.scala 384:98] - node _T_1141 = cat(_T_1140, _T_1138) @[el2_lib.scala 384:98] - node _T_1142 = cat(_T_1141, _T_1137) @[el2_lib.scala 384:98] - node _T_1143 = cat(_T_773[27], _T_773[26]) @[el2_lib.scala 384:98] - node _T_1144 = cat(_T_773[29], _T_773[28]) @[el2_lib.scala 384:98] - node _T_1145 = cat(_T_1144, _T_1143) @[el2_lib.scala 384:98] - node _T_1146 = cat(_T_773[31], _T_773[30]) @[el2_lib.scala 384:98] - node _T_1147 = cat(_T_773[34], _T_773[33]) @[el2_lib.scala 384:98] - node _T_1148 = cat(_T_1147, _T_773[32]) @[el2_lib.scala 384:98] - node _T_1149 = cat(_T_1148, _T_1146) @[el2_lib.scala 384:98] - node _T_1150 = cat(_T_1149, _T_1145) @[el2_lib.scala 384:98] - node _T_1151 = cat(_T_1150, _T_1142) @[el2_lib.scala 384:98] - node _T_1152 = cat(_T_1151, _T_1134) @[el2_lib.scala 384:98] - node _T_1153 = xorr(_T_1152) @[el2_lib.scala 384:105] - node _T_1154 = cat(_T_772[1], _T_772[0]) @[el2_lib.scala 384:115] - node _T_1155 = cat(_T_772[3], _T_772[2]) @[el2_lib.scala 384:115] - node _T_1156 = cat(_T_1155, _T_1154) @[el2_lib.scala 384:115] - node _T_1157 = cat(_T_772[5], _T_772[4]) @[el2_lib.scala 384:115] - node _T_1158 = cat(_T_772[7], _T_772[6]) @[el2_lib.scala 384:115] - node _T_1159 = cat(_T_1158, _T_1157) @[el2_lib.scala 384:115] - node _T_1160 = cat(_T_1159, _T_1156) @[el2_lib.scala 384:115] - node _T_1161 = cat(_T_772[9], _T_772[8]) @[el2_lib.scala 384:115] - node _T_1162 = cat(_T_772[11], _T_772[10]) @[el2_lib.scala 384:115] - node _T_1163 = cat(_T_1162, _T_1161) @[el2_lib.scala 384:115] - node _T_1164 = cat(_T_772[13], _T_772[12]) @[el2_lib.scala 384:115] - node _T_1165 = cat(_T_772[16], _T_772[15]) @[el2_lib.scala 384:115] - node _T_1166 = cat(_T_1165, _T_772[14]) @[el2_lib.scala 384:115] - node _T_1167 = cat(_T_1166, _T_1164) @[el2_lib.scala 384:115] - node _T_1168 = cat(_T_1167, _T_1163) @[el2_lib.scala 384:115] - node _T_1169 = cat(_T_1168, _T_1160) @[el2_lib.scala 384:115] - node _T_1170 = cat(_T_772[18], _T_772[17]) @[el2_lib.scala 384:115] - node _T_1171 = cat(_T_772[20], _T_772[19]) @[el2_lib.scala 384:115] - node _T_1172 = cat(_T_1171, _T_1170) @[el2_lib.scala 384:115] - node _T_1173 = cat(_T_772[22], _T_772[21]) @[el2_lib.scala 384:115] - node _T_1174 = cat(_T_772[25], _T_772[24]) @[el2_lib.scala 384:115] - node _T_1175 = cat(_T_1174, _T_772[23]) @[el2_lib.scala 384:115] - node _T_1176 = cat(_T_1175, _T_1173) @[el2_lib.scala 384:115] - node _T_1177 = cat(_T_1176, _T_1172) @[el2_lib.scala 384:115] - node _T_1178 = cat(_T_772[27], _T_772[26]) @[el2_lib.scala 384:115] - node _T_1179 = cat(_T_772[29], _T_772[28]) @[el2_lib.scala 384:115] - node _T_1180 = cat(_T_1179, _T_1178) @[el2_lib.scala 384:115] - node _T_1181 = cat(_T_772[31], _T_772[30]) @[el2_lib.scala 384:115] - node _T_1182 = cat(_T_772[34], _T_772[33]) @[el2_lib.scala 384:115] - node _T_1183 = cat(_T_1182, _T_772[32]) @[el2_lib.scala 384:115] - node _T_1184 = cat(_T_1183, _T_1181) @[el2_lib.scala 384:115] - node _T_1185 = cat(_T_1184, _T_1180) @[el2_lib.scala 384:115] - node _T_1186 = cat(_T_1185, _T_1177) @[el2_lib.scala 384:115] - node _T_1187 = cat(_T_1186, _T_1169) @[el2_lib.scala 384:115] - node _T_1188 = xorr(_T_1187) @[el2_lib.scala 384:122] + wire _T_772 : UInt<1>[35] @[el2_lib.scala 362:18] + wire _T_773 : UInt<1>[35] @[el2_lib.scala 363:18] + wire _T_774 : UInt<1>[35] @[el2_lib.scala 364:18] + wire _T_775 : UInt<1>[31] @[el2_lib.scala 365:18] + wire _T_776 : UInt<1>[31] @[el2_lib.scala 366:18] + wire _T_777 : UInt<1>[31] @[el2_lib.scala 367:18] + wire _T_778 : UInt<1>[7] @[el2_lib.scala 368:18] + node _T_779 = bits(ic_miss_buff_half, 0, 0) @[el2_lib.scala 375:36] + _T_772[0] <= _T_779 @[el2_lib.scala 375:30] + node _T_780 = bits(ic_miss_buff_half, 0, 0) @[el2_lib.scala 376:36] + _T_773[0] <= _T_780 @[el2_lib.scala 376:30] + node _T_781 = bits(ic_miss_buff_half, 1, 1) @[el2_lib.scala 375:36] + _T_772[1] <= _T_781 @[el2_lib.scala 375:30] + node _T_782 = bits(ic_miss_buff_half, 1, 1) @[el2_lib.scala 377:36] + _T_774[0] <= _T_782 @[el2_lib.scala 377:30] + node _T_783 = bits(ic_miss_buff_half, 2, 2) @[el2_lib.scala 376:36] + _T_773[1] <= _T_783 @[el2_lib.scala 376:30] + node _T_784 = bits(ic_miss_buff_half, 2, 2) @[el2_lib.scala 377:36] + _T_774[1] <= _T_784 @[el2_lib.scala 377:30] + node _T_785 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 375:36] + _T_772[2] <= _T_785 @[el2_lib.scala 375:30] + node _T_786 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 376:36] + _T_773[2] <= _T_786 @[el2_lib.scala 376:30] + node _T_787 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 377:36] + _T_774[2] <= _T_787 @[el2_lib.scala 377:30] + node _T_788 = bits(ic_miss_buff_half, 4, 4) @[el2_lib.scala 375:36] + _T_772[3] <= _T_788 @[el2_lib.scala 375:30] + node _T_789 = bits(ic_miss_buff_half, 4, 4) @[el2_lib.scala 378:36] + _T_775[0] <= _T_789 @[el2_lib.scala 378:30] + node _T_790 = bits(ic_miss_buff_half, 5, 5) @[el2_lib.scala 376:36] + _T_773[3] <= _T_790 @[el2_lib.scala 376:30] + node _T_791 = bits(ic_miss_buff_half, 5, 5) @[el2_lib.scala 378:36] + _T_775[1] <= _T_791 @[el2_lib.scala 378:30] + node _T_792 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 375:36] + _T_772[4] <= _T_792 @[el2_lib.scala 375:30] + node _T_793 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 376:36] + _T_773[4] <= _T_793 @[el2_lib.scala 376:30] + node _T_794 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 378:36] + _T_775[2] <= _T_794 @[el2_lib.scala 378:30] + node _T_795 = bits(ic_miss_buff_half, 7, 7) @[el2_lib.scala 377:36] + _T_774[3] <= _T_795 @[el2_lib.scala 377:30] + node _T_796 = bits(ic_miss_buff_half, 7, 7) @[el2_lib.scala 378:36] + _T_775[3] <= _T_796 @[el2_lib.scala 378:30] + node _T_797 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 375:36] + _T_772[5] <= _T_797 @[el2_lib.scala 375:30] + node _T_798 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 377:36] + _T_774[4] <= _T_798 @[el2_lib.scala 377:30] + node _T_799 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 378:36] + _T_775[4] <= _T_799 @[el2_lib.scala 378:30] + node _T_800 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 376:36] + _T_773[5] <= _T_800 @[el2_lib.scala 376:30] + node _T_801 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 377:36] + _T_774[5] <= _T_801 @[el2_lib.scala 377:30] + node _T_802 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 378:36] + _T_775[5] <= _T_802 @[el2_lib.scala 378:30] + node _T_803 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 375:36] + _T_772[6] <= _T_803 @[el2_lib.scala 375:30] + node _T_804 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 376:36] + _T_773[6] <= _T_804 @[el2_lib.scala 376:30] + node _T_805 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 377:36] + _T_774[6] <= _T_805 @[el2_lib.scala 377:30] + node _T_806 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 378:36] + _T_775[6] <= _T_806 @[el2_lib.scala 378:30] + node _T_807 = bits(ic_miss_buff_half, 11, 11) @[el2_lib.scala 375:36] + _T_772[7] <= _T_807 @[el2_lib.scala 375:30] + node _T_808 = bits(ic_miss_buff_half, 11, 11) @[el2_lib.scala 379:36] + _T_776[0] <= _T_808 @[el2_lib.scala 379:30] + node _T_809 = bits(ic_miss_buff_half, 12, 12) @[el2_lib.scala 376:36] + _T_773[7] <= _T_809 @[el2_lib.scala 376:30] + node _T_810 = bits(ic_miss_buff_half, 12, 12) @[el2_lib.scala 379:36] + _T_776[1] <= _T_810 @[el2_lib.scala 379:30] + node _T_811 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 375:36] + _T_772[8] <= _T_811 @[el2_lib.scala 375:30] + node _T_812 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 376:36] + _T_773[8] <= _T_812 @[el2_lib.scala 376:30] + node _T_813 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 379:36] + _T_776[2] <= _T_813 @[el2_lib.scala 379:30] + node _T_814 = bits(ic_miss_buff_half, 14, 14) @[el2_lib.scala 377:36] + _T_774[7] <= _T_814 @[el2_lib.scala 377:30] + node _T_815 = bits(ic_miss_buff_half, 14, 14) @[el2_lib.scala 379:36] + _T_776[3] <= _T_815 @[el2_lib.scala 379:30] + node _T_816 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 375:36] + _T_772[9] <= _T_816 @[el2_lib.scala 375:30] + node _T_817 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 377:36] + _T_774[8] <= _T_817 @[el2_lib.scala 377:30] + node _T_818 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 379:36] + _T_776[4] <= _T_818 @[el2_lib.scala 379:30] + node _T_819 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 376:36] + _T_773[9] <= _T_819 @[el2_lib.scala 376:30] + node _T_820 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 377:36] + _T_774[9] <= _T_820 @[el2_lib.scala 377:30] + node _T_821 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 379:36] + _T_776[5] <= _T_821 @[el2_lib.scala 379:30] + node _T_822 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 375:36] + _T_772[10] <= _T_822 @[el2_lib.scala 375:30] + node _T_823 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 376:36] + _T_773[10] <= _T_823 @[el2_lib.scala 376:30] + node _T_824 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 377:36] + _T_774[10] <= _T_824 @[el2_lib.scala 377:30] + node _T_825 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 379:36] + _T_776[6] <= _T_825 @[el2_lib.scala 379:30] + node _T_826 = bits(ic_miss_buff_half, 18, 18) @[el2_lib.scala 378:36] + _T_775[7] <= _T_826 @[el2_lib.scala 378:30] + node _T_827 = bits(ic_miss_buff_half, 18, 18) @[el2_lib.scala 379:36] + _T_776[7] <= _T_827 @[el2_lib.scala 379:30] + node _T_828 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 375:36] + _T_772[11] <= _T_828 @[el2_lib.scala 375:30] + node _T_829 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 378:36] + _T_775[8] <= _T_829 @[el2_lib.scala 378:30] + node _T_830 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 379:36] + _T_776[8] <= _T_830 @[el2_lib.scala 379:30] + node _T_831 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 376:36] + _T_773[11] <= _T_831 @[el2_lib.scala 376:30] + node _T_832 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 378:36] + _T_775[9] <= _T_832 @[el2_lib.scala 378:30] + node _T_833 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 379:36] + _T_776[9] <= _T_833 @[el2_lib.scala 379:30] + node _T_834 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 375:36] + _T_772[12] <= _T_834 @[el2_lib.scala 375:30] + node _T_835 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 376:36] + _T_773[12] <= _T_835 @[el2_lib.scala 376:30] + node _T_836 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 378:36] + _T_775[10] <= _T_836 @[el2_lib.scala 378:30] + node _T_837 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 379:36] + _T_776[10] <= _T_837 @[el2_lib.scala 379:30] + node _T_838 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 377:36] + _T_774[11] <= _T_838 @[el2_lib.scala 377:30] + node _T_839 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 378:36] + _T_775[11] <= _T_839 @[el2_lib.scala 378:30] + node _T_840 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 379:36] + _T_776[11] <= _T_840 @[el2_lib.scala 379:30] + node _T_841 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 375:36] + _T_772[13] <= _T_841 @[el2_lib.scala 375:30] + node _T_842 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 377:36] + _T_774[12] <= _T_842 @[el2_lib.scala 377:30] + node _T_843 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 378:36] + _T_775[12] <= _T_843 @[el2_lib.scala 378:30] + node _T_844 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 379:36] + _T_776[12] <= _T_844 @[el2_lib.scala 379:30] + node _T_845 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 376:36] + _T_773[13] <= _T_845 @[el2_lib.scala 376:30] + node _T_846 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 377:36] + _T_774[13] <= _T_846 @[el2_lib.scala 377:30] + node _T_847 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 378:36] + _T_775[13] <= _T_847 @[el2_lib.scala 378:30] + node _T_848 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 379:36] + _T_776[13] <= _T_848 @[el2_lib.scala 379:30] + node _T_849 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 375:36] + _T_772[14] <= _T_849 @[el2_lib.scala 375:30] + node _T_850 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 376:36] + _T_773[14] <= _T_850 @[el2_lib.scala 376:30] + node _T_851 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 377:36] + _T_774[14] <= _T_851 @[el2_lib.scala 377:30] + node _T_852 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 378:36] + _T_775[14] <= _T_852 @[el2_lib.scala 378:30] + node _T_853 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 379:36] + _T_776[14] <= _T_853 @[el2_lib.scala 379:30] + node _T_854 = bits(ic_miss_buff_half, 26, 26) @[el2_lib.scala 375:36] + _T_772[15] <= _T_854 @[el2_lib.scala 375:30] + node _T_855 = bits(ic_miss_buff_half, 26, 26) @[el2_lib.scala 380:36] + _T_777[0] <= _T_855 @[el2_lib.scala 380:30] + node _T_856 = bits(ic_miss_buff_half, 27, 27) @[el2_lib.scala 376:36] + _T_773[15] <= _T_856 @[el2_lib.scala 376:30] + node _T_857 = bits(ic_miss_buff_half, 27, 27) @[el2_lib.scala 380:36] + _T_777[1] <= _T_857 @[el2_lib.scala 380:30] + node _T_858 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 375:36] + _T_772[16] <= _T_858 @[el2_lib.scala 375:30] + node _T_859 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 376:36] + _T_773[16] <= _T_859 @[el2_lib.scala 376:30] + node _T_860 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 380:36] + _T_777[2] <= _T_860 @[el2_lib.scala 380:30] + node _T_861 = bits(ic_miss_buff_half, 29, 29) @[el2_lib.scala 377:36] + _T_774[15] <= _T_861 @[el2_lib.scala 377:30] + node _T_862 = bits(ic_miss_buff_half, 29, 29) @[el2_lib.scala 380:36] + _T_777[3] <= _T_862 @[el2_lib.scala 380:30] + node _T_863 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 375:36] + _T_772[17] <= _T_863 @[el2_lib.scala 375:30] + node _T_864 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 377:36] + _T_774[16] <= _T_864 @[el2_lib.scala 377:30] + node _T_865 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 380:36] + _T_777[4] <= _T_865 @[el2_lib.scala 380:30] + node _T_866 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 376:36] + _T_773[17] <= _T_866 @[el2_lib.scala 376:30] + node _T_867 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 377:36] + _T_774[17] <= _T_867 @[el2_lib.scala 377:30] + node _T_868 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 380:36] + _T_777[5] <= _T_868 @[el2_lib.scala 380:30] + node _T_869 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 375:36] + _T_772[18] <= _T_869 @[el2_lib.scala 375:30] + node _T_870 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 376:36] + _T_773[18] <= _T_870 @[el2_lib.scala 376:30] + node _T_871 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 377:36] + _T_774[18] <= _T_871 @[el2_lib.scala 377:30] + node _T_872 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 380:36] + _T_777[6] <= _T_872 @[el2_lib.scala 380:30] + node _T_873 = bits(ic_miss_buff_half, 33, 33) @[el2_lib.scala 378:36] + _T_775[15] <= _T_873 @[el2_lib.scala 378:30] + node _T_874 = bits(ic_miss_buff_half, 33, 33) @[el2_lib.scala 380:36] + _T_777[7] <= _T_874 @[el2_lib.scala 380:30] + node _T_875 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 375:36] + _T_772[19] <= _T_875 @[el2_lib.scala 375:30] + node _T_876 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 378:36] + _T_775[16] <= _T_876 @[el2_lib.scala 378:30] + node _T_877 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 380:36] + _T_777[8] <= _T_877 @[el2_lib.scala 380:30] + node _T_878 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 376:36] + _T_773[19] <= _T_878 @[el2_lib.scala 376:30] + node _T_879 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 378:36] + _T_775[17] <= _T_879 @[el2_lib.scala 378:30] + node _T_880 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 380:36] + _T_777[9] <= _T_880 @[el2_lib.scala 380:30] + node _T_881 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 375:36] + _T_772[20] <= _T_881 @[el2_lib.scala 375:30] + node _T_882 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 376:36] + _T_773[20] <= _T_882 @[el2_lib.scala 376:30] + node _T_883 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 378:36] + _T_775[18] <= _T_883 @[el2_lib.scala 378:30] + node _T_884 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 380:36] + _T_777[10] <= _T_884 @[el2_lib.scala 380:30] + node _T_885 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 377:36] + _T_774[19] <= _T_885 @[el2_lib.scala 377:30] + node _T_886 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 378:36] + _T_775[19] <= _T_886 @[el2_lib.scala 378:30] + node _T_887 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 380:36] + _T_777[11] <= _T_887 @[el2_lib.scala 380:30] + node _T_888 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 375:36] + _T_772[21] <= _T_888 @[el2_lib.scala 375:30] + node _T_889 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 377:36] + _T_774[20] <= _T_889 @[el2_lib.scala 377:30] + node _T_890 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 378:36] + _T_775[20] <= _T_890 @[el2_lib.scala 378:30] + node _T_891 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 380:36] + _T_777[12] <= _T_891 @[el2_lib.scala 380:30] + node _T_892 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 376:36] + _T_773[21] <= _T_892 @[el2_lib.scala 376:30] + node _T_893 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 377:36] + _T_774[21] <= _T_893 @[el2_lib.scala 377:30] + node _T_894 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 378:36] + _T_775[21] <= _T_894 @[el2_lib.scala 378:30] + node _T_895 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 380:36] + _T_777[13] <= _T_895 @[el2_lib.scala 380:30] + node _T_896 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 375:36] + _T_772[22] <= _T_896 @[el2_lib.scala 375:30] + node _T_897 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 376:36] + _T_773[22] <= _T_897 @[el2_lib.scala 376:30] + node _T_898 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 377:36] + _T_774[22] <= _T_898 @[el2_lib.scala 377:30] + node _T_899 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 378:36] + _T_775[22] <= _T_899 @[el2_lib.scala 378:30] + node _T_900 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 380:36] + _T_777[14] <= _T_900 @[el2_lib.scala 380:30] + node _T_901 = bits(ic_miss_buff_half, 41, 41) @[el2_lib.scala 379:36] + _T_776[15] <= _T_901 @[el2_lib.scala 379:30] + node _T_902 = bits(ic_miss_buff_half, 41, 41) @[el2_lib.scala 380:36] + _T_777[15] <= _T_902 @[el2_lib.scala 380:30] + node _T_903 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 375:36] + _T_772[23] <= _T_903 @[el2_lib.scala 375:30] + node _T_904 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 379:36] + _T_776[16] <= _T_904 @[el2_lib.scala 379:30] + node _T_905 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 380:36] + _T_777[16] <= _T_905 @[el2_lib.scala 380:30] + node _T_906 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 376:36] + _T_773[23] <= _T_906 @[el2_lib.scala 376:30] + node _T_907 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 379:36] + _T_776[17] <= _T_907 @[el2_lib.scala 379:30] + node _T_908 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 380:36] + _T_777[17] <= _T_908 @[el2_lib.scala 380:30] + node _T_909 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 375:36] + _T_772[24] <= _T_909 @[el2_lib.scala 375:30] + node _T_910 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 376:36] + _T_773[24] <= _T_910 @[el2_lib.scala 376:30] + node _T_911 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 379:36] + _T_776[18] <= _T_911 @[el2_lib.scala 379:30] + node _T_912 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 380:36] + _T_777[18] <= _T_912 @[el2_lib.scala 380:30] + node _T_913 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 377:36] + _T_774[23] <= _T_913 @[el2_lib.scala 377:30] + node _T_914 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 379:36] + _T_776[19] <= _T_914 @[el2_lib.scala 379:30] + node _T_915 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 380:36] + _T_777[19] <= _T_915 @[el2_lib.scala 380:30] + node _T_916 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 375:36] + _T_772[25] <= _T_916 @[el2_lib.scala 375:30] + node _T_917 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 377:36] + _T_774[24] <= _T_917 @[el2_lib.scala 377:30] + node _T_918 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 379:36] + _T_776[20] <= _T_918 @[el2_lib.scala 379:30] + node _T_919 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 380:36] + _T_777[20] <= _T_919 @[el2_lib.scala 380:30] + node _T_920 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 376:36] + _T_773[25] <= _T_920 @[el2_lib.scala 376:30] + node _T_921 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 377:36] + _T_774[25] <= _T_921 @[el2_lib.scala 377:30] + node _T_922 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 379:36] + _T_776[21] <= _T_922 @[el2_lib.scala 379:30] + node _T_923 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 380:36] + _T_777[21] <= _T_923 @[el2_lib.scala 380:30] + node _T_924 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 375:36] + _T_772[26] <= _T_924 @[el2_lib.scala 375:30] + node _T_925 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 376:36] + _T_773[26] <= _T_925 @[el2_lib.scala 376:30] + node _T_926 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 377:36] + _T_774[26] <= _T_926 @[el2_lib.scala 377:30] + node _T_927 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 379:36] + _T_776[22] <= _T_927 @[el2_lib.scala 379:30] + node _T_928 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 380:36] + _T_777[22] <= _T_928 @[el2_lib.scala 380:30] + node _T_929 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 378:36] + _T_775[23] <= _T_929 @[el2_lib.scala 378:30] + node _T_930 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 379:36] + _T_776[23] <= _T_930 @[el2_lib.scala 379:30] + node _T_931 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 380:36] + _T_777[23] <= _T_931 @[el2_lib.scala 380:30] + node _T_932 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 375:36] + _T_772[27] <= _T_932 @[el2_lib.scala 375:30] + node _T_933 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 378:36] + _T_775[24] <= _T_933 @[el2_lib.scala 378:30] + node _T_934 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 379:36] + _T_776[24] <= _T_934 @[el2_lib.scala 379:30] + node _T_935 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 380:36] + _T_777[24] <= _T_935 @[el2_lib.scala 380:30] + node _T_936 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 376:36] + _T_773[27] <= _T_936 @[el2_lib.scala 376:30] + node _T_937 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 378:36] + _T_775[25] <= _T_937 @[el2_lib.scala 378:30] + node _T_938 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 379:36] + _T_776[25] <= _T_938 @[el2_lib.scala 379:30] + node _T_939 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 380:36] + _T_777[25] <= _T_939 @[el2_lib.scala 380:30] + node _T_940 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 375:36] + _T_772[28] <= _T_940 @[el2_lib.scala 375:30] + node _T_941 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 376:36] + _T_773[28] <= _T_941 @[el2_lib.scala 376:30] + node _T_942 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 378:36] + _T_775[26] <= _T_942 @[el2_lib.scala 378:30] + node _T_943 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 379:36] + _T_776[26] <= _T_943 @[el2_lib.scala 379:30] + node _T_944 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 380:36] + _T_777[26] <= _T_944 @[el2_lib.scala 380:30] + node _T_945 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 377:36] + _T_774[27] <= _T_945 @[el2_lib.scala 377:30] + node _T_946 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 378:36] + _T_775[27] <= _T_946 @[el2_lib.scala 378:30] + node _T_947 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 379:36] + _T_776[27] <= _T_947 @[el2_lib.scala 379:30] + node _T_948 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 380:36] + _T_777[27] <= _T_948 @[el2_lib.scala 380:30] + node _T_949 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 375:36] + _T_772[29] <= _T_949 @[el2_lib.scala 375:30] + node _T_950 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 377:36] + _T_774[28] <= _T_950 @[el2_lib.scala 377:30] + node _T_951 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 378:36] + _T_775[28] <= _T_951 @[el2_lib.scala 378:30] + node _T_952 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 379:36] + _T_776[28] <= _T_952 @[el2_lib.scala 379:30] + node _T_953 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 380:36] + _T_777[28] <= _T_953 @[el2_lib.scala 380:30] + node _T_954 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 376:36] + _T_773[29] <= _T_954 @[el2_lib.scala 376:30] + node _T_955 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 377:36] + _T_774[29] <= _T_955 @[el2_lib.scala 377:30] + node _T_956 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 378:36] + _T_775[29] <= _T_956 @[el2_lib.scala 378:30] + node _T_957 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 379:36] + _T_776[29] <= _T_957 @[el2_lib.scala 379:30] + node _T_958 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 380:36] + _T_777[29] <= _T_958 @[el2_lib.scala 380:30] + node _T_959 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 375:36] + _T_772[30] <= _T_959 @[el2_lib.scala 375:30] + node _T_960 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 376:36] + _T_773[30] <= _T_960 @[el2_lib.scala 376:30] + node _T_961 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 377:36] + _T_774[30] <= _T_961 @[el2_lib.scala 377:30] + node _T_962 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 378:36] + _T_775[30] <= _T_962 @[el2_lib.scala 378:30] + node _T_963 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 379:36] + _T_776[30] <= _T_963 @[el2_lib.scala 379:30] + node _T_964 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 380:36] + _T_777[30] <= _T_964 @[el2_lib.scala 380:30] + node _T_965 = bits(ic_miss_buff_half, 57, 57) @[el2_lib.scala 375:36] + _T_772[31] <= _T_965 @[el2_lib.scala 375:30] + node _T_966 = bits(ic_miss_buff_half, 57, 57) @[el2_lib.scala 381:36] + _T_778[0] <= _T_966 @[el2_lib.scala 381:30] + node _T_967 = bits(ic_miss_buff_half, 58, 58) @[el2_lib.scala 376:36] + _T_773[31] <= _T_967 @[el2_lib.scala 376:30] + node _T_968 = bits(ic_miss_buff_half, 58, 58) @[el2_lib.scala 381:36] + _T_778[1] <= _T_968 @[el2_lib.scala 381:30] + node _T_969 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 375:36] + _T_772[32] <= _T_969 @[el2_lib.scala 375:30] + node _T_970 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 376:36] + _T_773[32] <= _T_970 @[el2_lib.scala 376:30] + node _T_971 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 381:36] + _T_778[2] <= _T_971 @[el2_lib.scala 381:30] + node _T_972 = bits(ic_miss_buff_half, 60, 60) @[el2_lib.scala 377:36] + _T_774[31] <= _T_972 @[el2_lib.scala 377:30] + node _T_973 = bits(ic_miss_buff_half, 60, 60) @[el2_lib.scala 381:36] + _T_778[3] <= _T_973 @[el2_lib.scala 381:30] + node _T_974 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 375:36] + _T_772[33] <= _T_974 @[el2_lib.scala 375:30] + node _T_975 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 377:36] + _T_774[32] <= _T_975 @[el2_lib.scala 377:30] + node _T_976 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 381:36] + _T_778[4] <= _T_976 @[el2_lib.scala 381:30] + node _T_977 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 376:36] + _T_773[33] <= _T_977 @[el2_lib.scala 376:30] + node _T_978 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 377:36] + _T_774[33] <= _T_978 @[el2_lib.scala 377:30] + node _T_979 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 381:36] + _T_778[5] <= _T_979 @[el2_lib.scala 381:30] + node _T_980 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 375:36] + _T_772[34] <= _T_980 @[el2_lib.scala 375:30] + node _T_981 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 376:36] + _T_773[34] <= _T_981 @[el2_lib.scala 376:30] + node _T_982 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 377:36] + _T_774[34] <= _T_982 @[el2_lib.scala 377:30] + node _T_983 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 381:36] + _T_778[6] <= _T_983 @[el2_lib.scala 381:30] + node _T_984 = cat(_T_778[2], _T_778[1]) @[el2_lib.scala 383:13] + node _T_985 = cat(_T_984, _T_778[0]) @[el2_lib.scala 383:13] + node _T_986 = cat(_T_778[4], _T_778[3]) @[el2_lib.scala 383:13] + node _T_987 = cat(_T_778[6], _T_778[5]) @[el2_lib.scala 383:13] + node _T_988 = cat(_T_987, _T_986) @[el2_lib.scala 383:13] + node _T_989 = cat(_T_988, _T_985) @[el2_lib.scala 383:13] + node _T_990 = xorr(_T_989) @[el2_lib.scala 383:20] + node _T_991 = cat(_T_777[2], _T_777[1]) @[el2_lib.scala 383:30] + node _T_992 = cat(_T_991, _T_777[0]) @[el2_lib.scala 383:30] + node _T_993 = cat(_T_777[4], _T_777[3]) @[el2_lib.scala 383:30] + node _T_994 = cat(_T_777[6], _T_777[5]) @[el2_lib.scala 383:30] + node _T_995 = cat(_T_994, _T_993) @[el2_lib.scala 383:30] + node _T_996 = cat(_T_995, _T_992) @[el2_lib.scala 383:30] + node _T_997 = cat(_T_777[8], _T_777[7]) @[el2_lib.scala 383:30] + node _T_998 = cat(_T_777[10], _T_777[9]) @[el2_lib.scala 383:30] + node _T_999 = cat(_T_998, _T_997) @[el2_lib.scala 383:30] + node _T_1000 = cat(_T_777[12], _T_777[11]) @[el2_lib.scala 383:30] + node _T_1001 = cat(_T_777[14], _T_777[13]) @[el2_lib.scala 383:30] + node _T_1002 = cat(_T_1001, _T_1000) @[el2_lib.scala 383:30] + node _T_1003 = cat(_T_1002, _T_999) @[el2_lib.scala 383:30] + node _T_1004 = cat(_T_1003, _T_996) @[el2_lib.scala 383:30] + node _T_1005 = cat(_T_777[16], _T_777[15]) @[el2_lib.scala 383:30] + node _T_1006 = cat(_T_777[18], _T_777[17]) @[el2_lib.scala 383:30] + node _T_1007 = cat(_T_1006, _T_1005) @[el2_lib.scala 383:30] + node _T_1008 = cat(_T_777[20], _T_777[19]) @[el2_lib.scala 383:30] + node _T_1009 = cat(_T_777[22], _T_777[21]) @[el2_lib.scala 383:30] + node _T_1010 = cat(_T_1009, _T_1008) @[el2_lib.scala 383:30] + node _T_1011 = cat(_T_1010, _T_1007) @[el2_lib.scala 383:30] + node _T_1012 = cat(_T_777[24], _T_777[23]) @[el2_lib.scala 383:30] + node _T_1013 = cat(_T_777[26], _T_777[25]) @[el2_lib.scala 383:30] + node _T_1014 = cat(_T_1013, _T_1012) @[el2_lib.scala 383:30] + node _T_1015 = cat(_T_777[28], _T_777[27]) @[el2_lib.scala 383:30] + node _T_1016 = cat(_T_777[30], _T_777[29]) @[el2_lib.scala 383:30] + node _T_1017 = cat(_T_1016, _T_1015) @[el2_lib.scala 383:30] + node _T_1018 = cat(_T_1017, _T_1014) @[el2_lib.scala 383:30] + node _T_1019 = cat(_T_1018, _T_1011) @[el2_lib.scala 383:30] + node _T_1020 = cat(_T_1019, _T_1004) @[el2_lib.scala 383:30] + node _T_1021 = xorr(_T_1020) @[el2_lib.scala 383:37] + node _T_1022 = cat(_T_776[2], _T_776[1]) @[el2_lib.scala 383:47] + node _T_1023 = cat(_T_1022, _T_776[0]) @[el2_lib.scala 383:47] + node _T_1024 = cat(_T_776[4], _T_776[3]) @[el2_lib.scala 383:47] + node _T_1025 = cat(_T_776[6], _T_776[5]) @[el2_lib.scala 383:47] + node _T_1026 = cat(_T_1025, _T_1024) @[el2_lib.scala 383:47] + node _T_1027 = cat(_T_1026, _T_1023) @[el2_lib.scala 383:47] + node _T_1028 = cat(_T_776[8], _T_776[7]) @[el2_lib.scala 383:47] + node _T_1029 = cat(_T_776[10], _T_776[9]) @[el2_lib.scala 383:47] + node _T_1030 = cat(_T_1029, _T_1028) @[el2_lib.scala 383:47] + node _T_1031 = cat(_T_776[12], _T_776[11]) @[el2_lib.scala 383:47] + node _T_1032 = cat(_T_776[14], _T_776[13]) @[el2_lib.scala 383:47] + node _T_1033 = cat(_T_1032, _T_1031) @[el2_lib.scala 383:47] + node _T_1034 = cat(_T_1033, _T_1030) @[el2_lib.scala 383:47] + node _T_1035 = cat(_T_1034, _T_1027) @[el2_lib.scala 383:47] + node _T_1036 = cat(_T_776[16], _T_776[15]) @[el2_lib.scala 383:47] + node _T_1037 = cat(_T_776[18], _T_776[17]) @[el2_lib.scala 383:47] + node _T_1038 = cat(_T_1037, _T_1036) @[el2_lib.scala 383:47] + node _T_1039 = cat(_T_776[20], _T_776[19]) @[el2_lib.scala 383:47] + node _T_1040 = cat(_T_776[22], _T_776[21]) @[el2_lib.scala 383:47] + node _T_1041 = cat(_T_1040, _T_1039) @[el2_lib.scala 383:47] + node _T_1042 = cat(_T_1041, _T_1038) @[el2_lib.scala 383:47] + node _T_1043 = cat(_T_776[24], _T_776[23]) @[el2_lib.scala 383:47] + node _T_1044 = cat(_T_776[26], _T_776[25]) @[el2_lib.scala 383:47] + node _T_1045 = cat(_T_1044, _T_1043) @[el2_lib.scala 383:47] + node _T_1046 = cat(_T_776[28], _T_776[27]) @[el2_lib.scala 383:47] + node _T_1047 = cat(_T_776[30], _T_776[29]) @[el2_lib.scala 383:47] + node _T_1048 = cat(_T_1047, _T_1046) @[el2_lib.scala 383:47] + node _T_1049 = cat(_T_1048, _T_1045) @[el2_lib.scala 383:47] + node _T_1050 = cat(_T_1049, _T_1042) @[el2_lib.scala 383:47] + node _T_1051 = cat(_T_1050, _T_1035) @[el2_lib.scala 383:47] + node _T_1052 = xorr(_T_1051) @[el2_lib.scala 383:54] + node _T_1053 = cat(_T_775[2], _T_775[1]) @[el2_lib.scala 383:64] + node _T_1054 = cat(_T_1053, _T_775[0]) @[el2_lib.scala 383:64] + node _T_1055 = cat(_T_775[4], _T_775[3]) @[el2_lib.scala 383:64] + node _T_1056 = cat(_T_775[6], _T_775[5]) @[el2_lib.scala 383:64] + node _T_1057 = cat(_T_1056, _T_1055) @[el2_lib.scala 383:64] + node _T_1058 = cat(_T_1057, _T_1054) @[el2_lib.scala 383:64] + node _T_1059 = cat(_T_775[8], _T_775[7]) @[el2_lib.scala 383:64] + node _T_1060 = cat(_T_775[10], _T_775[9]) @[el2_lib.scala 383:64] + node _T_1061 = cat(_T_1060, _T_1059) @[el2_lib.scala 383:64] + node _T_1062 = cat(_T_775[12], _T_775[11]) @[el2_lib.scala 383:64] + node _T_1063 = cat(_T_775[14], _T_775[13]) @[el2_lib.scala 383:64] + node _T_1064 = cat(_T_1063, _T_1062) @[el2_lib.scala 383:64] + node _T_1065 = cat(_T_1064, _T_1061) @[el2_lib.scala 383:64] + node _T_1066 = cat(_T_1065, _T_1058) @[el2_lib.scala 383:64] + node _T_1067 = cat(_T_775[16], _T_775[15]) @[el2_lib.scala 383:64] + node _T_1068 = cat(_T_775[18], _T_775[17]) @[el2_lib.scala 383:64] + node _T_1069 = cat(_T_1068, _T_1067) @[el2_lib.scala 383:64] + node _T_1070 = cat(_T_775[20], _T_775[19]) @[el2_lib.scala 383:64] + node _T_1071 = cat(_T_775[22], _T_775[21]) @[el2_lib.scala 383:64] + node _T_1072 = cat(_T_1071, _T_1070) @[el2_lib.scala 383:64] + node _T_1073 = cat(_T_1072, _T_1069) @[el2_lib.scala 383:64] + node _T_1074 = cat(_T_775[24], _T_775[23]) @[el2_lib.scala 383:64] + node _T_1075 = cat(_T_775[26], _T_775[25]) @[el2_lib.scala 383:64] + node _T_1076 = cat(_T_1075, _T_1074) @[el2_lib.scala 383:64] + node _T_1077 = cat(_T_775[28], _T_775[27]) @[el2_lib.scala 383:64] + node _T_1078 = cat(_T_775[30], _T_775[29]) @[el2_lib.scala 383:64] + node _T_1079 = cat(_T_1078, _T_1077) @[el2_lib.scala 383:64] + node _T_1080 = cat(_T_1079, _T_1076) @[el2_lib.scala 383:64] + node _T_1081 = cat(_T_1080, _T_1073) @[el2_lib.scala 383:64] + node _T_1082 = cat(_T_1081, _T_1066) @[el2_lib.scala 383:64] + node _T_1083 = xorr(_T_1082) @[el2_lib.scala 383:71] + node _T_1084 = cat(_T_774[1], _T_774[0]) @[el2_lib.scala 383:81] + node _T_1085 = cat(_T_774[3], _T_774[2]) @[el2_lib.scala 383:81] + node _T_1086 = cat(_T_1085, _T_1084) @[el2_lib.scala 383:81] + node _T_1087 = cat(_T_774[5], _T_774[4]) @[el2_lib.scala 383:81] + node _T_1088 = cat(_T_774[7], _T_774[6]) @[el2_lib.scala 383:81] + node _T_1089 = cat(_T_1088, _T_1087) @[el2_lib.scala 383:81] + node _T_1090 = cat(_T_1089, _T_1086) @[el2_lib.scala 383:81] + node _T_1091 = cat(_T_774[9], _T_774[8]) @[el2_lib.scala 383:81] + node _T_1092 = cat(_T_774[11], _T_774[10]) @[el2_lib.scala 383:81] + node _T_1093 = cat(_T_1092, _T_1091) @[el2_lib.scala 383:81] + node _T_1094 = cat(_T_774[13], _T_774[12]) @[el2_lib.scala 383:81] + node _T_1095 = cat(_T_774[16], _T_774[15]) @[el2_lib.scala 383:81] + node _T_1096 = cat(_T_1095, _T_774[14]) @[el2_lib.scala 383:81] + node _T_1097 = cat(_T_1096, _T_1094) @[el2_lib.scala 383:81] + node _T_1098 = cat(_T_1097, _T_1093) @[el2_lib.scala 383:81] + node _T_1099 = cat(_T_1098, _T_1090) @[el2_lib.scala 383:81] + node _T_1100 = cat(_T_774[18], _T_774[17]) @[el2_lib.scala 383:81] + node _T_1101 = cat(_T_774[20], _T_774[19]) @[el2_lib.scala 383:81] + node _T_1102 = cat(_T_1101, _T_1100) @[el2_lib.scala 383:81] + node _T_1103 = cat(_T_774[22], _T_774[21]) @[el2_lib.scala 383:81] + node _T_1104 = cat(_T_774[25], _T_774[24]) @[el2_lib.scala 383:81] + node _T_1105 = cat(_T_1104, _T_774[23]) @[el2_lib.scala 383:81] + node _T_1106 = cat(_T_1105, _T_1103) @[el2_lib.scala 383:81] + node _T_1107 = cat(_T_1106, _T_1102) @[el2_lib.scala 383:81] + node _T_1108 = cat(_T_774[27], _T_774[26]) @[el2_lib.scala 383:81] + node _T_1109 = cat(_T_774[29], _T_774[28]) @[el2_lib.scala 383:81] + node _T_1110 = cat(_T_1109, _T_1108) @[el2_lib.scala 383:81] + node _T_1111 = cat(_T_774[31], _T_774[30]) @[el2_lib.scala 383:81] + node _T_1112 = cat(_T_774[34], _T_774[33]) @[el2_lib.scala 383:81] + node _T_1113 = cat(_T_1112, _T_774[32]) @[el2_lib.scala 383:81] + node _T_1114 = cat(_T_1113, _T_1111) @[el2_lib.scala 383:81] + node _T_1115 = cat(_T_1114, _T_1110) @[el2_lib.scala 383:81] + node _T_1116 = cat(_T_1115, _T_1107) @[el2_lib.scala 383:81] + node _T_1117 = cat(_T_1116, _T_1099) @[el2_lib.scala 383:81] + node _T_1118 = xorr(_T_1117) @[el2_lib.scala 383:88] + node _T_1119 = cat(_T_773[1], _T_773[0]) @[el2_lib.scala 383:98] + node _T_1120 = cat(_T_773[3], _T_773[2]) @[el2_lib.scala 383:98] + node _T_1121 = cat(_T_1120, _T_1119) @[el2_lib.scala 383:98] + node _T_1122 = cat(_T_773[5], _T_773[4]) @[el2_lib.scala 383:98] + node _T_1123 = cat(_T_773[7], _T_773[6]) @[el2_lib.scala 383:98] + node _T_1124 = cat(_T_1123, _T_1122) @[el2_lib.scala 383:98] + node _T_1125 = cat(_T_1124, _T_1121) @[el2_lib.scala 383:98] + node _T_1126 = cat(_T_773[9], _T_773[8]) @[el2_lib.scala 383:98] + node _T_1127 = cat(_T_773[11], _T_773[10]) @[el2_lib.scala 383:98] + node _T_1128 = cat(_T_1127, _T_1126) @[el2_lib.scala 383:98] + node _T_1129 = cat(_T_773[13], _T_773[12]) @[el2_lib.scala 383:98] + node _T_1130 = cat(_T_773[16], _T_773[15]) @[el2_lib.scala 383:98] + node _T_1131 = cat(_T_1130, _T_773[14]) @[el2_lib.scala 383:98] + node _T_1132 = cat(_T_1131, _T_1129) @[el2_lib.scala 383:98] + node _T_1133 = cat(_T_1132, _T_1128) @[el2_lib.scala 383:98] + node _T_1134 = cat(_T_1133, _T_1125) @[el2_lib.scala 383:98] + node _T_1135 = cat(_T_773[18], _T_773[17]) @[el2_lib.scala 383:98] + node _T_1136 = cat(_T_773[20], _T_773[19]) @[el2_lib.scala 383:98] + node _T_1137 = cat(_T_1136, _T_1135) @[el2_lib.scala 383:98] + node _T_1138 = cat(_T_773[22], _T_773[21]) @[el2_lib.scala 383:98] + node _T_1139 = cat(_T_773[25], _T_773[24]) @[el2_lib.scala 383:98] + node _T_1140 = cat(_T_1139, _T_773[23]) @[el2_lib.scala 383:98] + node _T_1141 = cat(_T_1140, _T_1138) @[el2_lib.scala 383:98] + node _T_1142 = cat(_T_1141, _T_1137) @[el2_lib.scala 383:98] + node _T_1143 = cat(_T_773[27], _T_773[26]) @[el2_lib.scala 383:98] + node _T_1144 = cat(_T_773[29], _T_773[28]) @[el2_lib.scala 383:98] + node _T_1145 = cat(_T_1144, _T_1143) @[el2_lib.scala 383:98] + node _T_1146 = cat(_T_773[31], _T_773[30]) @[el2_lib.scala 383:98] + node _T_1147 = cat(_T_773[34], _T_773[33]) @[el2_lib.scala 383:98] + node _T_1148 = cat(_T_1147, _T_773[32]) @[el2_lib.scala 383:98] + node _T_1149 = cat(_T_1148, _T_1146) @[el2_lib.scala 383:98] + node _T_1150 = cat(_T_1149, _T_1145) @[el2_lib.scala 383:98] + node _T_1151 = cat(_T_1150, _T_1142) @[el2_lib.scala 383:98] + node _T_1152 = cat(_T_1151, _T_1134) @[el2_lib.scala 383:98] + node _T_1153 = xorr(_T_1152) @[el2_lib.scala 383:105] + node _T_1154 = cat(_T_772[1], _T_772[0]) @[el2_lib.scala 383:115] + node _T_1155 = cat(_T_772[3], _T_772[2]) @[el2_lib.scala 383:115] + node _T_1156 = cat(_T_1155, _T_1154) @[el2_lib.scala 383:115] + node _T_1157 = cat(_T_772[5], _T_772[4]) @[el2_lib.scala 383:115] + node _T_1158 = cat(_T_772[7], _T_772[6]) @[el2_lib.scala 383:115] + node _T_1159 = cat(_T_1158, _T_1157) @[el2_lib.scala 383:115] + node _T_1160 = cat(_T_1159, _T_1156) @[el2_lib.scala 383:115] + node _T_1161 = cat(_T_772[9], _T_772[8]) @[el2_lib.scala 383:115] + node _T_1162 = cat(_T_772[11], _T_772[10]) @[el2_lib.scala 383:115] + node _T_1163 = cat(_T_1162, _T_1161) @[el2_lib.scala 383:115] + node _T_1164 = cat(_T_772[13], _T_772[12]) @[el2_lib.scala 383:115] + node _T_1165 = cat(_T_772[16], _T_772[15]) @[el2_lib.scala 383:115] + node _T_1166 = cat(_T_1165, _T_772[14]) @[el2_lib.scala 383:115] + node _T_1167 = cat(_T_1166, _T_1164) @[el2_lib.scala 383:115] + node _T_1168 = cat(_T_1167, _T_1163) @[el2_lib.scala 383:115] + node _T_1169 = cat(_T_1168, _T_1160) @[el2_lib.scala 383:115] + node _T_1170 = cat(_T_772[18], _T_772[17]) @[el2_lib.scala 383:115] + node _T_1171 = cat(_T_772[20], _T_772[19]) @[el2_lib.scala 383:115] + node _T_1172 = cat(_T_1171, _T_1170) @[el2_lib.scala 383:115] + node _T_1173 = cat(_T_772[22], _T_772[21]) @[el2_lib.scala 383:115] + node _T_1174 = cat(_T_772[25], _T_772[24]) @[el2_lib.scala 383:115] + node _T_1175 = cat(_T_1174, _T_772[23]) @[el2_lib.scala 383:115] + node _T_1176 = cat(_T_1175, _T_1173) @[el2_lib.scala 383:115] + node _T_1177 = cat(_T_1176, _T_1172) @[el2_lib.scala 383:115] + node _T_1178 = cat(_T_772[27], _T_772[26]) @[el2_lib.scala 383:115] + node _T_1179 = cat(_T_772[29], _T_772[28]) @[el2_lib.scala 383:115] + node _T_1180 = cat(_T_1179, _T_1178) @[el2_lib.scala 383:115] + node _T_1181 = cat(_T_772[31], _T_772[30]) @[el2_lib.scala 383:115] + node _T_1182 = cat(_T_772[34], _T_772[33]) @[el2_lib.scala 383:115] + node _T_1183 = cat(_T_1182, _T_772[32]) @[el2_lib.scala 383:115] + node _T_1184 = cat(_T_1183, _T_1181) @[el2_lib.scala 383:115] + node _T_1185 = cat(_T_1184, _T_1180) @[el2_lib.scala 383:115] + node _T_1186 = cat(_T_1185, _T_1177) @[el2_lib.scala 383:115] + node _T_1187 = cat(_T_1186, _T_1169) @[el2_lib.scala 383:115] + node _T_1188 = xorr(_T_1187) @[el2_lib.scala 383:122] node _T_1189 = cat(_T_1118, _T_1153) @[Cat.scala 29:58] node _T_1190 = cat(_T_1189, _T_1188) @[Cat.scala 29:58] node _T_1191 = cat(_T_1052, _T_1083) @[Cat.scala 29:58] @@ -1867,24 +1867,24 @@ circuit el2_ifu_mem_ctl : node ic_miss_buff_ecc = cat(_T_1193, _T_1190) @[Cat.scala 29:58] wire ic_wr_16bytes_data : UInt<142> ic_wr_16bytes_data <= UInt<1>("h00") - node _T_1194 = bits(ic_wr_16bytes_data, 70, 0) @[el2_ifu_mem_ctl.scala 348:72] - node _T_1195 = bits(ic_wr_16bytes_data, 141, 71) @[el2_ifu_mem_ctl.scala 348:72] - io.ic_wr_data[0] <= _T_1194 @[el2_ifu_mem_ctl.scala 348:17] - io.ic_wr_data[1] <= _T_1195 @[el2_ifu_mem_ctl.scala 348:17] - io.ic_debug_wr_data <= io.dec_tlu_ic_diag_pkt.icache_wrdata @[el2_ifu_mem_ctl.scala 349:23] + node _T_1194 = bits(ic_wr_16bytes_data, 70, 0) @[el2_ifu_mem_ctl.scala 343:72] + node _T_1195 = bits(ic_wr_16bytes_data, 141, 71) @[el2_ifu_mem_ctl.scala 343:72] + io.ic_wr_data[0] <= _T_1194 @[el2_ifu_mem_ctl.scala 343:17] + io.ic_wr_data[1] <= _T_1195 @[el2_ifu_mem_ctl.scala 343:17] + io.ic_debug_wr_data <= io.dec_tlu_ic_diag_pkt.icache_wrdata @[el2_ifu_mem_ctl.scala 344:23] wire ic_rd_parity_final_err : UInt<1> ic_rd_parity_final_err <= UInt<1>("h00") - node _T_1196 = orr(io.ic_eccerr) @[el2_ifu_mem_ctl.scala 351:56] - node _T_1197 = and(_T_1196, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 351:83] - node _T_1198 = or(_T_1197, ic_rd_parity_final_err) @[el2_ifu_mem_ctl.scala 351:99] - io.ic_error_start <= _T_1198 @[el2_ifu_mem_ctl.scala 351:21] + node _T_1196 = orr(io.ic_eccerr) @[el2_ifu_mem_ctl.scala 346:56] + node _T_1197 = and(_T_1196, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 346:83] + node _T_1198 = or(_T_1197, ic_rd_parity_final_err) @[el2_ifu_mem_ctl.scala 346:99] + io.ic_error_start <= _T_1198 @[el2_ifu_mem_ctl.scala 346:21] wire ic_debug_tag_val_rd_out : UInt<1> ic_debug_tag_val_rd_out <= UInt<1>("h00") wire ic_debug_ict_array_sel_ff : UInt<1> ic_debug_ict_array_sel_ff <= UInt<1>("h00") - node _T_1199 = bits(ic_debug_ict_array_sel_ff, 0, 0) @[el2_ifu_mem_ctl.scala 354:63] - node _T_1200 = bits(io.ictag_debug_rd_data, 25, 21) @[el2_ifu_mem_ctl.scala 354:121] - node _T_1201 = bits(io.ictag_debug_rd_data, 20, 0) @[el2_ifu_mem_ctl.scala 354:161] + node _T_1199 = bits(ic_debug_ict_array_sel_ff, 0, 0) @[el2_ifu_mem_ctl.scala 349:63] + node _T_1200 = bits(io.ictag_debug_rd_data, 25, 21) @[el2_ifu_mem_ctl.scala 349:121] + node _T_1201 = bits(io.ictag_debug_rd_data, 20, 0) @[el2_ifu_mem_ctl.scala 349:161] node _T_1202 = cat(UInt<3>("h00"), ic_debug_tag_val_rd_out) @[Cat.scala 29:58] node _T_1203 = cat(UInt<1>("h00"), way_status) @[Cat.scala 29:58] node _T_1204 = cat(_T_1203, _T_1202) @[Cat.scala 29:58] @@ -1892,287 +1892,287 @@ circuit el2_ifu_mem_ctl : node _T_1206 = cat(UInt<2>("h00"), _T_1200) @[Cat.scala 29:58] node _T_1207 = cat(_T_1206, _T_1205) @[Cat.scala 29:58] node _T_1208 = cat(_T_1207, _T_1204) @[Cat.scala 29:58] - node ifu_ic_debug_rd_data_in = mux(_T_1199, _T_1208, io.ic_debug_rd_data) @[el2_ifu_mem_ctl.scala 354:36] + node ifu_ic_debug_rd_data_in = mux(_T_1199, _T_1208, io.ic_debug_rd_data) @[el2_ifu_mem_ctl.scala 349:36] reg _T_1209 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ic_debug_rd_en_ff : @[Reg.scala 28:19] _T_1209 <= ifu_ic_debug_rd_data_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.ifu_ic_debug_rd_data <= _T_1209 @[el2_ifu_mem_ctl.scala 357:27] - node _T_1210 = bits(ifu_bus_rdata_ff, 15, 0) @[el2_ifu_mem_ctl.scala 358:74] + io.ifu_ic_debug_rd_data <= _T_1209 @[el2_ifu_mem_ctl.scala 352:27] + node _T_1210 = bits(ifu_bus_rdata_ff, 15, 0) @[el2_ifu_mem_ctl.scala 353:74] node _T_1211 = xorr(_T_1210) @[el2_lib.scala 208:13] - node _T_1212 = bits(ifu_bus_rdata_ff, 31, 16) @[el2_ifu_mem_ctl.scala 358:74] + node _T_1212 = bits(ifu_bus_rdata_ff, 31, 16) @[el2_ifu_mem_ctl.scala 353:74] node _T_1213 = xorr(_T_1212) @[el2_lib.scala 208:13] - node _T_1214 = bits(ifu_bus_rdata_ff, 47, 32) @[el2_ifu_mem_ctl.scala 358:74] + node _T_1214 = bits(ifu_bus_rdata_ff, 47, 32) @[el2_ifu_mem_ctl.scala 353:74] node _T_1215 = xorr(_T_1214) @[el2_lib.scala 208:13] - node _T_1216 = bits(ifu_bus_rdata_ff, 63, 48) @[el2_ifu_mem_ctl.scala 358:74] + node _T_1216 = bits(ifu_bus_rdata_ff, 63, 48) @[el2_ifu_mem_ctl.scala 353:74] node _T_1217 = xorr(_T_1216) @[el2_lib.scala 208:13] node _T_1218 = cat(_T_1217, _T_1215) @[Cat.scala 29:58] node _T_1219 = cat(_T_1218, _T_1213) @[Cat.scala 29:58] node ic_wr_parity = cat(_T_1219, _T_1211) @[Cat.scala 29:58] - node _T_1220 = bits(ic_miss_buff_half, 15, 0) @[el2_ifu_mem_ctl.scala 359:82] + node _T_1220 = bits(ic_miss_buff_half, 15, 0) @[el2_ifu_mem_ctl.scala 354:82] node _T_1221 = xorr(_T_1220) @[el2_lib.scala 208:13] - node _T_1222 = bits(ic_miss_buff_half, 31, 16) @[el2_ifu_mem_ctl.scala 359:82] + node _T_1222 = bits(ic_miss_buff_half, 31, 16) @[el2_ifu_mem_ctl.scala 354:82] node _T_1223 = xorr(_T_1222) @[el2_lib.scala 208:13] - node _T_1224 = bits(ic_miss_buff_half, 47, 32) @[el2_ifu_mem_ctl.scala 359:82] + node _T_1224 = bits(ic_miss_buff_half, 47, 32) @[el2_ifu_mem_ctl.scala 354:82] node _T_1225 = xorr(_T_1224) @[el2_lib.scala 208:13] - node _T_1226 = bits(ic_miss_buff_half, 63, 48) @[el2_ifu_mem_ctl.scala 359:82] + node _T_1226 = bits(ic_miss_buff_half, 63, 48) @[el2_ifu_mem_ctl.scala 354:82] node _T_1227 = xorr(_T_1226) @[el2_lib.scala 208:13] node _T_1228 = cat(_T_1227, _T_1225) @[Cat.scala 29:58] node _T_1229 = cat(_T_1228, _T_1223) @[Cat.scala 29:58] node ic_miss_buff_parity = cat(_T_1229, _T_1221) @[Cat.scala 29:58] - node _T_1230 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 361:43] - node _T_1231 = bits(_T_1230, 0, 0) @[el2_ifu_mem_ctl.scala 361:47] + node _T_1230 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 356:43] + node _T_1231 = bits(_T_1230, 0, 0) @[el2_ifu_mem_ctl.scala 356:47] node _T_1232 = cat(ic_miss_buff_ecc, ic_miss_buff_half) @[Cat.scala 29:58] node _T_1233 = cat(ic_wr_ecc, ifu_bus_rdata_ff) @[Cat.scala 29:58] node _T_1234 = cat(_T_1233, _T_1232) @[Cat.scala 29:58] node _T_1235 = cat(ic_wr_ecc, ifu_bus_rdata_ff) @[Cat.scala 29:58] node _T_1236 = cat(ic_miss_buff_ecc, ic_miss_buff_half) @[Cat.scala 29:58] node _T_1237 = cat(_T_1236, _T_1235) @[Cat.scala 29:58] - node _T_1238 = mux(_T_1231, _T_1234, _T_1237) @[el2_ifu_mem_ctl.scala 361:28] - ic_wr_16bytes_data <= _T_1238 @[el2_ifu_mem_ctl.scala 361:22] + node _T_1238 = mux(_T_1231, _T_1234, _T_1237) @[el2_ifu_mem_ctl.scala 356:28] + ic_wr_16bytes_data <= _T_1238 @[el2_ifu_mem_ctl.scala 356:22] wire bus_ifu_wr_data_error_ff : UInt<1> bus_ifu_wr_data_error_ff <= UInt<1>("h00") wire ifu_wr_data_comb_err_ff : UInt<1> ifu_wr_data_comb_err_ff <= UInt<1>("h00") wire reset_beat_cnt : UInt<1> reset_beat_cnt <= UInt<1>("h00") - node _T_1239 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 368:53] - node _T_1240 = eq(reset_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 368:82] - node ifu_wr_cumulative_err = and(_T_1239, _T_1240) @[el2_ifu_mem_ctl.scala 368:80] - node _T_1241 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 369:55] - ifu_wr_cumulative_err_data <= _T_1241 @[el2_ifu_mem_ctl.scala 369:30] - reg _T_1242 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 370:61] - _T_1242 <= ifu_wr_cumulative_err @[el2_ifu_mem_ctl.scala 370:61] - ifu_wr_data_comb_err_ff <= _T_1242 @[el2_ifu_mem_ctl.scala 370:27] + node _T_1239 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 363:53] + node _T_1240 = eq(reset_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 363:82] + node ifu_wr_cumulative_err = and(_T_1239, _T_1240) @[el2_ifu_mem_ctl.scala 363:80] + node _T_1241 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 364:55] + ifu_wr_cumulative_err_data <= _T_1241 @[el2_ifu_mem_ctl.scala 364:30] + reg _T_1242 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 365:61] + _T_1242 <= ifu_wr_cumulative_err @[el2_ifu_mem_ctl.scala 365:61] + ifu_wr_data_comb_err_ff <= _T_1242 @[el2_ifu_mem_ctl.scala 365:27] wire ic_crit_wd_rdy : UInt<1> ic_crit_wd_rdy <= UInt<1>("h00") wire ifu_byp_data_err_new : UInt<1> ifu_byp_data_err_new <= UInt<1>("h00") - node _T_1243 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 373:51] - node _T_1244 = or(ic_crit_wd_rdy, _T_1243) @[el2_ifu_mem_ctl.scala 373:38] - node _T_1245 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 373:77] - node _T_1246 = or(_T_1244, _T_1245) @[el2_ifu_mem_ctl.scala 373:64] - node _T_1247 = eq(ifu_byp_data_err_new, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 373:98] - node sel_byp_data = and(_T_1246, _T_1247) @[el2_ifu_mem_ctl.scala 373:96] - node _T_1248 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 374:51] - node _T_1249 = or(ic_crit_wd_rdy, _T_1248) @[el2_ifu_mem_ctl.scala 374:38] - node _T_1250 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 374:77] - node _T_1251 = or(_T_1249, _T_1250) @[el2_ifu_mem_ctl.scala 374:64] - node _T_1252 = eq(_T_1251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 374:21] - node _T_1253 = eq(fetch_req_iccm_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 374:98] - node sel_ic_data = and(_T_1252, _T_1253) @[el2_ifu_mem_ctl.scala 374:96] + node _T_1243 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 368:51] + node _T_1244 = or(ic_crit_wd_rdy, _T_1243) @[el2_ifu_mem_ctl.scala 368:38] + node _T_1245 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 368:77] + node _T_1246 = or(_T_1244, _T_1245) @[el2_ifu_mem_ctl.scala 368:64] + node _T_1247 = eq(ifu_byp_data_err_new, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 368:98] + node sel_byp_data = and(_T_1246, _T_1247) @[el2_ifu_mem_ctl.scala 368:96] + node _T_1248 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 369:51] + node _T_1249 = or(ic_crit_wd_rdy, _T_1248) @[el2_ifu_mem_ctl.scala 369:38] + node _T_1250 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 369:77] + node _T_1251 = or(_T_1249, _T_1250) @[el2_ifu_mem_ctl.scala 369:64] + node _T_1252 = eq(_T_1251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 369:21] + node _T_1253 = eq(fetch_req_iccm_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 369:98] + node sel_ic_data = and(_T_1252, _T_1253) @[el2_ifu_mem_ctl.scala 369:96] wire ic_byp_data_only_new : UInt<80> ic_byp_data_only_new <= UInt<1>("h00") - node _T_1254 = or(fetch_req_iccm_f, sel_ic_data) @[el2_ifu_mem_ctl.scala 378:81] - node _T_1255 = or(sel_byp_data, _T_1254) @[el2_ifu_mem_ctl.scala 378:47] - node _T_1256 = bits(_T_1255, 0, 0) @[el2_ifu_mem_ctl.scala 378:140] + node _T_1254 = or(fetch_req_iccm_f, sel_ic_data) @[el2_ifu_mem_ctl.scala 373:81] + node _T_1255 = or(sel_byp_data, _T_1254) @[el2_ifu_mem_ctl.scala 373:47] + node _T_1256 = bits(_T_1255, 0, 0) @[el2_ifu_mem_ctl.scala 373:140] node _T_1257 = bits(fetch_req_iccm_f, 0, 0) @[Bitwise.scala 72:15] node _T_1258 = mux(_T_1257, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_1259 = and(_T_1258, io.iccm_rd_data) @[el2_ifu_mem_ctl.scala 380:69] + node _T_1259 = and(_T_1258, io.iccm_rd_data) @[el2_ifu_mem_ctl.scala 375:69] node _T_1260 = bits(sel_byp_data, 0, 0) @[Bitwise.scala 72:15] node _T_1261 = mux(_T_1260, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_1262 = and(_T_1261, ic_byp_data_only_new) @[el2_ifu_mem_ctl.scala 380:114] - node ic_premux_data_temp = or(_T_1259, _T_1262) @[el2_ifu_mem_ctl.scala 380:88] - node ic_sel_premux_data_temp = or(fetch_req_iccm_f, sel_byp_data) @[el2_ifu_mem_ctl.scala 382:63] - io.ic_premux_data <= ic_premux_data_temp @[el2_ifu_mem_ctl.scala 383:21] - io.ic_sel_premux_data <= ic_sel_premux_data_temp @[el2_ifu_mem_ctl.scala 384:25] - node ifc_bus_acc_fault_f = and(ic_byp_hit_f, ifu_byp_data_err_new) @[el2_ifu_mem_ctl.scala 385:42] - io.ic_data_f <= io.ic_rd_data @[el2_ifu_mem_ctl.scala 386:16] - node _T_1263 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 387:40] - node fetch_req_f_qual = and(io.ic_hit_f, _T_1263) @[el2_ifu_mem_ctl.scala 387:38] + node _T_1262 = and(_T_1261, ic_byp_data_only_new) @[el2_ifu_mem_ctl.scala 375:114] + node ic_premux_data_temp = or(_T_1259, _T_1262) @[el2_ifu_mem_ctl.scala 375:88] + node ic_sel_premux_data_temp = or(fetch_req_iccm_f, sel_byp_data) @[el2_ifu_mem_ctl.scala 377:63] + io.ic_premux_data <= ic_premux_data_temp @[el2_ifu_mem_ctl.scala 378:21] + io.ic_sel_premux_data <= ic_sel_premux_data_temp @[el2_ifu_mem_ctl.scala 379:25] + node ifc_bus_acc_fault_f = and(ic_byp_hit_f, ifu_byp_data_err_new) @[el2_ifu_mem_ctl.scala 380:42] + io.ic_data_f <= io.ic_rd_data @[el2_ifu_mem_ctl.scala 381:16] + node _T_1263 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 382:40] + node fetch_req_f_qual = and(io.ic_hit_f, _T_1263) @[el2_ifu_mem_ctl.scala 382:38] wire ifc_region_acc_fault_memory_f : UInt<1> ifc_region_acc_fault_memory_f <= UInt<1>("h00") - node _T_1264 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 389:57] - node _T_1265 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 389:82] - node _T_1266 = and(_T_1264, _T_1265) @[el2_ifu_mem_ctl.scala 389:80] - io.ic_access_fault_f <= _T_1266 @[el2_ifu_mem_ctl.scala 389:24] - node _T_1267 = bits(io.iccm_rd_ecc_double_err, 0, 0) @[el2_ifu_mem_ctl.scala 390:62] - node _T_1268 = bits(ifc_region_acc_fault_f, 0, 0) @[el2_ifu_mem_ctl.scala 391:32] - node _T_1269 = bits(ifc_region_acc_fault_memory_f, 0, 0) @[el2_ifu_mem_ctl.scala 392:47] - node _T_1270 = mux(_T_1269, UInt<2>("h03"), UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 392:10] - node _T_1271 = mux(_T_1268, UInt<2>("h02"), _T_1270) @[el2_ifu_mem_ctl.scala 391:8] - node _T_1272 = mux(_T_1267, UInt<1>("h01"), _T_1271) @[el2_ifu_mem_ctl.scala 390:35] - io.ic_access_fault_type_f <= _T_1272 @[el2_ifu_mem_ctl.scala 390:29] - node _T_1273 = and(fetch_req_f_qual, io.ifu_bp_inst_mask_f) @[el2_ifu_mem_ctl.scala 393:45] + node _T_1264 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 384:57] + node _T_1265 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 384:82] + node _T_1266 = and(_T_1264, _T_1265) @[el2_ifu_mem_ctl.scala 384:80] + io.ic_access_fault_f <= _T_1266 @[el2_ifu_mem_ctl.scala 384:24] + node _T_1267 = bits(io.iccm_rd_ecc_double_err, 0, 0) @[el2_ifu_mem_ctl.scala 385:62] + node _T_1268 = bits(ifc_region_acc_fault_f, 0, 0) @[el2_ifu_mem_ctl.scala 386:32] + node _T_1269 = bits(ifc_region_acc_fault_memory_f, 0, 0) @[el2_ifu_mem_ctl.scala 387:47] + node _T_1270 = mux(_T_1269, UInt<2>("h03"), UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 387:10] + node _T_1271 = mux(_T_1268, UInt<2>("h02"), _T_1270) @[el2_ifu_mem_ctl.scala 386:8] + node _T_1272 = mux(_T_1267, UInt<1>("h01"), _T_1271) @[el2_ifu_mem_ctl.scala 385:35] + io.ic_access_fault_type_f <= _T_1272 @[el2_ifu_mem_ctl.scala 385:29] + node _T_1273 = and(fetch_req_f_qual, io.ifu_bp_inst_mask_f) @[el2_ifu_mem_ctl.scala 388:45] node _T_1274 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_1275 = eq(vaddr_f, _T_1274) @[el2_ifu_mem_ctl.scala 393:80] - node _T_1276 = eq(_T_1275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 393:71] - node _T_1277 = and(_T_1273, _T_1276) @[el2_ifu_mem_ctl.scala 393:69] - node _T_1278 = neq(err_stop_state, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 393:131] - node _T_1279 = and(_T_1277, _T_1278) @[el2_ifu_mem_ctl.scala 393:114] + node _T_1275 = eq(vaddr_f, _T_1274) @[el2_ifu_mem_ctl.scala 388:80] + node _T_1276 = eq(_T_1275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 388:71] + node _T_1277 = and(_T_1273, _T_1276) @[el2_ifu_mem_ctl.scala 388:69] + node _T_1278 = neq(err_stop_state, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 388:131] + node _T_1279 = and(_T_1277, _T_1278) @[el2_ifu_mem_ctl.scala 388:114] node _T_1280 = cat(_T_1279, fetch_req_f_qual) @[Cat.scala 29:58] - io.ic_fetch_val_f <= _T_1280 @[el2_ifu_mem_ctl.scala 393:21] - node _T_1281 = bits(io.ic_data_f, 1, 0) @[el2_ifu_mem_ctl.scala 394:36] - node two_byte_instr = neq(_T_1281, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 394:42] + io.ic_fetch_val_f <= _T_1280 @[el2_ifu_mem_ctl.scala 388:21] + node _T_1281 = bits(io.ic_data_f, 1, 0) @[el2_ifu_mem_ctl.scala 389:36] + node two_byte_instr = neq(_T_1281, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 389:42] wire ic_miss_buff_data_in : UInt<64> ic_miss_buff_data_in <= UInt<1>("h00") wire ifu_bus_rsp_tag : UInt<3> ifu_bus_rsp_tag <= UInt<1>("h00") wire bus_ifu_wr_en : UInt<1> bus_ifu_wr_en <= UInt<1>("h00") - node _T_1282 = eq(ifu_bus_rsp_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 400:91] - node write_fill_data_0 = and(bus_ifu_wr_en, _T_1282) @[el2_ifu_mem_ctl.scala 400:73] - node _T_1283 = eq(ifu_bus_rsp_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 400:91] - node write_fill_data_1 = and(bus_ifu_wr_en, _T_1283) @[el2_ifu_mem_ctl.scala 400:73] - node _T_1284 = eq(ifu_bus_rsp_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 400:91] - node write_fill_data_2 = and(bus_ifu_wr_en, _T_1284) @[el2_ifu_mem_ctl.scala 400:73] - node _T_1285 = eq(ifu_bus_rsp_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 400:91] - node write_fill_data_3 = and(bus_ifu_wr_en, _T_1285) @[el2_ifu_mem_ctl.scala 400:73] - node _T_1286 = eq(ifu_bus_rsp_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 400:91] - node write_fill_data_4 = and(bus_ifu_wr_en, _T_1286) @[el2_ifu_mem_ctl.scala 400:73] - node _T_1287 = eq(ifu_bus_rsp_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 400:91] - node write_fill_data_5 = and(bus_ifu_wr_en, _T_1287) @[el2_ifu_mem_ctl.scala 400:73] - node _T_1288 = eq(ifu_bus_rsp_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 400:91] - node write_fill_data_6 = and(bus_ifu_wr_en, _T_1288) @[el2_ifu_mem_ctl.scala 400:73] - node _T_1289 = eq(ifu_bus_rsp_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 400:91] - node write_fill_data_7 = and(bus_ifu_wr_en, _T_1289) @[el2_ifu_mem_ctl.scala 400:73] - wire ic_miss_buff_data : UInt<32>[16] @[el2_ifu_mem_ctl.scala 401:31] - node _T_1290 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 403:59] - node _T_1291 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 403:97] + node _T_1282 = eq(ifu_bus_rsp_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 395:91] + node write_fill_data_0 = and(bus_ifu_wr_en, _T_1282) @[el2_ifu_mem_ctl.scala 395:73] + node _T_1283 = eq(ifu_bus_rsp_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 395:91] + node write_fill_data_1 = and(bus_ifu_wr_en, _T_1283) @[el2_ifu_mem_ctl.scala 395:73] + node _T_1284 = eq(ifu_bus_rsp_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 395:91] + node write_fill_data_2 = and(bus_ifu_wr_en, _T_1284) @[el2_ifu_mem_ctl.scala 395:73] + node _T_1285 = eq(ifu_bus_rsp_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 395:91] + node write_fill_data_3 = and(bus_ifu_wr_en, _T_1285) @[el2_ifu_mem_ctl.scala 395:73] + node _T_1286 = eq(ifu_bus_rsp_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 395:91] + node write_fill_data_4 = and(bus_ifu_wr_en, _T_1286) @[el2_ifu_mem_ctl.scala 395:73] + node _T_1287 = eq(ifu_bus_rsp_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 395:91] + node write_fill_data_5 = and(bus_ifu_wr_en, _T_1287) @[el2_ifu_mem_ctl.scala 395:73] + node _T_1288 = eq(ifu_bus_rsp_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 395:91] + node write_fill_data_6 = and(bus_ifu_wr_en, _T_1288) @[el2_ifu_mem_ctl.scala 395:73] + node _T_1289 = eq(ifu_bus_rsp_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 395:91] + node write_fill_data_7 = and(bus_ifu_wr_en, _T_1289) @[el2_ifu_mem_ctl.scala 395:73] + wire ic_miss_buff_data : UInt<32>[16] @[el2_ifu_mem_ctl.scala 396:31] + node _T_1290 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 398:59] + node _T_1291 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 398:97] reg _T_1292 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1291 : @[Reg.scala 28:19] _T_1292 <= _T_1290 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[0] <= _T_1292 @[el2_ifu_mem_ctl.scala 403:26] - node _T_1293 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 404:61] - node _T_1294 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 404:100] + ic_miss_buff_data[0] <= _T_1292 @[el2_ifu_mem_ctl.scala 398:26] + node _T_1293 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 399:61] + node _T_1294 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 399:100] reg _T_1295 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1294 : @[Reg.scala 28:19] _T_1295 <= _T_1293 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[1] <= _T_1295 @[el2_ifu_mem_ctl.scala 404:28] - node _T_1296 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 403:59] - node _T_1297 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 403:97] + ic_miss_buff_data[1] <= _T_1295 @[el2_ifu_mem_ctl.scala 399:28] + node _T_1296 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 398:59] + node _T_1297 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 398:97] reg _T_1298 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1297 : @[Reg.scala 28:19] _T_1298 <= _T_1296 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[2] <= _T_1298 @[el2_ifu_mem_ctl.scala 403:26] - node _T_1299 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 404:61] - node _T_1300 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 404:100] + ic_miss_buff_data[2] <= _T_1298 @[el2_ifu_mem_ctl.scala 398:26] + node _T_1299 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 399:61] + node _T_1300 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 399:100] reg _T_1301 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1300 : @[Reg.scala 28:19] _T_1301 <= _T_1299 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[3] <= _T_1301 @[el2_ifu_mem_ctl.scala 404:28] - node _T_1302 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 403:59] - node _T_1303 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 403:97] + ic_miss_buff_data[3] <= _T_1301 @[el2_ifu_mem_ctl.scala 399:28] + node _T_1302 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 398:59] + node _T_1303 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 398:97] reg _T_1304 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1303 : @[Reg.scala 28:19] _T_1304 <= _T_1302 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[4] <= _T_1304 @[el2_ifu_mem_ctl.scala 403:26] - node _T_1305 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 404:61] - node _T_1306 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 404:100] + ic_miss_buff_data[4] <= _T_1304 @[el2_ifu_mem_ctl.scala 398:26] + node _T_1305 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 399:61] + node _T_1306 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 399:100] reg _T_1307 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1306 : @[Reg.scala 28:19] _T_1307 <= _T_1305 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[5] <= _T_1307 @[el2_ifu_mem_ctl.scala 404:28] - node _T_1308 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 403:59] - node _T_1309 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 403:97] + ic_miss_buff_data[5] <= _T_1307 @[el2_ifu_mem_ctl.scala 399:28] + node _T_1308 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 398:59] + node _T_1309 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 398:97] reg _T_1310 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1309 : @[Reg.scala 28:19] _T_1310 <= _T_1308 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[6] <= _T_1310 @[el2_ifu_mem_ctl.scala 403:26] - node _T_1311 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 404:61] - node _T_1312 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 404:100] + ic_miss_buff_data[6] <= _T_1310 @[el2_ifu_mem_ctl.scala 398:26] + node _T_1311 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 399:61] + node _T_1312 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 399:100] reg _T_1313 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1312 : @[Reg.scala 28:19] _T_1313 <= _T_1311 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[7] <= _T_1313 @[el2_ifu_mem_ctl.scala 404:28] - node _T_1314 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 403:59] - node _T_1315 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 403:97] + ic_miss_buff_data[7] <= _T_1313 @[el2_ifu_mem_ctl.scala 399:28] + node _T_1314 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 398:59] + node _T_1315 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 398:97] reg _T_1316 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1315 : @[Reg.scala 28:19] _T_1316 <= _T_1314 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[8] <= _T_1316 @[el2_ifu_mem_ctl.scala 403:26] - node _T_1317 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 404:61] - node _T_1318 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 404:100] + ic_miss_buff_data[8] <= _T_1316 @[el2_ifu_mem_ctl.scala 398:26] + node _T_1317 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 399:61] + node _T_1318 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 399:100] reg _T_1319 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1318 : @[Reg.scala 28:19] _T_1319 <= _T_1317 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[9] <= _T_1319 @[el2_ifu_mem_ctl.scala 404:28] - node _T_1320 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 403:59] - node _T_1321 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 403:97] + ic_miss_buff_data[9] <= _T_1319 @[el2_ifu_mem_ctl.scala 399:28] + node _T_1320 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 398:59] + node _T_1321 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 398:97] reg _T_1322 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1321 : @[Reg.scala 28:19] _T_1322 <= _T_1320 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[10] <= _T_1322 @[el2_ifu_mem_ctl.scala 403:26] - node _T_1323 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 404:61] - node _T_1324 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 404:100] + ic_miss_buff_data[10] <= _T_1322 @[el2_ifu_mem_ctl.scala 398:26] + node _T_1323 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 399:61] + node _T_1324 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 399:100] reg _T_1325 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1324 : @[Reg.scala 28:19] _T_1325 <= _T_1323 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[11] <= _T_1325 @[el2_ifu_mem_ctl.scala 404:28] - node _T_1326 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 403:59] - node _T_1327 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 403:97] + ic_miss_buff_data[11] <= _T_1325 @[el2_ifu_mem_ctl.scala 399:28] + node _T_1326 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 398:59] + node _T_1327 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 398:97] reg _T_1328 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1327 : @[Reg.scala 28:19] _T_1328 <= _T_1326 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[12] <= _T_1328 @[el2_ifu_mem_ctl.scala 403:26] - node _T_1329 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 404:61] - node _T_1330 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 404:100] + ic_miss_buff_data[12] <= _T_1328 @[el2_ifu_mem_ctl.scala 398:26] + node _T_1329 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 399:61] + node _T_1330 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 399:100] reg _T_1331 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1330 : @[Reg.scala 28:19] _T_1331 <= _T_1329 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[13] <= _T_1331 @[el2_ifu_mem_ctl.scala 404:28] - node _T_1332 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 403:59] - node _T_1333 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 403:97] + ic_miss_buff_data[13] <= _T_1331 @[el2_ifu_mem_ctl.scala 399:28] + node _T_1332 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 398:59] + node _T_1333 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 398:97] reg _T_1334 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1333 : @[Reg.scala 28:19] _T_1334 <= _T_1332 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[14] <= _T_1334 @[el2_ifu_mem_ctl.scala 403:26] - node _T_1335 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 404:61] - node _T_1336 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 404:100] + ic_miss_buff_data[14] <= _T_1334 @[el2_ifu_mem_ctl.scala 398:26] + node _T_1335 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 399:61] + node _T_1336 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 399:100] reg _T_1337 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1336 : @[Reg.scala 28:19] _T_1337 <= _T_1335 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[15] <= _T_1337 @[el2_ifu_mem_ctl.scala 404:28] + ic_miss_buff_data[15] <= _T_1337 @[el2_ifu_mem_ctl.scala 399:28] wire ic_miss_buff_data_valid : UInt<8> ic_miss_buff_data_valid <= UInt<1>("h00") - node _T_1338 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 406:113] - node _T_1339 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:118] - node _T_1340 = and(_T_1338, _T_1339) @[el2_ifu_mem_ctl.scala 406:116] - node ic_miss_buff_data_valid_in_0 = or(write_fill_data_0, _T_1340) @[el2_ifu_mem_ctl.scala 406:88] - node _T_1341 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 406:113] - node _T_1342 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:118] - node _T_1343 = and(_T_1341, _T_1342) @[el2_ifu_mem_ctl.scala 406:116] - node ic_miss_buff_data_valid_in_1 = or(write_fill_data_1, _T_1343) @[el2_ifu_mem_ctl.scala 406:88] - node _T_1344 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 406:113] - node _T_1345 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:118] - node _T_1346 = and(_T_1344, _T_1345) @[el2_ifu_mem_ctl.scala 406:116] - node ic_miss_buff_data_valid_in_2 = or(write_fill_data_2, _T_1346) @[el2_ifu_mem_ctl.scala 406:88] - node _T_1347 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 406:113] - node _T_1348 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:118] - node _T_1349 = and(_T_1347, _T_1348) @[el2_ifu_mem_ctl.scala 406:116] - node ic_miss_buff_data_valid_in_3 = or(write_fill_data_3, _T_1349) @[el2_ifu_mem_ctl.scala 406:88] - node _T_1350 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 406:113] - node _T_1351 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:118] - node _T_1352 = and(_T_1350, _T_1351) @[el2_ifu_mem_ctl.scala 406:116] - node ic_miss_buff_data_valid_in_4 = or(write_fill_data_4, _T_1352) @[el2_ifu_mem_ctl.scala 406:88] - node _T_1353 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 406:113] - node _T_1354 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:118] - node _T_1355 = and(_T_1353, _T_1354) @[el2_ifu_mem_ctl.scala 406:116] - node ic_miss_buff_data_valid_in_5 = or(write_fill_data_5, _T_1355) @[el2_ifu_mem_ctl.scala 406:88] - node _T_1356 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 406:113] - node _T_1357 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:118] - node _T_1358 = and(_T_1356, _T_1357) @[el2_ifu_mem_ctl.scala 406:116] - node ic_miss_buff_data_valid_in_6 = or(write_fill_data_6, _T_1358) @[el2_ifu_mem_ctl.scala 406:88] - node _T_1359 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 406:113] - node _T_1360 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:118] - node _T_1361 = and(_T_1359, _T_1360) @[el2_ifu_mem_ctl.scala 406:116] - node ic_miss_buff_data_valid_in_7 = or(write_fill_data_7, _T_1361) @[el2_ifu_mem_ctl.scala 406:88] + node _T_1338 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 401:113] + node _T_1339 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 401:118] + node _T_1340 = and(_T_1338, _T_1339) @[el2_ifu_mem_ctl.scala 401:116] + node ic_miss_buff_data_valid_in_0 = or(write_fill_data_0, _T_1340) @[el2_ifu_mem_ctl.scala 401:88] + node _T_1341 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 401:113] + node _T_1342 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 401:118] + node _T_1343 = and(_T_1341, _T_1342) @[el2_ifu_mem_ctl.scala 401:116] + node ic_miss_buff_data_valid_in_1 = or(write_fill_data_1, _T_1343) @[el2_ifu_mem_ctl.scala 401:88] + node _T_1344 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 401:113] + node _T_1345 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 401:118] + node _T_1346 = and(_T_1344, _T_1345) @[el2_ifu_mem_ctl.scala 401:116] + node ic_miss_buff_data_valid_in_2 = or(write_fill_data_2, _T_1346) @[el2_ifu_mem_ctl.scala 401:88] + node _T_1347 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 401:113] + node _T_1348 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 401:118] + node _T_1349 = and(_T_1347, _T_1348) @[el2_ifu_mem_ctl.scala 401:116] + node ic_miss_buff_data_valid_in_3 = or(write_fill_data_3, _T_1349) @[el2_ifu_mem_ctl.scala 401:88] + node _T_1350 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 401:113] + node _T_1351 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 401:118] + node _T_1352 = and(_T_1350, _T_1351) @[el2_ifu_mem_ctl.scala 401:116] + node ic_miss_buff_data_valid_in_4 = or(write_fill_data_4, _T_1352) @[el2_ifu_mem_ctl.scala 401:88] + node _T_1353 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 401:113] + node _T_1354 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 401:118] + node _T_1355 = and(_T_1353, _T_1354) @[el2_ifu_mem_ctl.scala 401:116] + node ic_miss_buff_data_valid_in_5 = or(write_fill_data_5, _T_1355) @[el2_ifu_mem_ctl.scala 401:88] + node _T_1356 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 401:113] + node _T_1357 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 401:118] + node _T_1358 = and(_T_1356, _T_1357) @[el2_ifu_mem_ctl.scala 401:116] + node ic_miss_buff_data_valid_in_6 = or(write_fill_data_6, _T_1358) @[el2_ifu_mem_ctl.scala 401:88] + node _T_1359 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 401:113] + node _T_1360 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 401:118] + node _T_1361 = and(_T_1359, _T_1360) @[el2_ifu_mem_ctl.scala 401:116] + node ic_miss_buff_data_valid_in_7 = or(write_fill_data_7, _T_1361) @[el2_ifu_mem_ctl.scala 401:88] node _T_1362 = cat(ic_miss_buff_data_valid_in_7, ic_miss_buff_data_valid_in_6) @[Cat.scala 29:58] node _T_1363 = cat(_T_1362, ic_miss_buff_data_valid_in_5) @[Cat.scala 29:58] node _T_1364 = cat(_T_1363, ic_miss_buff_data_valid_in_4) @[Cat.scala 29:58] @@ -2180,53 +2180,53 @@ circuit el2_ifu_mem_ctl : node _T_1366 = cat(_T_1365, ic_miss_buff_data_valid_in_2) @[Cat.scala 29:58] node _T_1367 = cat(_T_1366, ic_miss_buff_data_valid_in_1) @[Cat.scala 29:58] node _T_1368 = cat(_T_1367, ic_miss_buff_data_valid_in_0) @[Cat.scala 29:58] - reg _T_1369 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 407:60] - _T_1369 <= _T_1368 @[el2_ifu_mem_ctl.scala 407:60] - ic_miss_buff_data_valid <= _T_1369 @[el2_ifu_mem_ctl.scala 407:27] + reg _T_1369 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 402:60] + _T_1369 <= _T_1368 @[el2_ifu_mem_ctl.scala 402:60] + ic_miss_buff_data_valid <= _T_1369 @[el2_ifu_mem_ctl.scala 402:27] wire bus_ifu_wr_data_error : UInt<1> bus_ifu_wr_data_error <= UInt<1>("h00") wire ic_miss_buff_data_error : UInt<8> ic_miss_buff_data_error <= UInt<1>("h00") - node _T_1370 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 410:92] - node _T_1371 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 411:28] - node _T_1372 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 411:34] - node _T_1373 = and(_T_1371, _T_1372) @[el2_ifu_mem_ctl.scala 411:32] - node ic_miss_buff_data_error_in_0 = mux(_T_1370, bus_ifu_wr_data_error, _T_1373) @[el2_ifu_mem_ctl.scala 410:72] - node _T_1374 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 410:92] - node _T_1375 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 411:28] - node _T_1376 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 411:34] - node _T_1377 = and(_T_1375, _T_1376) @[el2_ifu_mem_ctl.scala 411:32] - node ic_miss_buff_data_error_in_1 = mux(_T_1374, bus_ifu_wr_data_error, _T_1377) @[el2_ifu_mem_ctl.scala 410:72] - node _T_1378 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 410:92] - node _T_1379 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 411:28] - node _T_1380 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 411:34] - node _T_1381 = and(_T_1379, _T_1380) @[el2_ifu_mem_ctl.scala 411:32] - node ic_miss_buff_data_error_in_2 = mux(_T_1378, bus_ifu_wr_data_error, _T_1381) @[el2_ifu_mem_ctl.scala 410:72] - node _T_1382 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 410:92] - node _T_1383 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 411:28] - node _T_1384 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 411:34] - node _T_1385 = and(_T_1383, _T_1384) @[el2_ifu_mem_ctl.scala 411:32] - node ic_miss_buff_data_error_in_3 = mux(_T_1382, bus_ifu_wr_data_error, _T_1385) @[el2_ifu_mem_ctl.scala 410:72] - node _T_1386 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 410:92] - node _T_1387 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 411:28] - node _T_1388 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 411:34] - node _T_1389 = and(_T_1387, _T_1388) @[el2_ifu_mem_ctl.scala 411:32] - node ic_miss_buff_data_error_in_4 = mux(_T_1386, bus_ifu_wr_data_error, _T_1389) @[el2_ifu_mem_ctl.scala 410:72] - node _T_1390 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 410:92] - node _T_1391 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 411:28] - node _T_1392 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 411:34] - node _T_1393 = and(_T_1391, _T_1392) @[el2_ifu_mem_ctl.scala 411:32] - node ic_miss_buff_data_error_in_5 = mux(_T_1390, bus_ifu_wr_data_error, _T_1393) @[el2_ifu_mem_ctl.scala 410:72] - node _T_1394 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 410:92] - node _T_1395 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 411:28] - node _T_1396 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 411:34] - node _T_1397 = and(_T_1395, _T_1396) @[el2_ifu_mem_ctl.scala 411:32] - node ic_miss_buff_data_error_in_6 = mux(_T_1394, bus_ifu_wr_data_error, _T_1397) @[el2_ifu_mem_ctl.scala 410:72] - node _T_1398 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 410:92] - node _T_1399 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 411:28] - node _T_1400 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 411:34] - node _T_1401 = and(_T_1399, _T_1400) @[el2_ifu_mem_ctl.scala 411:32] - node ic_miss_buff_data_error_in_7 = mux(_T_1398, bus_ifu_wr_data_error, _T_1401) @[el2_ifu_mem_ctl.scala 410:72] + node _T_1370 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 405:92] + node _T_1371 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 406:28] + node _T_1372 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:34] + node _T_1373 = and(_T_1371, _T_1372) @[el2_ifu_mem_ctl.scala 406:32] + node ic_miss_buff_data_error_in_0 = mux(_T_1370, bus_ifu_wr_data_error, _T_1373) @[el2_ifu_mem_ctl.scala 405:72] + node _T_1374 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 405:92] + node _T_1375 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 406:28] + node _T_1376 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:34] + node _T_1377 = and(_T_1375, _T_1376) @[el2_ifu_mem_ctl.scala 406:32] + node ic_miss_buff_data_error_in_1 = mux(_T_1374, bus_ifu_wr_data_error, _T_1377) @[el2_ifu_mem_ctl.scala 405:72] + node _T_1378 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 405:92] + node _T_1379 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 406:28] + node _T_1380 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:34] + node _T_1381 = and(_T_1379, _T_1380) @[el2_ifu_mem_ctl.scala 406:32] + node ic_miss_buff_data_error_in_2 = mux(_T_1378, bus_ifu_wr_data_error, _T_1381) @[el2_ifu_mem_ctl.scala 405:72] + node _T_1382 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 405:92] + node _T_1383 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 406:28] + node _T_1384 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:34] + node _T_1385 = and(_T_1383, _T_1384) @[el2_ifu_mem_ctl.scala 406:32] + node ic_miss_buff_data_error_in_3 = mux(_T_1382, bus_ifu_wr_data_error, _T_1385) @[el2_ifu_mem_ctl.scala 405:72] + node _T_1386 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 405:92] + node _T_1387 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 406:28] + node _T_1388 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:34] + node _T_1389 = and(_T_1387, _T_1388) @[el2_ifu_mem_ctl.scala 406:32] + node ic_miss_buff_data_error_in_4 = mux(_T_1386, bus_ifu_wr_data_error, _T_1389) @[el2_ifu_mem_ctl.scala 405:72] + node _T_1390 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 405:92] + node _T_1391 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 406:28] + node _T_1392 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:34] + node _T_1393 = and(_T_1391, _T_1392) @[el2_ifu_mem_ctl.scala 406:32] + node ic_miss_buff_data_error_in_5 = mux(_T_1390, bus_ifu_wr_data_error, _T_1393) @[el2_ifu_mem_ctl.scala 405:72] + node _T_1394 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 405:92] + node _T_1395 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 406:28] + node _T_1396 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:34] + node _T_1397 = and(_T_1395, _T_1396) @[el2_ifu_mem_ctl.scala 406:32] + node ic_miss_buff_data_error_in_6 = mux(_T_1394, bus_ifu_wr_data_error, _T_1397) @[el2_ifu_mem_ctl.scala 405:72] + node _T_1398 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 405:92] + node _T_1399 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 406:28] + node _T_1400 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 406:34] + node _T_1401 = and(_T_1399, _T_1400) @[el2_ifu_mem_ctl.scala 406:32] + node ic_miss_buff_data_error_in_7 = mux(_T_1398, bus_ifu_wr_data_error, _T_1401) @[el2_ifu_mem_ctl.scala 405:72] node _T_1402 = cat(ic_miss_buff_data_error_in_7, ic_miss_buff_data_error_in_6) @[Cat.scala 29:58] node _T_1403 = cat(_T_1402, ic_miss_buff_data_error_in_5) @[Cat.scala 29:58] node _T_1404 = cat(_T_1403, ic_miss_buff_data_error_in_4) @[Cat.scala 29:58] @@ -2234,37 +2234,37 @@ circuit el2_ifu_mem_ctl : node _T_1406 = cat(_T_1405, ic_miss_buff_data_error_in_2) @[Cat.scala 29:58] node _T_1407 = cat(_T_1406, ic_miss_buff_data_error_in_1) @[Cat.scala 29:58] node _T_1408 = cat(_T_1407, ic_miss_buff_data_error_in_0) @[Cat.scala 29:58] - reg _T_1409 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 412:60] - _T_1409 <= _T_1408 @[el2_ifu_mem_ctl.scala 412:60] - ic_miss_buff_data_error <= _T_1409 @[el2_ifu_mem_ctl.scala 412:27] - node bypass_index = bits(imb_ff, 4, 0) @[el2_ifu_mem_ctl.scala 415:28] - node _T_1410 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 416:42] - node _T_1411 = add(_T_1410, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 416:70] - node bypass_index_5_3_inc = tail(_T_1411, 1) @[el2_ifu_mem_ctl.scala 416:70] - node _T_1412 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 417:87] - node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 417:114] - node _T_1414 = bits(_T_1413, 0, 0) @[el2_ifu_mem_ctl.scala 417:122] - node _T_1415 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 417:87] - node _T_1416 = eq(_T_1415, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 417:114] - node _T_1417 = bits(_T_1416, 0, 0) @[el2_ifu_mem_ctl.scala 417:122] - node _T_1418 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 417:87] - node _T_1419 = eq(_T_1418, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 417:114] - node _T_1420 = bits(_T_1419, 0, 0) @[el2_ifu_mem_ctl.scala 417:122] - node _T_1421 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 417:87] - node _T_1422 = eq(_T_1421, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 417:114] - node _T_1423 = bits(_T_1422, 0, 0) @[el2_ifu_mem_ctl.scala 417:122] - node _T_1424 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 417:87] - node _T_1425 = eq(_T_1424, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 417:114] - node _T_1426 = bits(_T_1425, 0, 0) @[el2_ifu_mem_ctl.scala 417:122] - node _T_1427 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 417:87] - node _T_1428 = eq(_T_1427, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 417:114] - node _T_1429 = bits(_T_1428, 0, 0) @[el2_ifu_mem_ctl.scala 417:122] - node _T_1430 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 417:87] - node _T_1431 = eq(_T_1430, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 417:114] - node _T_1432 = bits(_T_1431, 0, 0) @[el2_ifu_mem_ctl.scala 417:122] - node _T_1433 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 417:87] - node _T_1434 = eq(_T_1433, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 417:114] - node _T_1435 = bits(_T_1434, 0, 0) @[el2_ifu_mem_ctl.scala 417:122] + reg _T_1409 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 407:60] + _T_1409 <= _T_1408 @[el2_ifu_mem_ctl.scala 407:60] + ic_miss_buff_data_error <= _T_1409 @[el2_ifu_mem_ctl.scala 407:27] + node bypass_index = bits(imb_ff, 4, 0) @[el2_ifu_mem_ctl.scala 410:28] + node _T_1410 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 411:42] + node _T_1411 = add(_T_1410, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 411:70] + node bypass_index_5_3_inc = tail(_T_1411, 1) @[el2_ifu_mem_ctl.scala 411:70] + node _T_1412 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 412:87] + node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 412:114] + node _T_1414 = bits(_T_1413, 0, 0) @[el2_ifu_mem_ctl.scala 412:122] + node _T_1415 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 412:87] + node _T_1416 = eq(_T_1415, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 412:114] + node _T_1417 = bits(_T_1416, 0, 0) @[el2_ifu_mem_ctl.scala 412:122] + node _T_1418 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 412:87] + node _T_1419 = eq(_T_1418, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 412:114] + node _T_1420 = bits(_T_1419, 0, 0) @[el2_ifu_mem_ctl.scala 412:122] + node _T_1421 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 412:87] + node _T_1422 = eq(_T_1421, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 412:114] + node _T_1423 = bits(_T_1422, 0, 0) @[el2_ifu_mem_ctl.scala 412:122] + node _T_1424 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 412:87] + node _T_1425 = eq(_T_1424, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 412:114] + node _T_1426 = bits(_T_1425, 0, 0) @[el2_ifu_mem_ctl.scala 412:122] + node _T_1427 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 412:87] + node _T_1428 = eq(_T_1427, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 412:114] + node _T_1429 = bits(_T_1428, 0, 0) @[el2_ifu_mem_ctl.scala 412:122] + node _T_1430 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 412:87] + node _T_1431 = eq(_T_1430, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 412:114] + node _T_1432 = bits(_T_1431, 0, 0) @[el2_ifu_mem_ctl.scala 412:122] + node _T_1433 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 412:87] + node _T_1434 = eq(_T_1433, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 412:114] + node _T_1435 = bits(_T_1434, 0, 0) @[el2_ifu_mem_ctl.scala 412:122] node _T_1436 = mux(_T_1414, ic_miss_buff_data_valid_in_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1437 = mux(_T_1417, ic_miss_buff_data_valid_in_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1438 = mux(_T_1420, ic_miss_buff_data_valid_in_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2282,44 +2282,44 @@ circuit el2_ifu_mem_ctl : node _T_1450 = or(_T_1449, _T_1443) @[Mux.scala 27:72] wire bypass_valid_value_check : UInt<1> @[Mux.scala 27:72] bypass_valid_value_check <= _T_1450 @[Mux.scala 27:72] - node _T_1451 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 418:71] - node _T_1452 = eq(_T_1451, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 418:58] - node _T_1453 = and(bypass_valid_value_check, _T_1452) @[el2_ifu_mem_ctl.scala 418:56] - node _T_1454 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 418:90] - node _T_1455 = eq(_T_1454, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 418:77] - node _T_1456 = and(_T_1453, _T_1455) @[el2_ifu_mem_ctl.scala 418:75] - node _T_1457 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 419:71] - node _T_1458 = eq(_T_1457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 419:58] - node _T_1459 = and(bypass_valid_value_check, _T_1458) @[el2_ifu_mem_ctl.scala 419:56] - node _T_1460 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 419:89] - node _T_1461 = and(_T_1459, _T_1460) @[el2_ifu_mem_ctl.scala 419:75] - node _T_1462 = or(_T_1456, _T_1461) @[el2_ifu_mem_ctl.scala 418:95] - node _T_1463 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 420:70] - node _T_1464 = and(bypass_valid_value_check, _T_1463) @[el2_ifu_mem_ctl.scala 420:56] - node _T_1465 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 420:89] - node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 420:76] - node _T_1467 = and(_T_1464, _T_1466) @[el2_ifu_mem_ctl.scala 420:74] - node _T_1468 = or(_T_1462, _T_1467) @[el2_ifu_mem_ctl.scala 419:94] - node _T_1469 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 421:47] - node _T_1470 = and(bypass_valid_value_check, _T_1469) @[el2_ifu_mem_ctl.scala 421:33] - node _T_1471 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 421:65] - node _T_1472 = and(_T_1470, _T_1471) @[el2_ifu_mem_ctl.scala 421:51] - node _T_1473 = eq(bypass_index_5_3_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 421:132] - node _T_1474 = bits(_T_1473, 0, 0) @[el2_ifu_mem_ctl.scala 421:140] - node _T_1475 = eq(bypass_index_5_3_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 421:132] - node _T_1476 = bits(_T_1475, 0, 0) @[el2_ifu_mem_ctl.scala 421:140] - node _T_1477 = eq(bypass_index_5_3_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 421:132] - node _T_1478 = bits(_T_1477, 0, 0) @[el2_ifu_mem_ctl.scala 421:140] - node _T_1479 = eq(bypass_index_5_3_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 421:132] - node _T_1480 = bits(_T_1479, 0, 0) @[el2_ifu_mem_ctl.scala 421:140] - node _T_1481 = eq(bypass_index_5_3_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 421:132] - node _T_1482 = bits(_T_1481, 0, 0) @[el2_ifu_mem_ctl.scala 421:140] - node _T_1483 = eq(bypass_index_5_3_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 421:132] - node _T_1484 = bits(_T_1483, 0, 0) @[el2_ifu_mem_ctl.scala 421:140] - node _T_1485 = eq(bypass_index_5_3_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 421:132] - node _T_1486 = bits(_T_1485, 0, 0) @[el2_ifu_mem_ctl.scala 421:140] - node _T_1487 = eq(bypass_index_5_3_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 421:132] - node _T_1488 = bits(_T_1487, 0, 0) @[el2_ifu_mem_ctl.scala 421:140] + node _T_1451 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 413:71] + node _T_1452 = eq(_T_1451, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 413:58] + node _T_1453 = and(bypass_valid_value_check, _T_1452) @[el2_ifu_mem_ctl.scala 413:56] + node _T_1454 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 413:90] + node _T_1455 = eq(_T_1454, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 413:77] + node _T_1456 = and(_T_1453, _T_1455) @[el2_ifu_mem_ctl.scala 413:75] + node _T_1457 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 414:71] + node _T_1458 = eq(_T_1457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:58] + node _T_1459 = and(bypass_valid_value_check, _T_1458) @[el2_ifu_mem_ctl.scala 414:56] + node _T_1460 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 414:89] + node _T_1461 = and(_T_1459, _T_1460) @[el2_ifu_mem_ctl.scala 414:75] + node _T_1462 = or(_T_1456, _T_1461) @[el2_ifu_mem_ctl.scala 413:95] + node _T_1463 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 415:70] + node _T_1464 = and(bypass_valid_value_check, _T_1463) @[el2_ifu_mem_ctl.scala 415:56] + node _T_1465 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 415:89] + node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 415:76] + node _T_1467 = and(_T_1464, _T_1466) @[el2_ifu_mem_ctl.scala 415:74] + node _T_1468 = or(_T_1462, _T_1467) @[el2_ifu_mem_ctl.scala 414:94] + node _T_1469 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 416:47] + node _T_1470 = and(bypass_valid_value_check, _T_1469) @[el2_ifu_mem_ctl.scala 416:33] + node _T_1471 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 416:65] + node _T_1472 = and(_T_1470, _T_1471) @[el2_ifu_mem_ctl.scala 416:51] + node _T_1473 = eq(bypass_index_5_3_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 416:132] + node _T_1474 = bits(_T_1473, 0, 0) @[el2_ifu_mem_ctl.scala 416:140] + node _T_1475 = eq(bypass_index_5_3_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 416:132] + node _T_1476 = bits(_T_1475, 0, 0) @[el2_ifu_mem_ctl.scala 416:140] + node _T_1477 = eq(bypass_index_5_3_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 416:132] + node _T_1478 = bits(_T_1477, 0, 0) @[el2_ifu_mem_ctl.scala 416:140] + node _T_1479 = eq(bypass_index_5_3_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 416:132] + node _T_1480 = bits(_T_1479, 0, 0) @[el2_ifu_mem_ctl.scala 416:140] + node _T_1481 = eq(bypass_index_5_3_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 416:132] + node _T_1482 = bits(_T_1481, 0, 0) @[el2_ifu_mem_ctl.scala 416:140] + node _T_1483 = eq(bypass_index_5_3_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 416:132] + node _T_1484 = bits(_T_1483, 0, 0) @[el2_ifu_mem_ctl.scala 416:140] + node _T_1485 = eq(bypass_index_5_3_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 416:132] + node _T_1486 = bits(_T_1485, 0, 0) @[el2_ifu_mem_ctl.scala 416:140] + node _T_1487 = eq(bypass_index_5_3_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 416:132] + node _T_1488 = bits(_T_1487, 0, 0) @[el2_ifu_mem_ctl.scala 416:140] node _T_1489 = mux(_T_1474, ic_miss_buff_data_valid_in_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1490 = mux(_T_1476, ic_miss_buff_data_valid_in_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1491 = mux(_T_1478, ic_miss_buff_data_valid_in_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2337,79 +2337,79 @@ circuit el2_ifu_mem_ctl : node _T_1503 = or(_T_1502, _T_1496) @[Mux.scala 27:72] wire _T_1504 : UInt<1> @[Mux.scala 27:72] _T_1504 <= _T_1503 @[Mux.scala 27:72] - node _T_1505 = and(_T_1472, _T_1504) @[el2_ifu_mem_ctl.scala 421:69] - node _T_1506 = or(_T_1468, _T_1505) @[el2_ifu_mem_ctl.scala 420:94] - node _T_1507 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 422:70] + node _T_1505 = and(_T_1472, _T_1504) @[el2_ifu_mem_ctl.scala 416:69] + node _T_1506 = or(_T_1468, _T_1505) @[el2_ifu_mem_ctl.scala 415:94] + node _T_1507 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 417:70] node _T_1508 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_1509 = eq(_T_1507, _T_1508) @[el2_ifu_mem_ctl.scala 422:95] - node _T_1510 = and(bypass_valid_value_check, _T_1509) @[el2_ifu_mem_ctl.scala 422:56] - node bypass_data_ready_in = or(_T_1506, _T_1510) @[el2_ifu_mem_ctl.scala 421:181] + node _T_1509 = eq(_T_1507, _T_1508) @[el2_ifu_mem_ctl.scala 417:95] + node _T_1510 = and(bypass_valid_value_check, _T_1509) @[el2_ifu_mem_ctl.scala 417:56] + node bypass_data_ready_in = or(_T_1506, _T_1510) @[el2_ifu_mem_ctl.scala 416:181] wire ic_crit_wd_rdy_new_ff : UInt<1> ic_crit_wd_rdy_new_ff <= UInt<1>("h00") - node _T_1511 = and(bypass_data_ready_in, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 426:53] - node _T_1512 = and(_T_1511, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 426:73] - node _T_1513 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 426:98] - node _T_1514 = and(_T_1512, _T_1513) @[el2_ifu_mem_ctl.scala 426:96] - node _T_1515 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 426:120] - node _T_1516 = and(_T_1514, _T_1515) @[el2_ifu_mem_ctl.scala 426:118] - node _T_1517 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 427:75] - node _T_1518 = and(crit_wd_byp_ok_ff, _T_1517) @[el2_ifu_mem_ctl.scala 427:73] - node _T_1519 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 427:98] - node _T_1520 = and(_T_1518, _T_1519) @[el2_ifu_mem_ctl.scala 427:96] - node _T_1521 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 427:120] - node _T_1522 = and(_T_1520, _T_1521) @[el2_ifu_mem_ctl.scala 427:118] - node _T_1523 = or(_T_1516, _T_1522) @[el2_ifu_mem_ctl.scala 426:143] - node _T_1524 = and(ic_crit_wd_rdy_new_ff, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 428:54] - node _T_1525 = eq(fetch_req_icache_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 428:76] - node _T_1526 = and(_T_1524, _T_1525) @[el2_ifu_mem_ctl.scala 428:74] - node _T_1527 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 428:98] - node _T_1528 = and(_T_1526, _T_1527) @[el2_ifu_mem_ctl.scala 428:96] - node ic_crit_wd_rdy_new_in = or(_T_1523, _T_1528) @[el2_ifu_mem_ctl.scala 427:143] - reg _T_1529 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 429:58] - _T_1529 <= ic_crit_wd_rdy_new_in @[el2_ifu_mem_ctl.scala 429:58] - ic_crit_wd_rdy_new_ff <= _T_1529 @[el2_ifu_mem_ctl.scala 429:25] - node byp_fetch_index = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 430:45] - node _T_1530 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 431:51] + node _T_1511 = and(bypass_data_ready_in, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 421:53] + node _T_1512 = and(_T_1511, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 421:73] + node _T_1513 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 421:98] + node _T_1514 = and(_T_1512, _T_1513) @[el2_ifu_mem_ctl.scala 421:96] + node _T_1515 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 421:120] + node _T_1516 = and(_T_1514, _T_1515) @[el2_ifu_mem_ctl.scala 421:118] + node _T_1517 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 422:75] + node _T_1518 = and(crit_wd_byp_ok_ff, _T_1517) @[el2_ifu_mem_ctl.scala 422:73] + node _T_1519 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 422:98] + node _T_1520 = and(_T_1518, _T_1519) @[el2_ifu_mem_ctl.scala 422:96] + node _T_1521 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 422:120] + node _T_1522 = and(_T_1520, _T_1521) @[el2_ifu_mem_ctl.scala 422:118] + node _T_1523 = or(_T_1516, _T_1522) @[el2_ifu_mem_ctl.scala 421:143] + node _T_1524 = and(ic_crit_wd_rdy_new_ff, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 423:54] + node _T_1525 = eq(fetch_req_icache_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 423:76] + node _T_1526 = and(_T_1524, _T_1525) @[el2_ifu_mem_ctl.scala 423:74] + node _T_1527 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 423:98] + node _T_1528 = and(_T_1526, _T_1527) @[el2_ifu_mem_ctl.scala 423:96] + node ic_crit_wd_rdy_new_in = or(_T_1523, _T_1528) @[el2_ifu_mem_ctl.scala 422:143] + reg _T_1529 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 424:58] + _T_1529 <= ic_crit_wd_rdy_new_in @[el2_ifu_mem_ctl.scala 424:58] + ic_crit_wd_rdy_new_ff <= _T_1529 @[el2_ifu_mem_ctl.scala 424:25] + node byp_fetch_index = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 425:45] + node _T_1530 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 426:51] node byp_fetch_index_0 = cat(_T_1530, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_1531 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 432:51] + node _T_1531 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 427:51] node byp_fetch_index_1 = cat(_T_1531, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_1532 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 433:49] - node _T_1533 = add(_T_1532, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 433:75] - node byp_fetch_index_inc = tail(_T_1533, 1) @[el2_ifu_mem_ctl.scala 433:75] + node _T_1532 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 428:49] + node _T_1533 = add(_T_1532, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 428:75] + node byp_fetch_index_inc = tail(_T_1533, 1) @[el2_ifu_mem_ctl.scala 428:75] node byp_fetch_index_inc_0 = cat(byp_fetch_index_inc, UInt<1>("h00")) @[Cat.scala 29:58] node byp_fetch_index_inc_1 = cat(byp_fetch_index_inc, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_1534 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 436:93] - node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 436:118] - node _T_1536 = bits(_T_1535, 0, 0) @[el2_ifu_mem_ctl.scala 436:126] - node _T_1537 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 436:157] - node _T_1538 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 436:93] - node _T_1539 = eq(_T_1538, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 436:118] - node _T_1540 = bits(_T_1539, 0, 0) @[el2_ifu_mem_ctl.scala 436:126] - node _T_1541 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 436:157] - node _T_1542 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 436:93] - node _T_1543 = eq(_T_1542, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 436:118] - node _T_1544 = bits(_T_1543, 0, 0) @[el2_ifu_mem_ctl.scala 436:126] - node _T_1545 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 436:157] - node _T_1546 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 436:93] - node _T_1547 = eq(_T_1546, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 436:118] - node _T_1548 = bits(_T_1547, 0, 0) @[el2_ifu_mem_ctl.scala 436:126] - node _T_1549 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 436:157] - node _T_1550 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 436:93] - node _T_1551 = eq(_T_1550, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 436:118] - node _T_1552 = bits(_T_1551, 0, 0) @[el2_ifu_mem_ctl.scala 436:126] - node _T_1553 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 436:157] - node _T_1554 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 436:93] - node _T_1555 = eq(_T_1554, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 436:118] - node _T_1556 = bits(_T_1555, 0, 0) @[el2_ifu_mem_ctl.scala 436:126] - node _T_1557 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 436:157] - node _T_1558 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 436:93] - node _T_1559 = eq(_T_1558, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 436:118] - node _T_1560 = bits(_T_1559, 0, 0) @[el2_ifu_mem_ctl.scala 436:126] - node _T_1561 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 436:157] - node _T_1562 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 436:93] - node _T_1563 = eq(_T_1562, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 436:118] - node _T_1564 = bits(_T_1563, 0, 0) @[el2_ifu_mem_ctl.scala 436:126] - node _T_1565 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 436:157] + node _T_1534 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 431:93] + node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 431:118] + node _T_1536 = bits(_T_1535, 0, 0) @[el2_ifu_mem_ctl.scala 431:126] + node _T_1537 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 431:157] + node _T_1538 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 431:93] + node _T_1539 = eq(_T_1538, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 431:118] + node _T_1540 = bits(_T_1539, 0, 0) @[el2_ifu_mem_ctl.scala 431:126] + node _T_1541 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 431:157] + node _T_1542 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 431:93] + node _T_1543 = eq(_T_1542, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 431:118] + node _T_1544 = bits(_T_1543, 0, 0) @[el2_ifu_mem_ctl.scala 431:126] + node _T_1545 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 431:157] + node _T_1546 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 431:93] + node _T_1547 = eq(_T_1546, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 431:118] + node _T_1548 = bits(_T_1547, 0, 0) @[el2_ifu_mem_ctl.scala 431:126] + node _T_1549 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 431:157] + node _T_1550 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 431:93] + node _T_1551 = eq(_T_1550, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 431:118] + node _T_1552 = bits(_T_1551, 0, 0) @[el2_ifu_mem_ctl.scala 431:126] + node _T_1553 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 431:157] + node _T_1554 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 431:93] + node _T_1555 = eq(_T_1554, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 431:118] + node _T_1556 = bits(_T_1555, 0, 0) @[el2_ifu_mem_ctl.scala 431:126] + node _T_1557 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 431:157] + node _T_1558 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 431:93] + node _T_1559 = eq(_T_1558, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 431:118] + node _T_1560 = bits(_T_1559, 0, 0) @[el2_ifu_mem_ctl.scala 431:126] + node _T_1561 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 431:157] + node _T_1562 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 431:93] + node _T_1563 = eq(_T_1562, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 431:118] + node _T_1564 = bits(_T_1563, 0, 0) @[el2_ifu_mem_ctl.scala 431:126] + node _T_1565 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 431:157] node _T_1566 = mux(_T_1536, _T_1537, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1567 = mux(_T_1540, _T_1541, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1568 = mux(_T_1544, _T_1545, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2427,30 +2427,30 @@ circuit el2_ifu_mem_ctl : node _T_1580 = or(_T_1579, _T_1573) @[Mux.scala 27:72] wire ic_miss_buff_data_error_bypass : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_error_bypass <= _T_1580 @[Mux.scala 27:72] - node _T_1581 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 437:104] - node _T_1582 = bits(_T_1581, 0, 0) @[el2_ifu_mem_ctl.scala 437:112] - node _T_1583 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 437:143] - node _T_1584 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 437:104] - node _T_1585 = bits(_T_1584, 0, 0) @[el2_ifu_mem_ctl.scala 437:112] - node _T_1586 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 437:143] - node _T_1587 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 437:104] - node _T_1588 = bits(_T_1587, 0, 0) @[el2_ifu_mem_ctl.scala 437:112] - node _T_1589 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 437:143] - node _T_1590 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 437:104] - node _T_1591 = bits(_T_1590, 0, 0) @[el2_ifu_mem_ctl.scala 437:112] - node _T_1592 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 437:143] - node _T_1593 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 437:104] - node _T_1594 = bits(_T_1593, 0, 0) @[el2_ifu_mem_ctl.scala 437:112] - node _T_1595 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 437:143] - node _T_1596 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 437:104] - node _T_1597 = bits(_T_1596, 0, 0) @[el2_ifu_mem_ctl.scala 437:112] - node _T_1598 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 437:143] - node _T_1599 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 437:104] - node _T_1600 = bits(_T_1599, 0, 0) @[el2_ifu_mem_ctl.scala 437:112] - node _T_1601 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 437:143] - node _T_1602 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 437:104] - node _T_1603 = bits(_T_1602, 0, 0) @[el2_ifu_mem_ctl.scala 437:112] - node _T_1604 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 437:143] + node _T_1581 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 432:104] + node _T_1582 = bits(_T_1581, 0, 0) @[el2_ifu_mem_ctl.scala 432:112] + node _T_1583 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 432:143] + node _T_1584 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 432:104] + node _T_1585 = bits(_T_1584, 0, 0) @[el2_ifu_mem_ctl.scala 432:112] + node _T_1586 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 432:143] + node _T_1587 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 432:104] + node _T_1588 = bits(_T_1587, 0, 0) @[el2_ifu_mem_ctl.scala 432:112] + node _T_1589 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 432:143] + node _T_1590 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 432:104] + node _T_1591 = bits(_T_1590, 0, 0) @[el2_ifu_mem_ctl.scala 432:112] + node _T_1592 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 432:143] + node _T_1593 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 432:104] + node _T_1594 = bits(_T_1593, 0, 0) @[el2_ifu_mem_ctl.scala 432:112] + node _T_1595 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 432:143] + node _T_1596 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 432:104] + node _T_1597 = bits(_T_1596, 0, 0) @[el2_ifu_mem_ctl.scala 432:112] + node _T_1598 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 432:143] + node _T_1599 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 432:104] + node _T_1600 = bits(_T_1599, 0, 0) @[el2_ifu_mem_ctl.scala 432:112] + node _T_1601 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 432:143] + node _T_1602 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 432:104] + node _T_1603 = bits(_T_1602, 0, 0) @[el2_ifu_mem_ctl.scala 432:112] + node _T_1604 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 432:143] node _T_1605 = mux(_T_1582, _T_1583, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1606 = mux(_T_1585, _T_1586, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1607 = mux(_T_1588, _T_1589, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2468,67 +2468,67 @@ circuit el2_ifu_mem_ctl : node _T_1619 = or(_T_1618, _T_1612) @[Mux.scala 27:72] wire ic_miss_buff_data_error_bypass_inc : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_error_bypass_inc <= _T_1619 @[Mux.scala 27:72] - node _T_1620 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 440:28] - node _T_1621 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 440:52] - node _T_1622 = and(_T_1620, _T_1621) @[el2_ifu_mem_ctl.scala 440:31] - when _T_1622 : @[el2_ifu_mem_ctl.scala 440:56] - ifu_byp_data_err_new <= ic_miss_buff_data_error_bypass @[el2_ifu_mem_ctl.scala 441:26] - skip @[el2_ifu_mem_ctl.scala 440:56] - else : @[el2_ifu_mem_ctl.scala 442:5] - node _T_1623 = or(ic_miss_buff_data_error_bypass, ic_miss_buff_data_error_bypass_inc) @[el2_ifu_mem_ctl.scala 442:70] - ifu_byp_data_err_new <= _T_1623 @[el2_ifu_mem_ctl.scala 442:36] - skip @[el2_ifu_mem_ctl.scala 442:5] - node _T_1624 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 444:59] - node _T_1625 = bits(_T_1624, 0, 0) @[el2_ifu_mem_ctl.scala 444:63] - node _T_1626 = eq(_T_1625, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 444:38] - node _T_1627 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1628 = bits(_T_1627, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1629 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1630 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1631 = bits(_T_1630, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1632 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1633 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1634 = bits(_T_1633, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1635 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1636 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1637 = bits(_T_1636, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1638 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1639 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1640 = bits(_T_1639, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1641 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1642 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1643 = bits(_T_1642, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1644 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1645 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1646 = bits(_T_1645, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1647 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1648 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1649 = bits(_T_1648, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1650 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1651 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1652 = bits(_T_1651, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1653 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1654 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1655 = bits(_T_1654, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1656 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1657 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1658 = bits(_T_1657, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1659 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1660 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1661 = bits(_T_1660, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1662 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1663 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1664 = bits(_T_1663, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1665 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1666 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1667 = bits(_T_1666, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1668 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1669 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1670 = bits(_T_1669, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1671 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] - node _T_1672 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 445:73] - node _T_1673 = bits(_T_1672, 0, 0) @[el2_ifu_mem_ctl.scala 445:81] - node _T_1674 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 445:109] + node _T_1620 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 435:28] + node _T_1621 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 435:52] + node _T_1622 = and(_T_1620, _T_1621) @[el2_ifu_mem_ctl.scala 435:31] + when _T_1622 : @[el2_ifu_mem_ctl.scala 435:56] + ifu_byp_data_err_new <= ic_miss_buff_data_error_bypass @[el2_ifu_mem_ctl.scala 436:26] + skip @[el2_ifu_mem_ctl.scala 435:56] + else : @[el2_ifu_mem_ctl.scala 437:5] + node _T_1623 = or(ic_miss_buff_data_error_bypass, ic_miss_buff_data_error_bypass_inc) @[el2_ifu_mem_ctl.scala 437:70] + ifu_byp_data_err_new <= _T_1623 @[el2_ifu_mem_ctl.scala 437:36] + skip @[el2_ifu_mem_ctl.scala 437:5] + node _T_1624 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 439:59] + node _T_1625 = bits(_T_1624, 0, 0) @[el2_ifu_mem_ctl.scala 439:63] + node _T_1626 = eq(_T_1625, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 439:38] + node _T_1627 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1628 = bits(_T_1627, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1629 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1630 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1631 = bits(_T_1630, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1632 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1633 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1634 = bits(_T_1633, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1635 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1636 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1637 = bits(_T_1636, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1638 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1639 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1640 = bits(_T_1639, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1641 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1642 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1643 = bits(_T_1642, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1644 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1645 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1646 = bits(_T_1645, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1647 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1648 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1649 = bits(_T_1648, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1650 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1651 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1652 = bits(_T_1651, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1653 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1654 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1655 = bits(_T_1654, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1656 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1657 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1658 = bits(_T_1657, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1659 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1660 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1661 = bits(_T_1660, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1662 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1663 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1664 = bits(_T_1663, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1665 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1666 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1667 = bits(_T_1666, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1668 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1669 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1670 = bits(_T_1669, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1671 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] + node _T_1672 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 440:73] + node _T_1673 = bits(_T_1672, 0, 0) @[el2_ifu_mem_ctl.scala 440:81] + node _T_1674 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 440:109] node _T_1675 = mux(_T_1628, _T_1629, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1676 = mux(_T_1631, _T_1632, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1677 = mux(_T_1634, _T_1635, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2562,54 +2562,54 @@ circuit el2_ifu_mem_ctl : node _T_1705 = or(_T_1704, _T_1690) @[Mux.scala 27:72] wire _T_1706 : UInt<16> @[Mux.scala 27:72] _T_1706 <= _T_1705 @[Mux.scala 27:72] - node _T_1707 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1708 = bits(_T_1707, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1709 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1710 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1711 = bits(_T_1710, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1712 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1713 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1714 = bits(_T_1713, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1715 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1716 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1717 = bits(_T_1716, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1718 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1719 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1720 = bits(_T_1719, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1721 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1722 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1723 = bits(_T_1722, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1724 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1725 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1726 = bits(_T_1725, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1727 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1728 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1729 = bits(_T_1728, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1730 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1731 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1732 = bits(_T_1731, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1733 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1734 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1735 = bits(_T_1734, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1736 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1737 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1738 = bits(_T_1737, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1739 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1740 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1741 = bits(_T_1740, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1742 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1743 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1744 = bits(_T_1743, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1745 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1746 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1747 = bits(_T_1746, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1748 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1749 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1750 = bits(_T_1749, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1751 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] - node _T_1752 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 445:179] - node _T_1753 = bits(_T_1752, 0, 0) @[el2_ifu_mem_ctl.scala 445:187] - node _T_1754 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 445:215] + node _T_1707 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1708 = bits(_T_1707, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1709 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1710 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1711 = bits(_T_1710, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1712 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1713 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1714 = bits(_T_1713, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1715 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1716 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1717 = bits(_T_1716, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1718 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1719 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1720 = bits(_T_1719, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1721 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1722 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1723 = bits(_T_1722, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1724 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1725 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1726 = bits(_T_1725, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1727 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1728 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1729 = bits(_T_1728, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1730 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1731 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1732 = bits(_T_1731, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1733 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1734 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1735 = bits(_T_1734, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1736 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1737 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1738 = bits(_T_1737, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1739 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1740 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1741 = bits(_T_1740, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1742 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1743 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1744 = bits(_T_1743, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1745 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1746 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1747 = bits(_T_1746, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1748 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1749 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1750 = bits(_T_1749, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1751 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] + node _T_1752 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 440:179] + node _T_1753 = bits(_T_1752, 0, 0) @[el2_ifu_mem_ctl.scala 440:187] + node _T_1754 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 440:215] node _T_1755 = mux(_T_1708, _T_1709, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1756 = mux(_T_1711, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1757 = mux(_T_1714, _T_1715, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2643,54 +2643,54 @@ circuit el2_ifu_mem_ctl : node _T_1785 = or(_T_1784, _T_1770) @[Mux.scala 27:72] wire _T_1786 : UInt<32> @[Mux.scala 27:72] _T_1786 <= _T_1785 @[Mux.scala 27:72] - node _T_1787 = eq(byp_fetch_index_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1788 = bits(_T_1787, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1789 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1790 = eq(byp_fetch_index_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1791 = bits(_T_1790, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1792 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1793 = eq(byp_fetch_index_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1794 = bits(_T_1793, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1795 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1796 = eq(byp_fetch_index_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1797 = bits(_T_1796, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1798 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1799 = eq(byp_fetch_index_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1800 = bits(_T_1799, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1801 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1802 = eq(byp_fetch_index_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1803 = bits(_T_1802, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1804 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1805 = eq(byp_fetch_index_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1806 = bits(_T_1805, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1807 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1808 = eq(byp_fetch_index_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1809 = bits(_T_1808, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1810 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1811 = eq(byp_fetch_index_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1812 = bits(_T_1811, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1813 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1814 = eq(byp_fetch_index_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1815 = bits(_T_1814, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1816 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1817 = eq(byp_fetch_index_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1818 = bits(_T_1817, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1819 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1820 = eq(byp_fetch_index_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1821 = bits(_T_1820, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1822 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1823 = eq(byp_fetch_index_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1824 = bits(_T_1823, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1825 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1826 = eq(byp_fetch_index_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1827 = bits(_T_1826, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1828 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1829 = eq(byp_fetch_index_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1830 = bits(_T_1829, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1831 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] - node _T_1832 = eq(byp_fetch_index_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 445:285] - node _T_1833 = bits(_T_1832, 0, 0) @[el2_ifu_mem_ctl.scala 445:293] - node _T_1834 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 445:321] + node _T_1787 = eq(byp_fetch_index_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1788 = bits(_T_1787, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1789 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1790 = eq(byp_fetch_index_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1791 = bits(_T_1790, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1792 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1793 = eq(byp_fetch_index_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1794 = bits(_T_1793, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1795 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1796 = eq(byp_fetch_index_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1797 = bits(_T_1796, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1798 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1799 = eq(byp_fetch_index_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1800 = bits(_T_1799, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1801 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1802 = eq(byp_fetch_index_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1803 = bits(_T_1802, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1804 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1805 = eq(byp_fetch_index_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1806 = bits(_T_1805, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1807 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1808 = eq(byp_fetch_index_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1809 = bits(_T_1808, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1810 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1811 = eq(byp_fetch_index_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1812 = bits(_T_1811, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1813 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1814 = eq(byp_fetch_index_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1815 = bits(_T_1814, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1816 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1817 = eq(byp_fetch_index_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1818 = bits(_T_1817, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1819 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1820 = eq(byp_fetch_index_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1821 = bits(_T_1820, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1822 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1823 = eq(byp_fetch_index_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1824 = bits(_T_1823, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1825 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1826 = eq(byp_fetch_index_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1827 = bits(_T_1826, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1828 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1829 = eq(byp_fetch_index_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1830 = bits(_T_1829, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1831 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] + node _T_1832 = eq(byp_fetch_index_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 440:285] + node _T_1833 = bits(_T_1832, 0, 0) @[el2_ifu_mem_ctl.scala 440:293] + node _T_1834 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 440:321] node _T_1835 = mux(_T_1788, _T_1789, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1836 = mux(_T_1791, _T_1792, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1837 = mux(_T_1794, _T_1795, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2726,54 +2726,54 @@ circuit el2_ifu_mem_ctl : _T_1866 <= _T_1865 @[Mux.scala 27:72] node _T_1867 = cat(_T_1706, _T_1786) @[Cat.scala 29:58] node _T_1868 = cat(_T_1867, _T_1866) @[Cat.scala 29:58] - node _T_1869 = eq(byp_fetch_index_inc_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1870 = bits(_T_1869, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1871 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1872 = eq(byp_fetch_index_inc_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1873 = bits(_T_1872, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1874 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1875 = eq(byp_fetch_index_inc_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1876 = bits(_T_1875, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1877 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1878 = eq(byp_fetch_index_inc_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1879 = bits(_T_1878, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1880 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1881 = eq(byp_fetch_index_inc_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1882 = bits(_T_1881, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1883 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1884 = eq(byp_fetch_index_inc_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1885 = bits(_T_1884, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1886 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1887 = eq(byp_fetch_index_inc_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1888 = bits(_T_1887, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1889 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1890 = eq(byp_fetch_index_inc_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1891 = bits(_T_1890, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1892 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1893 = eq(byp_fetch_index_inc_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1894 = bits(_T_1893, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1895 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1896 = eq(byp_fetch_index_inc_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1897 = bits(_T_1896, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1898 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1899 = eq(byp_fetch_index_inc_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1900 = bits(_T_1899, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1901 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1902 = eq(byp_fetch_index_inc_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1903 = bits(_T_1902, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1904 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1905 = eq(byp_fetch_index_inc_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1906 = bits(_T_1905, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1907 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1908 = eq(byp_fetch_index_inc_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1909 = bits(_T_1908, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1910 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1911 = eq(byp_fetch_index_inc_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1912 = bits(_T_1911, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1913 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1914 = eq(byp_fetch_index_inc_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1915 = bits(_T_1914, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1916 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] + node _T_1869 = eq(byp_fetch_index_inc_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1870 = bits(_T_1869, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1871 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1872 = eq(byp_fetch_index_inc_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1873 = bits(_T_1872, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1874 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1875 = eq(byp_fetch_index_inc_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1876 = bits(_T_1875, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1877 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1878 = eq(byp_fetch_index_inc_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1879 = bits(_T_1878, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1880 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1881 = eq(byp_fetch_index_inc_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1882 = bits(_T_1881, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1883 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1884 = eq(byp_fetch_index_inc_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1885 = bits(_T_1884, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1886 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1887 = eq(byp_fetch_index_inc_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1888 = bits(_T_1887, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1889 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1890 = eq(byp_fetch_index_inc_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1891 = bits(_T_1890, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1892 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1893 = eq(byp_fetch_index_inc_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1894 = bits(_T_1893, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1895 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1896 = eq(byp_fetch_index_inc_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1897 = bits(_T_1896, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1898 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1899 = eq(byp_fetch_index_inc_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1900 = bits(_T_1899, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1901 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1902 = eq(byp_fetch_index_inc_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1903 = bits(_T_1902, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1904 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1905 = eq(byp_fetch_index_inc_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1906 = bits(_T_1905, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1907 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1908 = eq(byp_fetch_index_inc_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1909 = bits(_T_1908, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1910 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1911 = eq(byp_fetch_index_inc_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1912 = bits(_T_1911, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1913 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1914 = eq(byp_fetch_index_inc_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 441:73] + node _T_1915 = bits(_T_1914, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] + node _T_1916 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] node _T_1917 = mux(_T_1870, _T_1871, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1918 = mux(_T_1873, _T_1874, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1919 = mux(_T_1876, _T_1877, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2807,54 +2807,54 @@ circuit el2_ifu_mem_ctl : node _T_1947 = or(_T_1946, _T_1932) @[Mux.scala 27:72] wire _T_1948 : UInt<16> @[Mux.scala 27:72] _T_1948 <= _T_1947 @[Mux.scala 27:72] - node _T_1949 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1950 = bits(_T_1949, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1951 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1952 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1953 = bits(_T_1952, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1954 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1955 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1956 = bits(_T_1955, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1957 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1958 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1959 = bits(_T_1958, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1960 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1961 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1962 = bits(_T_1961, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1963 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1964 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1965 = bits(_T_1964, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1966 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1967 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1968 = bits(_T_1967, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1969 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1970 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1971 = bits(_T_1970, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1972 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1973 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1974 = bits(_T_1973, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1975 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1976 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1977 = bits(_T_1976, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1978 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1979 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1980 = bits(_T_1979, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1981 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1982 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1983 = bits(_T_1982, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1984 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1985 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1986 = bits(_T_1985, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1987 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1988 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1989 = bits(_T_1988, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1990 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1991 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1992 = bits(_T_1991, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1993 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] - node _T_1994 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 446:183] - node _T_1995 = bits(_T_1994, 0, 0) @[el2_ifu_mem_ctl.scala 446:191] - node _T_1996 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 446:219] + node _T_1949 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1950 = bits(_T_1949, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1951 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1952 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1953 = bits(_T_1952, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1954 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1955 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1956 = bits(_T_1955, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1957 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1958 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1959 = bits(_T_1958, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1960 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1961 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1962 = bits(_T_1961, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1963 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1964 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1965 = bits(_T_1964, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1966 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1967 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1968 = bits(_T_1967, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1969 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1970 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1971 = bits(_T_1970, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1972 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1973 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1974 = bits(_T_1973, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1975 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1976 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1977 = bits(_T_1976, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1978 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1979 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1980 = bits(_T_1979, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1981 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1982 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1983 = bits(_T_1982, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1984 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1985 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1986 = bits(_T_1985, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1987 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1988 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1989 = bits(_T_1988, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1990 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1991 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1992 = bits(_T_1991, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1993 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] + node _T_1994 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 441:183] + node _T_1995 = bits(_T_1994, 0, 0) @[el2_ifu_mem_ctl.scala 441:191] + node _T_1996 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 441:219] node _T_1997 = mux(_T_1950, _T_1951, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1998 = mux(_T_1953, _T_1954, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1999 = mux(_T_1956, _T_1957, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2888,54 +2888,54 @@ circuit el2_ifu_mem_ctl : node _T_2027 = or(_T_2026, _T_2012) @[Mux.scala 27:72] wire _T_2028 : UInt<32> @[Mux.scala 27:72] _T_2028 <= _T_2027 @[Mux.scala 27:72] - node _T_2029 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2030 = bits(_T_2029, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2031 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2032 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2033 = bits(_T_2032, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2034 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2035 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2036 = bits(_T_2035, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2037 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2038 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2039 = bits(_T_2038, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2040 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2041 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2042 = bits(_T_2041, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2043 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2044 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2045 = bits(_T_2044, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2046 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2047 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2048 = bits(_T_2047, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2049 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2050 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2051 = bits(_T_2050, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2052 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2053 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2054 = bits(_T_2053, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2055 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2056 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2057 = bits(_T_2056, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2058 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2059 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2060 = bits(_T_2059, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2061 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2062 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2063 = bits(_T_2062, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2064 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2065 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2066 = bits(_T_2065, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2067 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2068 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2069 = bits(_T_2068, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2070 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2071 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2072 = bits(_T_2071, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2073 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] - node _T_2074 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 446:289] - node _T_2075 = bits(_T_2074, 0, 0) @[el2_ifu_mem_ctl.scala 446:297] - node _T_2076 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 446:325] + node _T_2029 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2030 = bits(_T_2029, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2031 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2032 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2033 = bits(_T_2032, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2034 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2035 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2036 = bits(_T_2035, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2037 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2038 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2039 = bits(_T_2038, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2040 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2041 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2042 = bits(_T_2041, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2043 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2044 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2045 = bits(_T_2044, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2046 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2047 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2048 = bits(_T_2047, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2049 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2050 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2051 = bits(_T_2050, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2052 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2053 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2054 = bits(_T_2053, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2055 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2056 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2057 = bits(_T_2056, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2058 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2059 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2060 = bits(_T_2059, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2061 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2062 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2063 = bits(_T_2062, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2064 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2065 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2066 = bits(_T_2065, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2067 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2068 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2069 = bits(_T_2068, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2070 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2071 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2072 = bits(_T_2071, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2073 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] + node _T_2074 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 441:289] + node _T_2075 = bits(_T_2074, 0, 0) @[el2_ifu_mem_ctl.scala 441:297] + node _T_2076 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 441:325] node _T_2077 = mux(_T_2030, _T_2031, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2078 = mux(_T_2033, _T_2034, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2079 = mux(_T_2036, _T_2037, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2971,49 +2971,49 @@ circuit el2_ifu_mem_ctl : _T_2108 <= _T_2107 @[Mux.scala 27:72] node _T_2109 = cat(_T_1948, _T_2028) @[Cat.scala 29:58] node _T_2110 = cat(_T_2109, _T_2108) @[Cat.scala 29:58] - node ic_byp_data_only_pre_new = mux(_T_1626, _T_1868, _T_2110) @[el2_ifu_mem_ctl.scala 444:37] - node _T_2111 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 448:52] - node _T_2112 = bits(_T_2111, 0, 0) @[el2_ifu_mem_ctl.scala 448:62] - node _T_2113 = eq(_T_2112, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 448:31] - node _T_2114 = bits(ic_byp_data_only_pre_new, 79, 16) @[el2_ifu_mem_ctl.scala 448:128] + node ic_byp_data_only_pre_new = mux(_T_1626, _T_1868, _T_2110) @[el2_ifu_mem_ctl.scala 439:37] + node _T_2111 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 443:52] + node _T_2112 = bits(_T_2111, 0, 0) @[el2_ifu_mem_ctl.scala 443:62] + node _T_2113 = eq(_T_2112, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 443:31] + node _T_2114 = bits(ic_byp_data_only_pre_new, 79, 16) @[el2_ifu_mem_ctl.scala 443:128] node _T_2115 = cat(UInt<16>("h00"), _T_2114) @[Cat.scala 29:58] - node _T_2116 = mux(_T_2113, ic_byp_data_only_pre_new, _T_2115) @[el2_ifu_mem_ctl.scala 448:30] - ic_byp_data_only_new <= _T_2116 @[el2_ifu_mem_ctl.scala 448:24] - node _T_2117 = bits(imb_ff, 5, 5) @[el2_ifu_mem_ctl.scala 450:27] - node _T_2118 = bits(ifu_fetch_addr_int_f, 5, 5) @[el2_ifu_mem_ctl.scala 450:75] - node miss_wrap_f = neq(_T_2117, _T_2118) @[el2_ifu_mem_ctl.scala 450:51] - node _T_2119 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 451:102] - node _T_2120 = eq(_T_2119, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 451:127] - node _T_2121 = bits(_T_2120, 0, 0) @[el2_ifu_mem_ctl.scala 451:135] - node _T_2122 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 451:166] - node _T_2123 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 451:102] - node _T_2124 = eq(_T_2123, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 451:127] - node _T_2125 = bits(_T_2124, 0, 0) @[el2_ifu_mem_ctl.scala 451:135] - node _T_2126 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 451:166] - node _T_2127 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 451:102] - node _T_2128 = eq(_T_2127, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 451:127] - node _T_2129 = bits(_T_2128, 0, 0) @[el2_ifu_mem_ctl.scala 451:135] - node _T_2130 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 451:166] - node _T_2131 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 451:102] - node _T_2132 = eq(_T_2131, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 451:127] - node _T_2133 = bits(_T_2132, 0, 0) @[el2_ifu_mem_ctl.scala 451:135] - node _T_2134 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 451:166] - node _T_2135 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 451:102] - node _T_2136 = eq(_T_2135, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 451:127] - node _T_2137 = bits(_T_2136, 0, 0) @[el2_ifu_mem_ctl.scala 451:135] - node _T_2138 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 451:166] - node _T_2139 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 451:102] - node _T_2140 = eq(_T_2139, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 451:127] - node _T_2141 = bits(_T_2140, 0, 0) @[el2_ifu_mem_ctl.scala 451:135] - node _T_2142 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 451:166] - node _T_2143 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 451:102] - node _T_2144 = eq(_T_2143, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 451:127] - node _T_2145 = bits(_T_2144, 0, 0) @[el2_ifu_mem_ctl.scala 451:135] - node _T_2146 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 451:166] - node _T_2147 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 451:102] - node _T_2148 = eq(_T_2147, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 451:127] - node _T_2149 = bits(_T_2148, 0, 0) @[el2_ifu_mem_ctl.scala 451:135] - node _T_2150 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 451:166] + node _T_2116 = mux(_T_2113, ic_byp_data_only_pre_new, _T_2115) @[el2_ifu_mem_ctl.scala 443:30] + ic_byp_data_only_new <= _T_2116 @[el2_ifu_mem_ctl.scala 443:24] + node _T_2117 = bits(imb_ff, 5, 5) @[el2_ifu_mem_ctl.scala 445:27] + node _T_2118 = bits(ifu_fetch_addr_int_f, 5, 5) @[el2_ifu_mem_ctl.scala 445:75] + node miss_wrap_f = neq(_T_2117, _T_2118) @[el2_ifu_mem_ctl.scala 445:51] + node _T_2119 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 446:102] + node _T_2120 = eq(_T_2119, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 446:127] + node _T_2121 = bits(_T_2120, 0, 0) @[el2_ifu_mem_ctl.scala 446:135] + node _T_2122 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 446:166] + node _T_2123 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 446:102] + node _T_2124 = eq(_T_2123, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 446:127] + node _T_2125 = bits(_T_2124, 0, 0) @[el2_ifu_mem_ctl.scala 446:135] + node _T_2126 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 446:166] + node _T_2127 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 446:102] + node _T_2128 = eq(_T_2127, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 446:127] + node _T_2129 = bits(_T_2128, 0, 0) @[el2_ifu_mem_ctl.scala 446:135] + node _T_2130 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 446:166] + node _T_2131 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 446:102] + node _T_2132 = eq(_T_2131, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 446:127] + node _T_2133 = bits(_T_2132, 0, 0) @[el2_ifu_mem_ctl.scala 446:135] + node _T_2134 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 446:166] + node _T_2135 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 446:102] + node _T_2136 = eq(_T_2135, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 446:127] + node _T_2137 = bits(_T_2136, 0, 0) @[el2_ifu_mem_ctl.scala 446:135] + node _T_2138 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 446:166] + node _T_2139 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 446:102] + node _T_2140 = eq(_T_2139, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 446:127] + node _T_2141 = bits(_T_2140, 0, 0) @[el2_ifu_mem_ctl.scala 446:135] + node _T_2142 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 446:166] + node _T_2143 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 446:102] + node _T_2144 = eq(_T_2143, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 446:127] + node _T_2145 = bits(_T_2144, 0, 0) @[el2_ifu_mem_ctl.scala 446:135] + node _T_2146 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 446:166] + node _T_2147 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 446:102] + node _T_2148 = eq(_T_2147, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 446:127] + node _T_2149 = bits(_T_2148, 0, 0) @[el2_ifu_mem_ctl.scala 446:135] + node _T_2150 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 446:166] node _T_2151 = mux(_T_2121, _T_2122, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2152 = mux(_T_2125, _T_2126, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2153 = mux(_T_2129, _T_2130, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3031,30 +3031,30 @@ circuit el2_ifu_mem_ctl : node _T_2165 = or(_T_2164, _T_2158) @[Mux.scala 27:72] wire ic_miss_buff_data_valid_bypass_index : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_valid_bypass_index <= _T_2165 @[Mux.scala 27:72] - node _T_2166 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 452:110] - node _T_2167 = bits(_T_2166, 0, 0) @[el2_ifu_mem_ctl.scala 452:118] - node _T_2168 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 452:149] - node _T_2169 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 452:110] - node _T_2170 = bits(_T_2169, 0, 0) @[el2_ifu_mem_ctl.scala 452:118] - node _T_2171 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 452:149] - node _T_2172 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 452:110] - node _T_2173 = bits(_T_2172, 0, 0) @[el2_ifu_mem_ctl.scala 452:118] - node _T_2174 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 452:149] - node _T_2175 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 452:110] - node _T_2176 = bits(_T_2175, 0, 0) @[el2_ifu_mem_ctl.scala 452:118] - node _T_2177 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 452:149] - node _T_2178 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 452:110] - node _T_2179 = bits(_T_2178, 0, 0) @[el2_ifu_mem_ctl.scala 452:118] - node _T_2180 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 452:149] - node _T_2181 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 452:110] - node _T_2182 = bits(_T_2181, 0, 0) @[el2_ifu_mem_ctl.scala 452:118] - node _T_2183 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 452:149] - node _T_2184 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 452:110] - node _T_2185 = bits(_T_2184, 0, 0) @[el2_ifu_mem_ctl.scala 452:118] - node _T_2186 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 452:149] - node _T_2187 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 452:110] - node _T_2188 = bits(_T_2187, 0, 0) @[el2_ifu_mem_ctl.scala 452:118] - node _T_2189 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 452:149] + node _T_2166 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 447:110] + node _T_2167 = bits(_T_2166, 0, 0) @[el2_ifu_mem_ctl.scala 447:118] + node _T_2168 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 447:149] + node _T_2169 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 447:110] + node _T_2170 = bits(_T_2169, 0, 0) @[el2_ifu_mem_ctl.scala 447:118] + node _T_2171 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 447:149] + node _T_2172 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 447:110] + node _T_2173 = bits(_T_2172, 0, 0) @[el2_ifu_mem_ctl.scala 447:118] + node _T_2174 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 447:149] + node _T_2175 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 447:110] + node _T_2176 = bits(_T_2175, 0, 0) @[el2_ifu_mem_ctl.scala 447:118] + node _T_2177 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 447:149] + node _T_2178 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 447:110] + node _T_2179 = bits(_T_2178, 0, 0) @[el2_ifu_mem_ctl.scala 447:118] + node _T_2180 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 447:149] + node _T_2181 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 447:110] + node _T_2182 = bits(_T_2181, 0, 0) @[el2_ifu_mem_ctl.scala 447:118] + node _T_2183 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 447:149] + node _T_2184 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 447:110] + node _T_2185 = bits(_T_2184, 0, 0) @[el2_ifu_mem_ctl.scala 447:118] + node _T_2186 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 447:149] + node _T_2187 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 447:110] + node _T_2188 = bits(_T_2187, 0, 0) @[el2_ifu_mem_ctl.scala 447:118] + node _T_2189 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 447:149] node _T_2190 = mux(_T_2167, _T_2168, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2191 = mux(_T_2170, _T_2171, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2192 = mux(_T_2173, _T_2174, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3072,86 +3072,86 @@ circuit el2_ifu_mem_ctl : node _T_2204 = or(_T_2203, _T_2197) @[Mux.scala 27:72] wire ic_miss_buff_data_valid_inc_bypass_index : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_valid_inc_bypass_index <= _T_2204 @[Mux.scala 27:72] - node _T_2205 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 453:85] - node _T_2206 = eq(_T_2205, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 453:69] - node _T_2207 = and(ic_miss_buff_data_valid_bypass_index, _T_2206) @[el2_ifu_mem_ctl.scala 453:67] - node _T_2208 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 453:107] - node _T_2209 = eq(_T_2208, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 453:91] - node _T_2210 = and(_T_2207, _T_2209) @[el2_ifu_mem_ctl.scala 453:89] - node _T_2211 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 454:61] - node _T_2212 = eq(_T_2211, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 454:45] - node _T_2213 = and(ic_miss_buff_data_valid_bypass_index, _T_2212) @[el2_ifu_mem_ctl.scala 454:43] - node _T_2214 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 454:83] - node _T_2215 = and(_T_2213, _T_2214) @[el2_ifu_mem_ctl.scala 454:65] - node _T_2216 = or(_T_2210, _T_2215) @[el2_ifu_mem_ctl.scala 453:112] - node _T_2217 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 455:61] - node _T_2218 = and(ic_miss_buff_data_valid_bypass_index, _T_2217) @[el2_ifu_mem_ctl.scala 455:43] - node _T_2219 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 455:83] - node _T_2220 = eq(_T_2219, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 455:67] - node _T_2221 = and(_T_2218, _T_2220) @[el2_ifu_mem_ctl.scala 455:65] - node _T_2222 = or(_T_2216, _T_2221) @[el2_ifu_mem_ctl.scala 454:88] - node _T_2223 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 456:61] - node _T_2224 = and(ic_miss_buff_data_valid_bypass_index, _T_2223) @[el2_ifu_mem_ctl.scala 456:43] - node _T_2225 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 456:83] - node _T_2226 = and(_T_2224, _T_2225) @[el2_ifu_mem_ctl.scala 456:65] - node _T_2227 = and(_T_2226, ic_miss_buff_data_valid_inc_bypass_index) @[el2_ifu_mem_ctl.scala 456:87] - node _T_2228 = or(_T_2222, _T_2227) @[el2_ifu_mem_ctl.scala 455:88] - node _T_2229 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 457:61] + node _T_2205 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 448:85] + node _T_2206 = eq(_T_2205, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 448:69] + node _T_2207 = and(ic_miss_buff_data_valid_bypass_index, _T_2206) @[el2_ifu_mem_ctl.scala 448:67] + node _T_2208 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 448:107] + node _T_2209 = eq(_T_2208, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 448:91] + node _T_2210 = and(_T_2207, _T_2209) @[el2_ifu_mem_ctl.scala 448:89] + node _T_2211 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 449:61] + node _T_2212 = eq(_T_2211, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 449:45] + node _T_2213 = and(ic_miss_buff_data_valid_bypass_index, _T_2212) @[el2_ifu_mem_ctl.scala 449:43] + node _T_2214 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 449:83] + node _T_2215 = and(_T_2213, _T_2214) @[el2_ifu_mem_ctl.scala 449:65] + node _T_2216 = or(_T_2210, _T_2215) @[el2_ifu_mem_ctl.scala 448:112] + node _T_2217 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 450:61] + node _T_2218 = and(ic_miss_buff_data_valid_bypass_index, _T_2217) @[el2_ifu_mem_ctl.scala 450:43] + node _T_2219 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 450:83] + node _T_2220 = eq(_T_2219, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 450:67] + node _T_2221 = and(_T_2218, _T_2220) @[el2_ifu_mem_ctl.scala 450:65] + node _T_2222 = or(_T_2216, _T_2221) @[el2_ifu_mem_ctl.scala 449:88] + node _T_2223 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 451:61] + node _T_2224 = and(ic_miss_buff_data_valid_bypass_index, _T_2223) @[el2_ifu_mem_ctl.scala 451:43] + node _T_2225 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 451:83] + node _T_2226 = and(_T_2224, _T_2225) @[el2_ifu_mem_ctl.scala 451:65] + node _T_2227 = and(_T_2226, ic_miss_buff_data_valid_inc_bypass_index) @[el2_ifu_mem_ctl.scala 451:87] + node _T_2228 = or(_T_2222, _T_2227) @[el2_ifu_mem_ctl.scala 450:88] + node _T_2229 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 452:61] node _T_2230 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2231 = eq(_T_2229, _T_2230) @[el2_ifu_mem_ctl.scala 457:87] - node _T_2232 = and(ic_miss_buff_data_valid_bypass_index, _T_2231) @[el2_ifu_mem_ctl.scala 457:43] - node miss_buff_hit_unq_f = or(_T_2228, _T_2232) @[el2_ifu_mem_ctl.scala 456:131] - node _T_2233 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 459:30] - node _T_2234 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 459:68] - node _T_2235 = and(miss_buff_hit_unq_f, _T_2234) @[el2_ifu_mem_ctl.scala 459:66] - node _T_2236 = and(_T_2233, _T_2235) @[el2_ifu_mem_ctl.scala 459:43] - stream_hit_f <= _T_2236 @[el2_ifu_mem_ctl.scala 459:16] - node _T_2237 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 460:31] - node _T_2238 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 460:70] - node _T_2239 = and(miss_buff_hit_unq_f, _T_2238) @[el2_ifu_mem_ctl.scala 460:68] - node _T_2240 = eq(_T_2239, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 460:46] - node _T_2241 = and(_T_2237, _T_2240) @[el2_ifu_mem_ctl.scala 460:44] - node _T_2242 = and(_T_2241, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 460:84] - stream_miss_f <= _T_2242 @[el2_ifu_mem_ctl.scala 460:17] - node _T_2243 = bits(byp_fetch_index, 4, 1) @[el2_ifu_mem_ctl.scala 461:35] + node _T_2231 = eq(_T_2229, _T_2230) @[el2_ifu_mem_ctl.scala 452:87] + node _T_2232 = and(ic_miss_buff_data_valid_bypass_index, _T_2231) @[el2_ifu_mem_ctl.scala 452:43] + node miss_buff_hit_unq_f = or(_T_2228, _T_2232) @[el2_ifu_mem_ctl.scala 451:131] + node _T_2233 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 454:30] + node _T_2234 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 454:68] + node _T_2235 = and(miss_buff_hit_unq_f, _T_2234) @[el2_ifu_mem_ctl.scala 454:66] + node _T_2236 = and(_T_2233, _T_2235) @[el2_ifu_mem_ctl.scala 454:43] + stream_hit_f <= _T_2236 @[el2_ifu_mem_ctl.scala 454:16] + node _T_2237 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 455:31] + node _T_2238 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 455:70] + node _T_2239 = and(miss_buff_hit_unq_f, _T_2238) @[el2_ifu_mem_ctl.scala 455:68] + node _T_2240 = eq(_T_2239, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 455:46] + node _T_2241 = and(_T_2237, _T_2240) @[el2_ifu_mem_ctl.scala 455:44] + node _T_2242 = and(_T_2241, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 455:84] + stream_miss_f <= _T_2242 @[el2_ifu_mem_ctl.scala 455:17] + node _T_2243 = bits(byp_fetch_index, 4, 1) @[el2_ifu_mem_ctl.scala 456:35] node _T_2244 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2245 = eq(_T_2243, _T_2244) @[el2_ifu_mem_ctl.scala 461:60] - node _T_2246 = and(_T_2245, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 461:94] - node _T_2247 = and(_T_2246, stream_hit_f) @[el2_ifu_mem_ctl.scala 461:112] - stream_eol_f <= _T_2247 @[el2_ifu_mem_ctl.scala 461:16] - node _T_2248 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 462:55] - node _T_2249 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 462:87] - node _T_2250 = or(_T_2248, _T_2249) @[el2_ifu_mem_ctl.scala 462:74] - node _T_2251 = and(miss_buff_hit_unq_f, _T_2250) @[el2_ifu_mem_ctl.scala 462:41] - crit_byp_hit_f <= _T_2251 @[el2_ifu_mem_ctl.scala 462:18] - node _T_2252 = bits(ifu_bus_rid_ff, 2, 1) @[el2_ifu_mem_ctl.scala 465:37] - node _T_2253 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 465:70] - node _T_2254 = eq(_T_2253, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 465:55] + node _T_2245 = eq(_T_2243, _T_2244) @[el2_ifu_mem_ctl.scala 456:60] + node _T_2246 = and(_T_2245, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 456:94] + node _T_2247 = and(_T_2246, stream_hit_f) @[el2_ifu_mem_ctl.scala 456:112] + stream_eol_f <= _T_2247 @[el2_ifu_mem_ctl.scala 456:16] + node _T_2248 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 457:55] + node _T_2249 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 457:87] + node _T_2250 = or(_T_2248, _T_2249) @[el2_ifu_mem_ctl.scala 457:74] + node _T_2251 = and(miss_buff_hit_unq_f, _T_2250) @[el2_ifu_mem_ctl.scala 457:41] + crit_byp_hit_f <= _T_2251 @[el2_ifu_mem_ctl.scala 457:18] + node _T_2252 = bits(ifu_bus_rid_ff, 2, 1) @[el2_ifu_mem_ctl.scala 460:37] + node _T_2253 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 460:70] + node _T_2254 = eq(_T_2253, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 460:55] node other_tag = cat(_T_2252, _T_2254) @[Cat.scala 29:58] - node _T_2255 = eq(other_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 466:81] - node _T_2256 = bits(_T_2255, 0, 0) @[el2_ifu_mem_ctl.scala 466:89] - node _T_2257 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 466:120] - node _T_2258 = eq(other_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 466:81] - node _T_2259 = bits(_T_2258, 0, 0) @[el2_ifu_mem_ctl.scala 466:89] - node _T_2260 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 466:120] - node _T_2261 = eq(other_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 466:81] - node _T_2262 = bits(_T_2261, 0, 0) @[el2_ifu_mem_ctl.scala 466:89] - node _T_2263 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 466:120] - node _T_2264 = eq(other_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 466:81] - node _T_2265 = bits(_T_2264, 0, 0) @[el2_ifu_mem_ctl.scala 466:89] - node _T_2266 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 466:120] - node _T_2267 = eq(other_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 466:81] - node _T_2268 = bits(_T_2267, 0, 0) @[el2_ifu_mem_ctl.scala 466:89] - node _T_2269 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 466:120] - node _T_2270 = eq(other_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 466:81] - node _T_2271 = bits(_T_2270, 0, 0) @[el2_ifu_mem_ctl.scala 466:89] - node _T_2272 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 466:120] - node _T_2273 = eq(other_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 466:81] - node _T_2274 = bits(_T_2273, 0, 0) @[el2_ifu_mem_ctl.scala 466:89] - node _T_2275 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 466:120] - node _T_2276 = eq(other_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 466:81] - node _T_2277 = bits(_T_2276, 0, 0) @[el2_ifu_mem_ctl.scala 466:89] - node _T_2278 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 466:120] + node _T_2255 = eq(other_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 461:81] + node _T_2256 = bits(_T_2255, 0, 0) @[el2_ifu_mem_ctl.scala 461:89] + node _T_2257 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 461:120] + node _T_2258 = eq(other_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 461:81] + node _T_2259 = bits(_T_2258, 0, 0) @[el2_ifu_mem_ctl.scala 461:89] + node _T_2260 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 461:120] + node _T_2261 = eq(other_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 461:81] + node _T_2262 = bits(_T_2261, 0, 0) @[el2_ifu_mem_ctl.scala 461:89] + node _T_2263 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 461:120] + node _T_2264 = eq(other_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 461:81] + node _T_2265 = bits(_T_2264, 0, 0) @[el2_ifu_mem_ctl.scala 461:89] + node _T_2266 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 461:120] + node _T_2267 = eq(other_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 461:81] + node _T_2268 = bits(_T_2267, 0, 0) @[el2_ifu_mem_ctl.scala 461:89] + node _T_2269 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 461:120] + node _T_2270 = eq(other_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 461:81] + node _T_2271 = bits(_T_2270, 0, 0) @[el2_ifu_mem_ctl.scala 461:89] + node _T_2272 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 461:120] + node _T_2273 = eq(other_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 461:81] + node _T_2274 = bits(_T_2273, 0, 0) @[el2_ifu_mem_ctl.scala 461:89] + node _T_2275 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 461:120] + node _T_2276 = eq(other_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 461:81] + node _T_2277 = bits(_T_2276, 0, 0) @[el2_ifu_mem_ctl.scala 461:89] + node _T_2278 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 461:120] node _T_2279 = mux(_T_2256, _T_2257, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2280 = mux(_T_2259, _T_2260, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2281 = mux(_T_2262, _T_2263, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3169,56 +3169,56 @@ circuit el2_ifu_mem_ctl : node _T_2293 = or(_T_2292, _T_2286) @[Mux.scala 27:72] wire second_half_available : UInt<1> @[Mux.scala 27:72] second_half_available <= _T_2293 @[Mux.scala 27:72] - node _T_2294 = and(second_half_available, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 467:46] - write_ic_16_bytes <= _T_2294 @[el2_ifu_mem_ctl.scala 467:21] + node _T_2294 = and(second_half_available, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 462:46] + write_ic_16_bytes <= _T_2294 @[el2_ifu_mem_ctl.scala 462:21] node _T_2295 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2296 = eq(_T_2295, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2297 = bits(_T_2296, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2296 = eq(_T_2295, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2297 = bits(_T_2296, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2298 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2299 = eq(_T_2298, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2300 = bits(_T_2299, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2299 = eq(_T_2298, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2300 = bits(_T_2299, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2301 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2302 = eq(_T_2301, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2303 = bits(_T_2302, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2302 = eq(_T_2301, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2303 = bits(_T_2302, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2304 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2305 = eq(_T_2304, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2306 = bits(_T_2305, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2305 = eq(_T_2304, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2306 = bits(_T_2305, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2307 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2308 = eq(_T_2307, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2309 = bits(_T_2308, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2308 = eq(_T_2307, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2309 = bits(_T_2308, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2310 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2311 = eq(_T_2310, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2312 = bits(_T_2311, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2311 = eq(_T_2310, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2312 = bits(_T_2311, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2313 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2314 = eq(_T_2313, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2315 = bits(_T_2314, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2314 = eq(_T_2313, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2315 = bits(_T_2314, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2316 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2317 = eq(_T_2316, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2318 = bits(_T_2317, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2317 = eq(_T_2316, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2318 = bits(_T_2317, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2319 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2320 = eq(_T_2319, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2321 = bits(_T_2320, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2320 = eq(_T_2319, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2321 = bits(_T_2320, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2322 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2323 = eq(_T_2322, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2324 = bits(_T_2323, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2323 = eq(_T_2322, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2324 = bits(_T_2323, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2325 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2326 = eq(_T_2325, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2327 = bits(_T_2326, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2326 = eq(_T_2325, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2327 = bits(_T_2326, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2328 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2329 = eq(_T_2328, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2330 = bits(_T_2329, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2329 = eq(_T_2328, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2330 = bits(_T_2329, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2331 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2332 = eq(_T_2331, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2333 = bits(_T_2332, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2332 = eq(_T_2331, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2333 = bits(_T_2332, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2334 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2335 = eq(_T_2334, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2336 = bits(_T_2335, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2335 = eq(_T_2334, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2336 = bits(_T_2335, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2337 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2338 = eq(_T_2337, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2339 = bits(_T_2338, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2338 = eq(_T_2337, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2339 = bits(_T_2338, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2340 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2341 = eq(_T_2340, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 468:89] - node _T_2342 = bits(_T_2341, 0, 0) @[el2_ifu_mem_ctl.scala 468:97] + node _T_2341 = eq(_T_2340, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2342 = bits(_T_2341, 0, 0) @[el2_ifu_mem_ctl.scala 463:97] node _T_2343 = mux(_T_2297, ic_miss_buff_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2344 = mux(_T_2300, ic_miss_buff_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2345 = mux(_T_2303, ic_miss_buff_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3253,53 +3253,53 @@ circuit el2_ifu_mem_ctl : wire _T_2374 : UInt<32> @[Mux.scala 27:72] _T_2374 <= _T_2373 @[Mux.scala 27:72] node _T_2375 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2376 = eq(_T_2375, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2377 = bits(_T_2376, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2376 = eq(_T_2375, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2377 = bits(_T_2376, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2378 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2379 = eq(_T_2378, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2380 = bits(_T_2379, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2379 = eq(_T_2378, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2380 = bits(_T_2379, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2381 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2382 = eq(_T_2381, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2383 = bits(_T_2382, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2382 = eq(_T_2381, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2383 = bits(_T_2382, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2384 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2385 = eq(_T_2384, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2386 = bits(_T_2385, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2385 = eq(_T_2384, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2386 = bits(_T_2385, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2387 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2388 = eq(_T_2387, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2389 = bits(_T_2388, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2388 = eq(_T_2387, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2389 = bits(_T_2388, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2390 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2391 = eq(_T_2390, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2392 = bits(_T_2391, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2391 = eq(_T_2390, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2392 = bits(_T_2391, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2393 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2394 = eq(_T_2393, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2395 = bits(_T_2394, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2394 = eq(_T_2393, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2395 = bits(_T_2394, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2396 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2397 = eq(_T_2396, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2398 = bits(_T_2397, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2397 = eq(_T_2396, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2398 = bits(_T_2397, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2399 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2400 = eq(_T_2399, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2401 = bits(_T_2400, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2400 = eq(_T_2399, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2401 = bits(_T_2400, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2402 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2403 = eq(_T_2402, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2404 = bits(_T_2403, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2403 = eq(_T_2402, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2404 = bits(_T_2403, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2405 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2406 = eq(_T_2405, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2407 = bits(_T_2406, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2406 = eq(_T_2405, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2407 = bits(_T_2406, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2408 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2409 = eq(_T_2408, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2410 = bits(_T_2409, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2409 = eq(_T_2408, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2410 = bits(_T_2409, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2411 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2412 = eq(_T_2411, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2413 = bits(_T_2412, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2412 = eq(_T_2411, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2413 = bits(_T_2412, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2414 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2415 = eq(_T_2414, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2416 = bits(_T_2415, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2415 = eq(_T_2414, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2416 = bits(_T_2415, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2417 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2418 = eq(_T_2417, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2419 = bits(_T_2418, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2418 = eq(_T_2417, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2419 = bits(_T_2418, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2420 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2421 = eq(_T_2420, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 469:66] - node _T_2422 = bits(_T_2421, 0, 0) @[el2_ifu_mem_ctl.scala 469:74] + node _T_2421 = eq(_T_2420, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 464:66] + node _T_2422 = bits(_T_2421, 0, 0) @[el2_ifu_mem_ctl.scala 464:74] node _T_2423 = mux(_T_2377, ic_miss_buff_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2424 = mux(_T_2380, ic_miss_buff_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2425 = mux(_T_2383, ic_miss_buff_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3334,12 +3334,12 @@ circuit el2_ifu_mem_ctl : wire _T_2454 : UInt<32> @[Mux.scala 27:72] _T_2454 <= _T_2453 @[Mux.scala 27:72] node _T_2455 = cat(_T_2374, _T_2454) @[Cat.scala 29:58] - ic_miss_buff_half <= _T_2455 @[el2_ifu_mem_ctl.scala 468:21] - node _T_2456 = and(io.ic_tag_perr, sel_ic_data) @[el2_ifu_mem_ctl.scala 473:44] - node _T_2457 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 473:91] - node _T_2458 = eq(_T_2457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 473:60] - node _T_2459 = and(_T_2456, _T_2458) @[el2_ifu_mem_ctl.scala 473:58] - ic_rd_parity_final_err <= _T_2459 @[el2_ifu_mem_ctl.scala 473:26] + ic_miss_buff_half <= _T_2455 @[el2_ifu_mem_ctl.scala 463:21] + node _T_2456 = and(io.ic_tag_perr, sel_ic_data) @[el2_ifu_mem_ctl.scala 468:44] + node _T_2457 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 468:91] + node _T_2458 = eq(_T_2457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 468:60] + node _T_2459 = and(_T_2456, _T_2458) @[el2_ifu_mem_ctl.scala 468:58] + ic_rd_parity_final_err <= _T_2459 @[el2_ifu_mem_ctl.scala 468:26] wire ifu_ic_rw_int_addr_ff : UInt<7> ifu_ic_rw_int_addr_ff <= UInt<1>("h00") wire perr_sb_write_status : UInt<1> @@ -3352,16 +3352,16 @@ circuit el2_ifu_mem_ctl : perr_sel_invalidate <= UInt<1>("h00") node _T_2460 = bits(perr_sel_invalidate, 0, 0) @[Bitwise.scala 72:15] node perr_err_inv_way = mux(_T_2460, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_2461 = eq(perr_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 480:34] - iccm_correct_ecc <= _T_2461 @[el2_ifu_mem_ctl.scala 480:20] - node dma_sb_err_state = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 481:37] - wire dma_sb_err_state_ff : UInt<1> @[el2_ifu_mem_ctl.scala 482:33] - node _T_2462 = eq(dma_sb_err_state_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 483:49] - node _T_2463 = and(iccm_correct_ecc, _T_2462) @[el2_ifu_mem_ctl.scala 483:47] - io.iccm_buf_correct_ecc <= _T_2463 @[el2_ifu_mem_ctl.scala 483:27] - reg _T_2464 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 484:58] - _T_2464 <= dma_sb_err_state @[el2_ifu_mem_ctl.scala 484:58] - dma_sb_err_state_ff <= _T_2464 @[el2_ifu_mem_ctl.scala 484:23] + node _T_2461 = eq(perr_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 475:34] + iccm_correct_ecc <= _T_2461 @[el2_ifu_mem_ctl.scala 475:20] + node dma_sb_err_state = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 476:37] + wire dma_sb_err_state_ff : UInt<1> @[el2_ifu_mem_ctl.scala 477:33] + node _T_2462 = eq(dma_sb_err_state_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 478:49] + node _T_2463 = and(iccm_correct_ecc, _T_2462) @[el2_ifu_mem_ctl.scala 478:47] + io.iccm_buf_correct_ecc <= _T_2463 @[el2_ifu_mem_ctl.scala 478:27] + reg _T_2464 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 479:58] + _T_2464 <= dma_sb_err_state @[el2_ifu_mem_ctl.scala 479:58] + dma_sb_err_state_ff <= _T_2464 @[el2_ifu_mem_ctl.scala 479:23] wire perr_nxtstate : UInt<3> perr_nxtstate <= UInt<1>("h00") wire perr_state_en : UInt<1> @@ -3370,165 +3370,165 @@ circuit el2_ifu_mem_ctl : iccm_error_start <= UInt<1>("h00") node _T_2465 = eq(UInt<3>("h00"), perr_state) @[Conditional.scala 37:30] when _T_2465 : @[Conditional.scala 40:58] - node _T_2466 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 492:89] - node _T_2467 = and(io.ic_error_start, _T_2466) @[el2_ifu_mem_ctl.scala 492:87] - node _T_2468 = bits(_T_2467, 0, 0) @[el2_ifu_mem_ctl.scala 492:110] - node _T_2469 = mux(_T_2468, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 492:67] - node _T_2470 = mux(io.iccm_dma_sb_error, UInt<3>("h04"), _T_2469) @[el2_ifu_mem_ctl.scala 492:27] - perr_nxtstate <= _T_2470 @[el2_ifu_mem_ctl.scala 492:21] - node _T_2471 = or(iccm_error_start, io.ic_error_start) @[el2_ifu_mem_ctl.scala 493:44] - node _T_2472 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 493:67] - node _T_2473 = and(_T_2471, _T_2472) @[el2_ifu_mem_ctl.scala 493:65] - node _T_2474 = or(_T_2473, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 493:88] - node _T_2475 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 493:114] - node _T_2476 = and(_T_2474, _T_2475) @[el2_ifu_mem_ctl.scala 493:112] - perr_state_en <= _T_2476 @[el2_ifu_mem_ctl.scala 493:21] - perr_sb_write_status <= perr_state_en @[el2_ifu_mem_ctl.scala 494:28] + node _T_2466 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 487:89] + node _T_2467 = and(io.ic_error_start, _T_2466) @[el2_ifu_mem_ctl.scala 487:87] + node _T_2468 = bits(_T_2467, 0, 0) @[el2_ifu_mem_ctl.scala 487:110] + node _T_2469 = mux(_T_2468, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 487:67] + node _T_2470 = mux(io.iccm_dma_sb_error, UInt<3>("h04"), _T_2469) @[el2_ifu_mem_ctl.scala 487:27] + perr_nxtstate <= _T_2470 @[el2_ifu_mem_ctl.scala 487:21] + node _T_2471 = or(iccm_error_start, io.ic_error_start) @[el2_ifu_mem_ctl.scala 488:44] + node _T_2472 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 488:67] + node _T_2473 = and(_T_2471, _T_2472) @[el2_ifu_mem_ctl.scala 488:65] + node _T_2474 = or(_T_2473, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 488:88] + node _T_2475 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 488:114] + node _T_2476 = and(_T_2474, _T_2475) @[el2_ifu_mem_ctl.scala 488:112] + perr_state_en <= _T_2476 @[el2_ifu_mem_ctl.scala 488:21] + perr_sb_write_status <= perr_state_en @[el2_ifu_mem_ctl.scala 489:28] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_2477 = eq(UInt<3>("h01"), perr_state) @[Conditional.scala 37:30] when _T_2477 : @[Conditional.scala 39:67] - perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 497:21] - node _T_2478 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 498:50] - perr_state_en <= _T_2478 @[el2_ifu_mem_ctl.scala 498:21] - node _T_2479 = and(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 499:56] - perr_sel_invalidate <= _T_2479 @[el2_ifu_mem_ctl.scala 499:27] + perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 492:21] + node _T_2478 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 493:50] + perr_state_en <= _T_2478 @[el2_ifu_mem_ctl.scala 493:21] + node _T_2479 = and(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 494:56] + perr_sel_invalidate <= _T_2479 @[el2_ifu_mem_ctl.scala 494:27] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2480 = eq(UInt<3>("h02"), perr_state) @[Conditional.scala 37:30] when _T_2480 : @[Conditional.scala 39:67] - node _T_2481 = and(io.dec_tlu_flush_err_wb, io.dec_tlu_flush_lower_wb) @[el2_ifu_mem_ctl.scala 502:54] - node _T_2482 = or(_T_2481, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 502:84] - node _T_2483 = bits(_T_2482, 0, 0) @[el2_ifu_mem_ctl.scala 502:115] - node _T_2484 = mux(_T_2483, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 502:27] - perr_nxtstate <= _T_2484 @[el2_ifu_mem_ctl.scala 502:21] - node _T_2485 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 503:50] - perr_state_en <= _T_2485 @[el2_ifu_mem_ctl.scala 503:21] + node _T_2481 = and(io.dec_tlu_flush_err_wb, io.dec_tlu_flush_lower_wb) @[el2_ifu_mem_ctl.scala 497:54] + node _T_2482 = or(_T_2481, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 497:84] + node _T_2483 = bits(_T_2482, 0, 0) @[el2_ifu_mem_ctl.scala 497:115] + node _T_2484 = mux(_T_2483, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 497:27] + perr_nxtstate <= _T_2484 @[el2_ifu_mem_ctl.scala 497:21] + node _T_2485 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 498:50] + perr_state_en <= _T_2485 @[el2_ifu_mem_ctl.scala 498:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2486 = eq(UInt<3>("h04"), perr_state) @[Conditional.scala 37:30] when _T_2486 : @[Conditional.scala 39:67] - node _T_2487 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 506:27] - perr_nxtstate <= _T_2487 @[el2_ifu_mem_ctl.scala 506:21] - perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 507:21] + node _T_2487 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 501:27] + perr_nxtstate <= _T_2487 @[el2_ifu_mem_ctl.scala 501:21] + perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 502:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2488 = eq(UInt<3>("h03"), perr_state) @[Conditional.scala 37:30] when _T_2488 : @[Conditional.scala 39:67] - perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 510:21] - perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 511:21] + perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 505:21] + perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 506:21] skip @[Conditional.scala 39:67] reg _T_2489 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when perr_state_en : @[Reg.scala 28:19] _T_2489 <= perr_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - perr_state <= _T_2489 @[el2_ifu_mem_ctl.scala 514:14] + perr_state <= _T_2489 @[el2_ifu_mem_ctl.scala 509:14] wire err_stop_nxtstate : UInt<2> err_stop_nxtstate <= UInt<1>("h00") wire err_stop_state_en : UInt<1> err_stop_state_en <= UInt<1>("h00") - io.iccm_correction_state <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 518:28] + io.iccm_correction_state <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 513:28] node _T_2490 = eq(UInt<2>("h00"), err_stop_state) @[Conditional.scala 37:30] when _T_2490 : @[Conditional.scala 40:58] - err_stop_nxtstate <= UInt<2>("h01") @[el2_ifu_mem_ctl.scala 522:25] - node _T_2491 = eq(perr_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 523:66] - node _T_2492 = and(io.dec_tlu_flush_err_wb, _T_2491) @[el2_ifu_mem_ctl.scala 523:52] - node _T_2493 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 523:83] - node _T_2494 = and(_T_2492, _T_2493) @[el2_ifu_mem_ctl.scala 523:81] - err_stop_state_en <= _T_2494 @[el2_ifu_mem_ctl.scala 523:25] + err_stop_nxtstate <= UInt<2>("h01") @[el2_ifu_mem_ctl.scala 517:25] + node _T_2491 = eq(perr_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 518:66] + node _T_2492 = and(io.dec_tlu_flush_err_wb, _T_2491) @[el2_ifu_mem_ctl.scala 518:52] + node _T_2493 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 518:83] + node _T_2494 = and(_T_2492, _T_2493) @[el2_ifu_mem_ctl.scala 518:81] + err_stop_state_en <= _T_2494 @[el2_ifu_mem_ctl.scala 518:25] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_2495 = eq(UInt<2>("h01"), err_stop_state) @[Conditional.scala 37:30] when _T_2495 : @[Conditional.scala 39:67] - node _T_2496 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 526:59] - node _T_2497 = or(_T_2496, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 526:86] - node _T_2498 = bits(_T_2497, 0, 0) @[el2_ifu_mem_ctl.scala 526:117] - node _T_2499 = eq(io.ifu_fetch_val, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 527:31] - node _T_2500 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 527:56] - node _T_2501 = and(_T_2500, two_byte_instr) @[el2_ifu_mem_ctl.scala 527:59] - node _T_2502 = or(_T_2499, _T_2501) @[el2_ifu_mem_ctl.scala 527:38] - node _T_2503 = bits(_T_2502, 0, 0) @[el2_ifu_mem_ctl.scala 527:83] - node _T_2504 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 528:31] - node _T_2505 = bits(_T_2504, 0, 0) @[el2_ifu_mem_ctl.scala 528:41] - node _T_2506 = mux(_T_2505, UInt<2>("h02"), UInt<2>("h01")) @[el2_ifu_mem_ctl.scala 528:14] - node _T_2507 = mux(_T_2503, UInt<2>("h03"), _T_2506) @[el2_ifu_mem_ctl.scala 527:12] - node _T_2508 = mux(_T_2498, UInt<2>("h00"), _T_2507) @[el2_ifu_mem_ctl.scala 526:31] - err_stop_nxtstate <= _T_2508 @[el2_ifu_mem_ctl.scala 526:25] - node _T_2509 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 529:54] - node _T_2510 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 529:99] - node _T_2511 = or(_T_2509, _T_2510) @[el2_ifu_mem_ctl.scala 529:81] - node _T_2512 = or(_T_2511, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 529:103] - node _T_2513 = or(_T_2512, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 529:126] - err_stop_state_en <= _T_2513 @[el2_ifu_mem_ctl.scala 529:25] - node _T_2514 = bits(io.ifu_fetch_val, 1, 0) @[el2_ifu_mem_ctl.scala 530:43] - node _T_2515 = eq(_T_2514, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 530:48] - node _T_2516 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 530:75] - node _T_2517 = and(_T_2516, two_byte_instr) @[el2_ifu_mem_ctl.scala 530:79] - node _T_2518 = or(_T_2515, _T_2517) @[el2_ifu_mem_ctl.scala 530:56] - node _T_2519 = or(io.exu_flush_final, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 530:122] - node _T_2520 = eq(_T_2519, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 530:101] - node _T_2521 = and(_T_2518, _T_2520) @[el2_ifu_mem_ctl.scala 530:99] - err_stop_fetch <= _T_2521 @[el2_ifu_mem_ctl.scala 530:22] - io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 531:32] + node _T_2496 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 521:59] + node _T_2497 = or(_T_2496, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 521:86] + node _T_2498 = bits(_T_2497, 0, 0) @[el2_ifu_mem_ctl.scala 521:117] + node _T_2499 = eq(io.ifu_fetch_val, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 522:31] + node _T_2500 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 522:56] + node _T_2501 = and(_T_2500, two_byte_instr) @[el2_ifu_mem_ctl.scala 522:59] + node _T_2502 = or(_T_2499, _T_2501) @[el2_ifu_mem_ctl.scala 522:38] + node _T_2503 = bits(_T_2502, 0, 0) @[el2_ifu_mem_ctl.scala 522:83] + node _T_2504 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 523:31] + node _T_2505 = bits(_T_2504, 0, 0) @[el2_ifu_mem_ctl.scala 523:41] + node _T_2506 = mux(_T_2505, UInt<2>("h02"), UInt<2>("h01")) @[el2_ifu_mem_ctl.scala 523:14] + node _T_2507 = mux(_T_2503, UInt<2>("h03"), _T_2506) @[el2_ifu_mem_ctl.scala 522:12] + node _T_2508 = mux(_T_2498, UInt<2>("h00"), _T_2507) @[el2_ifu_mem_ctl.scala 521:31] + err_stop_nxtstate <= _T_2508 @[el2_ifu_mem_ctl.scala 521:25] + node _T_2509 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 524:54] + node _T_2510 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 524:99] + node _T_2511 = or(_T_2509, _T_2510) @[el2_ifu_mem_ctl.scala 524:81] + node _T_2512 = or(_T_2511, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 524:103] + node _T_2513 = or(_T_2512, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 524:126] + err_stop_state_en <= _T_2513 @[el2_ifu_mem_ctl.scala 524:25] + node _T_2514 = bits(io.ifu_fetch_val, 1, 0) @[el2_ifu_mem_ctl.scala 525:43] + node _T_2515 = eq(_T_2514, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 525:48] + node _T_2516 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 525:75] + node _T_2517 = and(_T_2516, two_byte_instr) @[el2_ifu_mem_ctl.scala 525:79] + node _T_2518 = or(_T_2515, _T_2517) @[el2_ifu_mem_ctl.scala 525:56] + node _T_2519 = or(io.exu_flush_final, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 525:122] + node _T_2520 = eq(_T_2519, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 525:101] + node _T_2521 = and(_T_2518, _T_2520) @[el2_ifu_mem_ctl.scala 525:99] + err_stop_fetch <= _T_2521 @[el2_ifu_mem_ctl.scala 525:22] + io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 526:32] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2522 = eq(UInt<2>("h02"), err_stop_state) @[Conditional.scala 37:30] when _T_2522 : @[Conditional.scala 39:67] - node _T_2523 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 534:59] - node _T_2524 = or(_T_2523, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 534:86] - node _T_2525 = bits(_T_2524, 0, 0) @[el2_ifu_mem_ctl.scala 534:111] - node _T_2526 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 535:46] - node _T_2527 = bits(_T_2526, 0, 0) @[el2_ifu_mem_ctl.scala 535:50] - node _T_2528 = mux(_T_2527, UInt<2>("h03"), UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 535:29] - node _T_2529 = mux(_T_2525, UInt<2>("h00"), _T_2528) @[el2_ifu_mem_ctl.scala 534:31] - err_stop_nxtstate <= _T_2529 @[el2_ifu_mem_ctl.scala 534:25] - node _T_2530 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 536:54] - node _T_2531 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 536:99] - node _T_2532 = or(_T_2530, _T_2531) @[el2_ifu_mem_ctl.scala 536:81] - node _T_2533 = or(_T_2532, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 536:103] - err_stop_state_en <= _T_2533 @[el2_ifu_mem_ctl.scala 536:25] - node _T_2534 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 537:41] - node _T_2535 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 537:47] - node _T_2536 = and(_T_2534, _T_2535) @[el2_ifu_mem_ctl.scala 537:45] - node _T_2537 = eq(io.dec_tlu_i0_commit_cmt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 537:69] - node _T_2538 = and(_T_2536, _T_2537) @[el2_ifu_mem_ctl.scala 537:67] - err_stop_fetch <= _T_2538 @[el2_ifu_mem_ctl.scala 537:22] - io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 538:32] + node _T_2523 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 529:59] + node _T_2524 = or(_T_2523, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 529:86] + node _T_2525 = bits(_T_2524, 0, 0) @[el2_ifu_mem_ctl.scala 529:111] + node _T_2526 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 530:46] + node _T_2527 = bits(_T_2526, 0, 0) @[el2_ifu_mem_ctl.scala 530:50] + node _T_2528 = mux(_T_2527, UInt<2>("h03"), UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 530:29] + node _T_2529 = mux(_T_2525, UInt<2>("h00"), _T_2528) @[el2_ifu_mem_ctl.scala 529:31] + err_stop_nxtstate <= _T_2529 @[el2_ifu_mem_ctl.scala 529:25] + node _T_2530 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 531:54] + node _T_2531 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 531:99] + node _T_2532 = or(_T_2530, _T_2531) @[el2_ifu_mem_ctl.scala 531:81] + node _T_2533 = or(_T_2532, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 531:103] + err_stop_state_en <= _T_2533 @[el2_ifu_mem_ctl.scala 531:25] + node _T_2534 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 532:41] + node _T_2535 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 532:47] + node _T_2536 = and(_T_2534, _T_2535) @[el2_ifu_mem_ctl.scala 532:45] + node _T_2537 = eq(io.dec_tlu_i0_commit_cmt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 532:69] + node _T_2538 = and(_T_2536, _T_2537) @[el2_ifu_mem_ctl.scala 532:67] + err_stop_fetch <= _T_2538 @[el2_ifu_mem_ctl.scala 532:22] + io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 533:32] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2539 = eq(UInt<2>("h03"), err_stop_state) @[Conditional.scala 37:30] when _T_2539 : @[Conditional.scala 39:67] - node _T_2540 = eq(io.dec_tlu_flush_err_wb, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 541:62] - node _T_2541 = and(io.dec_tlu_flush_lower_wb, _T_2540) @[el2_ifu_mem_ctl.scala 541:60] - node _T_2542 = or(_T_2541, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 541:88] - node _T_2543 = or(_T_2542, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 541:115] - node _T_2544 = bits(_T_2543, 0, 0) @[el2_ifu_mem_ctl.scala 541:140] - node _T_2545 = bits(io.dec_tlu_flush_err_wb, 0, 0) @[el2_ifu_mem_ctl.scala 542:60] - node _T_2546 = mux(_T_2545, UInt<2>("h01"), UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 542:29] - node _T_2547 = mux(_T_2544, UInt<2>("h00"), _T_2546) @[el2_ifu_mem_ctl.scala 541:31] - err_stop_nxtstate <= _T_2547 @[el2_ifu_mem_ctl.scala 541:25] - node _T_2548 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 543:54] - node _T_2549 = or(_T_2548, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 543:81] - err_stop_state_en <= _T_2549 @[el2_ifu_mem_ctl.scala 543:25] - err_stop_fetch <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 544:22] - io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 545:32] + node _T_2540 = eq(io.dec_tlu_flush_err_wb, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 536:62] + node _T_2541 = and(io.dec_tlu_flush_lower_wb, _T_2540) @[el2_ifu_mem_ctl.scala 536:60] + node _T_2542 = or(_T_2541, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 536:88] + node _T_2543 = or(_T_2542, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 536:115] + node _T_2544 = bits(_T_2543, 0, 0) @[el2_ifu_mem_ctl.scala 536:140] + node _T_2545 = bits(io.dec_tlu_flush_err_wb, 0, 0) @[el2_ifu_mem_ctl.scala 537:60] + node _T_2546 = mux(_T_2545, UInt<2>("h01"), UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 537:29] + node _T_2547 = mux(_T_2544, UInt<2>("h00"), _T_2546) @[el2_ifu_mem_ctl.scala 536:31] + err_stop_nxtstate <= _T_2547 @[el2_ifu_mem_ctl.scala 536:25] + node _T_2548 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 538:54] + node _T_2549 = or(_T_2548, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 538:81] + err_stop_state_en <= _T_2549 @[el2_ifu_mem_ctl.scala 538:25] + err_stop_fetch <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 539:22] + io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 540:32] skip @[Conditional.scala 39:67] reg _T_2550 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when err_stop_state_en : @[Reg.scala 28:19] _T_2550 <= err_stop_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - err_stop_state <= _T_2550 @[el2_ifu_mem_ctl.scala 548:18] - bus_ifu_bus_clk_en <= io.ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 549:22] - reg bus_ifu_bus_clk_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 550:61] - bus_ifu_bus_clk_en_ff <= bus_ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 550:61] - reg _T_2551 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 551:52] - _T_2551 <= scnd_miss_req_in @[el2_ifu_mem_ctl.scala 551:52] - scnd_miss_req_q <= _T_2551 @[el2_ifu_mem_ctl.scala 551:19] - reg scnd_miss_req_ff2 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 552:57] - scnd_miss_req_ff2 <= scnd_miss_req @[el2_ifu_mem_ctl.scala 552:57] - node _T_2552 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 553:39] - node _T_2553 = and(scnd_miss_req_q, _T_2552) @[el2_ifu_mem_ctl.scala 553:36] - scnd_miss_req <= _T_2553 @[el2_ifu_mem_ctl.scala 553:17] + err_stop_state <= _T_2550 @[el2_ifu_mem_ctl.scala 543:18] + bus_ifu_bus_clk_en <= io.ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 544:22] + reg bus_ifu_bus_clk_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 545:61] + bus_ifu_bus_clk_en_ff <= bus_ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 545:61] + reg _T_2551 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 546:52] + _T_2551 <= scnd_miss_req_in @[el2_ifu_mem_ctl.scala 546:52] + scnd_miss_req_q <= _T_2551 @[el2_ifu_mem_ctl.scala 546:19] + reg scnd_miss_req_ff2 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 547:57] + scnd_miss_req_ff2 <= scnd_miss_req @[el2_ifu_mem_ctl.scala 547:57] + node _T_2552 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 548:39] + node _T_2553 = and(scnd_miss_req_q, _T_2552) @[el2_ifu_mem_ctl.scala 548:36] + scnd_miss_req <= _T_2553 @[el2_ifu_mem_ctl.scala 548:17] wire bus_cmd_req_hold : UInt<1> bus_cmd_req_hold <= UInt<1>("h00") wire ifu_bus_cmd_valid : UInt<1> @@ -3537,49 +3537,49 @@ circuit el2_ifu_mem_ctl : bus_cmd_beat_count <= UInt<1>("h00") wire ifu_bus_cmd_ready : UInt<1> ifu_bus_cmd_ready <= UInt<1>("h00") - node _T_2554 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 558:45] - node _T_2555 = or(_T_2554, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 558:64] - node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 558:87] - node _T_2557 = and(_T_2555, _T_2556) @[el2_ifu_mem_ctl.scala 558:85] + node _T_2554 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 553:45] + node _T_2555 = or(_T_2554, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 553:64] + node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 553:87] + node _T_2557 = and(_T_2555, _T_2556) @[el2_ifu_mem_ctl.scala 553:85] node _T_2558 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2559 = eq(bus_cmd_beat_count, _T_2558) @[el2_ifu_mem_ctl.scala 558:133] - node _T_2560 = and(_T_2559, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 558:164] - node _T_2561 = and(_T_2560, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 558:184] - node _T_2562 = and(_T_2561, miss_pending) @[el2_ifu_mem_ctl.scala 558:204] - node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 558:112] - node ifc_bus_ic_req_ff_in = and(_T_2557, _T_2563) @[el2_ifu_mem_ctl.scala 558:110] - node _T_2564 = or(bus_ifu_bus_clk_en, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 559:80] + node _T_2559 = eq(bus_cmd_beat_count, _T_2558) @[el2_ifu_mem_ctl.scala 553:133] + node _T_2560 = and(_T_2559, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 553:164] + node _T_2561 = and(_T_2560, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 553:184] + node _T_2562 = and(_T_2561, miss_pending) @[el2_ifu_mem_ctl.scala 553:204] + node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 553:112] + node ifc_bus_ic_req_ff_in = and(_T_2557, _T_2563) @[el2_ifu_mem_ctl.scala 553:110] + node _T_2564 = or(bus_ifu_bus_clk_en, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 554:80] reg _T_2565 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_2564 : @[Reg.scala 28:19] _T_2565 <= ifc_bus_ic_req_ff_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ifu_bus_cmd_valid <= _T_2565 @[el2_ifu_mem_ctl.scala 559:21] + ifu_bus_cmd_valid <= _T_2565 @[el2_ifu_mem_ctl.scala 554:21] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_2566 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 561:39] - node _T_2567 = eq(bus_cmd_sent, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 561:61] - node _T_2568 = and(_T_2566, _T_2567) @[el2_ifu_mem_ctl.scala 561:59] - node _T_2569 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 561:77] - node bus_cmd_req_in = and(_T_2568, _T_2569) @[el2_ifu_mem_ctl.scala 561:75] - reg _T_2570 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 562:49] - _T_2570 <= bus_cmd_req_in @[el2_ifu_mem_ctl.scala 562:49] - bus_cmd_sent <= _T_2570 @[el2_ifu_mem_ctl.scala 562:16] - io.ifu_axi_arvalid <= ifu_bus_cmd_valid @[el2_ifu_mem_ctl.scala 564:22] + node _T_2566 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 556:39] + node _T_2567 = eq(bus_cmd_sent, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 556:61] + node _T_2568 = and(_T_2566, _T_2567) @[el2_ifu_mem_ctl.scala 556:59] + node _T_2569 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 556:77] + node bus_cmd_req_in = and(_T_2568, _T_2569) @[el2_ifu_mem_ctl.scala 556:75] + reg _T_2570 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 557:49] + _T_2570 <= bus_cmd_req_in @[el2_ifu_mem_ctl.scala 557:49] + bus_cmd_sent <= _T_2570 @[el2_ifu_mem_ctl.scala 557:16] + io.ifu_axi_arvalid <= ifu_bus_cmd_valid @[el2_ifu_mem_ctl.scala 559:22] node _T_2571 = bits(ifu_bus_cmd_valid, 0, 0) @[Bitwise.scala 72:15] node _T_2572 = mux(_T_2571, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2573 = and(bus_rd_addr_count, _T_2572) @[el2_ifu_mem_ctl.scala 565:40] - io.ifu_axi_arid <= _T_2573 @[el2_ifu_mem_ctl.scala 565:19] + node _T_2573 = and(bus_rd_addr_count, _T_2572) @[el2_ifu_mem_ctl.scala 560:40] + io.ifu_axi_arid <= _T_2573 @[el2_ifu_mem_ctl.scala 560:19] node _T_2574 = cat(ifu_ic_req_addr_f, UInt<3>("h00")) @[Cat.scala 29:58] node _T_2575 = bits(ifu_bus_cmd_valid, 0, 0) @[Bitwise.scala 72:15] node _T_2576 = mux(_T_2575, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_2577 = and(_T_2574, _T_2576) @[el2_ifu_mem_ctl.scala 566:57] - io.ifu_axi_araddr <= _T_2577 @[el2_ifu_mem_ctl.scala 566:21] - io.ifu_axi_arsize <= UInt<3>("h03") @[el2_ifu_mem_ctl.scala 567:21] - io.ifu_axi_arcache <= UInt<4>("h0f") @[el2_ifu_mem_ctl.scala 568:22] - node _T_2578 = bits(ifu_ic_req_addr_f, 28, 25) @[el2_ifu_mem_ctl.scala 569:43] - io.ifu_axi_arregion <= _T_2578 @[el2_ifu_mem_ctl.scala 569:23] - io.ifu_axi_arburst <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 570:22] - io.ifu_axi_rready <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 571:21] + node _T_2577 = and(_T_2574, _T_2576) @[el2_ifu_mem_ctl.scala 561:57] + io.ifu_axi_araddr <= _T_2577 @[el2_ifu_mem_ctl.scala 561:21] + io.ifu_axi_arsize <= UInt<3>("h03") @[el2_ifu_mem_ctl.scala 562:21] + io.ifu_axi_arcache <= UInt<4>("h0f") @[el2_ifu_mem_ctl.scala 563:22] + node _T_2578 = bits(ifu_ic_req_addr_f, 28, 25) @[el2_ifu_mem_ctl.scala 564:43] + io.ifu_axi_arregion <= _T_2578 @[el2_ifu_mem_ctl.scala 564:23] + io.ifu_axi_arburst <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 565:22] + io.ifu_axi_rready <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 566:21] reg ifu_bus_arready_unq_ff : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when bus_ifu_bus_clk_en : @[Reg.scala 28:19] ifu_bus_arready_unq_ff <= io.ifu_axi_arready @[Reg.scala 28:23] @@ -3600,42 +3600,42 @@ circuit el2_ifu_mem_ctl : when bus_ifu_bus_clk_en : @[Reg.scala 28:19] _T_2579 <= io.ifu_axi_rdata @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ifu_bus_rdata_ff <= _T_2579 @[el2_ifu_mem_ctl.scala 581:20] + ifu_bus_rdata_ff <= _T_2579 @[el2_ifu_mem_ctl.scala 576:20] reg _T_2580 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when bus_ifu_bus_clk_en : @[Reg.scala 28:19] _T_2580 <= io.ifu_axi_rid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ifu_bus_rid_ff <= _T_2580 @[el2_ifu_mem_ctl.scala 582:18] - ifu_bus_cmd_ready <= io.ifu_axi_arready @[el2_ifu_mem_ctl.scala 583:21] - ifu_bus_rsp_valid <= io.ifu_axi_rvalid @[el2_ifu_mem_ctl.scala 584:21] - ifu_bus_rsp_ready <= io.ifu_axi_rready @[el2_ifu_mem_ctl.scala 585:21] - ifu_bus_rsp_tag <= io.ifu_axi_rid @[el2_ifu_mem_ctl.scala 586:19] - ic_miss_buff_data_in <= io.ifu_axi_rdata @[el2_ifu_mem_ctl.scala 587:21] - node ifu_bus_rvalid = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 589:42] - node ifu_bus_arready = and(io.ifu_axi_arready, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 590:45] - node ifu_bus_arready_ff = and(ifu_bus_arready_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 591:51] - node ifu_bus_rvalid_ff = and(ifu_bus_rvalid_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 592:49] - node _T_2581 = and(io.ifu_axi_arvalid, ifu_bus_arready) @[el2_ifu_mem_ctl.scala 593:35] - node _T_2582 = and(_T_2581, miss_pending) @[el2_ifu_mem_ctl.scala 593:53] - node _T_2583 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 593:70] - node _T_2584 = and(_T_2582, _T_2583) @[el2_ifu_mem_ctl.scala 593:68] - bus_cmd_sent <= _T_2584 @[el2_ifu_mem_ctl.scala 593:16] + ifu_bus_rid_ff <= _T_2580 @[el2_ifu_mem_ctl.scala 577:18] + ifu_bus_cmd_ready <= io.ifu_axi_arready @[el2_ifu_mem_ctl.scala 578:21] + ifu_bus_rsp_valid <= io.ifu_axi_rvalid @[el2_ifu_mem_ctl.scala 579:21] + ifu_bus_rsp_ready <= io.ifu_axi_rready @[el2_ifu_mem_ctl.scala 580:21] + ifu_bus_rsp_tag <= io.ifu_axi_rid @[el2_ifu_mem_ctl.scala 581:19] + ic_miss_buff_data_in <= io.ifu_axi_rdata @[el2_ifu_mem_ctl.scala 582:21] + node ifu_bus_rvalid = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 584:42] + node ifu_bus_arready = and(io.ifu_axi_arready, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 585:45] + node ifu_bus_arready_ff = and(ifu_bus_arready_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 586:51] + node ifu_bus_rvalid_ff = and(ifu_bus_rvalid_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 587:49] + node _T_2581 = and(io.ifu_axi_arvalid, ifu_bus_arready) @[el2_ifu_mem_ctl.scala 588:35] + node _T_2582 = and(_T_2581, miss_pending) @[el2_ifu_mem_ctl.scala 588:53] + node _T_2583 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 588:70] + node _T_2584 = and(_T_2582, _T_2583) @[el2_ifu_mem_ctl.scala 588:68] + bus_cmd_sent <= _T_2584 @[el2_ifu_mem_ctl.scala 588:16] wire bus_last_data_beat : UInt<1> bus_last_data_beat <= UInt<1>("h00") - node _T_2585 = eq(bus_last_data_beat, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 595:50] - node _T_2586 = and(bus_ifu_wr_en_ff, _T_2585) @[el2_ifu_mem_ctl.scala 595:48] - node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 595:72] - node bus_inc_data_beat_cnt = and(_T_2586, _T_2587) @[el2_ifu_mem_ctl.scala 595:70] - node _T_2588 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 596:68] - node _T_2589 = or(ic_act_miss_f, _T_2588) @[el2_ifu_mem_ctl.scala 596:48] - node bus_reset_data_beat_cnt = or(_T_2589, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 596:91] - node _T_2590 = eq(bus_inc_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 597:32] - node _T_2591 = eq(bus_reset_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 597:57] - node bus_hold_data_beat_cnt = and(_T_2590, _T_2591) @[el2_ifu_mem_ctl.scala 597:55] + node _T_2585 = eq(bus_last_data_beat, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 590:50] + node _T_2586 = and(bus_ifu_wr_en_ff, _T_2585) @[el2_ifu_mem_ctl.scala 590:48] + node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 590:72] + node bus_inc_data_beat_cnt = and(_T_2586, _T_2587) @[el2_ifu_mem_ctl.scala 590:70] + node _T_2588 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 591:68] + node _T_2589 = or(ic_act_miss_f, _T_2588) @[el2_ifu_mem_ctl.scala 591:48] + node bus_reset_data_beat_cnt = or(_T_2589, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 591:91] + node _T_2590 = eq(bus_inc_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 592:32] + node _T_2591 = eq(bus_reset_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 592:57] + node bus_hold_data_beat_cnt = and(_T_2590, _T_2591) @[el2_ifu_mem_ctl.scala 592:55] wire bus_data_beat_count : UInt<3> bus_data_beat_count <= UInt<1>("h00") - node _T_2592 = add(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 599:115] - node _T_2593 = tail(_T_2592, 1) @[el2_ifu_mem_ctl.scala 599:115] + node _T_2592 = add(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 594:115] + node _T_2593 = tail(_T_2592, 1) @[el2_ifu_mem_ctl.scala 594:115] node _T_2594 = mux(bus_reset_data_beat_cnt, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_2595 = mux(bus_inc_data_beat_cnt, _T_2593, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2596 = mux(bus_hold_data_beat_cnt, bus_data_beat_count, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3643,52 +3643,52 @@ circuit el2_ifu_mem_ctl : node _T_2598 = or(_T_2597, _T_2596) @[Mux.scala 27:72] wire _T_2599 : UInt<3> @[Mux.scala 27:72] _T_2599 <= _T_2598 @[Mux.scala 27:72] - bus_new_data_beat_count <= _T_2599 @[el2_ifu_mem_ctl.scala 599:27] - reg _T_2600 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 600:56] - _T_2600 <= bus_new_data_beat_count @[el2_ifu_mem_ctl.scala 600:56] - bus_data_beat_count <= _T_2600 @[el2_ifu_mem_ctl.scala 600:23] - node _T_2601 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 601:49] - node _T_2602 = eq(scnd_miss_req, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 601:73] - node _T_2603 = and(_T_2601, _T_2602) @[el2_ifu_mem_ctl.scala 601:71] - node _T_2604 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 601:116] - node _T_2605 = and(last_data_recieved_ff, _T_2604) @[el2_ifu_mem_ctl.scala 601:114] - node last_data_recieved_in = or(_T_2603, _T_2605) @[el2_ifu_mem_ctl.scala 601:89] - reg _T_2606 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 602:58] - _T_2606 <= last_data_recieved_in @[el2_ifu_mem_ctl.scala 602:58] - last_data_recieved_ff <= _T_2606 @[el2_ifu_mem_ctl.scala 602:25] - node _T_2607 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 604:35] - node _T_2608 = bits(imb_ff, 4, 2) @[el2_ifu_mem_ctl.scala 604:56] - node _T_2609 = bits(imb_scnd_ff, 4, 2) @[el2_ifu_mem_ctl.scala 605:39] - node _T_2610 = add(bus_rd_addr_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 606:45] - node _T_2611 = tail(_T_2610, 1) @[el2_ifu_mem_ctl.scala 606:45] - node _T_2612 = mux(bus_cmd_sent, _T_2611, bus_rd_addr_count) @[el2_ifu_mem_ctl.scala 606:12] - node _T_2613 = mux(scnd_miss_req_q, _T_2609, _T_2612) @[el2_ifu_mem_ctl.scala 605:10] - node bus_new_rd_addr_count = mux(_T_2607, _T_2608, _T_2613) @[el2_ifu_mem_ctl.scala 604:34] - node _T_2614 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 607:81] - node _T_2615 = or(_T_2614, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 607:97] + bus_new_data_beat_count <= _T_2599 @[el2_ifu_mem_ctl.scala 594:27] + reg _T_2600 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 595:56] + _T_2600 <= bus_new_data_beat_count @[el2_ifu_mem_ctl.scala 595:56] + bus_data_beat_count <= _T_2600 @[el2_ifu_mem_ctl.scala 595:23] + node _T_2601 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 596:49] + node _T_2602 = eq(scnd_miss_req, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 596:73] + node _T_2603 = and(_T_2601, _T_2602) @[el2_ifu_mem_ctl.scala 596:71] + node _T_2604 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 596:116] + node _T_2605 = and(last_data_recieved_ff, _T_2604) @[el2_ifu_mem_ctl.scala 596:114] + node last_data_recieved_in = or(_T_2603, _T_2605) @[el2_ifu_mem_ctl.scala 596:89] + reg _T_2606 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 597:58] + _T_2606 <= last_data_recieved_in @[el2_ifu_mem_ctl.scala 597:58] + last_data_recieved_ff <= _T_2606 @[el2_ifu_mem_ctl.scala 597:25] + node _T_2607 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 599:35] + node _T_2608 = bits(imb_ff, 4, 2) @[el2_ifu_mem_ctl.scala 599:56] + node _T_2609 = bits(imb_scnd_ff, 4, 2) @[el2_ifu_mem_ctl.scala 600:39] + node _T_2610 = add(bus_rd_addr_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 601:45] + node _T_2611 = tail(_T_2610, 1) @[el2_ifu_mem_ctl.scala 601:45] + node _T_2612 = mux(bus_cmd_sent, _T_2611, bus_rd_addr_count) @[el2_ifu_mem_ctl.scala 601:12] + node _T_2613 = mux(scnd_miss_req_q, _T_2609, _T_2612) @[el2_ifu_mem_ctl.scala 600:10] + node bus_new_rd_addr_count = mux(_T_2607, _T_2608, _T_2613) @[el2_ifu_mem_ctl.scala 599:34] + node _T_2614 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 602:81] + node _T_2615 = or(_T_2614, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 602:97] reg _T_2616 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_2615 : @[Reg.scala 28:19] _T_2616 <= bus_new_rd_addr_count @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bus_rd_addr_count <= _T_2616 @[el2_ifu_mem_ctl.scala 607:21] - node _T_2617 = and(ifu_bus_cmd_valid, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 609:48] - node _T_2618 = and(_T_2617, miss_pending) @[el2_ifu_mem_ctl.scala 609:68] - node _T_2619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 609:85] - node bus_inc_cmd_beat_cnt = and(_T_2618, _T_2619) @[el2_ifu_mem_ctl.scala 609:83] - node _T_2620 = eq(uncacheable_miss_in, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 610:51] - node _T_2621 = and(ic_act_miss_f, _T_2620) @[el2_ifu_mem_ctl.scala 610:49] - node bus_reset_cmd_beat_cnt_0 = or(_T_2621, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 610:73] - node bus_reset_cmd_beat_cnt_secondlast = and(ic_act_miss_f, uncacheable_miss_in) @[el2_ifu_mem_ctl.scala 611:57] - node _T_2622 = eq(bus_inc_cmd_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 612:31] - node _T_2623 = or(ic_act_miss_f, scnd_miss_req) @[el2_ifu_mem_ctl.scala 612:71] - node _T_2624 = or(_T_2623, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 612:87] - node _T_2625 = eq(_T_2624, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 612:55] - node bus_hold_cmd_beat_cnt = and(_T_2622, _T_2625) @[el2_ifu_mem_ctl.scala 612:53] - node _T_2626 = or(bus_inc_cmd_beat_cnt, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 613:46] - node bus_cmd_beat_en = or(_T_2626, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 613:62] - node _T_2627 = bits(bus_reset_cmd_beat_cnt_secondlast, 0, 0) @[el2_ifu_mem_ctl.scala 614:107] - node _T_2628 = add(bus_cmd_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 615:46] - node _T_2629 = tail(_T_2628, 1) @[el2_ifu_mem_ctl.scala 615:46] + bus_rd_addr_count <= _T_2616 @[el2_ifu_mem_ctl.scala 602:21] + node _T_2617 = and(ifu_bus_cmd_valid, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 604:48] + node _T_2618 = and(_T_2617, miss_pending) @[el2_ifu_mem_ctl.scala 604:68] + node _T_2619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 604:85] + node bus_inc_cmd_beat_cnt = and(_T_2618, _T_2619) @[el2_ifu_mem_ctl.scala 604:83] + node _T_2620 = eq(uncacheable_miss_in, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 605:51] + node _T_2621 = and(ic_act_miss_f, _T_2620) @[el2_ifu_mem_ctl.scala 605:49] + node bus_reset_cmd_beat_cnt_0 = or(_T_2621, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 605:73] + node bus_reset_cmd_beat_cnt_secondlast = and(ic_act_miss_f, uncacheable_miss_in) @[el2_ifu_mem_ctl.scala 606:57] + node _T_2622 = eq(bus_inc_cmd_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 607:31] + node _T_2623 = or(ic_act_miss_f, scnd_miss_req) @[el2_ifu_mem_ctl.scala 607:71] + node _T_2624 = or(_T_2623, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 607:87] + node _T_2625 = eq(_T_2624, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 607:55] + node bus_hold_cmd_beat_cnt = and(_T_2622, _T_2625) @[el2_ifu_mem_ctl.scala 607:53] + node _T_2626 = or(bus_inc_cmd_beat_cnt, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 608:46] + node bus_cmd_beat_en = or(_T_2626, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 608:62] + node _T_2627 = bits(bus_reset_cmd_beat_cnt_secondlast, 0, 0) @[el2_ifu_mem_ctl.scala 609:107] + node _T_2628 = add(bus_cmd_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 610:46] + node _T_2629 = tail(_T_2628, 1) @[el2_ifu_mem_ctl.scala 610:46] node _T_2630 = mux(bus_reset_cmd_beat_cnt_0, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_2631 = mux(_T_2627, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_2632 = mux(bus_inc_cmd_beat_cnt, _T_2629, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3698,1183 +3698,1183 @@ circuit el2_ifu_mem_ctl : node _T_2636 = or(_T_2635, _T_2633) @[Mux.scala 27:72] wire bus_new_cmd_beat_count : UInt<3> @[Mux.scala 27:72] bus_new_cmd_beat_count <= _T_2636 @[Mux.scala 27:72] - node _T_2637 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 616:84] - node _T_2638 = or(_T_2637, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 616:100] - node _T_2639 = and(_T_2638, bus_cmd_beat_en) @[el2_ifu_mem_ctl.scala 616:125] + node _T_2637 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 611:84] + node _T_2638 = or(_T_2637, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 611:100] + node _T_2639 = and(_T_2638, bus_cmd_beat_en) @[el2_ifu_mem_ctl.scala 611:125] reg _T_2640 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_2639 : @[Reg.scala 28:19] _T_2640 <= bus_new_cmd_beat_count @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bus_cmd_beat_count <= _T_2640 @[el2_ifu_mem_ctl.scala 616:22] - node _T_2641 = eq(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 617:69] - node _T_2642 = andr(bus_data_beat_count) @[el2_ifu_mem_ctl.scala 617:101] - node _T_2643 = mux(uncacheable_miss_ff, _T_2641, _T_2642) @[el2_ifu_mem_ctl.scala 617:28] - bus_last_data_beat <= _T_2643 @[el2_ifu_mem_ctl.scala 617:22] - node _T_2644 = and(ifu_bus_rvalid, miss_pending) @[el2_ifu_mem_ctl.scala 618:35] - bus_ifu_wr_en <= _T_2644 @[el2_ifu_mem_ctl.scala 618:17] - node _T_2645 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 619:41] - bus_ifu_wr_en_ff <= _T_2645 @[el2_ifu_mem_ctl.scala 619:20] - node _T_2646 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 620:44] - node _T_2647 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 620:61] - node _T_2648 = and(_T_2646, _T_2647) @[el2_ifu_mem_ctl.scala 620:59] - node _T_2649 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 620:103] - node _T_2650 = eq(_T_2649, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 620:84] - node _T_2651 = and(_T_2648, _T_2650) @[el2_ifu_mem_ctl.scala 620:82] - node _T_2652 = and(_T_2651, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 620:108] - bus_ifu_wr_en_ff_q <= _T_2652 @[el2_ifu_mem_ctl.scala 620:22] - node _T_2653 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 621:51] - node _T_2654 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 621:68] - node bus_ifu_wr_en_ff_wo_err = and(_T_2653, _T_2654) @[el2_ifu_mem_ctl.scala 621:66] - reg ic_act_miss_f_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 622:61] - ic_act_miss_f_delayed <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 622:61] - node _T_2655 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 623:66] - node _T_2656 = and(ic_act_miss_f_delayed, _T_2655) @[el2_ifu_mem_ctl.scala 623:53] - node _T_2657 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 623:86] - node _T_2658 = and(_T_2656, _T_2657) @[el2_ifu_mem_ctl.scala 623:84] - reset_tag_valid_for_miss <= _T_2658 @[el2_ifu_mem_ctl.scala 623:28] - node _T_2659 = orr(io.ifu_axi_rresp) @[el2_ifu_mem_ctl.scala 624:47] - node _T_2660 = and(_T_2659, ifu_bus_rvalid) @[el2_ifu_mem_ctl.scala 624:50] - node _T_2661 = and(_T_2660, miss_pending) @[el2_ifu_mem_ctl.scala 624:68] - bus_ifu_wr_data_error <= _T_2661 @[el2_ifu_mem_ctl.scala 624:25] - node _T_2662 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 625:48] - node _T_2663 = and(_T_2662, ifu_bus_rvalid_ff) @[el2_ifu_mem_ctl.scala 625:52] - node _T_2664 = and(_T_2663, miss_pending) @[el2_ifu_mem_ctl.scala 625:73] - bus_ifu_wr_data_error_ff <= _T_2664 @[el2_ifu_mem_ctl.scala 625:28] + bus_cmd_beat_count <= _T_2640 @[el2_ifu_mem_ctl.scala 611:22] + node _T_2641 = eq(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 612:69] + node _T_2642 = andr(bus_data_beat_count) @[el2_ifu_mem_ctl.scala 612:101] + node _T_2643 = mux(uncacheable_miss_ff, _T_2641, _T_2642) @[el2_ifu_mem_ctl.scala 612:28] + bus_last_data_beat <= _T_2643 @[el2_ifu_mem_ctl.scala 612:22] + node _T_2644 = and(ifu_bus_rvalid, miss_pending) @[el2_ifu_mem_ctl.scala 613:35] + bus_ifu_wr_en <= _T_2644 @[el2_ifu_mem_ctl.scala 613:17] + node _T_2645 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 614:41] + bus_ifu_wr_en_ff <= _T_2645 @[el2_ifu_mem_ctl.scala 614:20] + node _T_2646 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 615:44] + node _T_2647 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 615:61] + node _T_2648 = and(_T_2646, _T_2647) @[el2_ifu_mem_ctl.scala 615:59] + node _T_2649 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 615:103] + node _T_2650 = eq(_T_2649, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 615:84] + node _T_2651 = and(_T_2648, _T_2650) @[el2_ifu_mem_ctl.scala 615:82] + node _T_2652 = and(_T_2651, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 615:108] + bus_ifu_wr_en_ff_q <= _T_2652 @[el2_ifu_mem_ctl.scala 615:22] + node _T_2653 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 616:51] + node _T_2654 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 616:68] + node bus_ifu_wr_en_ff_wo_err = and(_T_2653, _T_2654) @[el2_ifu_mem_ctl.scala 616:66] + reg ic_act_miss_f_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 617:61] + ic_act_miss_f_delayed <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 617:61] + node _T_2655 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 618:66] + node _T_2656 = and(ic_act_miss_f_delayed, _T_2655) @[el2_ifu_mem_ctl.scala 618:53] + node _T_2657 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 618:86] + node _T_2658 = and(_T_2656, _T_2657) @[el2_ifu_mem_ctl.scala 618:84] + reset_tag_valid_for_miss <= _T_2658 @[el2_ifu_mem_ctl.scala 618:28] + node _T_2659 = orr(io.ifu_axi_rresp) @[el2_ifu_mem_ctl.scala 619:47] + node _T_2660 = and(_T_2659, ifu_bus_rvalid) @[el2_ifu_mem_ctl.scala 619:50] + node _T_2661 = and(_T_2660, miss_pending) @[el2_ifu_mem_ctl.scala 619:68] + bus_ifu_wr_data_error <= _T_2661 @[el2_ifu_mem_ctl.scala 619:25] + node _T_2662 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 620:48] + node _T_2663 = and(_T_2662, ifu_bus_rvalid_ff) @[el2_ifu_mem_ctl.scala 620:52] + node _T_2664 = and(_T_2663, miss_pending) @[el2_ifu_mem_ctl.scala 620:73] + bus_ifu_wr_data_error_ff <= _T_2664 @[el2_ifu_mem_ctl.scala 620:28] wire ifc_dma_access_ok_d : UInt<1> ifc_dma_access_ok_d <= UInt<1>("h00") - reg ifc_dma_access_ok_prev : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 627:62] - ifc_dma_access_ok_prev <= ifc_dma_access_ok_d @[el2_ifu_mem_ctl.scala 627:62] - node _T_2665 = or(ic_crit_wd_rdy_new_in, ic_crit_wd_rdy_new_ff) @[el2_ifu_mem_ctl.scala 628:43] - ic_crit_wd_rdy <= _T_2665 @[el2_ifu_mem_ctl.scala 628:18] - node _T_2666 = and(bus_last_data_beat, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 629:35] - last_beat <= _T_2666 @[el2_ifu_mem_ctl.scala 629:13] - reset_beat_cnt <= bus_reset_data_beat_cnt @[el2_ifu_mem_ctl.scala 630:18] - node _T_2667 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 632:50] - node _T_2668 = and(io.ifc_dma_access_ok, _T_2667) @[el2_ifu_mem_ctl.scala 632:47] - node _T_2669 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 632:70] - node _T_2670 = and(_T_2668, _T_2669) @[el2_ifu_mem_ctl.scala 632:68] - ifc_dma_access_ok_d <= _T_2670 @[el2_ifu_mem_ctl.scala 632:23] - node _T_2671 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 633:54] - node _T_2672 = and(io.ifc_dma_access_ok, _T_2671) @[el2_ifu_mem_ctl.scala 633:51] - node _T_2673 = and(_T_2672, ifc_dma_access_ok_prev) @[el2_ifu_mem_ctl.scala 633:72] - node _T_2674 = eq(perr_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 633:111] - node _T_2675 = and(_T_2673, _T_2674) @[el2_ifu_mem_ctl.scala 633:97] - node _T_2676 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 633:129] - node ifc_dma_access_q_ok = and(_T_2675, _T_2676) @[el2_ifu_mem_ctl.scala 633:127] - io.iccm_ready <= ifc_dma_access_q_ok @[el2_ifu_mem_ctl.scala 634:17] - reg _T_2677 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 635:51] - _T_2677 <= io.dma_iccm_req @[el2_ifu_mem_ctl.scala 635:51] - dma_iccm_req_f <= _T_2677 @[el2_ifu_mem_ctl.scala 635:18] - node _T_2678 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 636:40] - node _T_2679 = and(_T_2678, io.dma_mem_write) @[el2_ifu_mem_ctl.scala 636:58] - node _T_2680 = or(_T_2679, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 636:79] - io.iccm_wren <= _T_2680 @[el2_ifu_mem_ctl.scala 636:16] - node _T_2681 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 637:40] - node _T_2682 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 637:60] - node _T_2683 = and(_T_2681, _T_2682) @[el2_ifu_mem_ctl.scala 637:58] - node _T_2684 = and(io.ifc_iccm_access_bf, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 637:104] - node _T_2685 = or(_T_2683, _T_2684) @[el2_ifu_mem_ctl.scala 637:79] - io.iccm_rden <= _T_2685 @[el2_ifu_mem_ctl.scala 637:16] - node _T_2686 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 638:43] - node _T_2687 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 638:63] - node iccm_dma_rden = and(_T_2686, _T_2687) @[el2_ifu_mem_ctl.scala 638:61] + reg ifc_dma_access_ok_prev : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 622:62] + ifc_dma_access_ok_prev <= ifc_dma_access_ok_d @[el2_ifu_mem_ctl.scala 622:62] + node _T_2665 = or(ic_crit_wd_rdy_new_in, ic_crit_wd_rdy_new_ff) @[el2_ifu_mem_ctl.scala 623:43] + ic_crit_wd_rdy <= _T_2665 @[el2_ifu_mem_ctl.scala 623:18] + node _T_2666 = and(bus_last_data_beat, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 624:35] + last_beat <= _T_2666 @[el2_ifu_mem_ctl.scala 624:13] + reset_beat_cnt <= bus_reset_data_beat_cnt @[el2_ifu_mem_ctl.scala 625:18] + node _T_2667 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 627:50] + node _T_2668 = and(io.ifc_dma_access_ok, _T_2667) @[el2_ifu_mem_ctl.scala 627:47] + node _T_2669 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 627:70] + node _T_2670 = and(_T_2668, _T_2669) @[el2_ifu_mem_ctl.scala 627:68] + ifc_dma_access_ok_d <= _T_2670 @[el2_ifu_mem_ctl.scala 627:23] + node _T_2671 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 628:54] + node _T_2672 = and(io.ifc_dma_access_ok, _T_2671) @[el2_ifu_mem_ctl.scala 628:51] + node _T_2673 = and(_T_2672, ifc_dma_access_ok_prev) @[el2_ifu_mem_ctl.scala 628:72] + node _T_2674 = eq(perr_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 628:111] + node _T_2675 = and(_T_2673, _T_2674) @[el2_ifu_mem_ctl.scala 628:97] + node _T_2676 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 628:129] + node ifc_dma_access_q_ok = and(_T_2675, _T_2676) @[el2_ifu_mem_ctl.scala 628:127] + io.iccm_ready <= ifc_dma_access_q_ok @[el2_ifu_mem_ctl.scala 629:17] + reg _T_2677 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 630:51] + _T_2677 <= io.dma_iccm_req @[el2_ifu_mem_ctl.scala 630:51] + dma_iccm_req_f <= _T_2677 @[el2_ifu_mem_ctl.scala 630:18] + node _T_2678 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 631:40] + node _T_2679 = and(_T_2678, io.dma_mem_write) @[el2_ifu_mem_ctl.scala 631:58] + node _T_2680 = or(_T_2679, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 631:79] + io.iccm_wren <= _T_2680 @[el2_ifu_mem_ctl.scala 631:16] + node _T_2681 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 632:40] + node _T_2682 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 632:60] + node _T_2683 = and(_T_2681, _T_2682) @[el2_ifu_mem_ctl.scala 632:58] + node _T_2684 = and(io.ifc_iccm_access_bf, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 632:104] + node _T_2685 = or(_T_2683, _T_2684) @[el2_ifu_mem_ctl.scala 632:79] + io.iccm_rden <= _T_2685 @[el2_ifu_mem_ctl.scala 632:16] + node _T_2686 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 633:43] + node _T_2687 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 633:63] + node iccm_dma_rden = and(_T_2686, _T_2687) @[el2_ifu_mem_ctl.scala 633:61] node _T_2688 = bits(io.dma_iccm_req, 0, 0) @[Bitwise.scala 72:15] node _T_2689 = mux(_T_2688, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2690 = and(_T_2689, io.dma_mem_sz) @[el2_ifu_mem_ctl.scala 639:47] - io.iccm_wr_size <= _T_2690 @[el2_ifu_mem_ctl.scala 639:19] - node _T_2691 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 640:54] + node _T_2690 = and(_T_2689, io.dma_mem_sz) @[el2_ifu_mem_ctl.scala 634:47] + io.iccm_wr_size <= _T_2690 @[el2_ifu_mem_ctl.scala 634:19] + node _T_2691 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 635:54] wire _T_2692 : UInt<1>[18] @[el2_lib.scala 250:18] wire _T_2693 : UInt<1>[18] @[el2_lib.scala 251:18] wire _T_2694 : UInt<1>[18] @[el2_lib.scala 252:18] wire _T_2695 : UInt<1>[15] @[el2_lib.scala 253:18] wire _T_2696 : UInt<1>[15] @[el2_lib.scala 254:18] wire _T_2697 : UInt<1>[6] @[el2_lib.scala 255:18] - node _T_2698 = bits(_T_2691, 0, 0) @[el2_lib.scala 262:36] - _T_2693[0] <= _T_2698 @[el2_lib.scala 262:30] - node _T_2699 = bits(_T_2691, 0, 0) @[el2_lib.scala 263:36] - _T_2694[0] <= _T_2699 @[el2_lib.scala 263:30] - node _T_2700 = bits(_T_2691, 0, 0) @[el2_lib.scala 266:36] - _T_2697[0] <= _T_2700 @[el2_lib.scala 266:30] - node _T_2701 = bits(_T_2691, 1, 1) @[el2_lib.scala 261:36] - _T_2692[0] <= _T_2701 @[el2_lib.scala 261:30] - node _T_2702 = bits(_T_2691, 1, 1) @[el2_lib.scala 263:36] - _T_2694[1] <= _T_2702 @[el2_lib.scala 263:30] - node _T_2703 = bits(_T_2691, 1, 1) @[el2_lib.scala 266:36] - _T_2697[1] <= _T_2703 @[el2_lib.scala 266:30] - node _T_2704 = bits(_T_2691, 2, 2) @[el2_lib.scala 263:36] - _T_2694[2] <= _T_2704 @[el2_lib.scala 263:30] - node _T_2705 = bits(_T_2691, 2, 2) @[el2_lib.scala 266:36] - _T_2697[2] <= _T_2705 @[el2_lib.scala 266:30] - node _T_2706 = bits(_T_2691, 3, 3) @[el2_lib.scala 261:36] - _T_2692[1] <= _T_2706 @[el2_lib.scala 261:30] - node _T_2707 = bits(_T_2691, 3, 3) @[el2_lib.scala 262:36] - _T_2693[1] <= _T_2707 @[el2_lib.scala 262:30] - node _T_2708 = bits(_T_2691, 3, 3) @[el2_lib.scala 266:36] - _T_2697[3] <= _T_2708 @[el2_lib.scala 266:30] - node _T_2709 = bits(_T_2691, 4, 4) @[el2_lib.scala 262:36] - _T_2693[2] <= _T_2709 @[el2_lib.scala 262:30] - node _T_2710 = bits(_T_2691, 4, 4) @[el2_lib.scala 266:36] - _T_2697[4] <= _T_2710 @[el2_lib.scala 266:30] - node _T_2711 = bits(_T_2691, 5, 5) @[el2_lib.scala 261:36] - _T_2692[2] <= _T_2711 @[el2_lib.scala 261:30] - node _T_2712 = bits(_T_2691, 5, 5) @[el2_lib.scala 266:36] - _T_2697[5] <= _T_2712 @[el2_lib.scala 266:30] - node _T_2713 = bits(_T_2691, 6, 6) @[el2_lib.scala 261:36] - _T_2692[3] <= _T_2713 @[el2_lib.scala 261:30] - node _T_2714 = bits(_T_2691, 6, 6) @[el2_lib.scala 262:36] - _T_2693[3] <= _T_2714 @[el2_lib.scala 262:30] - node _T_2715 = bits(_T_2691, 6, 6) @[el2_lib.scala 263:36] - _T_2694[3] <= _T_2715 @[el2_lib.scala 263:30] - node _T_2716 = bits(_T_2691, 6, 6) @[el2_lib.scala 264:36] - _T_2695[0] <= _T_2716 @[el2_lib.scala 264:30] - node _T_2717 = bits(_T_2691, 6, 6) @[el2_lib.scala 265:36] - _T_2696[0] <= _T_2717 @[el2_lib.scala 265:30] - node _T_2718 = bits(_T_2691, 7, 7) @[el2_lib.scala 262:36] - _T_2693[4] <= _T_2718 @[el2_lib.scala 262:30] - node _T_2719 = bits(_T_2691, 7, 7) @[el2_lib.scala 263:36] - _T_2694[4] <= _T_2719 @[el2_lib.scala 263:30] - node _T_2720 = bits(_T_2691, 7, 7) @[el2_lib.scala 264:36] - _T_2695[1] <= _T_2720 @[el2_lib.scala 264:30] - node _T_2721 = bits(_T_2691, 7, 7) @[el2_lib.scala 265:36] - _T_2696[1] <= _T_2721 @[el2_lib.scala 265:30] - node _T_2722 = bits(_T_2691, 8, 8) @[el2_lib.scala 261:36] - _T_2692[4] <= _T_2722 @[el2_lib.scala 261:30] - node _T_2723 = bits(_T_2691, 8, 8) @[el2_lib.scala 263:36] - _T_2694[5] <= _T_2723 @[el2_lib.scala 263:30] - node _T_2724 = bits(_T_2691, 8, 8) @[el2_lib.scala 264:36] - _T_2695[2] <= _T_2724 @[el2_lib.scala 264:30] - node _T_2725 = bits(_T_2691, 8, 8) @[el2_lib.scala 265:36] - _T_2696[2] <= _T_2725 @[el2_lib.scala 265:30] - node _T_2726 = bits(_T_2691, 9, 9) @[el2_lib.scala 263:36] - _T_2694[6] <= _T_2726 @[el2_lib.scala 263:30] - node _T_2727 = bits(_T_2691, 9, 9) @[el2_lib.scala 264:36] - _T_2695[3] <= _T_2727 @[el2_lib.scala 264:30] - node _T_2728 = bits(_T_2691, 9, 9) @[el2_lib.scala 265:36] - _T_2696[3] <= _T_2728 @[el2_lib.scala 265:30] - node _T_2729 = bits(_T_2691, 10, 10) @[el2_lib.scala 261:36] - _T_2692[5] <= _T_2729 @[el2_lib.scala 261:30] - node _T_2730 = bits(_T_2691, 10, 10) @[el2_lib.scala 262:36] - _T_2693[5] <= _T_2730 @[el2_lib.scala 262:30] - node _T_2731 = bits(_T_2691, 10, 10) @[el2_lib.scala 264:36] - _T_2695[4] <= _T_2731 @[el2_lib.scala 264:30] - node _T_2732 = bits(_T_2691, 10, 10) @[el2_lib.scala 265:36] - _T_2696[4] <= _T_2732 @[el2_lib.scala 265:30] - node _T_2733 = bits(_T_2691, 11, 11) @[el2_lib.scala 262:36] - _T_2693[6] <= _T_2733 @[el2_lib.scala 262:30] - node _T_2734 = bits(_T_2691, 11, 11) @[el2_lib.scala 264:36] - _T_2695[5] <= _T_2734 @[el2_lib.scala 264:30] - node _T_2735 = bits(_T_2691, 11, 11) @[el2_lib.scala 265:36] - _T_2696[5] <= _T_2735 @[el2_lib.scala 265:30] - node _T_2736 = bits(_T_2691, 12, 12) @[el2_lib.scala 261:36] - _T_2692[6] <= _T_2736 @[el2_lib.scala 261:30] - node _T_2737 = bits(_T_2691, 12, 12) @[el2_lib.scala 264:36] - _T_2695[6] <= _T_2737 @[el2_lib.scala 264:30] - node _T_2738 = bits(_T_2691, 12, 12) @[el2_lib.scala 265:36] - _T_2696[6] <= _T_2738 @[el2_lib.scala 265:30] - node _T_2739 = bits(_T_2691, 13, 13) @[el2_lib.scala 264:36] - _T_2695[7] <= _T_2739 @[el2_lib.scala 264:30] - node _T_2740 = bits(_T_2691, 13, 13) @[el2_lib.scala 265:36] - _T_2696[7] <= _T_2740 @[el2_lib.scala 265:30] - node _T_2741 = bits(_T_2691, 14, 14) @[el2_lib.scala 261:36] - _T_2692[7] <= _T_2741 @[el2_lib.scala 261:30] - node _T_2742 = bits(_T_2691, 14, 14) @[el2_lib.scala 262:36] - _T_2693[7] <= _T_2742 @[el2_lib.scala 262:30] - node _T_2743 = bits(_T_2691, 14, 14) @[el2_lib.scala 263:36] - _T_2694[7] <= _T_2743 @[el2_lib.scala 263:30] - node _T_2744 = bits(_T_2691, 14, 14) @[el2_lib.scala 265:36] - _T_2696[8] <= _T_2744 @[el2_lib.scala 265:30] - node _T_2745 = bits(_T_2691, 15, 15) @[el2_lib.scala 262:36] - _T_2693[8] <= _T_2745 @[el2_lib.scala 262:30] - node _T_2746 = bits(_T_2691, 15, 15) @[el2_lib.scala 263:36] - _T_2694[8] <= _T_2746 @[el2_lib.scala 263:30] - node _T_2747 = bits(_T_2691, 15, 15) @[el2_lib.scala 265:36] - _T_2696[9] <= _T_2747 @[el2_lib.scala 265:30] - node _T_2748 = bits(_T_2691, 16, 16) @[el2_lib.scala 261:36] - _T_2692[8] <= _T_2748 @[el2_lib.scala 261:30] - node _T_2749 = bits(_T_2691, 16, 16) @[el2_lib.scala 263:36] - _T_2694[9] <= _T_2749 @[el2_lib.scala 263:30] - node _T_2750 = bits(_T_2691, 16, 16) @[el2_lib.scala 265:36] - _T_2696[10] <= _T_2750 @[el2_lib.scala 265:30] - node _T_2751 = bits(_T_2691, 17, 17) @[el2_lib.scala 263:36] - _T_2694[10] <= _T_2751 @[el2_lib.scala 263:30] - node _T_2752 = bits(_T_2691, 17, 17) @[el2_lib.scala 265:36] - _T_2696[11] <= _T_2752 @[el2_lib.scala 265:30] - node _T_2753 = bits(_T_2691, 18, 18) @[el2_lib.scala 261:36] - _T_2692[9] <= _T_2753 @[el2_lib.scala 261:30] - node _T_2754 = bits(_T_2691, 18, 18) @[el2_lib.scala 262:36] - _T_2693[9] <= _T_2754 @[el2_lib.scala 262:30] - node _T_2755 = bits(_T_2691, 18, 18) @[el2_lib.scala 265:36] - _T_2696[12] <= _T_2755 @[el2_lib.scala 265:30] - node _T_2756 = bits(_T_2691, 19, 19) @[el2_lib.scala 262:36] - _T_2693[10] <= _T_2756 @[el2_lib.scala 262:30] - node _T_2757 = bits(_T_2691, 19, 19) @[el2_lib.scala 265:36] - _T_2696[13] <= _T_2757 @[el2_lib.scala 265:30] - node _T_2758 = bits(_T_2691, 20, 20) @[el2_lib.scala 261:36] - _T_2692[10] <= _T_2758 @[el2_lib.scala 261:30] - node _T_2759 = bits(_T_2691, 20, 20) @[el2_lib.scala 265:36] - _T_2696[14] <= _T_2759 @[el2_lib.scala 265:30] - node _T_2760 = bits(_T_2691, 21, 21) @[el2_lib.scala 261:36] - _T_2692[11] <= _T_2760 @[el2_lib.scala 261:30] - node _T_2761 = bits(_T_2691, 21, 21) @[el2_lib.scala 262:36] - _T_2693[11] <= _T_2761 @[el2_lib.scala 262:30] - node _T_2762 = bits(_T_2691, 21, 21) @[el2_lib.scala 263:36] - _T_2694[11] <= _T_2762 @[el2_lib.scala 263:30] - node _T_2763 = bits(_T_2691, 21, 21) @[el2_lib.scala 264:36] - _T_2695[8] <= _T_2763 @[el2_lib.scala 264:30] - node _T_2764 = bits(_T_2691, 22, 22) @[el2_lib.scala 262:36] - _T_2693[12] <= _T_2764 @[el2_lib.scala 262:30] - node _T_2765 = bits(_T_2691, 22, 22) @[el2_lib.scala 263:36] - _T_2694[12] <= _T_2765 @[el2_lib.scala 263:30] - node _T_2766 = bits(_T_2691, 22, 22) @[el2_lib.scala 264:36] - _T_2695[9] <= _T_2766 @[el2_lib.scala 264:30] - node _T_2767 = bits(_T_2691, 23, 23) @[el2_lib.scala 261:36] - _T_2692[12] <= _T_2767 @[el2_lib.scala 261:30] - node _T_2768 = bits(_T_2691, 23, 23) @[el2_lib.scala 263:36] - _T_2694[13] <= _T_2768 @[el2_lib.scala 263:30] - node _T_2769 = bits(_T_2691, 23, 23) @[el2_lib.scala 264:36] - _T_2695[10] <= _T_2769 @[el2_lib.scala 264:30] - node _T_2770 = bits(_T_2691, 24, 24) @[el2_lib.scala 263:36] - _T_2694[14] <= _T_2770 @[el2_lib.scala 263:30] - node _T_2771 = bits(_T_2691, 24, 24) @[el2_lib.scala 264:36] - _T_2695[11] <= _T_2771 @[el2_lib.scala 264:30] - node _T_2772 = bits(_T_2691, 25, 25) @[el2_lib.scala 261:36] - _T_2692[13] <= _T_2772 @[el2_lib.scala 261:30] - node _T_2773 = bits(_T_2691, 25, 25) @[el2_lib.scala 262:36] - _T_2693[13] <= _T_2773 @[el2_lib.scala 262:30] - node _T_2774 = bits(_T_2691, 25, 25) @[el2_lib.scala 264:36] - _T_2695[12] <= _T_2774 @[el2_lib.scala 264:30] - node _T_2775 = bits(_T_2691, 26, 26) @[el2_lib.scala 262:36] - _T_2693[14] <= _T_2775 @[el2_lib.scala 262:30] - node _T_2776 = bits(_T_2691, 26, 26) @[el2_lib.scala 264:36] - _T_2695[13] <= _T_2776 @[el2_lib.scala 264:30] - node _T_2777 = bits(_T_2691, 27, 27) @[el2_lib.scala 261:36] - _T_2692[14] <= _T_2777 @[el2_lib.scala 261:30] - node _T_2778 = bits(_T_2691, 27, 27) @[el2_lib.scala 264:36] - _T_2695[14] <= _T_2778 @[el2_lib.scala 264:30] - node _T_2779 = bits(_T_2691, 28, 28) @[el2_lib.scala 261:36] - _T_2692[15] <= _T_2779 @[el2_lib.scala 261:30] - node _T_2780 = bits(_T_2691, 28, 28) @[el2_lib.scala 262:36] - _T_2693[15] <= _T_2780 @[el2_lib.scala 262:30] - node _T_2781 = bits(_T_2691, 28, 28) @[el2_lib.scala 263:36] - _T_2694[15] <= _T_2781 @[el2_lib.scala 263:30] - node _T_2782 = bits(_T_2691, 29, 29) @[el2_lib.scala 262:36] - _T_2693[16] <= _T_2782 @[el2_lib.scala 262:30] - node _T_2783 = bits(_T_2691, 29, 29) @[el2_lib.scala 263:36] - _T_2694[16] <= _T_2783 @[el2_lib.scala 263:30] - node _T_2784 = bits(_T_2691, 30, 30) @[el2_lib.scala 261:36] - _T_2692[16] <= _T_2784 @[el2_lib.scala 261:30] - node _T_2785 = bits(_T_2691, 30, 30) @[el2_lib.scala 263:36] - _T_2694[17] <= _T_2785 @[el2_lib.scala 263:30] - node _T_2786 = bits(_T_2691, 31, 31) @[el2_lib.scala 261:36] - _T_2692[17] <= _T_2786 @[el2_lib.scala 261:30] - node _T_2787 = bits(_T_2691, 31, 31) @[el2_lib.scala 262:36] - _T_2693[17] <= _T_2787 @[el2_lib.scala 262:30] - node _T_2788 = cat(_T_2692[1], _T_2692[0]) @[el2_lib.scala 268:22] - node _T_2789 = cat(_T_2692[3], _T_2692[2]) @[el2_lib.scala 268:22] - node _T_2790 = cat(_T_2789, _T_2788) @[el2_lib.scala 268:22] - node _T_2791 = cat(_T_2692[5], _T_2692[4]) @[el2_lib.scala 268:22] - node _T_2792 = cat(_T_2692[8], _T_2692[7]) @[el2_lib.scala 268:22] - node _T_2793 = cat(_T_2792, _T_2692[6]) @[el2_lib.scala 268:22] - node _T_2794 = cat(_T_2793, _T_2791) @[el2_lib.scala 268:22] - node _T_2795 = cat(_T_2794, _T_2790) @[el2_lib.scala 268:22] - node _T_2796 = cat(_T_2692[10], _T_2692[9]) @[el2_lib.scala 268:22] - node _T_2797 = cat(_T_2692[12], _T_2692[11]) @[el2_lib.scala 268:22] - node _T_2798 = cat(_T_2797, _T_2796) @[el2_lib.scala 268:22] - node _T_2799 = cat(_T_2692[14], _T_2692[13]) @[el2_lib.scala 268:22] - node _T_2800 = cat(_T_2692[17], _T_2692[16]) @[el2_lib.scala 268:22] - node _T_2801 = cat(_T_2800, _T_2692[15]) @[el2_lib.scala 268:22] - node _T_2802 = cat(_T_2801, _T_2799) @[el2_lib.scala 268:22] - node _T_2803 = cat(_T_2802, _T_2798) @[el2_lib.scala 268:22] - node _T_2804 = cat(_T_2803, _T_2795) @[el2_lib.scala 268:22] - node _T_2805 = xorr(_T_2804) @[el2_lib.scala 268:29] - node _T_2806 = cat(_T_2693[1], _T_2693[0]) @[el2_lib.scala 268:39] - node _T_2807 = cat(_T_2693[3], _T_2693[2]) @[el2_lib.scala 268:39] - node _T_2808 = cat(_T_2807, _T_2806) @[el2_lib.scala 268:39] - node _T_2809 = cat(_T_2693[5], _T_2693[4]) @[el2_lib.scala 268:39] - node _T_2810 = cat(_T_2693[8], _T_2693[7]) @[el2_lib.scala 268:39] - node _T_2811 = cat(_T_2810, _T_2693[6]) @[el2_lib.scala 268:39] - node _T_2812 = cat(_T_2811, _T_2809) @[el2_lib.scala 268:39] - node _T_2813 = cat(_T_2812, _T_2808) @[el2_lib.scala 268:39] - node _T_2814 = cat(_T_2693[10], _T_2693[9]) @[el2_lib.scala 268:39] - node _T_2815 = cat(_T_2693[12], _T_2693[11]) @[el2_lib.scala 268:39] - node _T_2816 = cat(_T_2815, _T_2814) @[el2_lib.scala 268:39] - node _T_2817 = cat(_T_2693[14], _T_2693[13]) @[el2_lib.scala 268:39] - node _T_2818 = cat(_T_2693[17], _T_2693[16]) @[el2_lib.scala 268:39] - node _T_2819 = cat(_T_2818, _T_2693[15]) @[el2_lib.scala 268:39] - node _T_2820 = cat(_T_2819, _T_2817) @[el2_lib.scala 268:39] - node _T_2821 = cat(_T_2820, _T_2816) @[el2_lib.scala 268:39] - node _T_2822 = cat(_T_2821, _T_2813) @[el2_lib.scala 268:39] - node _T_2823 = xorr(_T_2822) @[el2_lib.scala 268:46] - node _T_2824 = cat(_T_2694[1], _T_2694[0]) @[el2_lib.scala 268:56] - node _T_2825 = cat(_T_2694[3], _T_2694[2]) @[el2_lib.scala 268:56] - node _T_2826 = cat(_T_2825, _T_2824) @[el2_lib.scala 268:56] - node _T_2827 = cat(_T_2694[5], _T_2694[4]) @[el2_lib.scala 268:56] - node _T_2828 = cat(_T_2694[8], _T_2694[7]) @[el2_lib.scala 268:56] - node _T_2829 = cat(_T_2828, _T_2694[6]) @[el2_lib.scala 268:56] - node _T_2830 = cat(_T_2829, _T_2827) @[el2_lib.scala 268:56] - node _T_2831 = cat(_T_2830, _T_2826) @[el2_lib.scala 268:56] - node _T_2832 = cat(_T_2694[10], _T_2694[9]) @[el2_lib.scala 268:56] - node _T_2833 = cat(_T_2694[12], _T_2694[11]) @[el2_lib.scala 268:56] - node _T_2834 = cat(_T_2833, _T_2832) @[el2_lib.scala 268:56] - node _T_2835 = cat(_T_2694[14], _T_2694[13]) @[el2_lib.scala 268:56] - node _T_2836 = cat(_T_2694[17], _T_2694[16]) @[el2_lib.scala 268:56] - node _T_2837 = cat(_T_2836, _T_2694[15]) @[el2_lib.scala 268:56] - node _T_2838 = cat(_T_2837, _T_2835) @[el2_lib.scala 268:56] - node _T_2839 = cat(_T_2838, _T_2834) @[el2_lib.scala 268:56] - node _T_2840 = cat(_T_2839, _T_2831) @[el2_lib.scala 268:56] - node _T_2841 = xorr(_T_2840) @[el2_lib.scala 268:63] - node _T_2842 = cat(_T_2695[2], _T_2695[1]) @[el2_lib.scala 268:73] - node _T_2843 = cat(_T_2842, _T_2695[0]) @[el2_lib.scala 268:73] - node _T_2844 = cat(_T_2695[4], _T_2695[3]) @[el2_lib.scala 268:73] - node _T_2845 = cat(_T_2695[6], _T_2695[5]) @[el2_lib.scala 268:73] - node _T_2846 = cat(_T_2845, _T_2844) @[el2_lib.scala 268:73] - node _T_2847 = cat(_T_2846, _T_2843) @[el2_lib.scala 268:73] - node _T_2848 = cat(_T_2695[8], _T_2695[7]) @[el2_lib.scala 268:73] - node _T_2849 = cat(_T_2695[10], _T_2695[9]) @[el2_lib.scala 268:73] - node _T_2850 = cat(_T_2849, _T_2848) @[el2_lib.scala 268:73] - node _T_2851 = cat(_T_2695[12], _T_2695[11]) @[el2_lib.scala 268:73] - node _T_2852 = cat(_T_2695[14], _T_2695[13]) @[el2_lib.scala 268:73] - node _T_2853 = cat(_T_2852, _T_2851) @[el2_lib.scala 268:73] - node _T_2854 = cat(_T_2853, _T_2850) @[el2_lib.scala 268:73] - node _T_2855 = cat(_T_2854, _T_2847) @[el2_lib.scala 268:73] - node _T_2856 = xorr(_T_2855) @[el2_lib.scala 268:80] - node _T_2857 = cat(_T_2696[2], _T_2696[1]) @[el2_lib.scala 268:90] - node _T_2858 = cat(_T_2857, _T_2696[0]) @[el2_lib.scala 268:90] - node _T_2859 = cat(_T_2696[4], _T_2696[3]) @[el2_lib.scala 268:90] - node _T_2860 = cat(_T_2696[6], _T_2696[5]) @[el2_lib.scala 268:90] - node _T_2861 = cat(_T_2860, _T_2859) @[el2_lib.scala 268:90] - node _T_2862 = cat(_T_2861, _T_2858) @[el2_lib.scala 268:90] - node _T_2863 = cat(_T_2696[8], _T_2696[7]) @[el2_lib.scala 268:90] - node _T_2864 = cat(_T_2696[10], _T_2696[9]) @[el2_lib.scala 268:90] - node _T_2865 = cat(_T_2864, _T_2863) @[el2_lib.scala 268:90] - node _T_2866 = cat(_T_2696[12], _T_2696[11]) @[el2_lib.scala 268:90] - node _T_2867 = cat(_T_2696[14], _T_2696[13]) @[el2_lib.scala 268:90] - node _T_2868 = cat(_T_2867, _T_2866) @[el2_lib.scala 268:90] - node _T_2869 = cat(_T_2868, _T_2865) @[el2_lib.scala 268:90] - node _T_2870 = cat(_T_2869, _T_2862) @[el2_lib.scala 268:90] - node _T_2871 = xorr(_T_2870) @[el2_lib.scala 268:97] - node _T_2872 = cat(_T_2697[2], _T_2697[1]) @[el2_lib.scala 268:107] - node _T_2873 = cat(_T_2872, _T_2697[0]) @[el2_lib.scala 268:107] - node _T_2874 = cat(_T_2697[5], _T_2697[4]) @[el2_lib.scala 268:107] - node _T_2875 = cat(_T_2874, _T_2697[3]) @[el2_lib.scala 268:107] - node _T_2876 = cat(_T_2875, _T_2873) @[el2_lib.scala 268:107] - node _T_2877 = xorr(_T_2876) @[el2_lib.scala 268:114] - node _T_2878 = cat(_T_2856, _T_2871) @[Cat.scala 29:58] + node _T_2698 = bits(_T_2691, 0, 0) @[el2_lib.scala 260:36] + _T_2692[0] <= _T_2698 @[el2_lib.scala 260:30] + node _T_2699 = bits(_T_2691, 0, 0) @[el2_lib.scala 261:36] + _T_2693[0] <= _T_2699 @[el2_lib.scala 261:30] + node _T_2700 = bits(_T_2691, 1, 1) @[el2_lib.scala 260:36] + _T_2692[1] <= _T_2700 @[el2_lib.scala 260:30] + node _T_2701 = bits(_T_2691, 1, 1) @[el2_lib.scala 262:36] + _T_2694[0] <= _T_2701 @[el2_lib.scala 262:30] + node _T_2702 = bits(_T_2691, 2, 2) @[el2_lib.scala 261:36] + _T_2693[1] <= _T_2702 @[el2_lib.scala 261:30] + node _T_2703 = bits(_T_2691, 2, 2) @[el2_lib.scala 262:36] + _T_2694[1] <= _T_2703 @[el2_lib.scala 262:30] + node _T_2704 = bits(_T_2691, 3, 3) @[el2_lib.scala 260:36] + _T_2692[2] <= _T_2704 @[el2_lib.scala 260:30] + node _T_2705 = bits(_T_2691, 3, 3) @[el2_lib.scala 261:36] + _T_2693[2] <= _T_2705 @[el2_lib.scala 261:30] + node _T_2706 = bits(_T_2691, 3, 3) @[el2_lib.scala 262:36] + _T_2694[2] <= _T_2706 @[el2_lib.scala 262:30] + node _T_2707 = bits(_T_2691, 4, 4) @[el2_lib.scala 260:36] + _T_2692[3] <= _T_2707 @[el2_lib.scala 260:30] + node _T_2708 = bits(_T_2691, 4, 4) @[el2_lib.scala 263:36] + _T_2695[0] <= _T_2708 @[el2_lib.scala 263:30] + node _T_2709 = bits(_T_2691, 5, 5) @[el2_lib.scala 261:36] + _T_2693[3] <= _T_2709 @[el2_lib.scala 261:30] + node _T_2710 = bits(_T_2691, 5, 5) @[el2_lib.scala 263:36] + _T_2695[1] <= _T_2710 @[el2_lib.scala 263:30] + node _T_2711 = bits(_T_2691, 6, 6) @[el2_lib.scala 260:36] + _T_2692[4] <= _T_2711 @[el2_lib.scala 260:30] + node _T_2712 = bits(_T_2691, 6, 6) @[el2_lib.scala 261:36] + _T_2693[4] <= _T_2712 @[el2_lib.scala 261:30] + node _T_2713 = bits(_T_2691, 6, 6) @[el2_lib.scala 263:36] + _T_2695[2] <= _T_2713 @[el2_lib.scala 263:30] + node _T_2714 = bits(_T_2691, 7, 7) @[el2_lib.scala 262:36] + _T_2694[3] <= _T_2714 @[el2_lib.scala 262:30] + node _T_2715 = bits(_T_2691, 7, 7) @[el2_lib.scala 263:36] + _T_2695[3] <= _T_2715 @[el2_lib.scala 263:30] + node _T_2716 = bits(_T_2691, 8, 8) @[el2_lib.scala 260:36] + _T_2692[5] <= _T_2716 @[el2_lib.scala 260:30] + node _T_2717 = bits(_T_2691, 8, 8) @[el2_lib.scala 262:36] + _T_2694[4] <= _T_2717 @[el2_lib.scala 262:30] + node _T_2718 = bits(_T_2691, 8, 8) @[el2_lib.scala 263:36] + _T_2695[4] <= _T_2718 @[el2_lib.scala 263:30] + node _T_2719 = bits(_T_2691, 9, 9) @[el2_lib.scala 261:36] + _T_2693[5] <= _T_2719 @[el2_lib.scala 261:30] + node _T_2720 = bits(_T_2691, 9, 9) @[el2_lib.scala 262:36] + _T_2694[5] <= _T_2720 @[el2_lib.scala 262:30] + node _T_2721 = bits(_T_2691, 9, 9) @[el2_lib.scala 263:36] + _T_2695[5] <= _T_2721 @[el2_lib.scala 263:30] + node _T_2722 = bits(_T_2691, 10, 10) @[el2_lib.scala 260:36] + _T_2692[6] <= _T_2722 @[el2_lib.scala 260:30] + node _T_2723 = bits(_T_2691, 10, 10) @[el2_lib.scala 261:36] + _T_2693[6] <= _T_2723 @[el2_lib.scala 261:30] + node _T_2724 = bits(_T_2691, 10, 10) @[el2_lib.scala 262:36] + _T_2694[6] <= _T_2724 @[el2_lib.scala 262:30] + node _T_2725 = bits(_T_2691, 10, 10) @[el2_lib.scala 263:36] + _T_2695[6] <= _T_2725 @[el2_lib.scala 263:30] + node _T_2726 = bits(_T_2691, 11, 11) @[el2_lib.scala 260:36] + _T_2692[7] <= _T_2726 @[el2_lib.scala 260:30] + node _T_2727 = bits(_T_2691, 11, 11) @[el2_lib.scala 264:36] + _T_2696[0] <= _T_2727 @[el2_lib.scala 264:30] + node _T_2728 = bits(_T_2691, 12, 12) @[el2_lib.scala 261:36] + _T_2693[7] <= _T_2728 @[el2_lib.scala 261:30] + node _T_2729 = bits(_T_2691, 12, 12) @[el2_lib.scala 264:36] + _T_2696[1] <= _T_2729 @[el2_lib.scala 264:30] + node _T_2730 = bits(_T_2691, 13, 13) @[el2_lib.scala 260:36] + _T_2692[8] <= _T_2730 @[el2_lib.scala 260:30] + node _T_2731 = bits(_T_2691, 13, 13) @[el2_lib.scala 261:36] + _T_2693[8] <= _T_2731 @[el2_lib.scala 261:30] + node _T_2732 = bits(_T_2691, 13, 13) @[el2_lib.scala 264:36] + _T_2696[2] <= _T_2732 @[el2_lib.scala 264:30] + node _T_2733 = bits(_T_2691, 14, 14) @[el2_lib.scala 262:36] + _T_2694[7] <= _T_2733 @[el2_lib.scala 262:30] + node _T_2734 = bits(_T_2691, 14, 14) @[el2_lib.scala 264:36] + _T_2696[3] <= _T_2734 @[el2_lib.scala 264:30] + node _T_2735 = bits(_T_2691, 15, 15) @[el2_lib.scala 260:36] + _T_2692[9] <= _T_2735 @[el2_lib.scala 260:30] + node _T_2736 = bits(_T_2691, 15, 15) @[el2_lib.scala 262:36] + _T_2694[8] <= _T_2736 @[el2_lib.scala 262:30] + node _T_2737 = bits(_T_2691, 15, 15) @[el2_lib.scala 264:36] + _T_2696[4] <= _T_2737 @[el2_lib.scala 264:30] + node _T_2738 = bits(_T_2691, 16, 16) @[el2_lib.scala 261:36] + _T_2693[9] <= _T_2738 @[el2_lib.scala 261:30] + node _T_2739 = bits(_T_2691, 16, 16) @[el2_lib.scala 262:36] + _T_2694[9] <= _T_2739 @[el2_lib.scala 262:30] + node _T_2740 = bits(_T_2691, 16, 16) @[el2_lib.scala 264:36] + _T_2696[5] <= _T_2740 @[el2_lib.scala 264:30] + node _T_2741 = bits(_T_2691, 17, 17) @[el2_lib.scala 260:36] + _T_2692[10] <= _T_2741 @[el2_lib.scala 260:30] + node _T_2742 = bits(_T_2691, 17, 17) @[el2_lib.scala 261:36] + _T_2693[10] <= _T_2742 @[el2_lib.scala 261:30] + node _T_2743 = bits(_T_2691, 17, 17) @[el2_lib.scala 262:36] + _T_2694[10] <= _T_2743 @[el2_lib.scala 262:30] + node _T_2744 = bits(_T_2691, 17, 17) @[el2_lib.scala 264:36] + _T_2696[6] <= _T_2744 @[el2_lib.scala 264:30] + node _T_2745 = bits(_T_2691, 18, 18) @[el2_lib.scala 263:36] + _T_2695[7] <= _T_2745 @[el2_lib.scala 263:30] + node _T_2746 = bits(_T_2691, 18, 18) @[el2_lib.scala 264:36] + _T_2696[7] <= _T_2746 @[el2_lib.scala 264:30] + node _T_2747 = bits(_T_2691, 19, 19) @[el2_lib.scala 260:36] + _T_2692[11] <= _T_2747 @[el2_lib.scala 260:30] + node _T_2748 = bits(_T_2691, 19, 19) @[el2_lib.scala 263:36] + _T_2695[8] <= _T_2748 @[el2_lib.scala 263:30] + node _T_2749 = bits(_T_2691, 19, 19) @[el2_lib.scala 264:36] + _T_2696[8] <= _T_2749 @[el2_lib.scala 264:30] + node _T_2750 = bits(_T_2691, 20, 20) @[el2_lib.scala 261:36] + _T_2693[11] <= _T_2750 @[el2_lib.scala 261:30] + node _T_2751 = bits(_T_2691, 20, 20) @[el2_lib.scala 263:36] + _T_2695[9] <= _T_2751 @[el2_lib.scala 263:30] + node _T_2752 = bits(_T_2691, 20, 20) @[el2_lib.scala 264:36] + _T_2696[9] <= _T_2752 @[el2_lib.scala 264:30] + node _T_2753 = bits(_T_2691, 21, 21) @[el2_lib.scala 260:36] + _T_2692[12] <= _T_2753 @[el2_lib.scala 260:30] + node _T_2754 = bits(_T_2691, 21, 21) @[el2_lib.scala 261:36] + _T_2693[12] <= _T_2754 @[el2_lib.scala 261:30] + node _T_2755 = bits(_T_2691, 21, 21) @[el2_lib.scala 263:36] + _T_2695[10] <= _T_2755 @[el2_lib.scala 263:30] + node _T_2756 = bits(_T_2691, 21, 21) @[el2_lib.scala 264:36] + _T_2696[10] <= _T_2756 @[el2_lib.scala 264:30] + node _T_2757 = bits(_T_2691, 22, 22) @[el2_lib.scala 262:36] + _T_2694[11] <= _T_2757 @[el2_lib.scala 262:30] + node _T_2758 = bits(_T_2691, 22, 22) @[el2_lib.scala 263:36] + _T_2695[11] <= _T_2758 @[el2_lib.scala 263:30] + node _T_2759 = bits(_T_2691, 22, 22) @[el2_lib.scala 264:36] + _T_2696[11] <= _T_2759 @[el2_lib.scala 264:30] + node _T_2760 = bits(_T_2691, 23, 23) @[el2_lib.scala 260:36] + _T_2692[13] <= _T_2760 @[el2_lib.scala 260:30] + node _T_2761 = bits(_T_2691, 23, 23) @[el2_lib.scala 262:36] + _T_2694[12] <= _T_2761 @[el2_lib.scala 262:30] + node _T_2762 = bits(_T_2691, 23, 23) @[el2_lib.scala 263:36] + _T_2695[12] <= _T_2762 @[el2_lib.scala 263:30] + node _T_2763 = bits(_T_2691, 23, 23) @[el2_lib.scala 264:36] + _T_2696[12] <= _T_2763 @[el2_lib.scala 264:30] + node _T_2764 = bits(_T_2691, 24, 24) @[el2_lib.scala 261:36] + _T_2693[13] <= _T_2764 @[el2_lib.scala 261:30] + node _T_2765 = bits(_T_2691, 24, 24) @[el2_lib.scala 262:36] + _T_2694[13] <= _T_2765 @[el2_lib.scala 262:30] + node _T_2766 = bits(_T_2691, 24, 24) @[el2_lib.scala 263:36] + _T_2695[13] <= _T_2766 @[el2_lib.scala 263:30] + node _T_2767 = bits(_T_2691, 24, 24) @[el2_lib.scala 264:36] + _T_2696[13] <= _T_2767 @[el2_lib.scala 264:30] + node _T_2768 = bits(_T_2691, 25, 25) @[el2_lib.scala 260:36] + _T_2692[14] <= _T_2768 @[el2_lib.scala 260:30] + node _T_2769 = bits(_T_2691, 25, 25) @[el2_lib.scala 261:36] + _T_2693[14] <= _T_2769 @[el2_lib.scala 261:30] + node _T_2770 = bits(_T_2691, 25, 25) @[el2_lib.scala 262:36] + _T_2694[14] <= _T_2770 @[el2_lib.scala 262:30] + node _T_2771 = bits(_T_2691, 25, 25) @[el2_lib.scala 263:36] + _T_2695[14] <= _T_2771 @[el2_lib.scala 263:30] + node _T_2772 = bits(_T_2691, 25, 25) @[el2_lib.scala 264:36] + _T_2696[14] <= _T_2772 @[el2_lib.scala 264:30] + node _T_2773 = bits(_T_2691, 26, 26) @[el2_lib.scala 260:36] + _T_2692[15] <= _T_2773 @[el2_lib.scala 260:30] + node _T_2774 = bits(_T_2691, 26, 26) @[el2_lib.scala 265:36] + _T_2697[0] <= _T_2774 @[el2_lib.scala 265:30] + node _T_2775 = bits(_T_2691, 27, 27) @[el2_lib.scala 261:36] + _T_2693[15] <= _T_2775 @[el2_lib.scala 261:30] + node _T_2776 = bits(_T_2691, 27, 27) @[el2_lib.scala 265:36] + _T_2697[1] <= _T_2776 @[el2_lib.scala 265:30] + node _T_2777 = bits(_T_2691, 28, 28) @[el2_lib.scala 260:36] + _T_2692[16] <= _T_2777 @[el2_lib.scala 260:30] + node _T_2778 = bits(_T_2691, 28, 28) @[el2_lib.scala 261:36] + _T_2693[16] <= _T_2778 @[el2_lib.scala 261:30] + node _T_2779 = bits(_T_2691, 28, 28) @[el2_lib.scala 265:36] + _T_2697[2] <= _T_2779 @[el2_lib.scala 265:30] + node _T_2780 = bits(_T_2691, 29, 29) @[el2_lib.scala 262:36] + _T_2694[15] <= _T_2780 @[el2_lib.scala 262:30] + node _T_2781 = bits(_T_2691, 29, 29) @[el2_lib.scala 265:36] + _T_2697[3] <= _T_2781 @[el2_lib.scala 265:30] + node _T_2782 = bits(_T_2691, 30, 30) @[el2_lib.scala 260:36] + _T_2692[17] <= _T_2782 @[el2_lib.scala 260:30] + node _T_2783 = bits(_T_2691, 30, 30) @[el2_lib.scala 262:36] + _T_2694[16] <= _T_2783 @[el2_lib.scala 262:30] + node _T_2784 = bits(_T_2691, 30, 30) @[el2_lib.scala 265:36] + _T_2697[4] <= _T_2784 @[el2_lib.scala 265:30] + node _T_2785 = bits(_T_2691, 31, 31) @[el2_lib.scala 261:36] + _T_2693[17] <= _T_2785 @[el2_lib.scala 261:30] + node _T_2786 = bits(_T_2691, 31, 31) @[el2_lib.scala 262:36] + _T_2694[17] <= _T_2786 @[el2_lib.scala 262:30] + node _T_2787 = bits(_T_2691, 31, 31) @[el2_lib.scala 265:36] + _T_2697[5] <= _T_2787 @[el2_lib.scala 265:30] + node _T_2788 = cat(_T_2697[2], _T_2697[1]) @[el2_lib.scala 267:22] + node _T_2789 = cat(_T_2788, _T_2697[0]) @[el2_lib.scala 267:22] + node _T_2790 = cat(_T_2697[5], _T_2697[4]) @[el2_lib.scala 267:22] + node _T_2791 = cat(_T_2790, _T_2697[3]) @[el2_lib.scala 267:22] + node _T_2792 = cat(_T_2791, _T_2789) @[el2_lib.scala 267:22] + node _T_2793 = xorr(_T_2792) @[el2_lib.scala 267:29] + node _T_2794 = cat(_T_2696[2], _T_2696[1]) @[el2_lib.scala 267:39] + node _T_2795 = cat(_T_2794, _T_2696[0]) @[el2_lib.scala 267:39] + node _T_2796 = cat(_T_2696[4], _T_2696[3]) @[el2_lib.scala 267:39] + node _T_2797 = cat(_T_2696[6], _T_2696[5]) @[el2_lib.scala 267:39] + node _T_2798 = cat(_T_2797, _T_2796) @[el2_lib.scala 267:39] + node _T_2799 = cat(_T_2798, _T_2795) @[el2_lib.scala 267:39] + node _T_2800 = cat(_T_2696[8], _T_2696[7]) @[el2_lib.scala 267:39] + node _T_2801 = cat(_T_2696[10], _T_2696[9]) @[el2_lib.scala 267:39] + node _T_2802 = cat(_T_2801, _T_2800) @[el2_lib.scala 267:39] + node _T_2803 = cat(_T_2696[12], _T_2696[11]) @[el2_lib.scala 267:39] + node _T_2804 = cat(_T_2696[14], _T_2696[13]) @[el2_lib.scala 267:39] + node _T_2805 = cat(_T_2804, _T_2803) @[el2_lib.scala 267:39] + node _T_2806 = cat(_T_2805, _T_2802) @[el2_lib.scala 267:39] + node _T_2807 = cat(_T_2806, _T_2799) @[el2_lib.scala 267:39] + node _T_2808 = xorr(_T_2807) @[el2_lib.scala 267:46] + node _T_2809 = cat(_T_2695[2], _T_2695[1]) @[el2_lib.scala 267:56] + node _T_2810 = cat(_T_2809, _T_2695[0]) @[el2_lib.scala 267:56] + node _T_2811 = cat(_T_2695[4], _T_2695[3]) @[el2_lib.scala 267:56] + node _T_2812 = cat(_T_2695[6], _T_2695[5]) @[el2_lib.scala 267:56] + node _T_2813 = cat(_T_2812, _T_2811) @[el2_lib.scala 267:56] + node _T_2814 = cat(_T_2813, _T_2810) @[el2_lib.scala 267:56] + node _T_2815 = cat(_T_2695[8], _T_2695[7]) @[el2_lib.scala 267:56] + node _T_2816 = cat(_T_2695[10], _T_2695[9]) @[el2_lib.scala 267:56] + node _T_2817 = cat(_T_2816, _T_2815) @[el2_lib.scala 267:56] + node _T_2818 = cat(_T_2695[12], _T_2695[11]) @[el2_lib.scala 267:56] + node _T_2819 = cat(_T_2695[14], _T_2695[13]) @[el2_lib.scala 267:56] + node _T_2820 = cat(_T_2819, _T_2818) @[el2_lib.scala 267:56] + node _T_2821 = cat(_T_2820, _T_2817) @[el2_lib.scala 267:56] + node _T_2822 = cat(_T_2821, _T_2814) @[el2_lib.scala 267:56] + node _T_2823 = xorr(_T_2822) @[el2_lib.scala 267:63] + node _T_2824 = cat(_T_2694[1], _T_2694[0]) @[el2_lib.scala 267:73] + node _T_2825 = cat(_T_2694[3], _T_2694[2]) @[el2_lib.scala 267:73] + node _T_2826 = cat(_T_2825, _T_2824) @[el2_lib.scala 267:73] + node _T_2827 = cat(_T_2694[5], _T_2694[4]) @[el2_lib.scala 267:73] + node _T_2828 = cat(_T_2694[8], _T_2694[7]) @[el2_lib.scala 267:73] + node _T_2829 = cat(_T_2828, _T_2694[6]) @[el2_lib.scala 267:73] + node _T_2830 = cat(_T_2829, _T_2827) @[el2_lib.scala 267:73] + node _T_2831 = cat(_T_2830, _T_2826) @[el2_lib.scala 267:73] + node _T_2832 = cat(_T_2694[10], _T_2694[9]) @[el2_lib.scala 267:73] + node _T_2833 = cat(_T_2694[12], _T_2694[11]) @[el2_lib.scala 267:73] + node _T_2834 = cat(_T_2833, _T_2832) @[el2_lib.scala 267:73] + node _T_2835 = cat(_T_2694[14], _T_2694[13]) @[el2_lib.scala 267:73] + node _T_2836 = cat(_T_2694[17], _T_2694[16]) @[el2_lib.scala 267:73] + node _T_2837 = cat(_T_2836, _T_2694[15]) @[el2_lib.scala 267:73] + node _T_2838 = cat(_T_2837, _T_2835) @[el2_lib.scala 267:73] + node _T_2839 = cat(_T_2838, _T_2834) @[el2_lib.scala 267:73] + node _T_2840 = cat(_T_2839, _T_2831) @[el2_lib.scala 267:73] + node _T_2841 = xorr(_T_2840) @[el2_lib.scala 267:80] + node _T_2842 = cat(_T_2693[1], _T_2693[0]) @[el2_lib.scala 267:90] + node _T_2843 = cat(_T_2693[3], _T_2693[2]) @[el2_lib.scala 267:90] + node _T_2844 = cat(_T_2843, _T_2842) @[el2_lib.scala 267:90] + node _T_2845 = cat(_T_2693[5], _T_2693[4]) @[el2_lib.scala 267:90] + node _T_2846 = cat(_T_2693[8], _T_2693[7]) @[el2_lib.scala 267:90] + node _T_2847 = cat(_T_2846, _T_2693[6]) @[el2_lib.scala 267:90] + node _T_2848 = cat(_T_2847, _T_2845) @[el2_lib.scala 267:90] + node _T_2849 = cat(_T_2848, _T_2844) @[el2_lib.scala 267:90] + node _T_2850 = cat(_T_2693[10], _T_2693[9]) @[el2_lib.scala 267:90] + node _T_2851 = cat(_T_2693[12], _T_2693[11]) @[el2_lib.scala 267:90] + node _T_2852 = cat(_T_2851, _T_2850) @[el2_lib.scala 267:90] + node _T_2853 = cat(_T_2693[14], _T_2693[13]) @[el2_lib.scala 267:90] + node _T_2854 = cat(_T_2693[17], _T_2693[16]) @[el2_lib.scala 267:90] + node _T_2855 = cat(_T_2854, _T_2693[15]) @[el2_lib.scala 267:90] + node _T_2856 = cat(_T_2855, _T_2853) @[el2_lib.scala 267:90] + node _T_2857 = cat(_T_2856, _T_2852) @[el2_lib.scala 267:90] + node _T_2858 = cat(_T_2857, _T_2849) @[el2_lib.scala 267:90] + node _T_2859 = xorr(_T_2858) @[el2_lib.scala 267:97] + node _T_2860 = cat(_T_2692[1], _T_2692[0]) @[el2_lib.scala 267:107] + node _T_2861 = cat(_T_2692[3], _T_2692[2]) @[el2_lib.scala 267:107] + node _T_2862 = cat(_T_2861, _T_2860) @[el2_lib.scala 267:107] + node _T_2863 = cat(_T_2692[5], _T_2692[4]) @[el2_lib.scala 267:107] + node _T_2864 = cat(_T_2692[8], _T_2692[7]) @[el2_lib.scala 267:107] + node _T_2865 = cat(_T_2864, _T_2692[6]) @[el2_lib.scala 267:107] + node _T_2866 = cat(_T_2865, _T_2863) @[el2_lib.scala 267:107] + node _T_2867 = cat(_T_2866, _T_2862) @[el2_lib.scala 267:107] + node _T_2868 = cat(_T_2692[10], _T_2692[9]) @[el2_lib.scala 267:107] + node _T_2869 = cat(_T_2692[12], _T_2692[11]) @[el2_lib.scala 267:107] + node _T_2870 = cat(_T_2869, _T_2868) @[el2_lib.scala 267:107] + node _T_2871 = cat(_T_2692[14], _T_2692[13]) @[el2_lib.scala 267:107] + node _T_2872 = cat(_T_2692[17], _T_2692[16]) @[el2_lib.scala 267:107] + node _T_2873 = cat(_T_2872, _T_2692[15]) @[el2_lib.scala 267:107] + node _T_2874 = cat(_T_2873, _T_2871) @[el2_lib.scala 267:107] + node _T_2875 = cat(_T_2874, _T_2870) @[el2_lib.scala 267:107] + node _T_2876 = cat(_T_2875, _T_2867) @[el2_lib.scala 267:107] + node _T_2877 = xorr(_T_2876) @[el2_lib.scala 267:114] + node _T_2878 = cat(_T_2841, _T_2859) @[Cat.scala 29:58] node _T_2879 = cat(_T_2878, _T_2877) @[Cat.scala 29:58] - node _T_2880 = cat(_T_2805, _T_2823) @[Cat.scala 29:58] - node _T_2881 = cat(_T_2880, _T_2841) @[Cat.scala 29:58] + node _T_2880 = cat(_T_2793, _T_2808) @[Cat.scala 29:58] + node _T_2881 = cat(_T_2880, _T_2823) @[Cat.scala 29:58] node _T_2882 = cat(_T_2881, _T_2879) @[Cat.scala 29:58] - node _T_2883 = xorr(_T_2691) @[el2_lib.scala 269:13] - node _T_2884 = xorr(_T_2882) @[el2_lib.scala 269:23] - node _T_2885 = xor(_T_2883, _T_2884) @[el2_lib.scala 269:18] + node _T_2883 = xorr(_T_2691) @[el2_lib.scala 268:13] + node _T_2884 = xorr(_T_2882) @[el2_lib.scala 268:23] + node _T_2885 = xor(_T_2883, _T_2884) @[el2_lib.scala 268:18] node _T_2886 = cat(_T_2885, _T_2882) @[Cat.scala 29:58] - node _T_2887 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 640:93] + node _T_2887 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 635:93] wire _T_2888 : UInt<1>[18] @[el2_lib.scala 250:18] wire _T_2889 : UInt<1>[18] @[el2_lib.scala 251:18] wire _T_2890 : UInt<1>[18] @[el2_lib.scala 252:18] wire _T_2891 : UInt<1>[15] @[el2_lib.scala 253:18] wire _T_2892 : UInt<1>[15] @[el2_lib.scala 254:18] wire _T_2893 : UInt<1>[6] @[el2_lib.scala 255:18] - node _T_2894 = bits(_T_2887, 0, 0) @[el2_lib.scala 262:36] - _T_2889[0] <= _T_2894 @[el2_lib.scala 262:30] - node _T_2895 = bits(_T_2887, 0, 0) @[el2_lib.scala 263:36] - _T_2890[0] <= _T_2895 @[el2_lib.scala 263:30] - node _T_2896 = bits(_T_2887, 0, 0) @[el2_lib.scala 266:36] - _T_2893[0] <= _T_2896 @[el2_lib.scala 266:30] - node _T_2897 = bits(_T_2887, 1, 1) @[el2_lib.scala 261:36] - _T_2888[0] <= _T_2897 @[el2_lib.scala 261:30] - node _T_2898 = bits(_T_2887, 1, 1) @[el2_lib.scala 263:36] - _T_2890[1] <= _T_2898 @[el2_lib.scala 263:30] - node _T_2899 = bits(_T_2887, 1, 1) @[el2_lib.scala 266:36] - _T_2893[1] <= _T_2899 @[el2_lib.scala 266:30] - node _T_2900 = bits(_T_2887, 2, 2) @[el2_lib.scala 263:36] - _T_2890[2] <= _T_2900 @[el2_lib.scala 263:30] - node _T_2901 = bits(_T_2887, 2, 2) @[el2_lib.scala 266:36] - _T_2893[2] <= _T_2901 @[el2_lib.scala 266:30] - node _T_2902 = bits(_T_2887, 3, 3) @[el2_lib.scala 261:36] - _T_2888[1] <= _T_2902 @[el2_lib.scala 261:30] - node _T_2903 = bits(_T_2887, 3, 3) @[el2_lib.scala 262:36] - _T_2889[1] <= _T_2903 @[el2_lib.scala 262:30] - node _T_2904 = bits(_T_2887, 3, 3) @[el2_lib.scala 266:36] - _T_2893[3] <= _T_2904 @[el2_lib.scala 266:30] - node _T_2905 = bits(_T_2887, 4, 4) @[el2_lib.scala 262:36] - _T_2889[2] <= _T_2905 @[el2_lib.scala 262:30] - node _T_2906 = bits(_T_2887, 4, 4) @[el2_lib.scala 266:36] - _T_2893[4] <= _T_2906 @[el2_lib.scala 266:30] - node _T_2907 = bits(_T_2887, 5, 5) @[el2_lib.scala 261:36] - _T_2888[2] <= _T_2907 @[el2_lib.scala 261:30] - node _T_2908 = bits(_T_2887, 5, 5) @[el2_lib.scala 266:36] - _T_2893[5] <= _T_2908 @[el2_lib.scala 266:30] - node _T_2909 = bits(_T_2887, 6, 6) @[el2_lib.scala 261:36] - _T_2888[3] <= _T_2909 @[el2_lib.scala 261:30] - node _T_2910 = bits(_T_2887, 6, 6) @[el2_lib.scala 262:36] - _T_2889[3] <= _T_2910 @[el2_lib.scala 262:30] - node _T_2911 = bits(_T_2887, 6, 6) @[el2_lib.scala 263:36] - _T_2890[3] <= _T_2911 @[el2_lib.scala 263:30] - node _T_2912 = bits(_T_2887, 6, 6) @[el2_lib.scala 264:36] - _T_2891[0] <= _T_2912 @[el2_lib.scala 264:30] - node _T_2913 = bits(_T_2887, 6, 6) @[el2_lib.scala 265:36] - _T_2892[0] <= _T_2913 @[el2_lib.scala 265:30] - node _T_2914 = bits(_T_2887, 7, 7) @[el2_lib.scala 262:36] - _T_2889[4] <= _T_2914 @[el2_lib.scala 262:30] - node _T_2915 = bits(_T_2887, 7, 7) @[el2_lib.scala 263:36] - _T_2890[4] <= _T_2915 @[el2_lib.scala 263:30] - node _T_2916 = bits(_T_2887, 7, 7) @[el2_lib.scala 264:36] - _T_2891[1] <= _T_2916 @[el2_lib.scala 264:30] - node _T_2917 = bits(_T_2887, 7, 7) @[el2_lib.scala 265:36] - _T_2892[1] <= _T_2917 @[el2_lib.scala 265:30] - node _T_2918 = bits(_T_2887, 8, 8) @[el2_lib.scala 261:36] - _T_2888[4] <= _T_2918 @[el2_lib.scala 261:30] - node _T_2919 = bits(_T_2887, 8, 8) @[el2_lib.scala 263:36] - _T_2890[5] <= _T_2919 @[el2_lib.scala 263:30] - node _T_2920 = bits(_T_2887, 8, 8) @[el2_lib.scala 264:36] - _T_2891[2] <= _T_2920 @[el2_lib.scala 264:30] - node _T_2921 = bits(_T_2887, 8, 8) @[el2_lib.scala 265:36] - _T_2892[2] <= _T_2921 @[el2_lib.scala 265:30] - node _T_2922 = bits(_T_2887, 9, 9) @[el2_lib.scala 263:36] - _T_2890[6] <= _T_2922 @[el2_lib.scala 263:30] - node _T_2923 = bits(_T_2887, 9, 9) @[el2_lib.scala 264:36] - _T_2891[3] <= _T_2923 @[el2_lib.scala 264:30] - node _T_2924 = bits(_T_2887, 9, 9) @[el2_lib.scala 265:36] - _T_2892[3] <= _T_2924 @[el2_lib.scala 265:30] - node _T_2925 = bits(_T_2887, 10, 10) @[el2_lib.scala 261:36] - _T_2888[5] <= _T_2925 @[el2_lib.scala 261:30] - node _T_2926 = bits(_T_2887, 10, 10) @[el2_lib.scala 262:36] - _T_2889[5] <= _T_2926 @[el2_lib.scala 262:30] - node _T_2927 = bits(_T_2887, 10, 10) @[el2_lib.scala 264:36] - _T_2891[4] <= _T_2927 @[el2_lib.scala 264:30] - node _T_2928 = bits(_T_2887, 10, 10) @[el2_lib.scala 265:36] - _T_2892[4] <= _T_2928 @[el2_lib.scala 265:30] - node _T_2929 = bits(_T_2887, 11, 11) @[el2_lib.scala 262:36] - _T_2889[6] <= _T_2929 @[el2_lib.scala 262:30] - node _T_2930 = bits(_T_2887, 11, 11) @[el2_lib.scala 264:36] - _T_2891[5] <= _T_2930 @[el2_lib.scala 264:30] - node _T_2931 = bits(_T_2887, 11, 11) @[el2_lib.scala 265:36] - _T_2892[5] <= _T_2931 @[el2_lib.scala 265:30] - node _T_2932 = bits(_T_2887, 12, 12) @[el2_lib.scala 261:36] - _T_2888[6] <= _T_2932 @[el2_lib.scala 261:30] - node _T_2933 = bits(_T_2887, 12, 12) @[el2_lib.scala 264:36] - _T_2891[6] <= _T_2933 @[el2_lib.scala 264:30] - node _T_2934 = bits(_T_2887, 12, 12) @[el2_lib.scala 265:36] - _T_2892[6] <= _T_2934 @[el2_lib.scala 265:30] - node _T_2935 = bits(_T_2887, 13, 13) @[el2_lib.scala 264:36] - _T_2891[7] <= _T_2935 @[el2_lib.scala 264:30] - node _T_2936 = bits(_T_2887, 13, 13) @[el2_lib.scala 265:36] - _T_2892[7] <= _T_2936 @[el2_lib.scala 265:30] - node _T_2937 = bits(_T_2887, 14, 14) @[el2_lib.scala 261:36] - _T_2888[7] <= _T_2937 @[el2_lib.scala 261:30] - node _T_2938 = bits(_T_2887, 14, 14) @[el2_lib.scala 262:36] - _T_2889[7] <= _T_2938 @[el2_lib.scala 262:30] - node _T_2939 = bits(_T_2887, 14, 14) @[el2_lib.scala 263:36] - _T_2890[7] <= _T_2939 @[el2_lib.scala 263:30] - node _T_2940 = bits(_T_2887, 14, 14) @[el2_lib.scala 265:36] - _T_2892[8] <= _T_2940 @[el2_lib.scala 265:30] - node _T_2941 = bits(_T_2887, 15, 15) @[el2_lib.scala 262:36] - _T_2889[8] <= _T_2941 @[el2_lib.scala 262:30] - node _T_2942 = bits(_T_2887, 15, 15) @[el2_lib.scala 263:36] - _T_2890[8] <= _T_2942 @[el2_lib.scala 263:30] - node _T_2943 = bits(_T_2887, 15, 15) @[el2_lib.scala 265:36] - _T_2892[9] <= _T_2943 @[el2_lib.scala 265:30] - node _T_2944 = bits(_T_2887, 16, 16) @[el2_lib.scala 261:36] - _T_2888[8] <= _T_2944 @[el2_lib.scala 261:30] - node _T_2945 = bits(_T_2887, 16, 16) @[el2_lib.scala 263:36] - _T_2890[9] <= _T_2945 @[el2_lib.scala 263:30] - node _T_2946 = bits(_T_2887, 16, 16) @[el2_lib.scala 265:36] - _T_2892[10] <= _T_2946 @[el2_lib.scala 265:30] - node _T_2947 = bits(_T_2887, 17, 17) @[el2_lib.scala 263:36] - _T_2890[10] <= _T_2947 @[el2_lib.scala 263:30] - node _T_2948 = bits(_T_2887, 17, 17) @[el2_lib.scala 265:36] - _T_2892[11] <= _T_2948 @[el2_lib.scala 265:30] - node _T_2949 = bits(_T_2887, 18, 18) @[el2_lib.scala 261:36] - _T_2888[9] <= _T_2949 @[el2_lib.scala 261:30] - node _T_2950 = bits(_T_2887, 18, 18) @[el2_lib.scala 262:36] - _T_2889[9] <= _T_2950 @[el2_lib.scala 262:30] - node _T_2951 = bits(_T_2887, 18, 18) @[el2_lib.scala 265:36] - _T_2892[12] <= _T_2951 @[el2_lib.scala 265:30] - node _T_2952 = bits(_T_2887, 19, 19) @[el2_lib.scala 262:36] - _T_2889[10] <= _T_2952 @[el2_lib.scala 262:30] - node _T_2953 = bits(_T_2887, 19, 19) @[el2_lib.scala 265:36] - _T_2892[13] <= _T_2953 @[el2_lib.scala 265:30] - node _T_2954 = bits(_T_2887, 20, 20) @[el2_lib.scala 261:36] - _T_2888[10] <= _T_2954 @[el2_lib.scala 261:30] - node _T_2955 = bits(_T_2887, 20, 20) @[el2_lib.scala 265:36] - _T_2892[14] <= _T_2955 @[el2_lib.scala 265:30] - node _T_2956 = bits(_T_2887, 21, 21) @[el2_lib.scala 261:36] - _T_2888[11] <= _T_2956 @[el2_lib.scala 261:30] - node _T_2957 = bits(_T_2887, 21, 21) @[el2_lib.scala 262:36] - _T_2889[11] <= _T_2957 @[el2_lib.scala 262:30] - node _T_2958 = bits(_T_2887, 21, 21) @[el2_lib.scala 263:36] - _T_2890[11] <= _T_2958 @[el2_lib.scala 263:30] - node _T_2959 = bits(_T_2887, 21, 21) @[el2_lib.scala 264:36] - _T_2891[8] <= _T_2959 @[el2_lib.scala 264:30] - node _T_2960 = bits(_T_2887, 22, 22) @[el2_lib.scala 262:36] - _T_2889[12] <= _T_2960 @[el2_lib.scala 262:30] - node _T_2961 = bits(_T_2887, 22, 22) @[el2_lib.scala 263:36] - _T_2890[12] <= _T_2961 @[el2_lib.scala 263:30] - node _T_2962 = bits(_T_2887, 22, 22) @[el2_lib.scala 264:36] - _T_2891[9] <= _T_2962 @[el2_lib.scala 264:30] - node _T_2963 = bits(_T_2887, 23, 23) @[el2_lib.scala 261:36] - _T_2888[12] <= _T_2963 @[el2_lib.scala 261:30] - node _T_2964 = bits(_T_2887, 23, 23) @[el2_lib.scala 263:36] - _T_2890[13] <= _T_2964 @[el2_lib.scala 263:30] - node _T_2965 = bits(_T_2887, 23, 23) @[el2_lib.scala 264:36] - _T_2891[10] <= _T_2965 @[el2_lib.scala 264:30] - node _T_2966 = bits(_T_2887, 24, 24) @[el2_lib.scala 263:36] - _T_2890[14] <= _T_2966 @[el2_lib.scala 263:30] - node _T_2967 = bits(_T_2887, 24, 24) @[el2_lib.scala 264:36] - _T_2891[11] <= _T_2967 @[el2_lib.scala 264:30] - node _T_2968 = bits(_T_2887, 25, 25) @[el2_lib.scala 261:36] - _T_2888[13] <= _T_2968 @[el2_lib.scala 261:30] - node _T_2969 = bits(_T_2887, 25, 25) @[el2_lib.scala 262:36] - _T_2889[13] <= _T_2969 @[el2_lib.scala 262:30] - node _T_2970 = bits(_T_2887, 25, 25) @[el2_lib.scala 264:36] - _T_2891[12] <= _T_2970 @[el2_lib.scala 264:30] - node _T_2971 = bits(_T_2887, 26, 26) @[el2_lib.scala 262:36] - _T_2889[14] <= _T_2971 @[el2_lib.scala 262:30] - node _T_2972 = bits(_T_2887, 26, 26) @[el2_lib.scala 264:36] - _T_2891[13] <= _T_2972 @[el2_lib.scala 264:30] - node _T_2973 = bits(_T_2887, 27, 27) @[el2_lib.scala 261:36] - _T_2888[14] <= _T_2973 @[el2_lib.scala 261:30] - node _T_2974 = bits(_T_2887, 27, 27) @[el2_lib.scala 264:36] - _T_2891[14] <= _T_2974 @[el2_lib.scala 264:30] - node _T_2975 = bits(_T_2887, 28, 28) @[el2_lib.scala 261:36] - _T_2888[15] <= _T_2975 @[el2_lib.scala 261:30] - node _T_2976 = bits(_T_2887, 28, 28) @[el2_lib.scala 262:36] - _T_2889[15] <= _T_2976 @[el2_lib.scala 262:30] - node _T_2977 = bits(_T_2887, 28, 28) @[el2_lib.scala 263:36] - _T_2890[15] <= _T_2977 @[el2_lib.scala 263:30] - node _T_2978 = bits(_T_2887, 29, 29) @[el2_lib.scala 262:36] - _T_2889[16] <= _T_2978 @[el2_lib.scala 262:30] - node _T_2979 = bits(_T_2887, 29, 29) @[el2_lib.scala 263:36] - _T_2890[16] <= _T_2979 @[el2_lib.scala 263:30] - node _T_2980 = bits(_T_2887, 30, 30) @[el2_lib.scala 261:36] - _T_2888[16] <= _T_2980 @[el2_lib.scala 261:30] - node _T_2981 = bits(_T_2887, 30, 30) @[el2_lib.scala 263:36] - _T_2890[17] <= _T_2981 @[el2_lib.scala 263:30] - node _T_2982 = bits(_T_2887, 31, 31) @[el2_lib.scala 261:36] - _T_2888[17] <= _T_2982 @[el2_lib.scala 261:30] - node _T_2983 = bits(_T_2887, 31, 31) @[el2_lib.scala 262:36] - _T_2889[17] <= _T_2983 @[el2_lib.scala 262:30] - node _T_2984 = cat(_T_2888[1], _T_2888[0]) @[el2_lib.scala 268:22] - node _T_2985 = cat(_T_2888[3], _T_2888[2]) @[el2_lib.scala 268:22] - node _T_2986 = cat(_T_2985, _T_2984) @[el2_lib.scala 268:22] - node _T_2987 = cat(_T_2888[5], _T_2888[4]) @[el2_lib.scala 268:22] - node _T_2988 = cat(_T_2888[8], _T_2888[7]) @[el2_lib.scala 268:22] - node _T_2989 = cat(_T_2988, _T_2888[6]) @[el2_lib.scala 268:22] - node _T_2990 = cat(_T_2989, _T_2987) @[el2_lib.scala 268:22] - node _T_2991 = cat(_T_2990, _T_2986) @[el2_lib.scala 268:22] - node _T_2992 = cat(_T_2888[10], _T_2888[9]) @[el2_lib.scala 268:22] - node _T_2993 = cat(_T_2888[12], _T_2888[11]) @[el2_lib.scala 268:22] - node _T_2994 = cat(_T_2993, _T_2992) @[el2_lib.scala 268:22] - node _T_2995 = cat(_T_2888[14], _T_2888[13]) @[el2_lib.scala 268:22] - node _T_2996 = cat(_T_2888[17], _T_2888[16]) @[el2_lib.scala 268:22] - node _T_2997 = cat(_T_2996, _T_2888[15]) @[el2_lib.scala 268:22] - node _T_2998 = cat(_T_2997, _T_2995) @[el2_lib.scala 268:22] - node _T_2999 = cat(_T_2998, _T_2994) @[el2_lib.scala 268:22] - node _T_3000 = cat(_T_2999, _T_2991) @[el2_lib.scala 268:22] - node _T_3001 = xorr(_T_3000) @[el2_lib.scala 268:29] - node _T_3002 = cat(_T_2889[1], _T_2889[0]) @[el2_lib.scala 268:39] - node _T_3003 = cat(_T_2889[3], _T_2889[2]) @[el2_lib.scala 268:39] - node _T_3004 = cat(_T_3003, _T_3002) @[el2_lib.scala 268:39] - node _T_3005 = cat(_T_2889[5], _T_2889[4]) @[el2_lib.scala 268:39] - node _T_3006 = cat(_T_2889[8], _T_2889[7]) @[el2_lib.scala 268:39] - node _T_3007 = cat(_T_3006, _T_2889[6]) @[el2_lib.scala 268:39] - node _T_3008 = cat(_T_3007, _T_3005) @[el2_lib.scala 268:39] - node _T_3009 = cat(_T_3008, _T_3004) @[el2_lib.scala 268:39] - node _T_3010 = cat(_T_2889[10], _T_2889[9]) @[el2_lib.scala 268:39] - node _T_3011 = cat(_T_2889[12], _T_2889[11]) @[el2_lib.scala 268:39] - node _T_3012 = cat(_T_3011, _T_3010) @[el2_lib.scala 268:39] - node _T_3013 = cat(_T_2889[14], _T_2889[13]) @[el2_lib.scala 268:39] - node _T_3014 = cat(_T_2889[17], _T_2889[16]) @[el2_lib.scala 268:39] - node _T_3015 = cat(_T_3014, _T_2889[15]) @[el2_lib.scala 268:39] - node _T_3016 = cat(_T_3015, _T_3013) @[el2_lib.scala 268:39] - node _T_3017 = cat(_T_3016, _T_3012) @[el2_lib.scala 268:39] - node _T_3018 = cat(_T_3017, _T_3009) @[el2_lib.scala 268:39] - node _T_3019 = xorr(_T_3018) @[el2_lib.scala 268:46] - node _T_3020 = cat(_T_2890[1], _T_2890[0]) @[el2_lib.scala 268:56] - node _T_3021 = cat(_T_2890[3], _T_2890[2]) @[el2_lib.scala 268:56] - node _T_3022 = cat(_T_3021, _T_3020) @[el2_lib.scala 268:56] - node _T_3023 = cat(_T_2890[5], _T_2890[4]) @[el2_lib.scala 268:56] - node _T_3024 = cat(_T_2890[8], _T_2890[7]) @[el2_lib.scala 268:56] - node _T_3025 = cat(_T_3024, _T_2890[6]) @[el2_lib.scala 268:56] - node _T_3026 = cat(_T_3025, _T_3023) @[el2_lib.scala 268:56] - node _T_3027 = cat(_T_3026, _T_3022) @[el2_lib.scala 268:56] - node _T_3028 = cat(_T_2890[10], _T_2890[9]) @[el2_lib.scala 268:56] - node _T_3029 = cat(_T_2890[12], _T_2890[11]) @[el2_lib.scala 268:56] - node _T_3030 = cat(_T_3029, _T_3028) @[el2_lib.scala 268:56] - node _T_3031 = cat(_T_2890[14], _T_2890[13]) @[el2_lib.scala 268:56] - node _T_3032 = cat(_T_2890[17], _T_2890[16]) @[el2_lib.scala 268:56] - node _T_3033 = cat(_T_3032, _T_2890[15]) @[el2_lib.scala 268:56] - node _T_3034 = cat(_T_3033, _T_3031) @[el2_lib.scala 268:56] - node _T_3035 = cat(_T_3034, _T_3030) @[el2_lib.scala 268:56] - node _T_3036 = cat(_T_3035, _T_3027) @[el2_lib.scala 268:56] - node _T_3037 = xorr(_T_3036) @[el2_lib.scala 268:63] - node _T_3038 = cat(_T_2891[2], _T_2891[1]) @[el2_lib.scala 268:73] - node _T_3039 = cat(_T_3038, _T_2891[0]) @[el2_lib.scala 268:73] - node _T_3040 = cat(_T_2891[4], _T_2891[3]) @[el2_lib.scala 268:73] - node _T_3041 = cat(_T_2891[6], _T_2891[5]) @[el2_lib.scala 268:73] - node _T_3042 = cat(_T_3041, _T_3040) @[el2_lib.scala 268:73] - node _T_3043 = cat(_T_3042, _T_3039) @[el2_lib.scala 268:73] - node _T_3044 = cat(_T_2891[8], _T_2891[7]) @[el2_lib.scala 268:73] - node _T_3045 = cat(_T_2891[10], _T_2891[9]) @[el2_lib.scala 268:73] - node _T_3046 = cat(_T_3045, _T_3044) @[el2_lib.scala 268:73] - node _T_3047 = cat(_T_2891[12], _T_2891[11]) @[el2_lib.scala 268:73] - node _T_3048 = cat(_T_2891[14], _T_2891[13]) @[el2_lib.scala 268:73] - node _T_3049 = cat(_T_3048, _T_3047) @[el2_lib.scala 268:73] - node _T_3050 = cat(_T_3049, _T_3046) @[el2_lib.scala 268:73] - node _T_3051 = cat(_T_3050, _T_3043) @[el2_lib.scala 268:73] - node _T_3052 = xorr(_T_3051) @[el2_lib.scala 268:80] - node _T_3053 = cat(_T_2892[2], _T_2892[1]) @[el2_lib.scala 268:90] - node _T_3054 = cat(_T_3053, _T_2892[0]) @[el2_lib.scala 268:90] - node _T_3055 = cat(_T_2892[4], _T_2892[3]) @[el2_lib.scala 268:90] - node _T_3056 = cat(_T_2892[6], _T_2892[5]) @[el2_lib.scala 268:90] - node _T_3057 = cat(_T_3056, _T_3055) @[el2_lib.scala 268:90] - node _T_3058 = cat(_T_3057, _T_3054) @[el2_lib.scala 268:90] - node _T_3059 = cat(_T_2892[8], _T_2892[7]) @[el2_lib.scala 268:90] - node _T_3060 = cat(_T_2892[10], _T_2892[9]) @[el2_lib.scala 268:90] - node _T_3061 = cat(_T_3060, _T_3059) @[el2_lib.scala 268:90] - node _T_3062 = cat(_T_2892[12], _T_2892[11]) @[el2_lib.scala 268:90] - node _T_3063 = cat(_T_2892[14], _T_2892[13]) @[el2_lib.scala 268:90] - node _T_3064 = cat(_T_3063, _T_3062) @[el2_lib.scala 268:90] - node _T_3065 = cat(_T_3064, _T_3061) @[el2_lib.scala 268:90] - node _T_3066 = cat(_T_3065, _T_3058) @[el2_lib.scala 268:90] - node _T_3067 = xorr(_T_3066) @[el2_lib.scala 268:97] - node _T_3068 = cat(_T_2893[2], _T_2893[1]) @[el2_lib.scala 268:107] - node _T_3069 = cat(_T_3068, _T_2893[0]) @[el2_lib.scala 268:107] - node _T_3070 = cat(_T_2893[5], _T_2893[4]) @[el2_lib.scala 268:107] - node _T_3071 = cat(_T_3070, _T_2893[3]) @[el2_lib.scala 268:107] - node _T_3072 = cat(_T_3071, _T_3069) @[el2_lib.scala 268:107] - node _T_3073 = xorr(_T_3072) @[el2_lib.scala 268:114] - node _T_3074 = cat(_T_3052, _T_3067) @[Cat.scala 29:58] + node _T_2894 = bits(_T_2887, 0, 0) @[el2_lib.scala 260:36] + _T_2888[0] <= _T_2894 @[el2_lib.scala 260:30] + node _T_2895 = bits(_T_2887, 0, 0) @[el2_lib.scala 261:36] + _T_2889[0] <= _T_2895 @[el2_lib.scala 261:30] + node _T_2896 = bits(_T_2887, 1, 1) @[el2_lib.scala 260:36] + _T_2888[1] <= _T_2896 @[el2_lib.scala 260:30] + node _T_2897 = bits(_T_2887, 1, 1) @[el2_lib.scala 262:36] + _T_2890[0] <= _T_2897 @[el2_lib.scala 262:30] + node _T_2898 = bits(_T_2887, 2, 2) @[el2_lib.scala 261:36] + _T_2889[1] <= _T_2898 @[el2_lib.scala 261:30] + node _T_2899 = bits(_T_2887, 2, 2) @[el2_lib.scala 262:36] + _T_2890[1] <= _T_2899 @[el2_lib.scala 262:30] + node _T_2900 = bits(_T_2887, 3, 3) @[el2_lib.scala 260:36] + _T_2888[2] <= _T_2900 @[el2_lib.scala 260:30] + node _T_2901 = bits(_T_2887, 3, 3) @[el2_lib.scala 261:36] + _T_2889[2] <= _T_2901 @[el2_lib.scala 261:30] + node _T_2902 = bits(_T_2887, 3, 3) @[el2_lib.scala 262:36] + _T_2890[2] <= _T_2902 @[el2_lib.scala 262:30] + node _T_2903 = bits(_T_2887, 4, 4) @[el2_lib.scala 260:36] + _T_2888[3] <= _T_2903 @[el2_lib.scala 260:30] + node _T_2904 = bits(_T_2887, 4, 4) @[el2_lib.scala 263:36] + _T_2891[0] <= _T_2904 @[el2_lib.scala 263:30] + node _T_2905 = bits(_T_2887, 5, 5) @[el2_lib.scala 261:36] + _T_2889[3] <= _T_2905 @[el2_lib.scala 261:30] + node _T_2906 = bits(_T_2887, 5, 5) @[el2_lib.scala 263:36] + _T_2891[1] <= _T_2906 @[el2_lib.scala 263:30] + node _T_2907 = bits(_T_2887, 6, 6) @[el2_lib.scala 260:36] + _T_2888[4] <= _T_2907 @[el2_lib.scala 260:30] + node _T_2908 = bits(_T_2887, 6, 6) @[el2_lib.scala 261:36] + _T_2889[4] <= _T_2908 @[el2_lib.scala 261:30] + node _T_2909 = bits(_T_2887, 6, 6) @[el2_lib.scala 263:36] + _T_2891[2] <= _T_2909 @[el2_lib.scala 263:30] + node _T_2910 = bits(_T_2887, 7, 7) @[el2_lib.scala 262:36] + _T_2890[3] <= _T_2910 @[el2_lib.scala 262:30] + node _T_2911 = bits(_T_2887, 7, 7) @[el2_lib.scala 263:36] + _T_2891[3] <= _T_2911 @[el2_lib.scala 263:30] + node _T_2912 = bits(_T_2887, 8, 8) @[el2_lib.scala 260:36] + _T_2888[5] <= _T_2912 @[el2_lib.scala 260:30] + node _T_2913 = bits(_T_2887, 8, 8) @[el2_lib.scala 262:36] + _T_2890[4] <= _T_2913 @[el2_lib.scala 262:30] + node _T_2914 = bits(_T_2887, 8, 8) @[el2_lib.scala 263:36] + _T_2891[4] <= _T_2914 @[el2_lib.scala 263:30] + node _T_2915 = bits(_T_2887, 9, 9) @[el2_lib.scala 261:36] + _T_2889[5] <= _T_2915 @[el2_lib.scala 261:30] + node _T_2916 = bits(_T_2887, 9, 9) @[el2_lib.scala 262:36] + _T_2890[5] <= _T_2916 @[el2_lib.scala 262:30] + node _T_2917 = bits(_T_2887, 9, 9) @[el2_lib.scala 263:36] + _T_2891[5] <= _T_2917 @[el2_lib.scala 263:30] + node _T_2918 = bits(_T_2887, 10, 10) @[el2_lib.scala 260:36] + _T_2888[6] <= _T_2918 @[el2_lib.scala 260:30] + node _T_2919 = bits(_T_2887, 10, 10) @[el2_lib.scala 261:36] + _T_2889[6] <= _T_2919 @[el2_lib.scala 261:30] + node _T_2920 = bits(_T_2887, 10, 10) @[el2_lib.scala 262:36] + _T_2890[6] <= _T_2920 @[el2_lib.scala 262:30] + node _T_2921 = bits(_T_2887, 10, 10) @[el2_lib.scala 263:36] + _T_2891[6] <= _T_2921 @[el2_lib.scala 263:30] + node _T_2922 = bits(_T_2887, 11, 11) @[el2_lib.scala 260:36] + _T_2888[7] <= _T_2922 @[el2_lib.scala 260:30] + node _T_2923 = bits(_T_2887, 11, 11) @[el2_lib.scala 264:36] + _T_2892[0] <= _T_2923 @[el2_lib.scala 264:30] + node _T_2924 = bits(_T_2887, 12, 12) @[el2_lib.scala 261:36] + _T_2889[7] <= _T_2924 @[el2_lib.scala 261:30] + node _T_2925 = bits(_T_2887, 12, 12) @[el2_lib.scala 264:36] + _T_2892[1] <= _T_2925 @[el2_lib.scala 264:30] + node _T_2926 = bits(_T_2887, 13, 13) @[el2_lib.scala 260:36] + _T_2888[8] <= _T_2926 @[el2_lib.scala 260:30] + node _T_2927 = bits(_T_2887, 13, 13) @[el2_lib.scala 261:36] + _T_2889[8] <= _T_2927 @[el2_lib.scala 261:30] + node _T_2928 = bits(_T_2887, 13, 13) @[el2_lib.scala 264:36] + _T_2892[2] <= _T_2928 @[el2_lib.scala 264:30] + node _T_2929 = bits(_T_2887, 14, 14) @[el2_lib.scala 262:36] + _T_2890[7] <= _T_2929 @[el2_lib.scala 262:30] + node _T_2930 = bits(_T_2887, 14, 14) @[el2_lib.scala 264:36] + _T_2892[3] <= _T_2930 @[el2_lib.scala 264:30] + node _T_2931 = bits(_T_2887, 15, 15) @[el2_lib.scala 260:36] + _T_2888[9] <= _T_2931 @[el2_lib.scala 260:30] + node _T_2932 = bits(_T_2887, 15, 15) @[el2_lib.scala 262:36] + _T_2890[8] <= _T_2932 @[el2_lib.scala 262:30] + node _T_2933 = bits(_T_2887, 15, 15) @[el2_lib.scala 264:36] + _T_2892[4] <= _T_2933 @[el2_lib.scala 264:30] + node _T_2934 = bits(_T_2887, 16, 16) @[el2_lib.scala 261:36] + _T_2889[9] <= _T_2934 @[el2_lib.scala 261:30] + node _T_2935 = bits(_T_2887, 16, 16) @[el2_lib.scala 262:36] + _T_2890[9] <= _T_2935 @[el2_lib.scala 262:30] + node _T_2936 = bits(_T_2887, 16, 16) @[el2_lib.scala 264:36] + _T_2892[5] <= _T_2936 @[el2_lib.scala 264:30] + node _T_2937 = bits(_T_2887, 17, 17) @[el2_lib.scala 260:36] + _T_2888[10] <= _T_2937 @[el2_lib.scala 260:30] + node _T_2938 = bits(_T_2887, 17, 17) @[el2_lib.scala 261:36] + _T_2889[10] <= _T_2938 @[el2_lib.scala 261:30] + node _T_2939 = bits(_T_2887, 17, 17) @[el2_lib.scala 262:36] + _T_2890[10] <= _T_2939 @[el2_lib.scala 262:30] + node _T_2940 = bits(_T_2887, 17, 17) @[el2_lib.scala 264:36] + _T_2892[6] <= _T_2940 @[el2_lib.scala 264:30] + node _T_2941 = bits(_T_2887, 18, 18) @[el2_lib.scala 263:36] + _T_2891[7] <= _T_2941 @[el2_lib.scala 263:30] + node _T_2942 = bits(_T_2887, 18, 18) @[el2_lib.scala 264:36] + _T_2892[7] <= _T_2942 @[el2_lib.scala 264:30] + node _T_2943 = bits(_T_2887, 19, 19) @[el2_lib.scala 260:36] + _T_2888[11] <= _T_2943 @[el2_lib.scala 260:30] + node _T_2944 = bits(_T_2887, 19, 19) @[el2_lib.scala 263:36] + _T_2891[8] <= _T_2944 @[el2_lib.scala 263:30] + node _T_2945 = bits(_T_2887, 19, 19) @[el2_lib.scala 264:36] + _T_2892[8] <= _T_2945 @[el2_lib.scala 264:30] + node _T_2946 = bits(_T_2887, 20, 20) @[el2_lib.scala 261:36] + _T_2889[11] <= _T_2946 @[el2_lib.scala 261:30] + node _T_2947 = bits(_T_2887, 20, 20) @[el2_lib.scala 263:36] + _T_2891[9] <= _T_2947 @[el2_lib.scala 263:30] + node _T_2948 = bits(_T_2887, 20, 20) @[el2_lib.scala 264:36] + _T_2892[9] <= _T_2948 @[el2_lib.scala 264:30] + node _T_2949 = bits(_T_2887, 21, 21) @[el2_lib.scala 260:36] + _T_2888[12] <= _T_2949 @[el2_lib.scala 260:30] + node _T_2950 = bits(_T_2887, 21, 21) @[el2_lib.scala 261:36] + _T_2889[12] <= _T_2950 @[el2_lib.scala 261:30] + node _T_2951 = bits(_T_2887, 21, 21) @[el2_lib.scala 263:36] + _T_2891[10] <= _T_2951 @[el2_lib.scala 263:30] + node _T_2952 = bits(_T_2887, 21, 21) @[el2_lib.scala 264:36] + _T_2892[10] <= _T_2952 @[el2_lib.scala 264:30] + node _T_2953 = bits(_T_2887, 22, 22) @[el2_lib.scala 262:36] + _T_2890[11] <= _T_2953 @[el2_lib.scala 262:30] + node _T_2954 = bits(_T_2887, 22, 22) @[el2_lib.scala 263:36] + _T_2891[11] <= _T_2954 @[el2_lib.scala 263:30] + node _T_2955 = bits(_T_2887, 22, 22) @[el2_lib.scala 264:36] + _T_2892[11] <= _T_2955 @[el2_lib.scala 264:30] + node _T_2956 = bits(_T_2887, 23, 23) @[el2_lib.scala 260:36] + _T_2888[13] <= _T_2956 @[el2_lib.scala 260:30] + node _T_2957 = bits(_T_2887, 23, 23) @[el2_lib.scala 262:36] + _T_2890[12] <= _T_2957 @[el2_lib.scala 262:30] + node _T_2958 = bits(_T_2887, 23, 23) @[el2_lib.scala 263:36] + _T_2891[12] <= _T_2958 @[el2_lib.scala 263:30] + node _T_2959 = bits(_T_2887, 23, 23) @[el2_lib.scala 264:36] + _T_2892[12] <= _T_2959 @[el2_lib.scala 264:30] + node _T_2960 = bits(_T_2887, 24, 24) @[el2_lib.scala 261:36] + _T_2889[13] <= _T_2960 @[el2_lib.scala 261:30] + node _T_2961 = bits(_T_2887, 24, 24) @[el2_lib.scala 262:36] + _T_2890[13] <= _T_2961 @[el2_lib.scala 262:30] + node _T_2962 = bits(_T_2887, 24, 24) @[el2_lib.scala 263:36] + _T_2891[13] <= _T_2962 @[el2_lib.scala 263:30] + node _T_2963 = bits(_T_2887, 24, 24) @[el2_lib.scala 264:36] + _T_2892[13] <= _T_2963 @[el2_lib.scala 264:30] + node _T_2964 = bits(_T_2887, 25, 25) @[el2_lib.scala 260:36] + _T_2888[14] <= _T_2964 @[el2_lib.scala 260:30] + node _T_2965 = bits(_T_2887, 25, 25) @[el2_lib.scala 261:36] + _T_2889[14] <= _T_2965 @[el2_lib.scala 261:30] + node _T_2966 = bits(_T_2887, 25, 25) @[el2_lib.scala 262:36] + _T_2890[14] <= _T_2966 @[el2_lib.scala 262:30] + node _T_2967 = bits(_T_2887, 25, 25) @[el2_lib.scala 263:36] + _T_2891[14] <= _T_2967 @[el2_lib.scala 263:30] + node _T_2968 = bits(_T_2887, 25, 25) @[el2_lib.scala 264:36] + _T_2892[14] <= _T_2968 @[el2_lib.scala 264:30] + node _T_2969 = bits(_T_2887, 26, 26) @[el2_lib.scala 260:36] + _T_2888[15] <= _T_2969 @[el2_lib.scala 260:30] + node _T_2970 = bits(_T_2887, 26, 26) @[el2_lib.scala 265:36] + _T_2893[0] <= _T_2970 @[el2_lib.scala 265:30] + node _T_2971 = bits(_T_2887, 27, 27) @[el2_lib.scala 261:36] + _T_2889[15] <= _T_2971 @[el2_lib.scala 261:30] + node _T_2972 = bits(_T_2887, 27, 27) @[el2_lib.scala 265:36] + _T_2893[1] <= _T_2972 @[el2_lib.scala 265:30] + node _T_2973 = bits(_T_2887, 28, 28) @[el2_lib.scala 260:36] + _T_2888[16] <= _T_2973 @[el2_lib.scala 260:30] + node _T_2974 = bits(_T_2887, 28, 28) @[el2_lib.scala 261:36] + _T_2889[16] <= _T_2974 @[el2_lib.scala 261:30] + node _T_2975 = bits(_T_2887, 28, 28) @[el2_lib.scala 265:36] + _T_2893[2] <= _T_2975 @[el2_lib.scala 265:30] + node _T_2976 = bits(_T_2887, 29, 29) @[el2_lib.scala 262:36] + _T_2890[15] <= _T_2976 @[el2_lib.scala 262:30] + node _T_2977 = bits(_T_2887, 29, 29) @[el2_lib.scala 265:36] + _T_2893[3] <= _T_2977 @[el2_lib.scala 265:30] + node _T_2978 = bits(_T_2887, 30, 30) @[el2_lib.scala 260:36] + _T_2888[17] <= _T_2978 @[el2_lib.scala 260:30] + node _T_2979 = bits(_T_2887, 30, 30) @[el2_lib.scala 262:36] + _T_2890[16] <= _T_2979 @[el2_lib.scala 262:30] + node _T_2980 = bits(_T_2887, 30, 30) @[el2_lib.scala 265:36] + _T_2893[4] <= _T_2980 @[el2_lib.scala 265:30] + node _T_2981 = bits(_T_2887, 31, 31) @[el2_lib.scala 261:36] + _T_2889[17] <= _T_2981 @[el2_lib.scala 261:30] + node _T_2982 = bits(_T_2887, 31, 31) @[el2_lib.scala 262:36] + _T_2890[17] <= _T_2982 @[el2_lib.scala 262:30] + node _T_2983 = bits(_T_2887, 31, 31) @[el2_lib.scala 265:36] + _T_2893[5] <= _T_2983 @[el2_lib.scala 265:30] + node _T_2984 = cat(_T_2893[2], _T_2893[1]) @[el2_lib.scala 267:22] + node _T_2985 = cat(_T_2984, _T_2893[0]) @[el2_lib.scala 267:22] + node _T_2986 = cat(_T_2893[5], _T_2893[4]) @[el2_lib.scala 267:22] + node _T_2987 = cat(_T_2986, _T_2893[3]) @[el2_lib.scala 267:22] + node _T_2988 = cat(_T_2987, _T_2985) @[el2_lib.scala 267:22] + node _T_2989 = xorr(_T_2988) @[el2_lib.scala 267:29] + node _T_2990 = cat(_T_2892[2], _T_2892[1]) @[el2_lib.scala 267:39] + node _T_2991 = cat(_T_2990, _T_2892[0]) @[el2_lib.scala 267:39] + node _T_2992 = cat(_T_2892[4], _T_2892[3]) @[el2_lib.scala 267:39] + node _T_2993 = cat(_T_2892[6], _T_2892[5]) @[el2_lib.scala 267:39] + node _T_2994 = cat(_T_2993, _T_2992) @[el2_lib.scala 267:39] + node _T_2995 = cat(_T_2994, _T_2991) @[el2_lib.scala 267:39] + node _T_2996 = cat(_T_2892[8], _T_2892[7]) @[el2_lib.scala 267:39] + node _T_2997 = cat(_T_2892[10], _T_2892[9]) @[el2_lib.scala 267:39] + node _T_2998 = cat(_T_2997, _T_2996) @[el2_lib.scala 267:39] + node _T_2999 = cat(_T_2892[12], _T_2892[11]) @[el2_lib.scala 267:39] + node _T_3000 = cat(_T_2892[14], _T_2892[13]) @[el2_lib.scala 267:39] + node _T_3001 = cat(_T_3000, _T_2999) @[el2_lib.scala 267:39] + node _T_3002 = cat(_T_3001, _T_2998) @[el2_lib.scala 267:39] + node _T_3003 = cat(_T_3002, _T_2995) @[el2_lib.scala 267:39] + node _T_3004 = xorr(_T_3003) @[el2_lib.scala 267:46] + node _T_3005 = cat(_T_2891[2], _T_2891[1]) @[el2_lib.scala 267:56] + node _T_3006 = cat(_T_3005, _T_2891[0]) @[el2_lib.scala 267:56] + node _T_3007 = cat(_T_2891[4], _T_2891[3]) @[el2_lib.scala 267:56] + node _T_3008 = cat(_T_2891[6], _T_2891[5]) @[el2_lib.scala 267:56] + node _T_3009 = cat(_T_3008, _T_3007) @[el2_lib.scala 267:56] + node _T_3010 = cat(_T_3009, _T_3006) @[el2_lib.scala 267:56] + node _T_3011 = cat(_T_2891[8], _T_2891[7]) @[el2_lib.scala 267:56] + node _T_3012 = cat(_T_2891[10], _T_2891[9]) @[el2_lib.scala 267:56] + node _T_3013 = cat(_T_3012, _T_3011) @[el2_lib.scala 267:56] + node _T_3014 = cat(_T_2891[12], _T_2891[11]) @[el2_lib.scala 267:56] + node _T_3015 = cat(_T_2891[14], _T_2891[13]) @[el2_lib.scala 267:56] + node _T_3016 = cat(_T_3015, _T_3014) @[el2_lib.scala 267:56] + node _T_3017 = cat(_T_3016, _T_3013) @[el2_lib.scala 267:56] + node _T_3018 = cat(_T_3017, _T_3010) @[el2_lib.scala 267:56] + node _T_3019 = xorr(_T_3018) @[el2_lib.scala 267:63] + node _T_3020 = cat(_T_2890[1], _T_2890[0]) @[el2_lib.scala 267:73] + node _T_3021 = cat(_T_2890[3], _T_2890[2]) @[el2_lib.scala 267:73] + node _T_3022 = cat(_T_3021, _T_3020) @[el2_lib.scala 267:73] + node _T_3023 = cat(_T_2890[5], _T_2890[4]) @[el2_lib.scala 267:73] + node _T_3024 = cat(_T_2890[8], _T_2890[7]) @[el2_lib.scala 267:73] + node _T_3025 = cat(_T_3024, _T_2890[6]) @[el2_lib.scala 267:73] + node _T_3026 = cat(_T_3025, _T_3023) @[el2_lib.scala 267:73] + node _T_3027 = cat(_T_3026, _T_3022) @[el2_lib.scala 267:73] + node _T_3028 = cat(_T_2890[10], _T_2890[9]) @[el2_lib.scala 267:73] + node _T_3029 = cat(_T_2890[12], _T_2890[11]) @[el2_lib.scala 267:73] + node _T_3030 = cat(_T_3029, _T_3028) @[el2_lib.scala 267:73] + node _T_3031 = cat(_T_2890[14], _T_2890[13]) @[el2_lib.scala 267:73] + node _T_3032 = cat(_T_2890[17], _T_2890[16]) @[el2_lib.scala 267:73] + node _T_3033 = cat(_T_3032, _T_2890[15]) @[el2_lib.scala 267:73] + node _T_3034 = cat(_T_3033, _T_3031) @[el2_lib.scala 267:73] + node _T_3035 = cat(_T_3034, _T_3030) @[el2_lib.scala 267:73] + node _T_3036 = cat(_T_3035, _T_3027) @[el2_lib.scala 267:73] + node _T_3037 = xorr(_T_3036) @[el2_lib.scala 267:80] + node _T_3038 = cat(_T_2889[1], _T_2889[0]) @[el2_lib.scala 267:90] + node _T_3039 = cat(_T_2889[3], _T_2889[2]) @[el2_lib.scala 267:90] + node _T_3040 = cat(_T_3039, _T_3038) @[el2_lib.scala 267:90] + node _T_3041 = cat(_T_2889[5], _T_2889[4]) @[el2_lib.scala 267:90] + node _T_3042 = cat(_T_2889[8], _T_2889[7]) @[el2_lib.scala 267:90] + node _T_3043 = cat(_T_3042, _T_2889[6]) @[el2_lib.scala 267:90] + node _T_3044 = cat(_T_3043, _T_3041) @[el2_lib.scala 267:90] + node _T_3045 = cat(_T_3044, _T_3040) @[el2_lib.scala 267:90] + node _T_3046 = cat(_T_2889[10], _T_2889[9]) @[el2_lib.scala 267:90] + node _T_3047 = cat(_T_2889[12], _T_2889[11]) @[el2_lib.scala 267:90] + node _T_3048 = cat(_T_3047, _T_3046) @[el2_lib.scala 267:90] + node _T_3049 = cat(_T_2889[14], _T_2889[13]) @[el2_lib.scala 267:90] + node _T_3050 = cat(_T_2889[17], _T_2889[16]) @[el2_lib.scala 267:90] + node _T_3051 = cat(_T_3050, _T_2889[15]) @[el2_lib.scala 267:90] + node _T_3052 = cat(_T_3051, _T_3049) @[el2_lib.scala 267:90] + node _T_3053 = cat(_T_3052, _T_3048) @[el2_lib.scala 267:90] + node _T_3054 = cat(_T_3053, _T_3045) @[el2_lib.scala 267:90] + node _T_3055 = xorr(_T_3054) @[el2_lib.scala 267:97] + node _T_3056 = cat(_T_2888[1], _T_2888[0]) @[el2_lib.scala 267:107] + node _T_3057 = cat(_T_2888[3], _T_2888[2]) @[el2_lib.scala 267:107] + node _T_3058 = cat(_T_3057, _T_3056) @[el2_lib.scala 267:107] + node _T_3059 = cat(_T_2888[5], _T_2888[4]) @[el2_lib.scala 267:107] + node _T_3060 = cat(_T_2888[8], _T_2888[7]) @[el2_lib.scala 267:107] + node _T_3061 = cat(_T_3060, _T_2888[6]) @[el2_lib.scala 267:107] + node _T_3062 = cat(_T_3061, _T_3059) @[el2_lib.scala 267:107] + node _T_3063 = cat(_T_3062, _T_3058) @[el2_lib.scala 267:107] + node _T_3064 = cat(_T_2888[10], _T_2888[9]) @[el2_lib.scala 267:107] + node _T_3065 = cat(_T_2888[12], _T_2888[11]) @[el2_lib.scala 267:107] + node _T_3066 = cat(_T_3065, _T_3064) @[el2_lib.scala 267:107] + node _T_3067 = cat(_T_2888[14], _T_2888[13]) @[el2_lib.scala 267:107] + node _T_3068 = cat(_T_2888[17], _T_2888[16]) @[el2_lib.scala 267:107] + node _T_3069 = cat(_T_3068, _T_2888[15]) @[el2_lib.scala 267:107] + node _T_3070 = cat(_T_3069, _T_3067) @[el2_lib.scala 267:107] + node _T_3071 = cat(_T_3070, _T_3066) @[el2_lib.scala 267:107] + node _T_3072 = cat(_T_3071, _T_3063) @[el2_lib.scala 267:107] + node _T_3073 = xorr(_T_3072) @[el2_lib.scala 267:114] + node _T_3074 = cat(_T_3037, _T_3055) @[Cat.scala 29:58] node _T_3075 = cat(_T_3074, _T_3073) @[Cat.scala 29:58] - node _T_3076 = cat(_T_3001, _T_3019) @[Cat.scala 29:58] - node _T_3077 = cat(_T_3076, _T_3037) @[Cat.scala 29:58] + node _T_3076 = cat(_T_2989, _T_3004) @[Cat.scala 29:58] + node _T_3077 = cat(_T_3076, _T_3019) @[Cat.scala 29:58] node _T_3078 = cat(_T_3077, _T_3075) @[Cat.scala 29:58] - node _T_3079 = xorr(_T_2887) @[el2_lib.scala 269:13] - node _T_3080 = xorr(_T_3078) @[el2_lib.scala 269:23] - node _T_3081 = xor(_T_3079, _T_3080) @[el2_lib.scala 269:18] + node _T_3079 = xorr(_T_2887) @[el2_lib.scala 268:13] + node _T_3080 = xorr(_T_3078) @[el2_lib.scala 268:23] + node _T_3081 = xor(_T_3079, _T_3080) @[el2_lib.scala 268:18] node _T_3082 = cat(_T_3081, _T_3078) @[Cat.scala 29:58] node dma_mem_ecc = cat(_T_2886, _T_3082) @[Cat.scala 29:58] wire iccm_ecc_corr_data_ff : UInt<39> iccm_ecc_corr_data_ff <= UInt<1>("h00") - node _T_3083 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 642:67] - node _T_3084 = eq(_T_3083, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 642:45] - node _T_3085 = and(iccm_correct_ecc, _T_3084) @[el2_ifu_mem_ctl.scala 642:43] + node _T_3083 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 637:67] + node _T_3084 = eq(_T_3083, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 637:45] + node _T_3085 = and(iccm_correct_ecc, _T_3084) @[el2_ifu_mem_ctl.scala 637:43] node _T_3086 = cat(iccm_ecc_corr_data_ff, iccm_ecc_corr_data_ff) @[Cat.scala 29:58] - node _T_3087 = bits(dma_mem_ecc, 13, 7) @[el2_ifu_mem_ctl.scala 643:20] - node _T_3088 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 643:43] - node _T_3089 = bits(dma_mem_ecc, 6, 0) @[el2_ifu_mem_ctl.scala 643:63] - node _T_3090 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 643:86] + node _T_3087 = bits(dma_mem_ecc, 13, 7) @[el2_ifu_mem_ctl.scala 638:20] + node _T_3088 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 638:43] + node _T_3089 = bits(dma_mem_ecc, 6, 0) @[el2_ifu_mem_ctl.scala 638:63] + node _T_3090 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 638:86] node _T_3091 = cat(_T_3089, _T_3090) @[Cat.scala 29:58] node _T_3092 = cat(_T_3087, _T_3088) @[Cat.scala 29:58] node _T_3093 = cat(_T_3092, _T_3091) @[Cat.scala 29:58] - node _T_3094 = mux(_T_3085, _T_3086, _T_3093) @[el2_ifu_mem_ctl.scala 642:25] - io.iccm_wr_data <= _T_3094 @[el2_ifu_mem_ctl.scala 642:19] - wire iccm_corrected_data : UInt<32>[2] @[el2_ifu_mem_ctl.scala 644:33] - iccm_corrected_data[0] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 645:26] - iccm_corrected_data[1] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 646:26] + node _T_3094 = mux(_T_3085, _T_3086, _T_3093) @[el2_ifu_mem_ctl.scala 637:25] + io.iccm_wr_data <= _T_3094 @[el2_ifu_mem_ctl.scala 637:19] + wire iccm_corrected_data : UInt<32>[2] @[el2_ifu_mem_ctl.scala 639:33] + iccm_corrected_data[0] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 640:26] + iccm_corrected_data[1] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 641:26] wire dma_mem_addr_ff : UInt<2> dma_mem_addr_ff <= UInt<1>("h00") - node _T_3095 = bits(dma_mem_addr_ff, 0, 0) @[el2_ifu_mem_ctl.scala 648:51] - node _T_3096 = bits(_T_3095, 0, 0) @[el2_ifu_mem_ctl.scala 648:55] - node iccm_dma_rdata_1_muxed = mux(_T_3096, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 648:35] + node _T_3095 = bits(dma_mem_addr_ff, 0, 0) @[el2_ifu_mem_ctl.scala 643:51] + node _T_3096 = bits(_T_3095, 0, 0) @[el2_ifu_mem_ctl.scala 643:55] + node iccm_dma_rdata_1_muxed = mux(_T_3096, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 643:35] wire iccm_double_ecc_error : UInt<2> iccm_double_ecc_error <= UInt<1>("h00") - node iccm_dma_ecc_error_in = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 650:53] + node iccm_dma_ecc_error_in = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 645:53] node _T_3097 = cat(io.dma_mem_addr, io.dma_mem_addr) @[Cat.scala 29:58] node _T_3098 = cat(iccm_dma_rdata_1_muxed, iccm_corrected_data[0]) @[Cat.scala 29:58] - node iccm_dma_rdata_in = mux(iccm_dma_ecc_error_in, _T_3097, _T_3098) @[el2_ifu_mem_ctl.scala 651:30] - reg dma_mem_tag_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 652:54] - dma_mem_tag_ff <= io.dma_mem_tag @[el2_ifu_mem_ctl.scala 652:54] - reg iccm_dma_rtag_temp : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 653:74] - iccm_dma_rtag_temp <= dma_mem_tag_ff @[el2_ifu_mem_ctl.scala 653:74] - io.iccm_dma_rtag <= iccm_dma_rtag_temp @[el2_ifu_mem_ctl.scala 654:20] - node _T_3099 = bits(io.dma_mem_addr, 3, 2) @[el2_ifu_mem_ctl.scala 656:69] - reg _T_3100 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 656:53] - _T_3100 <= _T_3099 @[el2_ifu_mem_ctl.scala 656:53] - dma_mem_addr_ff <= _T_3100 @[el2_ifu_mem_ctl.scala 656:19] - reg iccm_dma_rvalid_in : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 657:59] - iccm_dma_rvalid_in <= iccm_dma_rden @[el2_ifu_mem_ctl.scala 657:59] - reg iccm_dma_rvalid_temp : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 658:76] - iccm_dma_rvalid_temp <= iccm_dma_rvalid_in @[el2_ifu_mem_ctl.scala 658:76] - io.iccm_dma_rvalid <= iccm_dma_rvalid_temp @[el2_ifu_mem_ctl.scala 659:22] - reg iccm_dma_ecc_error : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 660:74] - iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 660:74] - io.iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 661:25] - reg iccm_dma_rdata_temp : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 662:75] - iccm_dma_rdata_temp <= iccm_dma_rdata_in @[el2_ifu_mem_ctl.scala 662:75] - io.iccm_dma_rdata <= iccm_dma_rdata_temp @[el2_ifu_mem_ctl.scala 663:21] + node iccm_dma_rdata_in = mux(iccm_dma_ecc_error_in, _T_3097, _T_3098) @[el2_ifu_mem_ctl.scala 646:30] + reg dma_mem_tag_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 647:54] + dma_mem_tag_ff <= io.dma_mem_tag @[el2_ifu_mem_ctl.scala 647:54] + reg iccm_dma_rtag_temp : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 648:74] + iccm_dma_rtag_temp <= dma_mem_tag_ff @[el2_ifu_mem_ctl.scala 648:74] + io.iccm_dma_rtag <= iccm_dma_rtag_temp @[el2_ifu_mem_ctl.scala 649:20] + node _T_3099 = bits(io.dma_mem_addr, 3, 2) @[el2_ifu_mem_ctl.scala 651:69] + reg _T_3100 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 651:53] + _T_3100 <= _T_3099 @[el2_ifu_mem_ctl.scala 651:53] + dma_mem_addr_ff <= _T_3100 @[el2_ifu_mem_ctl.scala 651:19] + reg iccm_dma_rvalid_in : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 652:59] + iccm_dma_rvalid_in <= iccm_dma_rden @[el2_ifu_mem_ctl.scala 652:59] + reg iccm_dma_rvalid_temp : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 653:76] + iccm_dma_rvalid_temp <= iccm_dma_rvalid_in @[el2_ifu_mem_ctl.scala 653:76] + io.iccm_dma_rvalid <= iccm_dma_rvalid_temp @[el2_ifu_mem_ctl.scala 654:22] + reg iccm_dma_ecc_error : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 655:74] + iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 655:74] + io.iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 656:25] + reg iccm_dma_rdata_temp : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 657:75] + iccm_dma_rdata_temp <= iccm_dma_rdata_in @[el2_ifu_mem_ctl.scala 657:75] + io.iccm_dma_rdata <= iccm_dma_rdata_temp @[el2_ifu_mem_ctl.scala 658:21] wire iccm_ecc_corr_index_ff : UInt<14> iccm_ecc_corr_index_ff <= UInt<1>("h00") - node _T_3101 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 665:46] - node _T_3102 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 665:67] - node _T_3103 = and(_T_3101, _T_3102) @[el2_ifu_mem_ctl.scala 665:65] - node _T_3104 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 666:31] - node _T_3105 = eq(_T_3104, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 666:9] - node _T_3106 = and(_T_3105, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 666:50] + node _T_3101 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 660:46] + node _T_3102 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 660:67] + node _T_3103 = and(_T_3101, _T_3102) @[el2_ifu_mem_ctl.scala 660:65] + node _T_3104 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 661:31] + node _T_3105 = eq(_T_3104, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 661:9] + node _T_3106 = and(_T_3105, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 661:50] node _T_3107 = cat(iccm_ecc_corr_index_ff, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_3108 = bits(io.ifc_fetch_addr_bf, 15, 0) @[el2_ifu_mem_ctl.scala 666:124] - node _T_3109 = mux(_T_3106, _T_3107, _T_3108) @[el2_ifu_mem_ctl.scala 666:8] - node _T_3110 = mux(_T_3103, io.dma_mem_addr, _T_3109) @[el2_ifu_mem_ctl.scala 665:25] - io.iccm_rw_addr <= _T_3110 @[el2_ifu_mem_ctl.scala 665:19] + node _T_3108 = bits(io.ifc_fetch_addr_bf, 15, 0) @[el2_ifu_mem_ctl.scala 661:124] + node _T_3109 = mux(_T_3106, _T_3107, _T_3108) @[el2_ifu_mem_ctl.scala 661:8] + node _T_3110 = mux(_T_3103, io.dma_mem_addr, _T_3109) @[el2_ifu_mem_ctl.scala 660:25] + io.iccm_rw_addr <= _T_3110 @[el2_ifu_mem_ctl.scala 660:19] node ic_fetch_val_int_f = cat(UInt<2>("h00"), io.ic_fetch_val_f) @[Cat.scala 29:58] - node _T_3111 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 668:76] - node ic_fetch_val_shift_right = dshl(ic_fetch_val_int_f, _T_3111) @[el2_ifu_mem_ctl.scala 668:53] - node _T_3112 = bits(ic_fetch_val_shift_right, 1, 0) @[el2_ifu_mem_ctl.scala 671:75] - node _T_3113 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 671:93] - node _T_3114 = and(_T_3112, _T_3113) @[el2_ifu_mem_ctl.scala 671:91] - node _T_3115 = and(_T_3114, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 671:113] - node _T_3116 = or(_T_3115, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 671:130] - node _T_3117 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 671:154] - node _T_3118 = and(_T_3116, _T_3117) @[el2_ifu_mem_ctl.scala 671:152] - node _T_3119 = bits(ic_fetch_val_shift_right, 3, 2) @[el2_ifu_mem_ctl.scala 671:75] - node _T_3120 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 671:93] - node _T_3121 = and(_T_3119, _T_3120) @[el2_ifu_mem_ctl.scala 671:91] - node _T_3122 = and(_T_3121, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 671:113] - node _T_3123 = or(_T_3122, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 671:130] - node _T_3124 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 671:154] - node _T_3125 = and(_T_3123, _T_3124) @[el2_ifu_mem_ctl.scala 671:152] + node _T_3111 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 663:76] + node ic_fetch_val_shift_right = dshl(ic_fetch_val_int_f, _T_3111) @[el2_ifu_mem_ctl.scala 663:53] + node _T_3112 = bits(ic_fetch_val_shift_right, 1, 0) @[el2_ifu_mem_ctl.scala 666:75] + node _T_3113 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 666:93] + node _T_3114 = and(_T_3112, _T_3113) @[el2_ifu_mem_ctl.scala 666:91] + node _T_3115 = and(_T_3114, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 666:113] + node _T_3116 = or(_T_3115, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 666:130] + node _T_3117 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 666:154] + node _T_3118 = and(_T_3116, _T_3117) @[el2_ifu_mem_ctl.scala 666:152] + node _T_3119 = bits(ic_fetch_val_shift_right, 3, 2) @[el2_ifu_mem_ctl.scala 666:75] + node _T_3120 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 666:93] + node _T_3121 = and(_T_3119, _T_3120) @[el2_ifu_mem_ctl.scala 666:91] + node _T_3122 = and(_T_3121, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 666:113] + node _T_3123 = or(_T_3122, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 666:130] + node _T_3124 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 666:154] + node _T_3125 = and(_T_3123, _T_3124) @[el2_ifu_mem_ctl.scala 666:152] node iccm_ecc_word_enable = cat(_T_3125, _T_3118) @[Cat.scala 29:58] - node _T_3126 = bits(iccm_ecc_word_enable, 0, 0) @[el2_ifu_mem_ctl.scala 672:73] - node _T_3127 = bits(io.iccm_rd_data_ecc, 31, 0) @[el2_ifu_mem_ctl.scala 672:93] - node _T_3128 = bits(io.iccm_rd_data_ecc, 38, 32) @[el2_ifu_mem_ctl.scala 672:128] - wire _T_3129 : UInt<1>[18] @[el2_lib.scala 281:18] - wire _T_3130 : UInt<1>[18] @[el2_lib.scala 282:18] - wire _T_3131 : UInt<1>[18] @[el2_lib.scala 283:18] - wire _T_3132 : UInt<1>[15] @[el2_lib.scala 284:18] - wire _T_3133 : UInt<1>[15] @[el2_lib.scala 285:18] - wire _T_3134 : UInt<1>[6] @[el2_lib.scala 286:18] - node _T_3135 = bits(_T_3127, 0, 0) @[el2_lib.scala 293:36] - _T_3129[0] <= _T_3135 @[el2_lib.scala 293:30] - node _T_3136 = bits(_T_3127, 0, 0) @[el2_lib.scala 294:36] - _T_3130[0] <= _T_3136 @[el2_lib.scala 294:30] - node _T_3137 = bits(_T_3127, 1, 1) @[el2_lib.scala 293:36] - _T_3129[1] <= _T_3137 @[el2_lib.scala 293:30] - node _T_3138 = bits(_T_3127, 1, 1) @[el2_lib.scala 295:36] - _T_3131[0] <= _T_3138 @[el2_lib.scala 295:30] - node _T_3139 = bits(_T_3127, 2, 2) @[el2_lib.scala 294:36] - _T_3130[1] <= _T_3139 @[el2_lib.scala 294:30] - node _T_3140 = bits(_T_3127, 2, 2) @[el2_lib.scala 295:36] - _T_3131[1] <= _T_3140 @[el2_lib.scala 295:30] - node _T_3141 = bits(_T_3127, 3, 3) @[el2_lib.scala 293:36] - _T_3129[2] <= _T_3141 @[el2_lib.scala 293:30] - node _T_3142 = bits(_T_3127, 3, 3) @[el2_lib.scala 294:36] - _T_3130[2] <= _T_3142 @[el2_lib.scala 294:30] - node _T_3143 = bits(_T_3127, 3, 3) @[el2_lib.scala 295:36] - _T_3131[2] <= _T_3143 @[el2_lib.scala 295:30] - node _T_3144 = bits(_T_3127, 4, 4) @[el2_lib.scala 293:36] - _T_3129[3] <= _T_3144 @[el2_lib.scala 293:30] - node _T_3145 = bits(_T_3127, 4, 4) @[el2_lib.scala 296:36] - _T_3132[0] <= _T_3145 @[el2_lib.scala 296:30] - node _T_3146 = bits(_T_3127, 5, 5) @[el2_lib.scala 294:36] - _T_3130[3] <= _T_3146 @[el2_lib.scala 294:30] - node _T_3147 = bits(_T_3127, 5, 5) @[el2_lib.scala 296:36] - _T_3132[1] <= _T_3147 @[el2_lib.scala 296:30] - node _T_3148 = bits(_T_3127, 6, 6) @[el2_lib.scala 293:36] - _T_3129[4] <= _T_3148 @[el2_lib.scala 293:30] - node _T_3149 = bits(_T_3127, 6, 6) @[el2_lib.scala 294:36] - _T_3130[4] <= _T_3149 @[el2_lib.scala 294:30] - node _T_3150 = bits(_T_3127, 6, 6) @[el2_lib.scala 296:36] - _T_3132[2] <= _T_3150 @[el2_lib.scala 296:30] - node _T_3151 = bits(_T_3127, 7, 7) @[el2_lib.scala 295:36] - _T_3131[3] <= _T_3151 @[el2_lib.scala 295:30] - node _T_3152 = bits(_T_3127, 7, 7) @[el2_lib.scala 296:36] - _T_3132[3] <= _T_3152 @[el2_lib.scala 296:30] - node _T_3153 = bits(_T_3127, 8, 8) @[el2_lib.scala 293:36] - _T_3129[5] <= _T_3153 @[el2_lib.scala 293:30] - node _T_3154 = bits(_T_3127, 8, 8) @[el2_lib.scala 295:36] - _T_3131[4] <= _T_3154 @[el2_lib.scala 295:30] - node _T_3155 = bits(_T_3127, 8, 8) @[el2_lib.scala 296:36] - _T_3132[4] <= _T_3155 @[el2_lib.scala 296:30] - node _T_3156 = bits(_T_3127, 9, 9) @[el2_lib.scala 294:36] - _T_3130[5] <= _T_3156 @[el2_lib.scala 294:30] - node _T_3157 = bits(_T_3127, 9, 9) @[el2_lib.scala 295:36] - _T_3131[5] <= _T_3157 @[el2_lib.scala 295:30] - node _T_3158 = bits(_T_3127, 9, 9) @[el2_lib.scala 296:36] - _T_3132[5] <= _T_3158 @[el2_lib.scala 296:30] - node _T_3159 = bits(_T_3127, 10, 10) @[el2_lib.scala 293:36] - _T_3129[6] <= _T_3159 @[el2_lib.scala 293:30] - node _T_3160 = bits(_T_3127, 10, 10) @[el2_lib.scala 294:36] - _T_3130[6] <= _T_3160 @[el2_lib.scala 294:30] - node _T_3161 = bits(_T_3127, 10, 10) @[el2_lib.scala 295:36] - _T_3131[6] <= _T_3161 @[el2_lib.scala 295:30] - node _T_3162 = bits(_T_3127, 10, 10) @[el2_lib.scala 296:36] - _T_3132[6] <= _T_3162 @[el2_lib.scala 296:30] - node _T_3163 = bits(_T_3127, 11, 11) @[el2_lib.scala 293:36] - _T_3129[7] <= _T_3163 @[el2_lib.scala 293:30] - node _T_3164 = bits(_T_3127, 11, 11) @[el2_lib.scala 297:36] - _T_3133[0] <= _T_3164 @[el2_lib.scala 297:30] - node _T_3165 = bits(_T_3127, 12, 12) @[el2_lib.scala 294:36] - _T_3130[7] <= _T_3165 @[el2_lib.scala 294:30] - node _T_3166 = bits(_T_3127, 12, 12) @[el2_lib.scala 297:36] - _T_3133[1] <= _T_3166 @[el2_lib.scala 297:30] - node _T_3167 = bits(_T_3127, 13, 13) @[el2_lib.scala 293:36] - _T_3129[8] <= _T_3167 @[el2_lib.scala 293:30] - node _T_3168 = bits(_T_3127, 13, 13) @[el2_lib.scala 294:36] - _T_3130[8] <= _T_3168 @[el2_lib.scala 294:30] - node _T_3169 = bits(_T_3127, 13, 13) @[el2_lib.scala 297:36] - _T_3133[2] <= _T_3169 @[el2_lib.scala 297:30] - node _T_3170 = bits(_T_3127, 14, 14) @[el2_lib.scala 295:36] - _T_3131[7] <= _T_3170 @[el2_lib.scala 295:30] - node _T_3171 = bits(_T_3127, 14, 14) @[el2_lib.scala 297:36] - _T_3133[3] <= _T_3171 @[el2_lib.scala 297:30] - node _T_3172 = bits(_T_3127, 15, 15) @[el2_lib.scala 293:36] - _T_3129[9] <= _T_3172 @[el2_lib.scala 293:30] - node _T_3173 = bits(_T_3127, 15, 15) @[el2_lib.scala 295:36] - _T_3131[8] <= _T_3173 @[el2_lib.scala 295:30] - node _T_3174 = bits(_T_3127, 15, 15) @[el2_lib.scala 297:36] - _T_3133[4] <= _T_3174 @[el2_lib.scala 297:30] - node _T_3175 = bits(_T_3127, 16, 16) @[el2_lib.scala 294:36] - _T_3130[9] <= _T_3175 @[el2_lib.scala 294:30] - node _T_3176 = bits(_T_3127, 16, 16) @[el2_lib.scala 295:36] - _T_3131[9] <= _T_3176 @[el2_lib.scala 295:30] - node _T_3177 = bits(_T_3127, 16, 16) @[el2_lib.scala 297:36] - _T_3133[5] <= _T_3177 @[el2_lib.scala 297:30] - node _T_3178 = bits(_T_3127, 17, 17) @[el2_lib.scala 293:36] - _T_3129[10] <= _T_3178 @[el2_lib.scala 293:30] - node _T_3179 = bits(_T_3127, 17, 17) @[el2_lib.scala 294:36] - _T_3130[10] <= _T_3179 @[el2_lib.scala 294:30] - node _T_3180 = bits(_T_3127, 17, 17) @[el2_lib.scala 295:36] - _T_3131[10] <= _T_3180 @[el2_lib.scala 295:30] - node _T_3181 = bits(_T_3127, 17, 17) @[el2_lib.scala 297:36] - _T_3133[6] <= _T_3181 @[el2_lib.scala 297:30] - node _T_3182 = bits(_T_3127, 18, 18) @[el2_lib.scala 296:36] - _T_3132[7] <= _T_3182 @[el2_lib.scala 296:30] - node _T_3183 = bits(_T_3127, 18, 18) @[el2_lib.scala 297:36] - _T_3133[7] <= _T_3183 @[el2_lib.scala 297:30] - node _T_3184 = bits(_T_3127, 19, 19) @[el2_lib.scala 293:36] - _T_3129[11] <= _T_3184 @[el2_lib.scala 293:30] - node _T_3185 = bits(_T_3127, 19, 19) @[el2_lib.scala 296:36] - _T_3132[8] <= _T_3185 @[el2_lib.scala 296:30] - node _T_3186 = bits(_T_3127, 19, 19) @[el2_lib.scala 297:36] - _T_3133[8] <= _T_3186 @[el2_lib.scala 297:30] - node _T_3187 = bits(_T_3127, 20, 20) @[el2_lib.scala 294:36] - _T_3130[11] <= _T_3187 @[el2_lib.scala 294:30] - node _T_3188 = bits(_T_3127, 20, 20) @[el2_lib.scala 296:36] - _T_3132[9] <= _T_3188 @[el2_lib.scala 296:30] - node _T_3189 = bits(_T_3127, 20, 20) @[el2_lib.scala 297:36] - _T_3133[9] <= _T_3189 @[el2_lib.scala 297:30] - node _T_3190 = bits(_T_3127, 21, 21) @[el2_lib.scala 293:36] - _T_3129[12] <= _T_3190 @[el2_lib.scala 293:30] - node _T_3191 = bits(_T_3127, 21, 21) @[el2_lib.scala 294:36] - _T_3130[12] <= _T_3191 @[el2_lib.scala 294:30] - node _T_3192 = bits(_T_3127, 21, 21) @[el2_lib.scala 296:36] - _T_3132[10] <= _T_3192 @[el2_lib.scala 296:30] - node _T_3193 = bits(_T_3127, 21, 21) @[el2_lib.scala 297:36] - _T_3133[10] <= _T_3193 @[el2_lib.scala 297:30] - node _T_3194 = bits(_T_3127, 22, 22) @[el2_lib.scala 295:36] - _T_3131[11] <= _T_3194 @[el2_lib.scala 295:30] - node _T_3195 = bits(_T_3127, 22, 22) @[el2_lib.scala 296:36] - _T_3132[11] <= _T_3195 @[el2_lib.scala 296:30] - node _T_3196 = bits(_T_3127, 22, 22) @[el2_lib.scala 297:36] - _T_3133[11] <= _T_3196 @[el2_lib.scala 297:30] - node _T_3197 = bits(_T_3127, 23, 23) @[el2_lib.scala 293:36] - _T_3129[13] <= _T_3197 @[el2_lib.scala 293:30] - node _T_3198 = bits(_T_3127, 23, 23) @[el2_lib.scala 295:36] - _T_3131[12] <= _T_3198 @[el2_lib.scala 295:30] - node _T_3199 = bits(_T_3127, 23, 23) @[el2_lib.scala 296:36] - _T_3132[12] <= _T_3199 @[el2_lib.scala 296:30] - node _T_3200 = bits(_T_3127, 23, 23) @[el2_lib.scala 297:36] - _T_3133[12] <= _T_3200 @[el2_lib.scala 297:30] - node _T_3201 = bits(_T_3127, 24, 24) @[el2_lib.scala 294:36] - _T_3130[13] <= _T_3201 @[el2_lib.scala 294:30] - node _T_3202 = bits(_T_3127, 24, 24) @[el2_lib.scala 295:36] - _T_3131[13] <= _T_3202 @[el2_lib.scala 295:30] - node _T_3203 = bits(_T_3127, 24, 24) @[el2_lib.scala 296:36] - _T_3132[13] <= _T_3203 @[el2_lib.scala 296:30] - node _T_3204 = bits(_T_3127, 24, 24) @[el2_lib.scala 297:36] - _T_3133[13] <= _T_3204 @[el2_lib.scala 297:30] - node _T_3205 = bits(_T_3127, 25, 25) @[el2_lib.scala 293:36] - _T_3129[14] <= _T_3205 @[el2_lib.scala 293:30] - node _T_3206 = bits(_T_3127, 25, 25) @[el2_lib.scala 294:36] - _T_3130[14] <= _T_3206 @[el2_lib.scala 294:30] - node _T_3207 = bits(_T_3127, 25, 25) @[el2_lib.scala 295:36] - _T_3131[14] <= _T_3207 @[el2_lib.scala 295:30] - node _T_3208 = bits(_T_3127, 25, 25) @[el2_lib.scala 296:36] - _T_3132[14] <= _T_3208 @[el2_lib.scala 296:30] - node _T_3209 = bits(_T_3127, 25, 25) @[el2_lib.scala 297:36] - _T_3133[14] <= _T_3209 @[el2_lib.scala 297:30] - node _T_3210 = bits(_T_3127, 26, 26) @[el2_lib.scala 293:36] - _T_3129[15] <= _T_3210 @[el2_lib.scala 293:30] - node _T_3211 = bits(_T_3127, 26, 26) @[el2_lib.scala 298:36] - _T_3134[0] <= _T_3211 @[el2_lib.scala 298:30] - node _T_3212 = bits(_T_3127, 27, 27) @[el2_lib.scala 294:36] - _T_3130[15] <= _T_3212 @[el2_lib.scala 294:30] - node _T_3213 = bits(_T_3127, 27, 27) @[el2_lib.scala 298:36] - _T_3134[1] <= _T_3213 @[el2_lib.scala 298:30] - node _T_3214 = bits(_T_3127, 28, 28) @[el2_lib.scala 293:36] - _T_3129[16] <= _T_3214 @[el2_lib.scala 293:30] - node _T_3215 = bits(_T_3127, 28, 28) @[el2_lib.scala 294:36] - _T_3130[16] <= _T_3215 @[el2_lib.scala 294:30] - node _T_3216 = bits(_T_3127, 28, 28) @[el2_lib.scala 298:36] - _T_3134[2] <= _T_3216 @[el2_lib.scala 298:30] - node _T_3217 = bits(_T_3127, 29, 29) @[el2_lib.scala 295:36] - _T_3131[15] <= _T_3217 @[el2_lib.scala 295:30] - node _T_3218 = bits(_T_3127, 29, 29) @[el2_lib.scala 298:36] - _T_3134[3] <= _T_3218 @[el2_lib.scala 298:30] - node _T_3219 = bits(_T_3127, 30, 30) @[el2_lib.scala 293:36] - _T_3129[17] <= _T_3219 @[el2_lib.scala 293:30] - node _T_3220 = bits(_T_3127, 30, 30) @[el2_lib.scala 295:36] - _T_3131[16] <= _T_3220 @[el2_lib.scala 295:30] - node _T_3221 = bits(_T_3127, 30, 30) @[el2_lib.scala 298:36] - _T_3134[4] <= _T_3221 @[el2_lib.scala 298:30] - node _T_3222 = bits(_T_3127, 31, 31) @[el2_lib.scala 294:36] - _T_3130[17] <= _T_3222 @[el2_lib.scala 294:30] - node _T_3223 = bits(_T_3127, 31, 31) @[el2_lib.scala 295:36] - _T_3131[17] <= _T_3223 @[el2_lib.scala 295:30] - node _T_3224 = bits(_T_3127, 31, 31) @[el2_lib.scala 298:36] - _T_3134[5] <= _T_3224 @[el2_lib.scala 298:30] - node _T_3225 = xorr(_T_3127) @[el2_lib.scala 301:30] - node _T_3226 = xorr(_T_3128) @[el2_lib.scala 301:44] - node _T_3227 = xor(_T_3225, _T_3226) @[el2_lib.scala 301:35] - node _T_3228 = not(UInt<1>("h00")) @[el2_lib.scala 301:52] - node _T_3229 = and(_T_3227, _T_3228) @[el2_lib.scala 301:50] - node _T_3230 = bits(_T_3128, 5, 5) @[el2_lib.scala 301:68] - node _T_3231 = cat(_T_3134[2], _T_3134[1]) @[el2_lib.scala 301:76] - node _T_3232 = cat(_T_3231, _T_3134[0]) @[el2_lib.scala 301:76] - node _T_3233 = cat(_T_3134[5], _T_3134[4]) @[el2_lib.scala 301:76] - node _T_3234 = cat(_T_3233, _T_3134[3]) @[el2_lib.scala 301:76] - node _T_3235 = cat(_T_3234, _T_3232) @[el2_lib.scala 301:76] - node _T_3236 = xorr(_T_3235) @[el2_lib.scala 301:83] - node _T_3237 = xor(_T_3230, _T_3236) @[el2_lib.scala 301:71] - node _T_3238 = bits(_T_3128, 4, 4) @[el2_lib.scala 301:95] - node _T_3239 = cat(_T_3133[2], _T_3133[1]) @[el2_lib.scala 301:103] - node _T_3240 = cat(_T_3239, _T_3133[0]) @[el2_lib.scala 301:103] - node _T_3241 = cat(_T_3133[4], _T_3133[3]) @[el2_lib.scala 301:103] - node _T_3242 = cat(_T_3133[6], _T_3133[5]) @[el2_lib.scala 301:103] - node _T_3243 = cat(_T_3242, _T_3241) @[el2_lib.scala 301:103] - node _T_3244 = cat(_T_3243, _T_3240) @[el2_lib.scala 301:103] - node _T_3245 = cat(_T_3133[8], _T_3133[7]) @[el2_lib.scala 301:103] - node _T_3246 = cat(_T_3133[10], _T_3133[9]) @[el2_lib.scala 301:103] - node _T_3247 = cat(_T_3246, _T_3245) @[el2_lib.scala 301:103] - node _T_3248 = cat(_T_3133[12], _T_3133[11]) @[el2_lib.scala 301:103] - node _T_3249 = cat(_T_3133[14], _T_3133[13]) @[el2_lib.scala 301:103] - node _T_3250 = cat(_T_3249, _T_3248) @[el2_lib.scala 301:103] - node _T_3251 = cat(_T_3250, _T_3247) @[el2_lib.scala 301:103] - node _T_3252 = cat(_T_3251, _T_3244) @[el2_lib.scala 301:103] - node _T_3253 = xorr(_T_3252) @[el2_lib.scala 301:110] - node _T_3254 = xor(_T_3238, _T_3253) @[el2_lib.scala 301:98] - node _T_3255 = bits(_T_3128, 3, 3) @[el2_lib.scala 301:122] - node _T_3256 = cat(_T_3132[2], _T_3132[1]) @[el2_lib.scala 301:130] - node _T_3257 = cat(_T_3256, _T_3132[0]) @[el2_lib.scala 301:130] - node _T_3258 = cat(_T_3132[4], _T_3132[3]) @[el2_lib.scala 301:130] - node _T_3259 = cat(_T_3132[6], _T_3132[5]) @[el2_lib.scala 301:130] - node _T_3260 = cat(_T_3259, _T_3258) @[el2_lib.scala 301:130] - node _T_3261 = cat(_T_3260, _T_3257) @[el2_lib.scala 301:130] - node _T_3262 = cat(_T_3132[8], _T_3132[7]) @[el2_lib.scala 301:130] - node _T_3263 = cat(_T_3132[10], _T_3132[9]) @[el2_lib.scala 301:130] - node _T_3264 = cat(_T_3263, _T_3262) @[el2_lib.scala 301:130] - node _T_3265 = cat(_T_3132[12], _T_3132[11]) @[el2_lib.scala 301:130] - node _T_3266 = cat(_T_3132[14], _T_3132[13]) @[el2_lib.scala 301:130] - node _T_3267 = cat(_T_3266, _T_3265) @[el2_lib.scala 301:130] - node _T_3268 = cat(_T_3267, _T_3264) @[el2_lib.scala 301:130] - node _T_3269 = cat(_T_3268, _T_3261) @[el2_lib.scala 301:130] - node _T_3270 = xorr(_T_3269) @[el2_lib.scala 301:137] - node _T_3271 = xor(_T_3255, _T_3270) @[el2_lib.scala 301:125] - node _T_3272 = bits(_T_3128, 2, 2) @[el2_lib.scala 301:149] - node _T_3273 = cat(_T_3131[1], _T_3131[0]) @[el2_lib.scala 301:157] - node _T_3274 = cat(_T_3131[3], _T_3131[2]) @[el2_lib.scala 301:157] - node _T_3275 = cat(_T_3274, _T_3273) @[el2_lib.scala 301:157] - node _T_3276 = cat(_T_3131[5], _T_3131[4]) @[el2_lib.scala 301:157] - node _T_3277 = cat(_T_3131[8], _T_3131[7]) @[el2_lib.scala 301:157] - node _T_3278 = cat(_T_3277, _T_3131[6]) @[el2_lib.scala 301:157] - node _T_3279 = cat(_T_3278, _T_3276) @[el2_lib.scala 301:157] - node _T_3280 = cat(_T_3279, _T_3275) @[el2_lib.scala 301:157] - node _T_3281 = cat(_T_3131[10], _T_3131[9]) @[el2_lib.scala 301:157] - node _T_3282 = cat(_T_3131[12], _T_3131[11]) @[el2_lib.scala 301:157] - node _T_3283 = cat(_T_3282, _T_3281) @[el2_lib.scala 301:157] - node _T_3284 = cat(_T_3131[14], _T_3131[13]) @[el2_lib.scala 301:157] - node _T_3285 = cat(_T_3131[17], _T_3131[16]) @[el2_lib.scala 301:157] - node _T_3286 = cat(_T_3285, _T_3131[15]) @[el2_lib.scala 301:157] - node _T_3287 = cat(_T_3286, _T_3284) @[el2_lib.scala 301:157] - node _T_3288 = cat(_T_3287, _T_3283) @[el2_lib.scala 301:157] - node _T_3289 = cat(_T_3288, _T_3280) @[el2_lib.scala 301:157] - node _T_3290 = xorr(_T_3289) @[el2_lib.scala 301:164] - node _T_3291 = xor(_T_3272, _T_3290) @[el2_lib.scala 301:152] - node _T_3292 = bits(_T_3128, 1, 1) @[el2_lib.scala 301:176] - node _T_3293 = cat(_T_3130[1], _T_3130[0]) @[el2_lib.scala 301:184] - node _T_3294 = cat(_T_3130[3], _T_3130[2]) @[el2_lib.scala 301:184] - node _T_3295 = cat(_T_3294, _T_3293) @[el2_lib.scala 301:184] - node _T_3296 = cat(_T_3130[5], _T_3130[4]) @[el2_lib.scala 301:184] - node _T_3297 = cat(_T_3130[8], _T_3130[7]) @[el2_lib.scala 301:184] - node _T_3298 = cat(_T_3297, _T_3130[6]) @[el2_lib.scala 301:184] - node _T_3299 = cat(_T_3298, _T_3296) @[el2_lib.scala 301:184] - node _T_3300 = cat(_T_3299, _T_3295) @[el2_lib.scala 301:184] - node _T_3301 = cat(_T_3130[10], _T_3130[9]) @[el2_lib.scala 301:184] - node _T_3302 = cat(_T_3130[12], _T_3130[11]) @[el2_lib.scala 301:184] - node _T_3303 = cat(_T_3302, _T_3301) @[el2_lib.scala 301:184] - node _T_3304 = cat(_T_3130[14], _T_3130[13]) @[el2_lib.scala 301:184] - node _T_3305 = cat(_T_3130[17], _T_3130[16]) @[el2_lib.scala 301:184] - node _T_3306 = cat(_T_3305, _T_3130[15]) @[el2_lib.scala 301:184] - node _T_3307 = cat(_T_3306, _T_3304) @[el2_lib.scala 301:184] - node _T_3308 = cat(_T_3307, _T_3303) @[el2_lib.scala 301:184] - node _T_3309 = cat(_T_3308, _T_3300) @[el2_lib.scala 301:184] - node _T_3310 = xorr(_T_3309) @[el2_lib.scala 301:191] - node _T_3311 = xor(_T_3292, _T_3310) @[el2_lib.scala 301:179] - node _T_3312 = bits(_T_3128, 0, 0) @[el2_lib.scala 301:203] - node _T_3313 = cat(_T_3129[1], _T_3129[0]) @[el2_lib.scala 301:211] - node _T_3314 = cat(_T_3129[3], _T_3129[2]) @[el2_lib.scala 301:211] - node _T_3315 = cat(_T_3314, _T_3313) @[el2_lib.scala 301:211] - node _T_3316 = cat(_T_3129[5], _T_3129[4]) @[el2_lib.scala 301:211] - node _T_3317 = cat(_T_3129[8], _T_3129[7]) @[el2_lib.scala 301:211] - node _T_3318 = cat(_T_3317, _T_3129[6]) @[el2_lib.scala 301:211] - node _T_3319 = cat(_T_3318, _T_3316) @[el2_lib.scala 301:211] - node _T_3320 = cat(_T_3319, _T_3315) @[el2_lib.scala 301:211] - node _T_3321 = cat(_T_3129[10], _T_3129[9]) @[el2_lib.scala 301:211] - node _T_3322 = cat(_T_3129[12], _T_3129[11]) @[el2_lib.scala 301:211] - node _T_3323 = cat(_T_3322, _T_3321) @[el2_lib.scala 301:211] - node _T_3324 = cat(_T_3129[14], _T_3129[13]) @[el2_lib.scala 301:211] - node _T_3325 = cat(_T_3129[17], _T_3129[16]) @[el2_lib.scala 301:211] - node _T_3326 = cat(_T_3325, _T_3129[15]) @[el2_lib.scala 301:211] - node _T_3327 = cat(_T_3326, _T_3324) @[el2_lib.scala 301:211] - node _T_3328 = cat(_T_3327, _T_3323) @[el2_lib.scala 301:211] - node _T_3329 = cat(_T_3328, _T_3320) @[el2_lib.scala 301:211] - node _T_3330 = xorr(_T_3329) @[el2_lib.scala 301:218] - node _T_3331 = xor(_T_3312, _T_3330) @[el2_lib.scala 301:206] + node _T_3126 = bits(iccm_ecc_word_enable, 0, 0) @[el2_ifu_mem_ctl.scala 667:73] + node _T_3127 = bits(io.iccm_rd_data_ecc, 31, 0) @[el2_ifu_mem_ctl.scala 667:93] + node _T_3128 = bits(io.iccm_rd_data_ecc, 38, 32) @[el2_ifu_mem_ctl.scala 667:128] + wire _T_3129 : UInt<1>[18] @[el2_lib.scala 280:18] + wire _T_3130 : UInt<1>[18] @[el2_lib.scala 281:18] + wire _T_3131 : UInt<1>[18] @[el2_lib.scala 282:18] + wire _T_3132 : UInt<1>[15] @[el2_lib.scala 283:18] + wire _T_3133 : UInt<1>[15] @[el2_lib.scala 284:18] + wire _T_3134 : UInt<1>[6] @[el2_lib.scala 285:18] + node _T_3135 = bits(_T_3127, 0, 0) @[el2_lib.scala 292:36] + _T_3129[0] <= _T_3135 @[el2_lib.scala 292:30] + node _T_3136 = bits(_T_3127, 0, 0) @[el2_lib.scala 293:36] + _T_3130[0] <= _T_3136 @[el2_lib.scala 293:30] + node _T_3137 = bits(_T_3127, 1, 1) @[el2_lib.scala 292:36] + _T_3129[1] <= _T_3137 @[el2_lib.scala 292:30] + node _T_3138 = bits(_T_3127, 1, 1) @[el2_lib.scala 294:36] + _T_3131[0] <= _T_3138 @[el2_lib.scala 294:30] + node _T_3139 = bits(_T_3127, 2, 2) @[el2_lib.scala 293:36] + _T_3130[1] <= _T_3139 @[el2_lib.scala 293:30] + node _T_3140 = bits(_T_3127, 2, 2) @[el2_lib.scala 294:36] + _T_3131[1] <= _T_3140 @[el2_lib.scala 294:30] + node _T_3141 = bits(_T_3127, 3, 3) @[el2_lib.scala 292:36] + _T_3129[2] <= _T_3141 @[el2_lib.scala 292:30] + node _T_3142 = bits(_T_3127, 3, 3) @[el2_lib.scala 293:36] + _T_3130[2] <= _T_3142 @[el2_lib.scala 293:30] + node _T_3143 = bits(_T_3127, 3, 3) @[el2_lib.scala 294:36] + _T_3131[2] <= _T_3143 @[el2_lib.scala 294:30] + node _T_3144 = bits(_T_3127, 4, 4) @[el2_lib.scala 292:36] + _T_3129[3] <= _T_3144 @[el2_lib.scala 292:30] + node _T_3145 = bits(_T_3127, 4, 4) @[el2_lib.scala 295:36] + _T_3132[0] <= _T_3145 @[el2_lib.scala 295:30] + node _T_3146 = bits(_T_3127, 5, 5) @[el2_lib.scala 293:36] + _T_3130[3] <= _T_3146 @[el2_lib.scala 293:30] + node _T_3147 = bits(_T_3127, 5, 5) @[el2_lib.scala 295:36] + _T_3132[1] <= _T_3147 @[el2_lib.scala 295:30] + node _T_3148 = bits(_T_3127, 6, 6) @[el2_lib.scala 292:36] + _T_3129[4] <= _T_3148 @[el2_lib.scala 292:30] + node _T_3149 = bits(_T_3127, 6, 6) @[el2_lib.scala 293:36] + _T_3130[4] <= _T_3149 @[el2_lib.scala 293:30] + node _T_3150 = bits(_T_3127, 6, 6) @[el2_lib.scala 295:36] + _T_3132[2] <= _T_3150 @[el2_lib.scala 295:30] + node _T_3151 = bits(_T_3127, 7, 7) @[el2_lib.scala 294:36] + _T_3131[3] <= _T_3151 @[el2_lib.scala 294:30] + node _T_3152 = bits(_T_3127, 7, 7) @[el2_lib.scala 295:36] + _T_3132[3] <= _T_3152 @[el2_lib.scala 295:30] + node _T_3153 = bits(_T_3127, 8, 8) @[el2_lib.scala 292:36] + _T_3129[5] <= _T_3153 @[el2_lib.scala 292:30] + node _T_3154 = bits(_T_3127, 8, 8) @[el2_lib.scala 294:36] + _T_3131[4] <= _T_3154 @[el2_lib.scala 294:30] + node _T_3155 = bits(_T_3127, 8, 8) @[el2_lib.scala 295:36] + _T_3132[4] <= _T_3155 @[el2_lib.scala 295:30] + node _T_3156 = bits(_T_3127, 9, 9) @[el2_lib.scala 293:36] + _T_3130[5] <= _T_3156 @[el2_lib.scala 293:30] + node _T_3157 = bits(_T_3127, 9, 9) @[el2_lib.scala 294:36] + _T_3131[5] <= _T_3157 @[el2_lib.scala 294:30] + node _T_3158 = bits(_T_3127, 9, 9) @[el2_lib.scala 295:36] + _T_3132[5] <= _T_3158 @[el2_lib.scala 295:30] + node _T_3159 = bits(_T_3127, 10, 10) @[el2_lib.scala 292:36] + _T_3129[6] <= _T_3159 @[el2_lib.scala 292:30] + node _T_3160 = bits(_T_3127, 10, 10) @[el2_lib.scala 293:36] + _T_3130[6] <= _T_3160 @[el2_lib.scala 293:30] + node _T_3161 = bits(_T_3127, 10, 10) @[el2_lib.scala 294:36] + _T_3131[6] <= _T_3161 @[el2_lib.scala 294:30] + node _T_3162 = bits(_T_3127, 10, 10) @[el2_lib.scala 295:36] + _T_3132[6] <= _T_3162 @[el2_lib.scala 295:30] + node _T_3163 = bits(_T_3127, 11, 11) @[el2_lib.scala 292:36] + _T_3129[7] <= _T_3163 @[el2_lib.scala 292:30] + node _T_3164 = bits(_T_3127, 11, 11) @[el2_lib.scala 296:36] + _T_3133[0] <= _T_3164 @[el2_lib.scala 296:30] + node _T_3165 = bits(_T_3127, 12, 12) @[el2_lib.scala 293:36] + _T_3130[7] <= _T_3165 @[el2_lib.scala 293:30] + node _T_3166 = bits(_T_3127, 12, 12) @[el2_lib.scala 296:36] + _T_3133[1] <= _T_3166 @[el2_lib.scala 296:30] + node _T_3167 = bits(_T_3127, 13, 13) @[el2_lib.scala 292:36] + _T_3129[8] <= _T_3167 @[el2_lib.scala 292:30] + node _T_3168 = bits(_T_3127, 13, 13) @[el2_lib.scala 293:36] + _T_3130[8] <= _T_3168 @[el2_lib.scala 293:30] + node _T_3169 = bits(_T_3127, 13, 13) @[el2_lib.scala 296:36] + _T_3133[2] <= _T_3169 @[el2_lib.scala 296:30] + node _T_3170 = bits(_T_3127, 14, 14) @[el2_lib.scala 294:36] + _T_3131[7] <= _T_3170 @[el2_lib.scala 294:30] + node _T_3171 = bits(_T_3127, 14, 14) @[el2_lib.scala 296:36] + _T_3133[3] <= _T_3171 @[el2_lib.scala 296:30] + node _T_3172 = bits(_T_3127, 15, 15) @[el2_lib.scala 292:36] + _T_3129[9] <= _T_3172 @[el2_lib.scala 292:30] + node _T_3173 = bits(_T_3127, 15, 15) @[el2_lib.scala 294:36] + _T_3131[8] <= _T_3173 @[el2_lib.scala 294:30] + node _T_3174 = bits(_T_3127, 15, 15) @[el2_lib.scala 296:36] + _T_3133[4] <= _T_3174 @[el2_lib.scala 296:30] + node _T_3175 = bits(_T_3127, 16, 16) @[el2_lib.scala 293:36] + _T_3130[9] <= _T_3175 @[el2_lib.scala 293:30] + node _T_3176 = bits(_T_3127, 16, 16) @[el2_lib.scala 294:36] + _T_3131[9] <= _T_3176 @[el2_lib.scala 294:30] + node _T_3177 = bits(_T_3127, 16, 16) @[el2_lib.scala 296:36] + _T_3133[5] <= _T_3177 @[el2_lib.scala 296:30] + node _T_3178 = bits(_T_3127, 17, 17) @[el2_lib.scala 292:36] + _T_3129[10] <= _T_3178 @[el2_lib.scala 292:30] + node _T_3179 = bits(_T_3127, 17, 17) @[el2_lib.scala 293:36] + _T_3130[10] <= _T_3179 @[el2_lib.scala 293:30] + node _T_3180 = bits(_T_3127, 17, 17) @[el2_lib.scala 294:36] + _T_3131[10] <= _T_3180 @[el2_lib.scala 294:30] + node _T_3181 = bits(_T_3127, 17, 17) @[el2_lib.scala 296:36] + _T_3133[6] <= _T_3181 @[el2_lib.scala 296:30] + node _T_3182 = bits(_T_3127, 18, 18) @[el2_lib.scala 295:36] + _T_3132[7] <= _T_3182 @[el2_lib.scala 295:30] + node _T_3183 = bits(_T_3127, 18, 18) @[el2_lib.scala 296:36] + _T_3133[7] <= _T_3183 @[el2_lib.scala 296:30] + node _T_3184 = bits(_T_3127, 19, 19) @[el2_lib.scala 292:36] + _T_3129[11] <= _T_3184 @[el2_lib.scala 292:30] + node _T_3185 = bits(_T_3127, 19, 19) @[el2_lib.scala 295:36] + _T_3132[8] <= _T_3185 @[el2_lib.scala 295:30] + node _T_3186 = bits(_T_3127, 19, 19) @[el2_lib.scala 296:36] + _T_3133[8] <= _T_3186 @[el2_lib.scala 296:30] + node _T_3187 = bits(_T_3127, 20, 20) @[el2_lib.scala 293:36] + _T_3130[11] <= _T_3187 @[el2_lib.scala 293:30] + node _T_3188 = bits(_T_3127, 20, 20) @[el2_lib.scala 295:36] + _T_3132[9] <= _T_3188 @[el2_lib.scala 295:30] + node _T_3189 = bits(_T_3127, 20, 20) @[el2_lib.scala 296:36] + _T_3133[9] <= _T_3189 @[el2_lib.scala 296:30] + node _T_3190 = bits(_T_3127, 21, 21) @[el2_lib.scala 292:36] + _T_3129[12] <= _T_3190 @[el2_lib.scala 292:30] + node _T_3191 = bits(_T_3127, 21, 21) @[el2_lib.scala 293:36] + _T_3130[12] <= _T_3191 @[el2_lib.scala 293:30] + node _T_3192 = bits(_T_3127, 21, 21) @[el2_lib.scala 295:36] + _T_3132[10] <= _T_3192 @[el2_lib.scala 295:30] + node _T_3193 = bits(_T_3127, 21, 21) @[el2_lib.scala 296:36] + _T_3133[10] <= _T_3193 @[el2_lib.scala 296:30] + node _T_3194 = bits(_T_3127, 22, 22) @[el2_lib.scala 294:36] + _T_3131[11] <= _T_3194 @[el2_lib.scala 294:30] + node _T_3195 = bits(_T_3127, 22, 22) @[el2_lib.scala 295:36] + _T_3132[11] <= _T_3195 @[el2_lib.scala 295:30] + node _T_3196 = bits(_T_3127, 22, 22) @[el2_lib.scala 296:36] + _T_3133[11] <= _T_3196 @[el2_lib.scala 296:30] + node _T_3197 = bits(_T_3127, 23, 23) @[el2_lib.scala 292:36] + _T_3129[13] <= _T_3197 @[el2_lib.scala 292:30] + node _T_3198 = bits(_T_3127, 23, 23) @[el2_lib.scala 294:36] + _T_3131[12] <= _T_3198 @[el2_lib.scala 294:30] + node _T_3199 = bits(_T_3127, 23, 23) @[el2_lib.scala 295:36] + _T_3132[12] <= _T_3199 @[el2_lib.scala 295:30] + node _T_3200 = bits(_T_3127, 23, 23) @[el2_lib.scala 296:36] + _T_3133[12] <= _T_3200 @[el2_lib.scala 296:30] + node _T_3201 = bits(_T_3127, 24, 24) @[el2_lib.scala 293:36] + _T_3130[13] <= _T_3201 @[el2_lib.scala 293:30] + node _T_3202 = bits(_T_3127, 24, 24) @[el2_lib.scala 294:36] + _T_3131[13] <= _T_3202 @[el2_lib.scala 294:30] + node _T_3203 = bits(_T_3127, 24, 24) @[el2_lib.scala 295:36] + _T_3132[13] <= _T_3203 @[el2_lib.scala 295:30] + node _T_3204 = bits(_T_3127, 24, 24) @[el2_lib.scala 296:36] + _T_3133[13] <= _T_3204 @[el2_lib.scala 296:30] + node _T_3205 = bits(_T_3127, 25, 25) @[el2_lib.scala 292:36] + _T_3129[14] <= _T_3205 @[el2_lib.scala 292:30] + node _T_3206 = bits(_T_3127, 25, 25) @[el2_lib.scala 293:36] + _T_3130[14] <= _T_3206 @[el2_lib.scala 293:30] + node _T_3207 = bits(_T_3127, 25, 25) @[el2_lib.scala 294:36] + _T_3131[14] <= _T_3207 @[el2_lib.scala 294:30] + node _T_3208 = bits(_T_3127, 25, 25) @[el2_lib.scala 295:36] + _T_3132[14] <= _T_3208 @[el2_lib.scala 295:30] + node _T_3209 = bits(_T_3127, 25, 25) @[el2_lib.scala 296:36] + _T_3133[14] <= _T_3209 @[el2_lib.scala 296:30] + node _T_3210 = bits(_T_3127, 26, 26) @[el2_lib.scala 292:36] + _T_3129[15] <= _T_3210 @[el2_lib.scala 292:30] + node _T_3211 = bits(_T_3127, 26, 26) @[el2_lib.scala 297:36] + _T_3134[0] <= _T_3211 @[el2_lib.scala 297:30] + node _T_3212 = bits(_T_3127, 27, 27) @[el2_lib.scala 293:36] + _T_3130[15] <= _T_3212 @[el2_lib.scala 293:30] + node _T_3213 = bits(_T_3127, 27, 27) @[el2_lib.scala 297:36] + _T_3134[1] <= _T_3213 @[el2_lib.scala 297:30] + node _T_3214 = bits(_T_3127, 28, 28) @[el2_lib.scala 292:36] + _T_3129[16] <= _T_3214 @[el2_lib.scala 292:30] + node _T_3215 = bits(_T_3127, 28, 28) @[el2_lib.scala 293:36] + _T_3130[16] <= _T_3215 @[el2_lib.scala 293:30] + node _T_3216 = bits(_T_3127, 28, 28) @[el2_lib.scala 297:36] + _T_3134[2] <= _T_3216 @[el2_lib.scala 297:30] + node _T_3217 = bits(_T_3127, 29, 29) @[el2_lib.scala 294:36] + _T_3131[15] <= _T_3217 @[el2_lib.scala 294:30] + node _T_3218 = bits(_T_3127, 29, 29) @[el2_lib.scala 297:36] + _T_3134[3] <= _T_3218 @[el2_lib.scala 297:30] + node _T_3219 = bits(_T_3127, 30, 30) @[el2_lib.scala 292:36] + _T_3129[17] <= _T_3219 @[el2_lib.scala 292:30] + node _T_3220 = bits(_T_3127, 30, 30) @[el2_lib.scala 294:36] + _T_3131[16] <= _T_3220 @[el2_lib.scala 294:30] + node _T_3221 = bits(_T_3127, 30, 30) @[el2_lib.scala 297:36] + _T_3134[4] <= _T_3221 @[el2_lib.scala 297:30] + node _T_3222 = bits(_T_3127, 31, 31) @[el2_lib.scala 293:36] + _T_3130[17] <= _T_3222 @[el2_lib.scala 293:30] + node _T_3223 = bits(_T_3127, 31, 31) @[el2_lib.scala 294:36] + _T_3131[17] <= _T_3223 @[el2_lib.scala 294:30] + node _T_3224 = bits(_T_3127, 31, 31) @[el2_lib.scala 297:36] + _T_3134[5] <= _T_3224 @[el2_lib.scala 297:30] + node _T_3225 = xorr(_T_3127) @[el2_lib.scala 300:30] + node _T_3226 = xorr(_T_3128) @[el2_lib.scala 300:44] + node _T_3227 = xor(_T_3225, _T_3226) @[el2_lib.scala 300:35] + node _T_3228 = not(UInt<1>("h00")) @[el2_lib.scala 300:52] + node _T_3229 = and(_T_3227, _T_3228) @[el2_lib.scala 300:50] + node _T_3230 = bits(_T_3128, 5, 5) @[el2_lib.scala 300:68] + node _T_3231 = cat(_T_3134[2], _T_3134[1]) @[el2_lib.scala 300:76] + node _T_3232 = cat(_T_3231, _T_3134[0]) @[el2_lib.scala 300:76] + node _T_3233 = cat(_T_3134[5], _T_3134[4]) @[el2_lib.scala 300:76] + node _T_3234 = cat(_T_3233, _T_3134[3]) @[el2_lib.scala 300:76] + node _T_3235 = cat(_T_3234, _T_3232) @[el2_lib.scala 300:76] + node _T_3236 = xorr(_T_3235) @[el2_lib.scala 300:83] + node _T_3237 = xor(_T_3230, _T_3236) @[el2_lib.scala 300:71] + node _T_3238 = bits(_T_3128, 4, 4) @[el2_lib.scala 300:95] + node _T_3239 = cat(_T_3133[2], _T_3133[1]) @[el2_lib.scala 300:103] + node _T_3240 = cat(_T_3239, _T_3133[0]) @[el2_lib.scala 300:103] + node _T_3241 = cat(_T_3133[4], _T_3133[3]) @[el2_lib.scala 300:103] + node _T_3242 = cat(_T_3133[6], _T_3133[5]) @[el2_lib.scala 300:103] + node _T_3243 = cat(_T_3242, _T_3241) @[el2_lib.scala 300:103] + node _T_3244 = cat(_T_3243, _T_3240) @[el2_lib.scala 300:103] + node _T_3245 = cat(_T_3133[8], _T_3133[7]) @[el2_lib.scala 300:103] + node _T_3246 = cat(_T_3133[10], _T_3133[9]) @[el2_lib.scala 300:103] + node _T_3247 = cat(_T_3246, _T_3245) @[el2_lib.scala 300:103] + node _T_3248 = cat(_T_3133[12], _T_3133[11]) @[el2_lib.scala 300:103] + node _T_3249 = cat(_T_3133[14], _T_3133[13]) @[el2_lib.scala 300:103] + node _T_3250 = cat(_T_3249, _T_3248) @[el2_lib.scala 300:103] + node _T_3251 = cat(_T_3250, _T_3247) @[el2_lib.scala 300:103] + node _T_3252 = cat(_T_3251, _T_3244) @[el2_lib.scala 300:103] + node _T_3253 = xorr(_T_3252) @[el2_lib.scala 300:110] + node _T_3254 = xor(_T_3238, _T_3253) @[el2_lib.scala 300:98] + node _T_3255 = bits(_T_3128, 3, 3) @[el2_lib.scala 300:122] + node _T_3256 = cat(_T_3132[2], _T_3132[1]) @[el2_lib.scala 300:130] + node _T_3257 = cat(_T_3256, _T_3132[0]) @[el2_lib.scala 300:130] + node _T_3258 = cat(_T_3132[4], _T_3132[3]) @[el2_lib.scala 300:130] + node _T_3259 = cat(_T_3132[6], _T_3132[5]) @[el2_lib.scala 300:130] + node _T_3260 = cat(_T_3259, _T_3258) @[el2_lib.scala 300:130] + node _T_3261 = cat(_T_3260, _T_3257) @[el2_lib.scala 300:130] + node _T_3262 = cat(_T_3132[8], _T_3132[7]) @[el2_lib.scala 300:130] + node _T_3263 = cat(_T_3132[10], _T_3132[9]) @[el2_lib.scala 300:130] + node _T_3264 = cat(_T_3263, _T_3262) @[el2_lib.scala 300:130] + node _T_3265 = cat(_T_3132[12], _T_3132[11]) @[el2_lib.scala 300:130] + node _T_3266 = cat(_T_3132[14], _T_3132[13]) @[el2_lib.scala 300:130] + node _T_3267 = cat(_T_3266, _T_3265) @[el2_lib.scala 300:130] + node _T_3268 = cat(_T_3267, _T_3264) @[el2_lib.scala 300:130] + node _T_3269 = cat(_T_3268, _T_3261) @[el2_lib.scala 300:130] + node _T_3270 = xorr(_T_3269) @[el2_lib.scala 300:137] + node _T_3271 = xor(_T_3255, _T_3270) @[el2_lib.scala 300:125] + node _T_3272 = bits(_T_3128, 2, 2) @[el2_lib.scala 300:149] + node _T_3273 = cat(_T_3131[1], _T_3131[0]) @[el2_lib.scala 300:157] + node _T_3274 = cat(_T_3131[3], _T_3131[2]) @[el2_lib.scala 300:157] + node _T_3275 = cat(_T_3274, _T_3273) @[el2_lib.scala 300:157] + node _T_3276 = cat(_T_3131[5], _T_3131[4]) @[el2_lib.scala 300:157] + node _T_3277 = cat(_T_3131[8], _T_3131[7]) @[el2_lib.scala 300:157] + node _T_3278 = cat(_T_3277, _T_3131[6]) @[el2_lib.scala 300:157] + node _T_3279 = cat(_T_3278, _T_3276) @[el2_lib.scala 300:157] + node _T_3280 = cat(_T_3279, _T_3275) @[el2_lib.scala 300:157] + node _T_3281 = cat(_T_3131[10], _T_3131[9]) @[el2_lib.scala 300:157] + node _T_3282 = cat(_T_3131[12], _T_3131[11]) @[el2_lib.scala 300:157] + node _T_3283 = cat(_T_3282, _T_3281) @[el2_lib.scala 300:157] + node _T_3284 = cat(_T_3131[14], _T_3131[13]) @[el2_lib.scala 300:157] + node _T_3285 = cat(_T_3131[17], _T_3131[16]) @[el2_lib.scala 300:157] + node _T_3286 = cat(_T_3285, _T_3131[15]) @[el2_lib.scala 300:157] + node _T_3287 = cat(_T_3286, _T_3284) @[el2_lib.scala 300:157] + node _T_3288 = cat(_T_3287, _T_3283) @[el2_lib.scala 300:157] + node _T_3289 = cat(_T_3288, _T_3280) @[el2_lib.scala 300:157] + node _T_3290 = xorr(_T_3289) @[el2_lib.scala 300:164] + node _T_3291 = xor(_T_3272, _T_3290) @[el2_lib.scala 300:152] + node _T_3292 = bits(_T_3128, 1, 1) @[el2_lib.scala 300:176] + node _T_3293 = cat(_T_3130[1], _T_3130[0]) @[el2_lib.scala 300:184] + node _T_3294 = cat(_T_3130[3], _T_3130[2]) @[el2_lib.scala 300:184] + node _T_3295 = cat(_T_3294, _T_3293) @[el2_lib.scala 300:184] + node _T_3296 = cat(_T_3130[5], _T_3130[4]) @[el2_lib.scala 300:184] + node _T_3297 = cat(_T_3130[8], _T_3130[7]) @[el2_lib.scala 300:184] + node _T_3298 = cat(_T_3297, _T_3130[6]) @[el2_lib.scala 300:184] + node _T_3299 = cat(_T_3298, _T_3296) @[el2_lib.scala 300:184] + node _T_3300 = cat(_T_3299, _T_3295) @[el2_lib.scala 300:184] + node _T_3301 = cat(_T_3130[10], _T_3130[9]) @[el2_lib.scala 300:184] + node _T_3302 = cat(_T_3130[12], _T_3130[11]) @[el2_lib.scala 300:184] + node _T_3303 = cat(_T_3302, _T_3301) @[el2_lib.scala 300:184] + node _T_3304 = cat(_T_3130[14], _T_3130[13]) @[el2_lib.scala 300:184] + node _T_3305 = cat(_T_3130[17], _T_3130[16]) @[el2_lib.scala 300:184] + node _T_3306 = cat(_T_3305, _T_3130[15]) @[el2_lib.scala 300:184] + node _T_3307 = cat(_T_3306, _T_3304) @[el2_lib.scala 300:184] + node _T_3308 = cat(_T_3307, _T_3303) @[el2_lib.scala 300:184] + node _T_3309 = cat(_T_3308, _T_3300) @[el2_lib.scala 300:184] + node _T_3310 = xorr(_T_3309) @[el2_lib.scala 300:191] + node _T_3311 = xor(_T_3292, _T_3310) @[el2_lib.scala 300:179] + node _T_3312 = bits(_T_3128, 0, 0) @[el2_lib.scala 300:203] + node _T_3313 = cat(_T_3129[1], _T_3129[0]) @[el2_lib.scala 300:211] + node _T_3314 = cat(_T_3129[3], _T_3129[2]) @[el2_lib.scala 300:211] + node _T_3315 = cat(_T_3314, _T_3313) @[el2_lib.scala 300:211] + node _T_3316 = cat(_T_3129[5], _T_3129[4]) @[el2_lib.scala 300:211] + node _T_3317 = cat(_T_3129[8], _T_3129[7]) @[el2_lib.scala 300:211] + node _T_3318 = cat(_T_3317, _T_3129[6]) @[el2_lib.scala 300:211] + node _T_3319 = cat(_T_3318, _T_3316) @[el2_lib.scala 300:211] + node _T_3320 = cat(_T_3319, _T_3315) @[el2_lib.scala 300:211] + node _T_3321 = cat(_T_3129[10], _T_3129[9]) @[el2_lib.scala 300:211] + node _T_3322 = cat(_T_3129[12], _T_3129[11]) @[el2_lib.scala 300:211] + node _T_3323 = cat(_T_3322, _T_3321) @[el2_lib.scala 300:211] + node _T_3324 = cat(_T_3129[14], _T_3129[13]) @[el2_lib.scala 300:211] + node _T_3325 = cat(_T_3129[17], _T_3129[16]) @[el2_lib.scala 300:211] + node _T_3326 = cat(_T_3325, _T_3129[15]) @[el2_lib.scala 300:211] + node _T_3327 = cat(_T_3326, _T_3324) @[el2_lib.scala 300:211] + node _T_3328 = cat(_T_3327, _T_3323) @[el2_lib.scala 300:211] + node _T_3329 = cat(_T_3328, _T_3320) @[el2_lib.scala 300:211] + node _T_3330 = xorr(_T_3329) @[el2_lib.scala 300:218] + node _T_3331 = xor(_T_3312, _T_3330) @[el2_lib.scala 300:206] node _T_3332 = cat(_T_3291, _T_3311) @[Cat.scala 29:58] node _T_3333 = cat(_T_3332, _T_3331) @[Cat.scala 29:58] node _T_3334 = cat(_T_3254, _T_3271) @[Cat.scala 29:58] node _T_3335 = cat(_T_3229, _T_3237) @[Cat.scala 29:58] node _T_3336 = cat(_T_3335, _T_3334) @[Cat.scala 29:58] node _T_3337 = cat(_T_3336, _T_3333) @[Cat.scala 29:58] - node _T_3338 = neq(_T_3337, UInt<1>("h00")) @[el2_lib.scala 302:44] - node _T_3339 = and(_T_3126, _T_3338) @[el2_lib.scala 302:32] - node _T_3340 = bits(_T_3337, 6, 6) @[el2_lib.scala 302:64] - node _T_3341 = and(_T_3339, _T_3340) @[el2_lib.scala 302:53] - node _T_3342 = neq(_T_3337, UInt<1>("h00")) @[el2_lib.scala 303:44] - node _T_3343 = and(_T_3126, _T_3342) @[el2_lib.scala 303:32] - node _T_3344 = bits(_T_3337, 6, 6) @[el2_lib.scala 303:65] - node _T_3345 = not(_T_3344) @[el2_lib.scala 303:55] - node _T_3346 = and(_T_3343, _T_3345) @[el2_lib.scala 303:53] - wire _T_3347 : UInt<1>[39] @[el2_lib.scala 304:26] - node _T_3348 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3349 = eq(_T_3348, UInt<1>("h01")) @[el2_lib.scala 307:41] - _T_3347[0] <= _T_3349 @[el2_lib.scala 307:23] - node _T_3350 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3351 = eq(_T_3350, UInt<2>("h02")) @[el2_lib.scala 307:41] - _T_3347[1] <= _T_3351 @[el2_lib.scala 307:23] - node _T_3352 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3353 = eq(_T_3352, UInt<2>("h03")) @[el2_lib.scala 307:41] - _T_3347[2] <= _T_3353 @[el2_lib.scala 307:23] - node _T_3354 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3355 = eq(_T_3354, UInt<3>("h04")) @[el2_lib.scala 307:41] - _T_3347[3] <= _T_3355 @[el2_lib.scala 307:23] - node _T_3356 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3357 = eq(_T_3356, UInt<3>("h05")) @[el2_lib.scala 307:41] - _T_3347[4] <= _T_3357 @[el2_lib.scala 307:23] - node _T_3358 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3359 = eq(_T_3358, UInt<3>("h06")) @[el2_lib.scala 307:41] - _T_3347[5] <= _T_3359 @[el2_lib.scala 307:23] - node _T_3360 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3361 = eq(_T_3360, UInt<3>("h07")) @[el2_lib.scala 307:41] - _T_3347[6] <= _T_3361 @[el2_lib.scala 307:23] - node _T_3362 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3363 = eq(_T_3362, UInt<4>("h08")) @[el2_lib.scala 307:41] - _T_3347[7] <= _T_3363 @[el2_lib.scala 307:23] - node _T_3364 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3365 = eq(_T_3364, UInt<4>("h09")) @[el2_lib.scala 307:41] - _T_3347[8] <= _T_3365 @[el2_lib.scala 307:23] - node _T_3366 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3367 = eq(_T_3366, UInt<4>("h0a")) @[el2_lib.scala 307:41] - _T_3347[9] <= _T_3367 @[el2_lib.scala 307:23] - node _T_3368 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3369 = eq(_T_3368, UInt<4>("h0b")) @[el2_lib.scala 307:41] - _T_3347[10] <= _T_3369 @[el2_lib.scala 307:23] - node _T_3370 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3371 = eq(_T_3370, UInt<4>("h0c")) @[el2_lib.scala 307:41] - _T_3347[11] <= _T_3371 @[el2_lib.scala 307:23] - node _T_3372 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3373 = eq(_T_3372, UInt<4>("h0d")) @[el2_lib.scala 307:41] - _T_3347[12] <= _T_3373 @[el2_lib.scala 307:23] - node _T_3374 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3375 = eq(_T_3374, UInt<4>("h0e")) @[el2_lib.scala 307:41] - _T_3347[13] <= _T_3375 @[el2_lib.scala 307:23] - node _T_3376 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3377 = eq(_T_3376, UInt<4>("h0f")) @[el2_lib.scala 307:41] - _T_3347[14] <= _T_3377 @[el2_lib.scala 307:23] - node _T_3378 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3379 = eq(_T_3378, UInt<5>("h010")) @[el2_lib.scala 307:41] - _T_3347[15] <= _T_3379 @[el2_lib.scala 307:23] - node _T_3380 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3381 = eq(_T_3380, UInt<5>("h011")) @[el2_lib.scala 307:41] - _T_3347[16] <= _T_3381 @[el2_lib.scala 307:23] - node _T_3382 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3383 = eq(_T_3382, UInt<5>("h012")) @[el2_lib.scala 307:41] - _T_3347[17] <= _T_3383 @[el2_lib.scala 307:23] - node _T_3384 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3385 = eq(_T_3384, UInt<5>("h013")) @[el2_lib.scala 307:41] - _T_3347[18] <= _T_3385 @[el2_lib.scala 307:23] - node _T_3386 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3387 = eq(_T_3386, UInt<5>("h014")) @[el2_lib.scala 307:41] - _T_3347[19] <= _T_3387 @[el2_lib.scala 307:23] - node _T_3388 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3389 = eq(_T_3388, UInt<5>("h015")) @[el2_lib.scala 307:41] - _T_3347[20] <= _T_3389 @[el2_lib.scala 307:23] - node _T_3390 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3391 = eq(_T_3390, UInt<5>("h016")) @[el2_lib.scala 307:41] - _T_3347[21] <= _T_3391 @[el2_lib.scala 307:23] - node _T_3392 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3393 = eq(_T_3392, UInt<5>("h017")) @[el2_lib.scala 307:41] - _T_3347[22] <= _T_3393 @[el2_lib.scala 307:23] - node _T_3394 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3395 = eq(_T_3394, UInt<5>("h018")) @[el2_lib.scala 307:41] - _T_3347[23] <= _T_3395 @[el2_lib.scala 307:23] - node _T_3396 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3397 = eq(_T_3396, UInt<5>("h019")) @[el2_lib.scala 307:41] - _T_3347[24] <= _T_3397 @[el2_lib.scala 307:23] - node _T_3398 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3399 = eq(_T_3398, UInt<5>("h01a")) @[el2_lib.scala 307:41] - _T_3347[25] <= _T_3399 @[el2_lib.scala 307:23] - node _T_3400 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3401 = eq(_T_3400, UInt<5>("h01b")) @[el2_lib.scala 307:41] - _T_3347[26] <= _T_3401 @[el2_lib.scala 307:23] - node _T_3402 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3403 = eq(_T_3402, UInt<5>("h01c")) @[el2_lib.scala 307:41] - _T_3347[27] <= _T_3403 @[el2_lib.scala 307:23] - node _T_3404 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3405 = eq(_T_3404, UInt<5>("h01d")) @[el2_lib.scala 307:41] - _T_3347[28] <= _T_3405 @[el2_lib.scala 307:23] - node _T_3406 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3407 = eq(_T_3406, UInt<5>("h01e")) @[el2_lib.scala 307:41] - _T_3347[29] <= _T_3407 @[el2_lib.scala 307:23] - node _T_3408 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3409 = eq(_T_3408, UInt<5>("h01f")) @[el2_lib.scala 307:41] - _T_3347[30] <= _T_3409 @[el2_lib.scala 307:23] - node _T_3410 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3411 = eq(_T_3410, UInt<6>("h020")) @[el2_lib.scala 307:41] - _T_3347[31] <= _T_3411 @[el2_lib.scala 307:23] - node _T_3412 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3413 = eq(_T_3412, UInt<6>("h021")) @[el2_lib.scala 307:41] - _T_3347[32] <= _T_3413 @[el2_lib.scala 307:23] - node _T_3414 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3415 = eq(_T_3414, UInt<6>("h022")) @[el2_lib.scala 307:41] - _T_3347[33] <= _T_3415 @[el2_lib.scala 307:23] - node _T_3416 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3417 = eq(_T_3416, UInt<6>("h023")) @[el2_lib.scala 307:41] - _T_3347[34] <= _T_3417 @[el2_lib.scala 307:23] - node _T_3418 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3419 = eq(_T_3418, UInt<6>("h024")) @[el2_lib.scala 307:41] - _T_3347[35] <= _T_3419 @[el2_lib.scala 307:23] - node _T_3420 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3421 = eq(_T_3420, UInt<6>("h025")) @[el2_lib.scala 307:41] - _T_3347[36] <= _T_3421 @[el2_lib.scala 307:23] - node _T_3422 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3423 = eq(_T_3422, UInt<6>("h026")) @[el2_lib.scala 307:41] - _T_3347[37] <= _T_3423 @[el2_lib.scala 307:23] - node _T_3424 = bits(_T_3337, 5, 0) @[el2_lib.scala 307:35] - node _T_3425 = eq(_T_3424, UInt<6>("h027")) @[el2_lib.scala 307:41] - _T_3347[38] <= _T_3425 @[el2_lib.scala 307:23] - node _T_3426 = bits(_T_3128, 6, 6) @[el2_lib.scala 309:37] - node _T_3427 = bits(_T_3127, 31, 26) @[el2_lib.scala 309:45] - node _T_3428 = bits(_T_3128, 5, 5) @[el2_lib.scala 309:60] - node _T_3429 = bits(_T_3127, 25, 11) @[el2_lib.scala 309:68] - node _T_3430 = bits(_T_3128, 4, 4) @[el2_lib.scala 309:83] - node _T_3431 = bits(_T_3127, 10, 4) @[el2_lib.scala 309:91] - node _T_3432 = bits(_T_3128, 3, 3) @[el2_lib.scala 309:105] - node _T_3433 = bits(_T_3127, 3, 1) @[el2_lib.scala 309:113] - node _T_3434 = bits(_T_3128, 2, 2) @[el2_lib.scala 309:126] - node _T_3435 = bits(_T_3127, 0, 0) @[el2_lib.scala 309:134] - node _T_3436 = bits(_T_3128, 1, 0) @[el2_lib.scala 309:145] + node _T_3338 = neq(_T_3337, UInt<1>("h00")) @[el2_lib.scala 301:44] + node _T_3339 = and(_T_3126, _T_3338) @[el2_lib.scala 301:32] + node _T_3340 = bits(_T_3337, 6, 6) @[el2_lib.scala 301:64] + node _T_3341 = and(_T_3339, _T_3340) @[el2_lib.scala 301:53] + node _T_3342 = neq(_T_3337, UInt<1>("h00")) @[el2_lib.scala 302:44] + node _T_3343 = and(_T_3126, _T_3342) @[el2_lib.scala 302:32] + node _T_3344 = bits(_T_3337, 6, 6) @[el2_lib.scala 302:65] + node _T_3345 = not(_T_3344) @[el2_lib.scala 302:55] + node _T_3346 = and(_T_3343, _T_3345) @[el2_lib.scala 302:53] + wire _T_3347 : UInt<1>[39] @[el2_lib.scala 303:26] + node _T_3348 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3349 = eq(_T_3348, UInt<1>("h01")) @[el2_lib.scala 306:41] + _T_3347[0] <= _T_3349 @[el2_lib.scala 306:23] + node _T_3350 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3351 = eq(_T_3350, UInt<2>("h02")) @[el2_lib.scala 306:41] + _T_3347[1] <= _T_3351 @[el2_lib.scala 306:23] + node _T_3352 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3353 = eq(_T_3352, UInt<2>("h03")) @[el2_lib.scala 306:41] + _T_3347[2] <= _T_3353 @[el2_lib.scala 306:23] + node _T_3354 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3355 = eq(_T_3354, UInt<3>("h04")) @[el2_lib.scala 306:41] + _T_3347[3] <= _T_3355 @[el2_lib.scala 306:23] + node _T_3356 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3357 = eq(_T_3356, UInt<3>("h05")) @[el2_lib.scala 306:41] + _T_3347[4] <= _T_3357 @[el2_lib.scala 306:23] + node _T_3358 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3359 = eq(_T_3358, UInt<3>("h06")) @[el2_lib.scala 306:41] + _T_3347[5] <= _T_3359 @[el2_lib.scala 306:23] + node _T_3360 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3361 = eq(_T_3360, UInt<3>("h07")) @[el2_lib.scala 306:41] + _T_3347[6] <= _T_3361 @[el2_lib.scala 306:23] + node _T_3362 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3363 = eq(_T_3362, UInt<4>("h08")) @[el2_lib.scala 306:41] + _T_3347[7] <= _T_3363 @[el2_lib.scala 306:23] + node _T_3364 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3365 = eq(_T_3364, UInt<4>("h09")) @[el2_lib.scala 306:41] + _T_3347[8] <= _T_3365 @[el2_lib.scala 306:23] + node _T_3366 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3367 = eq(_T_3366, UInt<4>("h0a")) @[el2_lib.scala 306:41] + _T_3347[9] <= _T_3367 @[el2_lib.scala 306:23] + node _T_3368 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3369 = eq(_T_3368, UInt<4>("h0b")) @[el2_lib.scala 306:41] + _T_3347[10] <= _T_3369 @[el2_lib.scala 306:23] + node _T_3370 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3371 = eq(_T_3370, UInt<4>("h0c")) @[el2_lib.scala 306:41] + _T_3347[11] <= _T_3371 @[el2_lib.scala 306:23] + node _T_3372 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3373 = eq(_T_3372, UInt<4>("h0d")) @[el2_lib.scala 306:41] + _T_3347[12] <= _T_3373 @[el2_lib.scala 306:23] + node _T_3374 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3375 = eq(_T_3374, UInt<4>("h0e")) @[el2_lib.scala 306:41] + _T_3347[13] <= _T_3375 @[el2_lib.scala 306:23] + node _T_3376 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3377 = eq(_T_3376, UInt<4>("h0f")) @[el2_lib.scala 306:41] + _T_3347[14] <= _T_3377 @[el2_lib.scala 306:23] + node _T_3378 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3379 = eq(_T_3378, UInt<5>("h010")) @[el2_lib.scala 306:41] + _T_3347[15] <= _T_3379 @[el2_lib.scala 306:23] + node _T_3380 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3381 = eq(_T_3380, UInt<5>("h011")) @[el2_lib.scala 306:41] + _T_3347[16] <= _T_3381 @[el2_lib.scala 306:23] + node _T_3382 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3383 = eq(_T_3382, UInt<5>("h012")) @[el2_lib.scala 306:41] + _T_3347[17] <= _T_3383 @[el2_lib.scala 306:23] + node _T_3384 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3385 = eq(_T_3384, UInt<5>("h013")) @[el2_lib.scala 306:41] + _T_3347[18] <= _T_3385 @[el2_lib.scala 306:23] + node _T_3386 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3387 = eq(_T_3386, UInt<5>("h014")) @[el2_lib.scala 306:41] + _T_3347[19] <= _T_3387 @[el2_lib.scala 306:23] + node _T_3388 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3389 = eq(_T_3388, UInt<5>("h015")) @[el2_lib.scala 306:41] + _T_3347[20] <= _T_3389 @[el2_lib.scala 306:23] + node _T_3390 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3391 = eq(_T_3390, UInt<5>("h016")) @[el2_lib.scala 306:41] + _T_3347[21] <= _T_3391 @[el2_lib.scala 306:23] + node _T_3392 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3393 = eq(_T_3392, UInt<5>("h017")) @[el2_lib.scala 306:41] + _T_3347[22] <= _T_3393 @[el2_lib.scala 306:23] + node _T_3394 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3395 = eq(_T_3394, UInt<5>("h018")) @[el2_lib.scala 306:41] + _T_3347[23] <= _T_3395 @[el2_lib.scala 306:23] + node _T_3396 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3397 = eq(_T_3396, UInt<5>("h019")) @[el2_lib.scala 306:41] + _T_3347[24] <= _T_3397 @[el2_lib.scala 306:23] + node _T_3398 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3399 = eq(_T_3398, UInt<5>("h01a")) @[el2_lib.scala 306:41] + _T_3347[25] <= _T_3399 @[el2_lib.scala 306:23] + node _T_3400 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3401 = eq(_T_3400, UInt<5>("h01b")) @[el2_lib.scala 306:41] + _T_3347[26] <= _T_3401 @[el2_lib.scala 306:23] + node _T_3402 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3403 = eq(_T_3402, UInt<5>("h01c")) @[el2_lib.scala 306:41] + _T_3347[27] <= _T_3403 @[el2_lib.scala 306:23] + node _T_3404 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3405 = eq(_T_3404, UInt<5>("h01d")) @[el2_lib.scala 306:41] + _T_3347[28] <= _T_3405 @[el2_lib.scala 306:23] + node _T_3406 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3407 = eq(_T_3406, UInt<5>("h01e")) @[el2_lib.scala 306:41] + _T_3347[29] <= _T_3407 @[el2_lib.scala 306:23] + node _T_3408 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3409 = eq(_T_3408, UInt<5>("h01f")) @[el2_lib.scala 306:41] + _T_3347[30] <= _T_3409 @[el2_lib.scala 306:23] + node _T_3410 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3411 = eq(_T_3410, UInt<6>("h020")) @[el2_lib.scala 306:41] + _T_3347[31] <= _T_3411 @[el2_lib.scala 306:23] + node _T_3412 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3413 = eq(_T_3412, UInt<6>("h021")) @[el2_lib.scala 306:41] + _T_3347[32] <= _T_3413 @[el2_lib.scala 306:23] + node _T_3414 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3415 = eq(_T_3414, UInt<6>("h022")) @[el2_lib.scala 306:41] + _T_3347[33] <= _T_3415 @[el2_lib.scala 306:23] + node _T_3416 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3417 = eq(_T_3416, UInt<6>("h023")) @[el2_lib.scala 306:41] + _T_3347[34] <= _T_3417 @[el2_lib.scala 306:23] + node _T_3418 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3419 = eq(_T_3418, UInt<6>("h024")) @[el2_lib.scala 306:41] + _T_3347[35] <= _T_3419 @[el2_lib.scala 306:23] + node _T_3420 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3421 = eq(_T_3420, UInt<6>("h025")) @[el2_lib.scala 306:41] + _T_3347[36] <= _T_3421 @[el2_lib.scala 306:23] + node _T_3422 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3423 = eq(_T_3422, UInt<6>("h026")) @[el2_lib.scala 306:41] + _T_3347[37] <= _T_3423 @[el2_lib.scala 306:23] + node _T_3424 = bits(_T_3337, 5, 0) @[el2_lib.scala 306:35] + node _T_3425 = eq(_T_3424, UInt<6>("h027")) @[el2_lib.scala 306:41] + _T_3347[38] <= _T_3425 @[el2_lib.scala 306:23] + node _T_3426 = bits(_T_3128, 6, 6) @[el2_lib.scala 308:37] + node _T_3427 = bits(_T_3127, 31, 26) @[el2_lib.scala 308:45] + node _T_3428 = bits(_T_3128, 5, 5) @[el2_lib.scala 308:60] + node _T_3429 = bits(_T_3127, 25, 11) @[el2_lib.scala 308:68] + node _T_3430 = bits(_T_3128, 4, 4) @[el2_lib.scala 308:83] + node _T_3431 = bits(_T_3127, 10, 4) @[el2_lib.scala 308:91] + node _T_3432 = bits(_T_3128, 3, 3) @[el2_lib.scala 308:105] + node _T_3433 = bits(_T_3127, 3, 1) @[el2_lib.scala 308:113] + node _T_3434 = bits(_T_3128, 2, 2) @[el2_lib.scala 308:126] + node _T_3435 = bits(_T_3127, 0, 0) @[el2_lib.scala 308:134] + node _T_3436 = bits(_T_3128, 1, 0) @[el2_lib.scala 308:145] node _T_3437 = cat(_T_3435, _T_3436) @[Cat.scala 29:58] node _T_3438 = cat(_T_3432, _T_3433) @[Cat.scala 29:58] node _T_3439 = cat(_T_3438, _T_3434) @[Cat.scala 29:58] @@ -4885,510 +4885,510 @@ circuit el2_ifu_mem_ctl : node _T_3444 = cat(_T_3443, _T_3428) @[Cat.scala 29:58] node _T_3445 = cat(_T_3444, _T_3442) @[Cat.scala 29:58] node _T_3446 = cat(_T_3445, _T_3440) @[Cat.scala 29:58] - node _T_3447 = bits(_T_3341, 0, 0) @[el2_lib.scala 310:49] - node _T_3448 = cat(_T_3347[1], _T_3347[0]) @[el2_lib.scala 310:69] - node _T_3449 = cat(_T_3347[3], _T_3347[2]) @[el2_lib.scala 310:69] - node _T_3450 = cat(_T_3449, _T_3448) @[el2_lib.scala 310:69] - node _T_3451 = cat(_T_3347[5], _T_3347[4]) @[el2_lib.scala 310:69] - node _T_3452 = cat(_T_3347[8], _T_3347[7]) @[el2_lib.scala 310:69] - node _T_3453 = cat(_T_3452, _T_3347[6]) @[el2_lib.scala 310:69] - node _T_3454 = cat(_T_3453, _T_3451) @[el2_lib.scala 310:69] - node _T_3455 = cat(_T_3454, _T_3450) @[el2_lib.scala 310:69] - node _T_3456 = cat(_T_3347[10], _T_3347[9]) @[el2_lib.scala 310:69] - node _T_3457 = cat(_T_3347[13], _T_3347[12]) @[el2_lib.scala 310:69] - node _T_3458 = cat(_T_3457, _T_3347[11]) @[el2_lib.scala 310:69] - node _T_3459 = cat(_T_3458, _T_3456) @[el2_lib.scala 310:69] - node _T_3460 = cat(_T_3347[15], _T_3347[14]) @[el2_lib.scala 310:69] - node _T_3461 = cat(_T_3347[18], _T_3347[17]) @[el2_lib.scala 310:69] - node _T_3462 = cat(_T_3461, _T_3347[16]) @[el2_lib.scala 310:69] - node _T_3463 = cat(_T_3462, _T_3460) @[el2_lib.scala 310:69] - node _T_3464 = cat(_T_3463, _T_3459) @[el2_lib.scala 310:69] - node _T_3465 = cat(_T_3464, _T_3455) @[el2_lib.scala 310:69] - node _T_3466 = cat(_T_3347[20], _T_3347[19]) @[el2_lib.scala 310:69] - node _T_3467 = cat(_T_3347[23], _T_3347[22]) @[el2_lib.scala 310:69] - node _T_3468 = cat(_T_3467, _T_3347[21]) @[el2_lib.scala 310:69] - node _T_3469 = cat(_T_3468, _T_3466) @[el2_lib.scala 310:69] - node _T_3470 = cat(_T_3347[25], _T_3347[24]) @[el2_lib.scala 310:69] - node _T_3471 = cat(_T_3347[28], _T_3347[27]) @[el2_lib.scala 310:69] - node _T_3472 = cat(_T_3471, _T_3347[26]) @[el2_lib.scala 310:69] - node _T_3473 = cat(_T_3472, _T_3470) @[el2_lib.scala 310:69] - node _T_3474 = cat(_T_3473, _T_3469) @[el2_lib.scala 310:69] - node _T_3475 = cat(_T_3347[30], _T_3347[29]) @[el2_lib.scala 310:69] - node _T_3476 = cat(_T_3347[33], _T_3347[32]) @[el2_lib.scala 310:69] - node _T_3477 = cat(_T_3476, _T_3347[31]) @[el2_lib.scala 310:69] - node _T_3478 = cat(_T_3477, _T_3475) @[el2_lib.scala 310:69] - node _T_3479 = cat(_T_3347[35], _T_3347[34]) @[el2_lib.scala 310:69] - node _T_3480 = cat(_T_3347[38], _T_3347[37]) @[el2_lib.scala 310:69] - node _T_3481 = cat(_T_3480, _T_3347[36]) @[el2_lib.scala 310:69] - node _T_3482 = cat(_T_3481, _T_3479) @[el2_lib.scala 310:69] - node _T_3483 = cat(_T_3482, _T_3478) @[el2_lib.scala 310:69] - node _T_3484 = cat(_T_3483, _T_3474) @[el2_lib.scala 310:69] - node _T_3485 = cat(_T_3484, _T_3465) @[el2_lib.scala 310:69] - node _T_3486 = xor(_T_3485, _T_3446) @[el2_lib.scala 310:76] - node _T_3487 = mux(_T_3447, _T_3486, _T_3446) @[el2_lib.scala 310:31] - node _T_3488 = bits(_T_3487, 37, 32) @[el2_lib.scala 312:37] - node _T_3489 = bits(_T_3487, 30, 16) @[el2_lib.scala 312:61] - node _T_3490 = bits(_T_3487, 14, 8) @[el2_lib.scala 312:86] - node _T_3491 = bits(_T_3487, 6, 4) @[el2_lib.scala 312:110] - node _T_3492 = bits(_T_3487, 2, 2) @[el2_lib.scala 312:133] + node _T_3447 = bits(_T_3341, 0, 0) @[el2_lib.scala 309:49] + node _T_3448 = cat(_T_3347[1], _T_3347[0]) @[el2_lib.scala 309:69] + node _T_3449 = cat(_T_3347[3], _T_3347[2]) @[el2_lib.scala 309:69] + node _T_3450 = cat(_T_3449, _T_3448) @[el2_lib.scala 309:69] + node _T_3451 = cat(_T_3347[5], _T_3347[4]) @[el2_lib.scala 309:69] + node _T_3452 = cat(_T_3347[8], _T_3347[7]) @[el2_lib.scala 309:69] + node _T_3453 = cat(_T_3452, _T_3347[6]) @[el2_lib.scala 309:69] + node _T_3454 = cat(_T_3453, _T_3451) @[el2_lib.scala 309:69] + node _T_3455 = cat(_T_3454, _T_3450) @[el2_lib.scala 309:69] + node _T_3456 = cat(_T_3347[10], _T_3347[9]) @[el2_lib.scala 309:69] + node _T_3457 = cat(_T_3347[13], _T_3347[12]) @[el2_lib.scala 309:69] + node _T_3458 = cat(_T_3457, _T_3347[11]) @[el2_lib.scala 309:69] + node _T_3459 = cat(_T_3458, _T_3456) @[el2_lib.scala 309:69] + node _T_3460 = cat(_T_3347[15], _T_3347[14]) @[el2_lib.scala 309:69] + node _T_3461 = cat(_T_3347[18], _T_3347[17]) @[el2_lib.scala 309:69] + node _T_3462 = cat(_T_3461, _T_3347[16]) @[el2_lib.scala 309:69] + node _T_3463 = cat(_T_3462, _T_3460) @[el2_lib.scala 309:69] + node _T_3464 = cat(_T_3463, _T_3459) @[el2_lib.scala 309:69] + node _T_3465 = cat(_T_3464, _T_3455) @[el2_lib.scala 309:69] + node _T_3466 = cat(_T_3347[20], _T_3347[19]) @[el2_lib.scala 309:69] + node _T_3467 = cat(_T_3347[23], _T_3347[22]) @[el2_lib.scala 309:69] + node _T_3468 = cat(_T_3467, _T_3347[21]) @[el2_lib.scala 309:69] + node _T_3469 = cat(_T_3468, _T_3466) @[el2_lib.scala 309:69] + node _T_3470 = cat(_T_3347[25], _T_3347[24]) @[el2_lib.scala 309:69] + node _T_3471 = cat(_T_3347[28], _T_3347[27]) @[el2_lib.scala 309:69] + node _T_3472 = cat(_T_3471, _T_3347[26]) @[el2_lib.scala 309:69] + node _T_3473 = cat(_T_3472, _T_3470) @[el2_lib.scala 309:69] + node _T_3474 = cat(_T_3473, _T_3469) @[el2_lib.scala 309:69] + node _T_3475 = cat(_T_3347[30], _T_3347[29]) @[el2_lib.scala 309:69] + node _T_3476 = cat(_T_3347[33], _T_3347[32]) @[el2_lib.scala 309:69] + node _T_3477 = cat(_T_3476, _T_3347[31]) @[el2_lib.scala 309:69] + node _T_3478 = cat(_T_3477, _T_3475) @[el2_lib.scala 309:69] + node _T_3479 = cat(_T_3347[35], _T_3347[34]) @[el2_lib.scala 309:69] + node _T_3480 = cat(_T_3347[38], _T_3347[37]) @[el2_lib.scala 309:69] + node _T_3481 = cat(_T_3480, _T_3347[36]) @[el2_lib.scala 309:69] + node _T_3482 = cat(_T_3481, _T_3479) @[el2_lib.scala 309:69] + node _T_3483 = cat(_T_3482, _T_3478) @[el2_lib.scala 309:69] + node _T_3484 = cat(_T_3483, _T_3474) @[el2_lib.scala 309:69] + node _T_3485 = cat(_T_3484, _T_3465) @[el2_lib.scala 309:69] + node _T_3486 = xor(_T_3485, _T_3446) @[el2_lib.scala 309:76] + node _T_3487 = mux(_T_3447, _T_3486, _T_3446) @[el2_lib.scala 309:31] + node _T_3488 = bits(_T_3487, 37, 32) @[el2_lib.scala 311:37] + node _T_3489 = bits(_T_3487, 30, 16) @[el2_lib.scala 311:61] + node _T_3490 = bits(_T_3487, 14, 8) @[el2_lib.scala 311:86] + node _T_3491 = bits(_T_3487, 6, 4) @[el2_lib.scala 311:110] + node _T_3492 = bits(_T_3487, 2, 2) @[el2_lib.scala 311:133] node _T_3493 = cat(_T_3491, _T_3492) @[Cat.scala 29:58] node _T_3494 = cat(_T_3488, _T_3489) @[Cat.scala 29:58] node _T_3495 = cat(_T_3494, _T_3490) @[Cat.scala 29:58] node _T_3496 = cat(_T_3495, _T_3493) @[Cat.scala 29:58] - node _T_3497 = bits(_T_3487, 38, 38) @[el2_lib.scala 313:39] - node _T_3498 = bits(_T_3337, 6, 0) @[el2_lib.scala 313:56] - node _T_3499 = eq(_T_3498, UInt<7>("h040")) @[el2_lib.scala 313:62] - node _T_3500 = xor(_T_3497, _T_3499) @[el2_lib.scala 313:44] - node _T_3501 = bits(_T_3487, 31, 31) @[el2_lib.scala 313:102] - node _T_3502 = bits(_T_3487, 15, 15) @[el2_lib.scala 313:124] - node _T_3503 = bits(_T_3487, 7, 7) @[el2_lib.scala 313:146] - node _T_3504 = bits(_T_3487, 3, 3) @[el2_lib.scala 313:167] - node _T_3505 = bits(_T_3487, 1, 0) @[el2_lib.scala 313:188] + node _T_3497 = bits(_T_3487, 38, 38) @[el2_lib.scala 312:39] + node _T_3498 = bits(_T_3337, 6, 0) @[el2_lib.scala 312:56] + node _T_3499 = eq(_T_3498, UInt<7>("h040")) @[el2_lib.scala 312:62] + node _T_3500 = xor(_T_3497, _T_3499) @[el2_lib.scala 312:44] + node _T_3501 = bits(_T_3487, 31, 31) @[el2_lib.scala 312:102] + node _T_3502 = bits(_T_3487, 15, 15) @[el2_lib.scala 312:124] + node _T_3503 = bits(_T_3487, 7, 7) @[el2_lib.scala 312:146] + node _T_3504 = bits(_T_3487, 3, 3) @[el2_lib.scala 312:167] + node _T_3505 = bits(_T_3487, 1, 0) @[el2_lib.scala 312:188] node _T_3506 = cat(_T_3503, _T_3504) @[Cat.scala 29:58] node _T_3507 = cat(_T_3506, _T_3505) @[Cat.scala 29:58] node _T_3508 = cat(_T_3500, _T_3501) @[Cat.scala 29:58] node _T_3509 = cat(_T_3508, _T_3502) @[Cat.scala 29:58] node _T_3510 = cat(_T_3509, _T_3507) @[Cat.scala 29:58] - node _T_3511 = bits(iccm_ecc_word_enable, 1, 1) @[el2_ifu_mem_ctl.scala 672:73] - node _T_3512 = bits(io.iccm_rd_data_ecc, 70, 39) @[el2_ifu_mem_ctl.scala 672:93] - node _T_3513 = bits(io.iccm_rd_data_ecc, 77, 71) @[el2_ifu_mem_ctl.scala 672:128] - wire _T_3514 : UInt<1>[18] @[el2_lib.scala 281:18] - wire _T_3515 : UInt<1>[18] @[el2_lib.scala 282:18] - wire _T_3516 : UInt<1>[18] @[el2_lib.scala 283:18] - wire _T_3517 : UInt<1>[15] @[el2_lib.scala 284:18] - wire _T_3518 : UInt<1>[15] @[el2_lib.scala 285:18] - wire _T_3519 : UInt<1>[6] @[el2_lib.scala 286:18] - node _T_3520 = bits(_T_3512, 0, 0) @[el2_lib.scala 293:36] - _T_3514[0] <= _T_3520 @[el2_lib.scala 293:30] - node _T_3521 = bits(_T_3512, 0, 0) @[el2_lib.scala 294:36] - _T_3515[0] <= _T_3521 @[el2_lib.scala 294:30] - node _T_3522 = bits(_T_3512, 1, 1) @[el2_lib.scala 293:36] - _T_3514[1] <= _T_3522 @[el2_lib.scala 293:30] - node _T_3523 = bits(_T_3512, 1, 1) @[el2_lib.scala 295:36] - _T_3516[0] <= _T_3523 @[el2_lib.scala 295:30] - node _T_3524 = bits(_T_3512, 2, 2) @[el2_lib.scala 294:36] - _T_3515[1] <= _T_3524 @[el2_lib.scala 294:30] - node _T_3525 = bits(_T_3512, 2, 2) @[el2_lib.scala 295:36] - _T_3516[1] <= _T_3525 @[el2_lib.scala 295:30] - node _T_3526 = bits(_T_3512, 3, 3) @[el2_lib.scala 293:36] - _T_3514[2] <= _T_3526 @[el2_lib.scala 293:30] - node _T_3527 = bits(_T_3512, 3, 3) @[el2_lib.scala 294:36] - _T_3515[2] <= _T_3527 @[el2_lib.scala 294:30] - node _T_3528 = bits(_T_3512, 3, 3) @[el2_lib.scala 295:36] - _T_3516[2] <= _T_3528 @[el2_lib.scala 295:30] - node _T_3529 = bits(_T_3512, 4, 4) @[el2_lib.scala 293:36] - _T_3514[3] <= _T_3529 @[el2_lib.scala 293:30] - node _T_3530 = bits(_T_3512, 4, 4) @[el2_lib.scala 296:36] - _T_3517[0] <= _T_3530 @[el2_lib.scala 296:30] - node _T_3531 = bits(_T_3512, 5, 5) @[el2_lib.scala 294:36] - _T_3515[3] <= _T_3531 @[el2_lib.scala 294:30] - node _T_3532 = bits(_T_3512, 5, 5) @[el2_lib.scala 296:36] - _T_3517[1] <= _T_3532 @[el2_lib.scala 296:30] - node _T_3533 = bits(_T_3512, 6, 6) @[el2_lib.scala 293:36] - _T_3514[4] <= _T_3533 @[el2_lib.scala 293:30] - node _T_3534 = bits(_T_3512, 6, 6) @[el2_lib.scala 294:36] - _T_3515[4] <= _T_3534 @[el2_lib.scala 294:30] - node _T_3535 = bits(_T_3512, 6, 6) @[el2_lib.scala 296:36] - _T_3517[2] <= _T_3535 @[el2_lib.scala 296:30] - node _T_3536 = bits(_T_3512, 7, 7) @[el2_lib.scala 295:36] - _T_3516[3] <= _T_3536 @[el2_lib.scala 295:30] - node _T_3537 = bits(_T_3512, 7, 7) @[el2_lib.scala 296:36] - _T_3517[3] <= _T_3537 @[el2_lib.scala 296:30] - node _T_3538 = bits(_T_3512, 8, 8) @[el2_lib.scala 293:36] - _T_3514[5] <= _T_3538 @[el2_lib.scala 293:30] - node _T_3539 = bits(_T_3512, 8, 8) @[el2_lib.scala 295:36] - _T_3516[4] <= _T_3539 @[el2_lib.scala 295:30] - node _T_3540 = bits(_T_3512, 8, 8) @[el2_lib.scala 296:36] - _T_3517[4] <= _T_3540 @[el2_lib.scala 296:30] - node _T_3541 = bits(_T_3512, 9, 9) @[el2_lib.scala 294:36] - _T_3515[5] <= _T_3541 @[el2_lib.scala 294:30] - node _T_3542 = bits(_T_3512, 9, 9) @[el2_lib.scala 295:36] - _T_3516[5] <= _T_3542 @[el2_lib.scala 295:30] - node _T_3543 = bits(_T_3512, 9, 9) @[el2_lib.scala 296:36] - _T_3517[5] <= _T_3543 @[el2_lib.scala 296:30] - node _T_3544 = bits(_T_3512, 10, 10) @[el2_lib.scala 293:36] - _T_3514[6] <= _T_3544 @[el2_lib.scala 293:30] - node _T_3545 = bits(_T_3512, 10, 10) @[el2_lib.scala 294:36] - _T_3515[6] <= _T_3545 @[el2_lib.scala 294:30] - node _T_3546 = bits(_T_3512, 10, 10) @[el2_lib.scala 295:36] - _T_3516[6] <= _T_3546 @[el2_lib.scala 295:30] - node _T_3547 = bits(_T_3512, 10, 10) @[el2_lib.scala 296:36] - _T_3517[6] <= _T_3547 @[el2_lib.scala 296:30] - node _T_3548 = bits(_T_3512, 11, 11) @[el2_lib.scala 293:36] - _T_3514[7] <= _T_3548 @[el2_lib.scala 293:30] - node _T_3549 = bits(_T_3512, 11, 11) @[el2_lib.scala 297:36] - _T_3518[0] <= _T_3549 @[el2_lib.scala 297:30] - node _T_3550 = bits(_T_3512, 12, 12) @[el2_lib.scala 294:36] - _T_3515[7] <= _T_3550 @[el2_lib.scala 294:30] - node _T_3551 = bits(_T_3512, 12, 12) @[el2_lib.scala 297:36] - _T_3518[1] <= _T_3551 @[el2_lib.scala 297:30] - node _T_3552 = bits(_T_3512, 13, 13) @[el2_lib.scala 293:36] - _T_3514[8] <= _T_3552 @[el2_lib.scala 293:30] - node _T_3553 = bits(_T_3512, 13, 13) @[el2_lib.scala 294:36] - _T_3515[8] <= _T_3553 @[el2_lib.scala 294:30] - node _T_3554 = bits(_T_3512, 13, 13) @[el2_lib.scala 297:36] - _T_3518[2] <= _T_3554 @[el2_lib.scala 297:30] - node _T_3555 = bits(_T_3512, 14, 14) @[el2_lib.scala 295:36] - _T_3516[7] <= _T_3555 @[el2_lib.scala 295:30] - node _T_3556 = bits(_T_3512, 14, 14) @[el2_lib.scala 297:36] - _T_3518[3] <= _T_3556 @[el2_lib.scala 297:30] - node _T_3557 = bits(_T_3512, 15, 15) @[el2_lib.scala 293:36] - _T_3514[9] <= _T_3557 @[el2_lib.scala 293:30] - node _T_3558 = bits(_T_3512, 15, 15) @[el2_lib.scala 295:36] - _T_3516[8] <= _T_3558 @[el2_lib.scala 295:30] - node _T_3559 = bits(_T_3512, 15, 15) @[el2_lib.scala 297:36] - _T_3518[4] <= _T_3559 @[el2_lib.scala 297:30] - node _T_3560 = bits(_T_3512, 16, 16) @[el2_lib.scala 294:36] - _T_3515[9] <= _T_3560 @[el2_lib.scala 294:30] - node _T_3561 = bits(_T_3512, 16, 16) @[el2_lib.scala 295:36] - _T_3516[9] <= _T_3561 @[el2_lib.scala 295:30] - node _T_3562 = bits(_T_3512, 16, 16) @[el2_lib.scala 297:36] - _T_3518[5] <= _T_3562 @[el2_lib.scala 297:30] - node _T_3563 = bits(_T_3512, 17, 17) @[el2_lib.scala 293:36] - _T_3514[10] <= _T_3563 @[el2_lib.scala 293:30] - node _T_3564 = bits(_T_3512, 17, 17) @[el2_lib.scala 294:36] - _T_3515[10] <= _T_3564 @[el2_lib.scala 294:30] - node _T_3565 = bits(_T_3512, 17, 17) @[el2_lib.scala 295:36] - _T_3516[10] <= _T_3565 @[el2_lib.scala 295:30] - node _T_3566 = bits(_T_3512, 17, 17) @[el2_lib.scala 297:36] - _T_3518[6] <= _T_3566 @[el2_lib.scala 297:30] - node _T_3567 = bits(_T_3512, 18, 18) @[el2_lib.scala 296:36] - _T_3517[7] <= _T_3567 @[el2_lib.scala 296:30] - node _T_3568 = bits(_T_3512, 18, 18) @[el2_lib.scala 297:36] - _T_3518[7] <= _T_3568 @[el2_lib.scala 297:30] - node _T_3569 = bits(_T_3512, 19, 19) @[el2_lib.scala 293:36] - _T_3514[11] <= _T_3569 @[el2_lib.scala 293:30] - node _T_3570 = bits(_T_3512, 19, 19) @[el2_lib.scala 296:36] - _T_3517[8] <= _T_3570 @[el2_lib.scala 296:30] - node _T_3571 = bits(_T_3512, 19, 19) @[el2_lib.scala 297:36] - _T_3518[8] <= _T_3571 @[el2_lib.scala 297:30] - node _T_3572 = bits(_T_3512, 20, 20) @[el2_lib.scala 294:36] - _T_3515[11] <= _T_3572 @[el2_lib.scala 294:30] - node _T_3573 = bits(_T_3512, 20, 20) @[el2_lib.scala 296:36] - _T_3517[9] <= _T_3573 @[el2_lib.scala 296:30] - node _T_3574 = bits(_T_3512, 20, 20) @[el2_lib.scala 297:36] - _T_3518[9] <= _T_3574 @[el2_lib.scala 297:30] - node _T_3575 = bits(_T_3512, 21, 21) @[el2_lib.scala 293:36] - _T_3514[12] <= _T_3575 @[el2_lib.scala 293:30] - node _T_3576 = bits(_T_3512, 21, 21) @[el2_lib.scala 294:36] - _T_3515[12] <= _T_3576 @[el2_lib.scala 294:30] - node _T_3577 = bits(_T_3512, 21, 21) @[el2_lib.scala 296:36] - _T_3517[10] <= _T_3577 @[el2_lib.scala 296:30] - node _T_3578 = bits(_T_3512, 21, 21) @[el2_lib.scala 297:36] - _T_3518[10] <= _T_3578 @[el2_lib.scala 297:30] - node _T_3579 = bits(_T_3512, 22, 22) @[el2_lib.scala 295:36] - _T_3516[11] <= _T_3579 @[el2_lib.scala 295:30] - node _T_3580 = bits(_T_3512, 22, 22) @[el2_lib.scala 296:36] - _T_3517[11] <= _T_3580 @[el2_lib.scala 296:30] - node _T_3581 = bits(_T_3512, 22, 22) @[el2_lib.scala 297:36] - _T_3518[11] <= _T_3581 @[el2_lib.scala 297:30] - node _T_3582 = bits(_T_3512, 23, 23) @[el2_lib.scala 293:36] - _T_3514[13] <= _T_3582 @[el2_lib.scala 293:30] - node _T_3583 = bits(_T_3512, 23, 23) @[el2_lib.scala 295:36] - _T_3516[12] <= _T_3583 @[el2_lib.scala 295:30] - node _T_3584 = bits(_T_3512, 23, 23) @[el2_lib.scala 296:36] - _T_3517[12] <= _T_3584 @[el2_lib.scala 296:30] - node _T_3585 = bits(_T_3512, 23, 23) @[el2_lib.scala 297:36] - _T_3518[12] <= _T_3585 @[el2_lib.scala 297:30] - node _T_3586 = bits(_T_3512, 24, 24) @[el2_lib.scala 294:36] - _T_3515[13] <= _T_3586 @[el2_lib.scala 294:30] - node _T_3587 = bits(_T_3512, 24, 24) @[el2_lib.scala 295:36] - _T_3516[13] <= _T_3587 @[el2_lib.scala 295:30] - node _T_3588 = bits(_T_3512, 24, 24) @[el2_lib.scala 296:36] - _T_3517[13] <= _T_3588 @[el2_lib.scala 296:30] - node _T_3589 = bits(_T_3512, 24, 24) @[el2_lib.scala 297:36] - _T_3518[13] <= _T_3589 @[el2_lib.scala 297:30] - node _T_3590 = bits(_T_3512, 25, 25) @[el2_lib.scala 293:36] - _T_3514[14] <= _T_3590 @[el2_lib.scala 293:30] - node _T_3591 = bits(_T_3512, 25, 25) @[el2_lib.scala 294:36] - _T_3515[14] <= _T_3591 @[el2_lib.scala 294:30] - node _T_3592 = bits(_T_3512, 25, 25) @[el2_lib.scala 295:36] - _T_3516[14] <= _T_3592 @[el2_lib.scala 295:30] - node _T_3593 = bits(_T_3512, 25, 25) @[el2_lib.scala 296:36] - _T_3517[14] <= _T_3593 @[el2_lib.scala 296:30] - node _T_3594 = bits(_T_3512, 25, 25) @[el2_lib.scala 297:36] - _T_3518[14] <= _T_3594 @[el2_lib.scala 297:30] - node _T_3595 = bits(_T_3512, 26, 26) @[el2_lib.scala 293:36] - _T_3514[15] <= _T_3595 @[el2_lib.scala 293:30] - node _T_3596 = bits(_T_3512, 26, 26) @[el2_lib.scala 298:36] - _T_3519[0] <= _T_3596 @[el2_lib.scala 298:30] - node _T_3597 = bits(_T_3512, 27, 27) @[el2_lib.scala 294:36] - _T_3515[15] <= _T_3597 @[el2_lib.scala 294:30] - node _T_3598 = bits(_T_3512, 27, 27) @[el2_lib.scala 298:36] - _T_3519[1] <= _T_3598 @[el2_lib.scala 298:30] - node _T_3599 = bits(_T_3512, 28, 28) @[el2_lib.scala 293:36] - _T_3514[16] <= _T_3599 @[el2_lib.scala 293:30] - node _T_3600 = bits(_T_3512, 28, 28) @[el2_lib.scala 294:36] - _T_3515[16] <= _T_3600 @[el2_lib.scala 294:30] - node _T_3601 = bits(_T_3512, 28, 28) @[el2_lib.scala 298:36] - _T_3519[2] <= _T_3601 @[el2_lib.scala 298:30] - node _T_3602 = bits(_T_3512, 29, 29) @[el2_lib.scala 295:36] - _T_3516[15] <= _T_3602 @[el2_lib.scala 295:30] - node _T_3603 = bits(_T_3512, 29, 29) @[el2_lib.scala 298:36] - _T_3519[3] <= _T_3603 @[el2_lib.scala 298:30] - node _T_3604 = bits(_T_3512, 30, 30) @[el2_lib.scala 293:36] - _T_3514[17] <= _T_3604 @[el2_lib.scala 293:30] - node _T_3605 = bits(_T_3512, 30, 30) @[el2_lib.scala 295:36] - _T_3516[16] <= _T_3605 @[el2_lib.scala 295:30] - node _T_3606 = bits(_T_3512, 30, 30) @[el2_lib.scala 298:36] - _T_3519[4] <= _T_3606 @[el2_lib.scala 298:30] - node _T_3607 = bits(_T_3512, 31, 31) @[el2_lib.scala 294:36] - _T_3515[17] <= _T_3607 @[el2_lib.scala 294:30] - node _T_3608 = bits(_T_3512, 31, 31) @[el2_lib.scala 295:36] - _T_3516[17] <= _T_3608 @[el2_lib.scala 295:30] - node _T_3609 = bits(_T_3512, 31, 31) @[el2_lib.scala 298:36] - _T_3519[5] <= _T_3609 @[el2_lib.scala 298:30] - node _T_3610 = xorr(_T_3512) @[el2_lib.scala 301:30] - node _T_3611 = xorr(_T_3513) @[el2_lib.scala 301:44] - node _T_3612 = xor(_T_3610, _T_3611) @[el2_lib.scala 301:35] - node _T_3613 = not(UInt<1>("h00")) @[el2_lib.scala 301:52] - node _T_3614 = and(_T_3612, _T_3613) @[el2_lib.scala 301:50] - node _T_3615 = bits(_T_3513, 5, 5) @[el2_lib.scala 301:68] - node _T_3616 = cat(_T_3519[2], _T_3519[1]) @[el2_lib.scala 301:76] - node _T_3617 = cat(_T_3616, _T_3519[0]) @[el2_lib.scala 301:76] - node _T_3618 = cat(_T_3519[5], _T_3519[4]) @[el2_lib.scala 301:76] - node _T_3619 = cat(_T_3618, _T_3519[3]) @[el2_lib.scala 301:76] - node _T_3620 = cat(_T_3619, _T_3617) @[el2_lib.scala 301:76] - node _T_3621 = xorr(_T_3620) @[el2_lib.scala 301:83] - node _T_3622 = xor(_T_3615, _T_3621) @[el2_lib.scala 301:71] - node _T_3623 = bits(_T_3513, 4, 4) @[el2_lib.scala 301:95] - node _T_3624 = cat(_T_3518[2], _T_3518[1]) @[el2_lib.scala 301:103] - node _T_3625 = cat(_T_3624, _T_3518[0]) @[el2_lib.scala 301:103] - node _T_3626 = cat(_T_3518[4], _T_3518[3]) @[el2_lib.scala 301:103] - node _T_3627 = cat(_T_3518[6], _T_3518[5]) @[el2_lib.scala 301:103] - node _T_3628 = cat(_T_3627, _T_3626) @[el2_lib.scala 301:103] - node _T_3629 = cat(_T_3628, _T_3625) @[el2_lib.scala 301:103] - node _T_3630 = cat(_T_3518[8], _T_3518[7]) @[el2_lib.scala 301:103] - node _T_3631 = cat(_T_3518[10], _T_3518[9]) @[el2_lib.scala 301:103] - node _T_3632 = cat(_T_3631, _T_3630) @[el2_lib.scala 301:103] - node _T_3633 = cat(_T_3518[12], _T_3518[11]) @[el2_lib.scala 301:103] - node _T_3634 = cat(_T_3518[14], _T_3518[13]) @[el2_lib.scala 301:103] - node _T_3635 = cat(_T_3634, _T_3633) @[el2_lib.scala 301:103] - node _T_3636 = cat(_T_3635, _T_3632) @[el2_lib.scala 301:103] - node _T_3637 = cat(_T_3636, _T_3629) @[el2_lib.scala 301:103] - node _T_3638 = xorr(_T_3637) @[el2_lib.scala 301:110] - node _T_3639 = xor(_T_3623, _T_3638) @[el2_lib.scala 301:98] - node _T_3640 = bits(_T_3513, 3, 3) @[el2_lib.scala 301:122] - node _T_3641 = cat(_T_3517[2], _T_3517[1]) @[el2_lib.scala 301:130] - node _T_3642 = cat(_T_3641, _T_3517[0]) @[el2_lib.scala 301:130] - node _T_3643 = cat(_T_3517[4], _T_3517[3]) @[el2_lib.scala 301:130] - node _T_3644 = cat(_T_3517[6], _T_3517[5]) @[el2_lib.scala 301:130] - node _T_3645 = cat(_T_3644, _T_3643) @[el2_lib.scala 301:130] - node _T_3646 = cat(_T_3645, _T_3642) @[el2_lib.scala 301:130] - node _T_3647 = cat(_T_3517[8], _T_3517[7]) @[el2_lib.scala 301:130] - node _T_3648 = cat(_T_3517[10], _T_3517[9]) @[el2_lib.scala 301:130] - node _T_3649 = cat(_T_3648, _T_3647) @[el2_lib.scala 301:130] - node _T_3650 = cat(_T_3517[12], _T_3517[11]) @[el2_lib.scala 301:130] - node _T_3651 = cat(_T_3517[14], _T_3517[13]) @[el2_lib.scala 301:130] - node _T_3652 = cat(_T_3651, _T_3650) @[el2_lib.scala 301:130] - node _T_3653 = cat(_T_3652, _T_3649) @[el2_lib.scala 301:130] - node _T_3654 = cat(_T_3653, _T_3646) @[el2_lib.scala 301:130] - node _T_3655 = xorr(_T_3654) @[el2_lib.scala 301:137] - node _T_3656 = xor(_T_3640, _T_3655) @[el2_lib.scala 301:125] - node _T_3657 = bits(_T_3513, 2, 2) @[el2_lib.scala 301:149] - node _T_3658 = cat(_T_3516[1], _T_3516[0]) @[el2_lib.scala 301:157] - node _T_3659 = cat(_T_3516[3], _T_3516[2]) @[el2_lib.scala 301:157] - node _T_3660 = cat(_T_3659, _T_3658) @[el2_lib.scala 301:157] - node _T_3661 = cat(_T_3516[5], _T_3516[4]) @[el2_lib.scala 301:157] - node _T_3662 = cat(_T_3516[8], _T_3516[7]) @[el2_lib.scala 301:157] - node _T_3663 = cat(_T_3662, _T_3516[6]) @[el2_lib.scala 301:157] - node _T_3664 = cat(_T_3663, _T_3661) @[el2_lib.scala 301:157] - node _T_3665 = cat(_T_3664, _T_3660) @[el2_lib.scala 301:157] - node _T_3666 = cat(_T_3516[10], _T_3516[9]) @[el2_lib.scala 301:157] - node _T_3667 = cat(_T_3516[12], _T_3516[11]) @[el2_lib.scala 301:157] - node _T_3668 = cat(_T_3667, _T_3666) @[el2_lib.scala 301:157] - node _T_3669 = cat(_T_3516[14], _T_3516[13]) @[el2_lib.scala 301:157] - node _T_3670 = cat(_T_3516[17], _T_3516[16]) @[el2_lib.scala 301:157] - node _T_3671 = cat(_T_3670, _T_3516[15]) @[el2_lib.scala 301:157] - node _T_3672 = cat(_T_3671, _T_3669) @[el2_lib.scala 301:157] - node _T_3673 = cat(_T_3672, _T_3668) @[el2_lib.scala 301:157] - node _T_3674 = cat(_T_3673, _T_3665) @[el2_lib.scala 301:157] - node _T_3675 = xorr(_T_3674) @[el2_lib.scala 301:164] - node _T_3676 = xor(_T_3657, _T_3675) @[el2_lib.scala 301:152] - node _T_3677 = bits(_T_3513, 1, 1) @[el2_lib.scala 301:176] - node _T_3678 = cat(_T_3515[1], _T_3515[0]) @[el2_lib.scala 301:184] - node _T_3679 = cat(_T_3515[3], _T_3515[2]) @[el2_lib.scala 301:184] - node _T_3680 = cat(_T_3679, _T_3678) @[el2_lib.scala 301:184] - node _T_3681 = cat(_T_3515[5], _T_3515[4]) @[el2_lib.scala 301:184] - node _T_3682 = cat(_T_3515[8], _T_3515[7]) @[el2_lib.scala 301:184] - node _T_3683 = cat(_T_3682, _T_3515[6]) @[el2_lib.scala 301:184] - node _T_3684 = cat(_T_3683, _T_3681) @[el2_lib.scala 301:184] - node _T_3685 = cat(_T_3684, _T_3680) @[el2_lib.scala 301:184] - node _T_3686 = cat(_T_3515[10], _T_3515[9]) @[el2_lib.scala 301:184] - node _T_3687 = cat(_T_3515[12], _T_3515[11]) @[el2_lib.scala 301:184] - node _T_3688 = cat(_T_3687, _T_3686) @[el2_lib.scala 301:184] - node _T_3689 = cat(_T_3515[14], _T_3515[13]) @[el2_lib.scala 301:184] - node _T_3690 = cat(_T_3515[17], _T_3515[16]) @[el2_lib.scala 301:184] - node _T_3691 = cat(_T_3690, _T_3515[15]) @[el2_lib.scala 301:184] - node _T_3692 = cat(_T_3691, _T_3689) @[el2_lib.scala 301:184] - node _T_3693 = cat(_T_3692, _T_3688) @[el2_lib.scala 301:184] - node _T_3694 = cat(_T_3693, _T_3685) @[el2_lib.scala 301:184] - node _T_3695 = xorr(_T_3694) @[el2_lib.scala 301:191] - node _T_3696 = xor(_T_3677, _T_3695) @[el2_lib.scala 301:179] - node _T_3697 = bits(_T_3513, 0, 0) @[el2_lib.scala 301:203] - node _T_3698 = cat(_T_3514[1], _T_3514[0]) @[el2_lib.scala 301:211] - node _T_3699 = cat(_T_3514[3], _T_3514[2]) @[el2_lib.scala 301:211] - node _T_3700 = cat(_T_3699, _T_3698) @[el2_lib.scala 301:211] - node _T_3701 = cat(_T_3514[5], _T_3514[4]) @[el2_lib.scala 301:211] - node _T_3702 = cat(_T_3514[8], _T_3514[7]) @[el2_lib.scala 301:211] - node _T_3703 = cat(_T_3702, _T_3514[6]) @[el2_lib.scala 301:211] - node _T_3704 = cat(_T_3703, _T_3701) @[el2_lib.scala 301:211] - node _T_3705 = cat(_T_3704, _T_3700) @[el2_lib.scala 301:211] - node _T_3706 = cat(_T_3514[10], _T_3514[9]) @[el2_lib.scala 301:211] - node _T_3707 = cat(_T_3514[12], _T_3514[11]) @[el2_lib.scala 301:211] - node _T_3708 = cat(_T_3707, _T_3706) @[el2_lib.scala 301:211] - node _T_3709 = cat(_T_3514[14], _T_3514[13]) @[el2_lib.scala 301:211] - node _T_3710 = cat(_T_3514[17], _T_3514[16]) @[el2_lib.scala 301:211] - node _T_3711 = cat(_T_3710, _T_3514[15]) @[el2_lib.scala 301:211] - node _T_3712 = cat(_T_3711, _T_3709) @[el2_lib.scala 301:211] - node _T_3713 = cat(_T_3712, _T_3708) @[el2_lib.scala 301:211] - node _T_3714 = cat(_T_3713, _T_3705) @[el2_lib.scala 301:211] - node _T_3715 = xorr(_T_3714) @[el2_lib.scala 301:218] - node _T_3716 = xor(_T_3697, _T_3715) @[el2_lib.scala 301:206] + node _T_3511 = bits(iccm_ecc_word_enable, 1, 1) @[el2_ifu_mem_ctl.scala 667:73] + node _T_3512 = bits(io.iccm_rd_data_ecc, 70, 39) @[el2_ifu_mem_ctl.scala 667:93] + node _T_3513 = bits(io.iccm_rd_data_ecc, 77, 71) @[el2_ifu_mem_ctl.scala 667:128] + wire _T_3514 : UInt<1>[18] @[el2_lib.scala 280:18] + wire _T_3515 : UInt<1>[18] @[el2_lib.scala 281:18] + wire _T_3516 : UInt<1>[18] @[el2_lib.scala 282:18] + wire _T_3517 : UInt<1>[15] @[el2_lib.scala 283:18] + wire _T_3518 : UInt<1>[15] @[el2_lib.scala 284:18] + wire _T_3519 : UInt<1>[6] @[el2_lib.scala 285:18] + node _T_3520 = bits(_T_3512, 0, 0) @[el2_lib.scala 292:36] + _T_3514[0] <= _T_3520 @[el2_lib.scala 292:30] + node _T_3521 = bits(_T_3512, 0, 0) @[el2_lib.scala 293:36] + _T_3515[0] <= _T_3521 @[el2_lib.scala 293:30] + node _T_3522 = bits(_T_3512, 1, 1) @[el2_lib.scala 292:36] + _T_3514[1] <= _T_3522 @[el2_lib.scala 292:30] + node _T_3523 = bits(_T_3512, 1, 1) @[el2_lib.scala 294:36] + _T_3516[0] <= _T_3523 @[el2_lib.scala 294:30] + node _T_3524 = bits(_T_3512, 2, 2) @[el2_lib.scala 293:36] + _T_3515[1] <= _T_3524 @[el2_lib.scala 293:30] + node _T_3525 = bits(_T_3512, 2, 2) @[el2_lib.scala 294:36] + _T_3516[1] <= _T_3525 @[el2_lib.scala 294:30] + node _T_3526 = bits(_T_3512, 3, 3) @[el2_lib.scala 292:36] + _T_3514[2] <= _T_3526 @[el2_lib.scala 292:30] + node _T_3527 = bits(_T_3512, 3, 3) @[el2_lib.scala 293:36] + _T_3515[2] <= _T_3527 @[el2_lib.scala 293:30] + node _T_3528 = bits(_T_3512, 3, 3) @[el2_lib.scala 294:36] + _T_3516[2] <= _T_3528 @[el2_lib.scala 294:30] + node _T_3529 = bits(_T_3512, 4, 4) @[el2_lib.scala 292:36] + _T_3514[3] <= _T_3529 @[el2_lib.scala 292:30] + node _T_3530 = bits(_T_3512, 4, 4) @[el2_lib.scala 295:36] + _T_3517[0] <= _T_3530 @[el2_lib.scala 295:30] + node _T_3531 = bits(_T_3512, 5, 5) @[el2_lib.scala 293:36] + _T_3515[3] <= _T_3531 @[el2_lib.scala 293:30] + node _T_3532 = bits(_T_3512, 5, 5) @[el2_lib.scala 295:36] + _T_3517[1] <= _T_3532 @[el2_lib.scala 295:30] + node _T_3533 = bits(_T_3512, 6, 6) @[el2_lib.scala 292:36] + _T_3514[4] <= _T_3533 @[el2_lib.scala 292:30] + node _T_3534 = bits(_T_3512, 6, 6) @[el2_lib.scala 293:36] + _T_3515[4] <= _T_3534 @[el2_lib.scala 293:30] + node _T_3535 = bits(_T_3512, 6, 6) @[el2_lib.scala 295:36] + _T_3517[2] <= _T_3535 @[el2_lib.scala 295:30] + node _T_3536 = bits(_T_3512, 7, 7) @[el2_lib.scala 294:36] + _T_3516[3] <= _T_3536 @[el2_lib.scala 294:30] + node _T_3537 = bits(_T_3512, 7, 7) @[el2_lib.scala 295:36] + _T_3517[3] <= _T_3537 @[el2_lib.scala 295:30] + node _T_3538 = bits(_T_3512, 8, 8) @[el2_lib.scala 292:36] + _T_3514[5] <= _T_3538 @[el2_lib.scala 292:30] + node _T_3539 = bits(_T_3512, 8, 8) @[el2_lib.scala 294:36] + _T_3516[4] <= _T_3539 @[el2_lib.scala 294:30] + node _T_3540 = bits(_T_3512, 8, 8) @[el2_lib.scala 295:36] + _T_3517[4] <= _T_3540 @[el2_lib.scala 295:30] + node _T_3541 = bits(_T_3512, 9, 9) @[el2_lib.scala 293:36] + _T_3515[5] <= _T_3541 @[el2_lib.scala 293:30] + node _T_3542 = bits(_T_3512, 9, 9) @[el2_lib.scala 294:36] + _T_3516[5] <= _T_3542 @[el2_lib.scala 294:30] + node _T_3543 = bits(_T_3512, 9, 9) @[el2_lib.scala 295:36] + _T_3517[5] <= _T_3543 @[el2_lib.scala 295:30] + node _T_3544 = bits(_T_3512, 10, 10) @[el2_lib.scala 292:36] + _T_3514[6] <= _T_3544 @[el2_lib.scala 292:30] + node _T_3545 = bits(_T_3512, 10, 10) @[el2_lib.scala 293:36] + _T_3515[6] <= _T_3545 @[el2_lib.scala 293:30] + node _T_3546 = bits(_T_3512, 10, 10) @[el2_lib.scala 294:36] + _T_3516[6] <= _T_3546 @[el2_lib.scala 294:30] + node _T_3547 = bits(_T_3512, 10, 10) @[el2_lib.scala 295:36] + _T_3517[6] <= _T_3547 @[el2_lib.scala 295:30] + node _T_3548 = bits(_T_3512, 11, 11) @[el2_lib.scala 292:36] + _T_3514[7] <= _T_3548 @[el2_lib.scala 292:30] + node _T_3549 = bits(_T_3512, 11, 11) @[el2_lib.scala 296:36] + _T_3518[0] <= _T_3549 @[el2_lib.scala 296:30] + node _T_3550 = bits(_T_3512, 12, 12) @[el2_lib.scala 293:36] + _T_3515[7] <= _T_3550 @[el2_lib.scala 293:30] + node _T_3551 = bits(_T_3512, 12, 12) @[el2_lib.scala 296:36] + _T_3518[1] <= _T_3551 @[el2_lib.scala 296:30] + node _T_3552 = bits(_T_3512, 13, 13) @[el2_lib.scala 292:36] + _T_3514[8] <= _T_3552 @[el2_lib.scala 292:30] + node _T_3553 = bits(_T_3512, 13, 13) @[el2_lib.scala 293:36] + _T_3515[8] <= _T_3553 @[el2_lib.scala 293:30] + node _T_3554 = bits(_T_3512, 13, 13) @[el2_lib.scala 296:36] + _T_3518[2] <= _T_3554 @[el2_lib.scala 296:30] + node _T_3555 = bits(_T_3512, 14, 14) @[el2_lib.scala 294:36] + _T_3516[7] <= _T_3555 @[el2_lib.scala 294:30] + node _T_3556 = bits(_T_3512, 14, 14) @[el2_lib.scala 296:36] + _T_3518[3] <= _T_3556 @[el2_lib.scala 296:30] + node _T_3557 = bits(_T_3512, 15, 15) @[el2_lib.scala 292:36] + _T_3514[9] <= _T_3557 @[el2_lib.scala 292:30] + node _T_3558 = bits(_T_3512, 15, 15) @[el2_lib.scala 294:36] + _T_3516[8] <= _T_3558 @[el2_lib.scala 294:30] + node _T_3559 = bits(_T_3512, 15, 15) @[el2_lib.scala 296:36] + _T_3518[4] <= _T_3559 @[el2_lib.scala 296:30] + node _T_3560 = bits(_T_3512, 16, 16) @[el2_lib.scala 293:36] + _T_3515[9] <= _T_3560 @[el2_lib.scala 293:30] + node _T_3561 = bits(_T_3512, 16, 16) @[el2_lib.scala 294:36] + _T_3516[9] <= _T_3561 @[el2_lib.scala 294:30] + node _T_3562 = bits(_T_3512, 16, 16) @[el2_lib.scala 296:36] + _T_3518[5] <= _T_3562 @[el2_lib.scala 296:30] + node _T_3563 = bits(_T_3512, 17, 17) @[el2_lib.scala 292:36] + _T_3514[10] <= _T_3563 @[el2_lib.scala 292:30] + node _T_3564 = bits(_T_3512, 17, 17) @[el2_lib.scala 293:36] + _T_3515[10] <= _T_3564 @[el2_lib.scala 293:30] + node _T_3565 = bits(_T_3512, 17, 17) @[el2_lib.scala 294:36] + _T_3516[10] <= _T_3565 @[el2_lib.scala 294:30] + node _T_3566 = bits(_T_3512, 17, 17) @[el2_lib.scala 296:36] + _T_3518[6] <= _T_3566 @[el2_lib.scala 296:30] + node _T_3567 = bits(_T_3512, 18, 18) @[el2_lib.scala 295:36] + _T_3517[7] <= _T_3567 @[el2_lib.scala 295:30] + node _T_3568 = bits(_T_3512, 18, 18) @[el2_lib.scala 296:36] + _T_3518[7] <= _T_3568 @[el2_lib.scala 296:30] + node _T_3569 = bits(_T_3512, 19, 19) @[el2_lib.scala 292:36] + _T_3514[11] <= _T_3569 @[el2_lib.scala 292:30] + node _T_3570 = bits(_T_3512, 19, 19) @[el2_lib.scala 295:36] + _T_3517[8] <= _T_3570 @[el2_lib.scala 295:30] + node _T_3571 = bits(_T_3512, 19, 19) @[el2_lib.scala 296:36] + _T_3518[8] <= _T_3571 @[el2_lib.scala 296:30] + node _T_3572 = bits(_T_3512, 20, 20) @[el2_lib.scala 293:36] + _T_3515[11] <= _T_3572 @[el2_lib.scala 293:30] + node _T_3573 = bits(_T_3512, 20, 20) @[el2_lib.scala 295:36] + _T_3517[9] <= _T_3573 @[el2_lib.scala 295:30] + node _T_3574 = bits(_T_3512, 20, 20) @[el2_lib.scala 296:36] + _T_3518[9] <= _T_3574 @[el2_lib.scala 296:30] + node _T_3575 = bits(_T_3512, 21, 21) @[el2_lib.scala 292:36] + _T_3514[12] <= _T_3575 @[el2_lib.scala 292:30] + node _T_3576 = bits(_T_3512, 21, 21) @[el2_lib.scala 293:36] + _T_3515[12] <= _T_3576 @[el2_lib.scala 293:30] + node _T_3577 = bits(_T_3512, 21, 21) @[el2_lib.scala 295:36] + _T_3517[10] <= _T_3577 @[el2_lib.scala 295:30] + node _T_3578 = bits(_T_3512, 21, 21) @[el2_lib.scala 296:36] + _T_3518[10] <= _T_3578 @[el2_lib.scala 296:30] + node _T_3579 = bits(_T_3512, 22, 22) @[el2_lib.scala 294:36] + _T_3516[11] <= _T_3579 @[el2_lib.scala 294:30] + node _T_3580 = bits(_T_3512, 22, 22) @[el2_lib.scala 295:36] + _T_3517[11] <= _T_3580 @[el2_lib.scala 295:30] + node _T_3581 = bits(_T_3512, 22, 22) @[el2_lib.scala 296:36] + _T_3518[11] <= _T_3581 @[el2_lib.scala 296:30] + node _T_3582 = bits(_T_3512, 23, 23) @[el2_lib.scala 292:36] + _T_3514[13] <= _T_3582 @[el2_lib.scala 292:30] + node _T_3583 = bits(_T_3512, 23, 23) @[el2_lib.scala 294:36] + _T_3516[12] <= _T_3583 @[el2_lib.scala 294:30] + node _T_3584 = bits(_T_3512, 23, 23) @[el2_lib.scala 295:36] + _T_3517[12] <= _T_3584 @[el2_lib.scala 295:30] + node _T_3585 = bits(_T_3512, 23, 23) @[el2_lib.scala 296:36] + _T_3518[12] <= _T_3585 @[el2_lib.scala 296:30] + node _T_3586 = bits(_T_3512, 24, 24) @[el2_lib.scala 293:36] + _T_3515[13] <= _T_3586 @[el2_lib.scala 293:30] + node _T_3587 = bits(_T_3512, 24, 24) @[el2_lib.scala 294:36] + _T_3516[13] <= _T_3587 @[el2_lib.scala 294:30] + node _T_3588 = bits(_T_3512, 24, 24) @[el2_lib.scala 295:36] + _T_3517[13] <= _T_3588 @[el2_lib.scala 295:30] + node _T_3589 = bits(_T_3512, 24, 24) @[el2_lib.scala 296:36] + _T_3518[13] <= _T_3589 @[el2_lib.scala 296:30] + node _T_3590 = bits(_T_3512, 25, 25) @[el2_lib.scala 292:36] + _T_3514[14] <= _T_3590 @[el2_lib.scala 292:30] + node _T_3591 = bits(_T_3512, 25, 25) @[el2_lib.scala 293:36] + _T_3515[14] <= _T_3591 @[el2_lib.scala 293:30] + node _T_3592 = bits(_T_3512, 25, 25) @[el2_lib.scala 294:36] + _T_3516[14] <= _T_3592 @[el2_lib.scala 294:30] + node _T_3593 = bits(_T_3512, 25, 25) @[el2_lib.scala 295:36] + _T_3517[14] <= _T_3593 @[el2_lib.scala 295:30] + node _T_3594 = bits(_T_3512, 25, 25) @[el2_lib.scala 296:36] + _T_3518[14] <= _T_3594 @[el2_lib.scala 296:30] + node _T_3595 = bits(_T_3512, 26, 26) @[el2_lib.scala 292:36] + _T_3514[15] <= _T_3595 @[el2_lib.scala 292:30] + node _T_3596 = bits(_T_3512, 26, 26) @[el2_lib.scala 297:36] + _T_3519[0] <= _T_3596 @[el2_lib.scala 297:30] + node _T_3597 = bits(_T_3512, 27, 27) @[el2_lib.scala 293:36] + _T_3515[15] <= _T_3597 @[el2_lib.scala 293:30] + node _T_3598 = bits(_T_3512, 27, 27) @[el2_lib.scala 297:36] + _T_3519[1] <= _T_3598 @[el2_lib.scala 297:30] + node _T_3599 = bits(_T_3512, 28, 28) @[el2_lib.scala 292:36] + _T_3514[16] <= _T_3599 @[el2_lib.scala 292:30] + node _T_3600 = bits(_T_3512, 28, 28) @[el2_lib.scala 293:36] + _T_3515[16] <= _T_3600 @[el2_lib.scala 293:30] + node _T_3601 = bits(_T_3512, 28, 28) @[el2_lib.scala 297:36] + _T_3519[2] <= _T_3601 @[el2_lib.scala 297:30] + node _T_3602 = bits(_T_3512, 29, 29) @[el2_lib.scala 294:36] + _T_3516[15] <= _T_3602 @[el2_lib.scala 294:30] + node _T_3603 = bits(_T_3512, 29, 29) @[el2_lib.scala 297:36] + _T_3519[3] <= _T_3603 @[el2_lib.scala 297:30] + node _T_3604 = bits(_T_3512, 30, 30) @[el2_lib.scala 292:36] + _T_3514[17] <= _T_3604 @[el2_lib.scala 292:30] + node _T_3605 = bits(_T_3512, 30, 30) @[el2_lib.scala 294:36] + _T_3516[16] <= _T_3605 @[el2_lib.scala 294:30] + node _T_3606 = bits(_T_3512, 30, 30) @[el2_lib.scala 297:36] + _T_3519[4] <= _T_3606 @[el2_lib.scala 297:30] + node _T_3607 = bits(_T_3512, 31, 31) @[el2_lib.scala 293:36] + _T_3515[17] <= _T_3607 @[el2_lib.scala 293:30] + node _T_3608 = bits(_T_3512, 31, 31) @[el2_lib.scala 294:36] + _T_3516[17] <= _T_3608 @[el2_lib.scala 294:30] + node _T_3609 = bits(_T_3512, 31, 31) @[el2_lib.scala 297:36] + _T_3519[5] <= _T_3609 @[el2_lib.scala 297:30] + node _T_3610 = xorr(_T_3512) @[el2_lib.scala 300:30] + node _T_3611 = xorr(_T_3513) @[el2_lib.scala 300:44] + node _T_3612 = xor(_T_3610, _T_3611) @[el2_lib.scala 300:35] + node _T_3613 = not(UInt<1>("h00")) @[el2_lib.scala 300:52] + node _T_3614 = and(_T_3612, _T_3613) @[el2_lib.scala 300:50] + node _T_3615 = bits(_T_3513, 5, 5) @[el2_lib.scala 300:68] + node _T_3616 = cat(_T_3519[2], _T_3519[1]) @[el2_lib.scala 300:76] + node _T_3617 = cat(_T_3616, _T_3519[0]) @[el2_lib.scala 300:76] + node _T_3618 = cat(_T_3519[5], _T_3519[4]) @[el2_lib.scala 300:76] + node _T_3619 = cat(_T_3618, _T_3519[3]) @[el2_lib.scala 300:76] + node _T_3620 = cat(_T_3619, _T_3617) @[el2_lib.scala 300:76] + node _T_3621 = xorr(_T_3620) @[el2_lib.scala 300:83] + node _T_3622 = xor(_T_3615, _T_3621) @[el2_lib.scala 300:71] + node _T_3623 = bits(_T_3513, 4, 4) @[el2_lib.scala 300:95] + node _T_3624 = cat(_T_3518[2], _T_3518[1]) @[el2_lib.scala 300:103] + node _T_3625 = cat(_T_3624, _T_3518[0]) @[el2_lib.scala 300:103] + node _T_3626 = cat(_T_3518[4], _T_3518[3]) @[el2_lib.scala 300:103] + node _T_3627 = cat(_T_3518[6], _T_3518[5]) @[el2_lib.scala 300:103] + node _T_3628 = cat(_T_3627, _T_3626) @[el2_lib.scala 300:103] + node _T_3629 = cat(_T_3628, _T_3625) @[el2_lib.scala 300:103] + node _T_3630 = cat(_T_3518[8], _T_3518[7]) @[el2_lib.scala 300:103] + node _T_3631 = cat(_T_3518[10], _T_3518[9]) @[el2_lib.scala 300:103] + node _T_3632 = cat(_T_3631, _T_3630) @[el2_lib.scala 300:103] + node _T_3633 = cat(_T_3518[12], _T_3518[11]) @[el2_lib.scala 300:103] + node _T_3634 = cat(_T_3518[14], _T_3518[13]) @[el2_lib.scala 300:103] + node _T_3635 = cat(_T_3634, _T_3633) @[el2_lib.scala 300:103] + node _T_3636 = cat(_T_3635, _T_3632) @[el2_lib.scala 300:103] + node _T_3637 = cat(_T_3636, _T_3629) @[el2_lib.scala 300:103] + node _T_3638 = xorr(_T_3637) @[el2_lib.scala 300:110] + node _T_3639 = xor(_T_3623, _T_3638) @[el2_lib.scala 300:98] + node _T_3640 = bits(_T_3513, 3, 3) @[el2_lib.scala 300:122] + node _T_3641 = cat(_T_3517[2], _T_3517[1]) @[el2_lib.scala 300:130] + node _T_3642 = cat(_T_3641, _T_3517[0]) @[el2_lib.scala 300:130] + node _T_3643 = cat(_T_3517[4], _T_3517[3]) @[el2_lib.scala 300:130] + node _T_3644 = cat(_T_3517[6], _T_3517[5]) @[el2_lib.scala 300:130] + node _T_3645 = cat(_T_3644, _T_3643) @[el2_lib.scala 300:130] + node _T_3646 = cat(_T_3645, _T_3642) @[el2_lib.scala 300:130] + node _T_3647 = cat(_T_3517[8], _T_3517[7]) @[el2_lib.scala 300:130] + node _T_3648 = cat(_T_3517[10], _T_3517[9]) @[el2_lib.scala 300:130] + node _T_3649 = cat(_T_3648, _T_3647) @[el2_lib.scala 300:130] + node _T_3650 = cat(_T_3517[12], _T_3517[11]) @[el2_lib.scala 300:130] + node _T_3651 = cat(_T_3517[14], _T_3517[13]) @[el2_lib.scala 300:130] + node _T_3652 = cat(_T_3651, _T_3650) @[el2_lib.scala 300:130] + node _T_3653 = cat(_T_3652, _T_3649) @[el2_lib.scala 300:130] + node _T_3654 = cat(_T_3653, _T_3646) @[el2_lib.scala 300:130] + node _T_3655 = xorr(_T_3654) @[el2_lib.scala 300:137] + node _T_3656 = xor(_T_3640, _T_3655) @[el2_lib.scala 300:125] + node _T_3657 = bits(_T_3513, 2, 2) @[el2_lib.scala 300:149] + node _T_3658 = cat(_T_3516[1], _T_3516[0]) @[el2_lib.scala 300:157] + node _T_3659 = cat(_T_3516[3], _T_3516[2]) @[el2_lib.scala 300:157] + node _T_3660 = cat(_T_3659, _T_3658) @[el2_lib.scala 300:157] + node _T_3661 = cat(_T_3516[5], _T_3516[4]) @[el2_lib.scala 300:157] + node _T_3662 = cat(_T_3516[8], _T_3516[7]) @[el2_lib.scala 300:157] + node _T_3663 = cat(_T_3662, _T_3516[6]) @[el2_lib.scala 300:157] + node _T_3664 = cat(_T_3663, _T_3661) @[el2_lib.scala 300:157] + node _T_3665 = cat(_T_3664, _T_3660) @[el2_lib.scala 300:157] + node _T_3666 = cat(_T_3516[10], _T_3516[9]) @[el2_lib.scala 300:157] + node _T_3667 = cat(_T_3516[12], _T_3516[11]) @[el2_lib.scala 300:157] + node _T_3668 = cat(_T_3667, _T_3666) @[el2_lib.scala 300:157] + node _T_3669 = cat(_T_3516[14], _T_3516[13]) @[el2_lib.scala 300:157] + node _T_3670 = cat(_T_3516[17], _T_3516[16]) @[el2_lib.scala 300:157] + node _T_3671 = cat(_T_3670, _T_3516[15]) @[el2_lib.scala 300:157] + node _T_3672 = cat(_T_3671, _T_3669) @[el2_lib.scala 300:157] + node _T_3673 = cat(_T_3672, _T_3668) @[el2_lib.scala 300:157] + node _T_3674 = cat(_T_3673, _T_3665) @[el2_lib.scala 300:157] + node _T_3675 = xorr(_T_3674) @[el2_lib.scala 300:164] + node _T_3676 = xor(_T_3657, _T_3675) @[el2_lib.scala 300:152] + node _T_3677 = bits(_T_3513, 1, 1) @[el2_lib.scala 300:176] + node _T_3678 = cat(_T_3515[1], _T_3515[0]) @[el2_lib.scala 300:184] + node _T_3679 = cat(_T_3515[3], _T_3515[2]) @[el2_lib.scala 300:184] + node _T_3680 = cat(_T_3679, _T_3678) @[el2_lib.scala 300:184] + node _T_3681 = cat(_T_3515[5], _T_3515[4]) @[el2_lib.scala 300:184] + node _T_3682 = cat(_T_3515[8], _T_3515[7]) @[el2_lib.scala 300:184] + node _T_3683 = cat(_T_3682, _T_3515[6]) @[el2_lib.scala 300:184] + node _T_3684 = cat(_T_3683, _T_3681) @[el2_lib.scala 300:184] + node _T_3685 = cat(_T_3684, _T_3680) @[el2_lib.scala 300:184] + node _T_3686 = cat(_T_3515[10], _T_3515[9]) @[el2_lib.scala 300:184] + node _T_3687 = cat(_T_3515[12], _T_3515[11]) @[el2_lib.scala 300:184] + node _T_3688 = cat(_T_3687, _T_3686) @[el2_lib.scala 300:184] + node _T_3689 = cat(_T_3515[14], _T_3515[13]) @[el2_lib.scala 300:184] + node _T_3690 = cat(_T_3515[17], _T_3515[16]) @[el2_lib.scala 300:184] + node _T_3691 = cat(_T_3690, _T_3515[15]) @[el2_lib.scala 300:184] + node _T_3692 = cat(_T_3691, _T_3689) @[el2_lib.scala 300:184] + node _T_3693 = cat(_T_3692, _T_3688) @[el2_lib.scala 300:184] + node _T_3694 = cat(_T_3693, _T_3685) @[el2_lib.scala 300:184] + node _T_3695 = xorr(_T_3694) @[el2_lib.scala 300:191] + node _T_3696 = xor(_T_3677, _T_3695) @[el2_lib.scala 300:179] + node _T_3697 = bits(_T_3513, 0, 0) @[el2_lib.scala 300:203] + node _T_3698 = cat(_T_3514[1], _T_3514[0]) @[el2_lib.scala 300:211] + node _T_3699 = cat(_T_3514[3], _T_3514[2]) @[el2_lib.scala 300:211] + node _T_3700 = cat(_T_3699, _T_3698) @[el2_lib.scala 300:211] + node _T_3701 = cat(_T_3514[5], _T_3514[4]) @[el2_lib.scala 300:211] + node _T_3702 = cat(_T_3514[8], _T_3514[7]) @[el2_lib.scala 300:211] + node _T_3703 = cat(_T_3702, _T_3514[6]) @[el2_lib.scala 300:211] + node _T_3704 = cat(_T_3703, _T_3701) @[el2_lib.scala 300:211] + node _T_3705 = cat(_T_3704, _T_3700) @[el2_lib.scala 300:211] + node _T_3706 = cat(_T_3514[10], _T_3514[9]) @[el2_lib.scala 300:211] + node _T_3707 = cat(_T_3514[12], _T_3514[11]) @[el2_lib.scala 300:211] + node _T_3708 = cat(_T_3707, _T_3706) @[el2_lib.scala 300:211] + node _T_3709 = cat(_T_3514[14], _T_3514[13]) @[el2_lib.scala 300:211] + node _T_3710 = cat(_T_3514[17], _T_3514[16]) @[el2_lib.scala 300:211] + node _T_3711 = cat(_T_3710, _T_3514[15]) @[el2_lib.scala 300:211] + node _T_3712 = cat(_T_3711, _T_3709) @[el2_lib.scala 300:211] + node _T_3713 = cat(_T_3712, _T_3708) @[el2_lib.scala 300:211] + node _T_3714 = cat(_T_3713, _T_3705) @[el2_lib.scala 300:211] + node _T_3715 = xorr(_T_3714) @[el2_lib.scala 300:218] + node _T_3716 = xor(_T_3697, _T_3715) @[el2_lib.scala 300:206] node _T_3717 = cat(_T_3676, _T_3696) @[Cat.scala 29:58] node _T_3718 = cat(_T_3717, _T_3716) @[Cat.scala 29:58] node _T_3719 = cat(_T_3639, _T_3656) @[Cat.scala 29:58] node _T_3720 = cat(_T_3614, _T_3622) @[Cat.scala 29:58] node _T_3721 = cat(_T_3720, _T_3719) @[Cat.scala 29:58] node _T_3722 = cat(_T_3721, _T_3718) @[Cat.scala 29:58] - node _T_3723 = neq(_T_3722, UInt<1>("h00")) @[el2_lib.scala 302:44] - node _T_3724 = and(_T_3511, _T_3723) @[el2_lib.scala 302:32] - node _T_3725 = bits(_T_3722, 6, 6) @[el2_lib.scala 302:64] - node _T_3726 = and(_T_3724, _T_3725) @[el2_lib.scala 302:53] - node _T_3727 = neq(_T_3722, UInt<1>("h00")) @[el2_lib.scala 303:44] - node _T_3728 = and(_T_3511, _T_3727) @[el2_lib.scala 303:32] - node _T_3729 = bits(_T_3722, 6, 6) @[el2_lib.scala 303:65] - node _T_3730 = not(_T_3729) @[el2_lib.scala 303:55] - node _T_3731 = and(_T_3728, _T_3730) @[el2_lib.scala 303:53] - wire _T_3732 : UInt<1>[39] @[el2_lib.scala 304:26] - node _T_3733 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3734 = eq(_T_3733, UInt<1>("h01")) @[el2_lib.scala 307:41] - _T_3732[0] <= _T_3734 @[el2_lib.scala 307:23] - node _T_3735 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3736 = eq(_T_3735, UInt<2>("h02")) @[el2_lib.scala 307:41] - _T_3732[1] <= _T_3736 @[el2_lib.scala 307:23] - node _T_3737 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3738 = eq(_T_3737, UInt<2>("h03")) @[el2_lib.scala 307:41] - _T_3732[2] <= _T_3738 @[el2_lib.scala 307:23] - node _T_3739 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3740 = eq(_T_3739, UInt<3>("h04")) @[el2_lib.scala 307:41] - _T_3732[3] <= _T_3740 @[el2_lib.scala 307:23] - node _T_3741 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3742 = eq(_T_3741, UInt<3>("h05")) @[el2_lib.scala 307:41] - _T_3732[4] <= _T_3742 @[el2_lib.scala 307:23] - node _T_3743 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3744 = eq(_T_3743, UInt<3>("h06")) @[el2_lib.scala 307:41] - _T_3732[5] <= _T_3744 @[el2_lib.scala 307:23] - node _T_3745 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3746 = eq(_T_3745, UInt<3>("h07")) @[el2_lib.scala 307:41] - _T_3732[6] <= _T_3746 @[el2_lib.scala 307:23] - node _T_3747 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3748 = eq(_T_3747, UInt<4>("h08")) @[el2_lib.scala 307:41] - _T_3732[7] <= _T_3748 @[el2_lib.scala 307:23] - node _T_3749 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3750 = eq(_T_3749, UInt<4>("h09")) @[el2_lib.scala 307:41] - _T_3732[8] <= _T_3750 @[el2_lib.scala 307:23] - node _T_3751 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3752 = eq(_T_3751, UInt<4>("h0a")) @[el2_lib.scala 307:41] - _T_3732[9] <= _T_3752 @[el2_lib.scala 307:23] - node _T_3753 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3754 = eq(_T_3753, UInt<4>("h0b")) @[el2_lib.scala 307:41] - _T_3732[10] <= _T_3754 @[el2_lib.scala 307:23] - node _T_3755 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3756 = eq(_T_3755, UInt<4>("h0c")) @[el2_lib.scala 307:41] - _T_3732[11] <= _T_3756 @[el2_lib.scala 307:23] - node _T_3757 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3758 = eq(_T_3757, UInt<4>("h0d")) @[el2_lib.scala 307:41] - _T_3732[12] <= _T_3758 @[el2_lib.scala 307:23] - node _T_3759 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3760 = eq(_T_3759, UInt<4>("h0e")) @[el2_lib.scala 307:41] - _T_3732[13] <= _T_3760 @[el2_lib.scala 307:23] - node _T_3761 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3762 = eq(_T_3761, UInt<4>("h0f")) @[el2_lib.scala 307:41] - _T_3732[14] <= _T_3762 @[el2_lib.scala 307:23] - node _T_3763 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3764 = eq(_T_3763, UInt<5>("h010")) @[el2_lib.scala 307:41] - _T_3732[15] <= _T_3764 @[el2_lib.scala 307:23] - node _T_3765 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3766 = eq(_T_3765, UInt<5>("h011")) @[el2_lib.scala 307:41] - _T_3732[16] <= _T_3766 @[el2_lib.scala 307:23] - node _T_3767 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3768 = eq(_T_3767, UInt<5>("h012")) @[el2_lib.scala 307:41] - _T_3732[17] <= _T_3768 @[el2_lib.scala 307:23] - node _T_3769 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3770 = eq(_T_3769, UInt<5>("h013")) @[el2_lib.scala 307:41] - _T_3732[18] <= _T_3770 @[el2_lib.scala 307:23] - node _T_3771 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3772 = eq(_T_3771, UInt<5>("h014")) @[el2_lib.scala 307:41] - _T_3732[19] <= _T_3772 @[el2_lib.scala 307:23] - node _T_3773 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3774 = eq(_T_3773, UInt<5>("h015")) @[el2_lib.scala 307:41] - _T_3732[20] <= _T_3774 @[el2_lib.scala 307:23] - node _T_3775 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3776 = eq(_T_3775, UInt<5>("h016")) @[el2_lib.scala 307:41] - _T_3732[21] <= _T_3776 @[el2_lib.scala 307:23] - node _T_3777 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3778 = eq(_T_3777, UInt<5>("h017")) @[el2_lib.scala 307:41] - _T_3732[22] <= _T_3778 @[el2_lib.scala 307:23] - node _T_3779 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3780 = eq(_T_3779, UInt<5>("h018")) @[el2_lib.scala 307:41] - _T_3732[23] <= _T_3780 @[el2_lib.scala 307:23] - node _T_3781 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3782 = eq(_T_3781, UInt<5>("h019")) @[el2_lib.scala 307:41] - _T_3732[24] <= _T_3782 @[el2_lib.scala 307:23] - node _T_3783 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3784 = eq(_T_3783, UInt<5>("h01a")) @[el2_lib.scala 307:41] - _T_3732[25] <= _T_3784 @[el2_lib.scala 307:23] - node _T_3785 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3786 = eq(_T_3785, UInt<5>("h01b")) @[el2_lib.scala 307:41] - _T_3732[26] <= _T_3786 @[el2_lib.scala 307:23] - node _T_3787 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3788 = eq(_T_3787, UInt<5>("h01c")) @[el2_lib.scala 307:41] - _T_3732[27] <= _T_3788 @[el2_lib.scala 307:23] - node _T_3789 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3790 = eq(_T_3789, UInt<5>("h01d")) @[el2_lib.scala 307:41] - _T_3732[28] <= _T_3790 @[el2_lib.scala 307:23] - node _T_3791 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3792 = eq(_T_3791, UInt<5>("h01e")) @[el2_lib.scala 307:41] - _T_3732[29] <= _T_3792 @[el2_lib.scala 307:23] - node _T_3793 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3794 = eq(_T_3793, UInt<5>("h01f")) @[el2_lib.scala 307:41] - _T_3732[30] <= _T_3794 @[el2_lib.scala 307:23] - node _T_3795 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3796 = eq(_T_3795, UInt<6>("h020")) @[el2_lib.scala 307:41] - _T_3732[31] <= _T_3796 @[el2_lib.scala 307:23] - node _T_3797 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3798 = eq(_T_3797, UInt<6>("h021")) @[el2_lib.scala 307:41] - _T_3732[32] <= _T_3798 @[el2_lib.scala 307:23] - node _T_3799 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3800 = eq(_T_3799, UInt<6>("h022")) @[el2_lib.scala 307:41] - _T_3732[33] <= _T_3800 @[el2_lib.scala 307:23] - node _T_3801 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3802 = eq(_T_3801, UInt<6>("h023")) @[el2_lib.scala 307:41] - _T_3732[34] <= _T_3802 @[el2_lib.scala 307:23] - node _T_3803 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3804 = eq(_T_3803, UInt<6>("h024")) @[el2_lib.scala 307:41] - _T_3732[35] <= _T_3804 @[el2_lib.scala 307:23] - node _T_3805 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3806 = eq(_T_3805, UInt<6>("h025")) @[el2_lib.scala 307:41] - _T_3732[36] <= _T_3806 @[el2_lib.scala 307:23] - node _T_3807 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3808 = eq(_T_3807, UInt<6>("h026")) @[el2_lib.scala 307:41] - _T_3732[37] <= _T_3808 @[el2_lib.scala 307:23] - node _T_3809 = bits(_T_3722, 5, 0) @[el2_lib.scala 307:35] - node _T_3810 = eq(_T_3809, UInt<6>("h027")) @[el2_lib.scala 307:41] - _T_3732[38] <= _T_3810 @[el2_lib.scala 307:23] - node _T_3811 = bits(_T_3513, 6, 6) @[el2_lib.scala 309:37] - node _T_3812 = bits(_T_3512, 31, 26) @[el2_lib.scala 309:45] - node _T_3813 = bits(_T_3513, 5, 5) @[el2_lib.scala 309:60] - node _T_3814 = bits(_T_3512, 25, 11) @[el2_lib.scala 309:68] - node _T_3815 = bits(_T_3513, 4, 4) @[el2_lib.scala 309:83] - node _T_3816 = bits(_T_3512, 10, 4) @[el2_lib.scala 309:91] - node _T_3817 = bits(_T_3513, 3, 3) @[el2_lib.scala 309:105] - node _T_3818 = bits(_T_3512, 3, 1) @[el2_lib.scala 309:113] - node _T_3819 = bits(_T_3513, 2, 2) @[el2_lib.scala 309:126] - node _T_3820 = bits(_T_3512, 0, 0) @[el2_lib.scala 309:134] - node _T_3821 = bits(_T_3513, 1, 0) @[el2_lib.scala 309:145] + node _T_3723 = neq(_T_3722, UInt<1>("h00")) @[el2_lib.scala 301:44] + node _T_3724 = and(_T_3511, _T_3723) @[el2_lib.scala 301:32] + node _T_3725 = bits(_T_3722, 6, 6) @[el2_lib.scala 301:64] + node _T_3726 = and(_T_3724, _T_3725) @[el2_lib.scala 301:53] + node _T_3727 = neq(_T_3722, UInt<1>("h00")) @[el2_lib.scala 302:44] + node _T_3728 = and(_T_3511, _T_3727) @[el2_lib.scala 302:32] + node _T_3729 = bits(_T_3722, 6, 6) @[el2_lib.scala 302:65] + node _T_3730 = not(_T_3729) @[el2_lib.scala 302:55] + node _T_3731 = and(_T_3728, _T_3730) @[el2_lib.scala 302:53] + wire _T_3732 : UInt<1>[39] @[el2_lib.scala 303:26] + node _T_3733 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3734 = eq(_T_3733, UInt<1>("h01")) @[el2_lib.scala 306:41] + _T_3732[0] <= _T_3734 @[el2_lib.scala 306:23] + node _T_3735 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3736 = eq(_T_3735, UInt<2>("h02")) @[el2_lib.scala 306:41] + _T_3732[1] <= _T_3736 @[el2_lib.scala 306:23] + node _T_3737 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3738 = eq(_T_3737, UInt<2>("h03")) @[el2_lib.scala 306:41] + _T_3732[2] <= _T_3738 @[el2_lib.scala 306:23] + node _T_3739 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3740 = eq(_T_3739, UInt<3>("h04")) @[el2_lib.scala 306:41] + _T_3732[3] <= _T_3740 @[el2_lib.scala 306:23] + node _T_3741 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3742 = eq(_T_3741, UInt<3>("h05")) @[el2_lib.scala 306:41] + _T_3732[4] <= _T_3742 @[el2_lib.scala 306:23] + node _T_3743 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3744 = eq(_T_3743, UInt<3>("h06")) @[el2_lib.scala 306:41] + _T_3732[5] <= _T_3744 @[el2_lib.scala 306:23] + node _T_3745 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3746 = eq(_T_3745, UInt<3>("h07")) @[el2_lib.scala 306:41] + _T_3732[6] <= _T_3746 @[el2_lib.scala 306:23] + node _T_3747 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3748 = eq(_T_3747, UInt<4>("h08")) @[el2_lib.scala 306:41] + _T_3732[7] <= _T_3748 @[el2_lib.scala 306:23] + node _T_3749 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3750 = eq(_T_3749, UInt<4>("h09")) @[el2_lib.scala 306:41] + _T_3732[8] <= _T_3750 @[el2_lib.scala 306:23] + node _T_3751 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3752 = eq(_T_3751, UInt<4>("h0a")) @[el2_lib.scala 306:41] + _T_3732[9] <= _T_3752 @[el2_lib.scala 306:23] + node _T_3753 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3754 = eq(_T_3753, UInt<4>("h0b")) @[el2_lib.scala 306:41] + _T_3732[10] <= _T_3754 @[el2_lib.scala 306:23] + node _T_3755 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3756 = eq(_T_3755, UInt<4>("h0c")) @[el2_lib.scala 306:41] + _T_3732[11] <= _T_3756 @[el2_lib.scala 306:23] + node _T_3757 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3758 = eq(_T_3757, UInt<4>("h0d")) @[el2_lib.scala 306:41] + _T_3732[12] <= _T_3758 @[el2_lib.scala 306:23] + node _T_3759 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3760 = eq(_T_3759, UInt<4>("h0e")) @[el2_lib.scala 306:41] + _T_3732[13] <= _T_3760 @[el2_lib.scala 306:23] + node _T_3761 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3762 = eq(_T_3761, UInt<4>("h0f")) @[el2_lib.scala 306:41] + _T_3732[14] <= _T_3762 @[el2_lib.scala 306:23] + node _T_3763 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3764 = eq(_T_3763, UInt<5>("h010")) @[el2_lib.scala 306:41] + _T_3732[15] <= _T_3764 @[el2_lib.scala 306:23] + node _T_3765 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3766 = eq(_T_3765, UInt<5>("h011")) @[el2_lib.scala 306:41] + _T_3732[16] <= _T_3766 @[el2_lib.scala 306:23] + node _T_3767 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3768 = eq(_T_3767, UInt<5>("h012")) @[el2_lib.scala 306:41] + _T_3732[17] <= _T_3768 @[el2_lib.scala 306:23] + node _T_3769 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3770 = eq(_T_3769, UInt<5>("h013")) @[el2_lib.scala 306:41] + _T_3732[18] <= _T_3770 @[el2_lib.scala 306:23] + node _T_3771 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3772 = eq(_T_3771, UInt<5>("h014")) @[el2_lib.scala 306:41] + _T_3732[19] <= _T_3772 @[el2_lib.scala 306:23] + node _T_3773 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3774 = eq(_T_3773, UInt<5>("h015")) @[el2_lib.scala 306:41] + _T_3732[20] <= _T_3774 @[el2_lib.scala 306:23] + node _T_3775 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3776 = eq(_T_3775, UInt<5>("h016")) @[el2_lib.scala 306:41] + _T_3732[21] <= _T_3776 @[el2_lib.scala 306:23] + node _T_3777 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3778 = eq(_T_3777, UInt<5>("h017")) @[el2_lib.scala 306:41] + _T_3732[22] <= _T_3778 @[el2_lib.scala 306:23] + node _T_3779 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3780 = eq(_T_3779, UInt<5>("h018")) @[el2_lib.scala 306:41] + _T_3732[23] <= _T_3780 @[el2_lib.scala 306:23] + node _T_3781 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3782 = eq(_T_3781, UInt<5>("h019")) @[el2_lib.scala 306:41] + _T_3732[24] <= _T_3782 @[el2_lib.scala 306:23] + node _T_3783 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3784 = eq(_T_3783, UInt<5>("h01a")) @[el2_lib.scala 306:41] + _T_3732[25] <= _T_3784 @[el2_lib.scala 306:23] + node _T_3785 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3786 = eq(_T_3785, UInt<5>("h01b")) @[el2_lib.scala 306:41] + _T_3732[26] <= _T_3786 @[el2_lib.scala 306:23] + node _T_3787 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3788 = eq(_T_3787, UInt<5>("h01c")) @[el2_lib.scala 306:41] + _T_3732[27] <= _T_3788 @[el2_lib.scala 306:23] + node _T_3789 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3790 = eq(_T_3789, UInt<5>("h01d")) @[el2_lib.scala 306:41] + _T_3732[28] <= _T_3790 @[el2_lib.scala 306:23] + node _T_3791 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3792 = eq(_T_3791, UInt<5>("h01e")) @[el2_lib.scala 306:41] + _T_3732[29] <= _T_3792 @[el2_lib.scala 306:23] + node _T_3793 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3794 = eq(_T_3793, UInt<5>("h01f")) @[el2_lib.scala 306:41] + _T_3732[30] <= _T_3794 @[el2_lib.scala 306:23] + node _T_3795 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3796 = eq(_T_3795, UInt<6>("h020")) @[el2_lib.scala 306:41] + _T_3732[31] <= _T_3796 @[el2_lib.scala 306:23] + node _T_3797 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3798 = eq(_T_3797, UInt<6>("h021")) @[el2_lib.scala 306:41] + _T_3732[32] <= _T_3798 @[el2_lib.scala 306:23] + node _T_3799 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3800 = eq(_T_3799, UInt<6>("h022")) @[el2_lib.scala 306:41] + _T_3732[33] <= _T_3800 @[el2_lib.scala 306:23] + node _T_3801 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3802 = eq(_T_3801, UInt<6>("h023")) @[el2_lib.scala 306:41] + _T_3732[34] <= _T_3802 @[el2_lib.scala 306:23] + node _T_3803 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3804 = eq(_T_3803, UInt<6>("h024")) @[el2_lib.scala 306:41] + _T_3732[35] <= _T_3804 @[el2_lib.scala 306:23] + node _T_3805 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3806 = eq(_T_3805, UInt<6>("h025")) @[el2_lib.scala 306:41] + _T_3732[36] <= _T_3806 @[el2_lib.scala 306:23] + node _T_3807 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3808 = eq(_T_3807, UInt<6>("h026")) @[el2_lib.scala 306:41] + _T_3732[37] <= _T_3808 @[el2_lib.scala 306:23] + node _T_3809 = bits(_T_3722, 5, 0) @[el2_lib.scala 306:35] + node _T_3810 = eq(_T_3809, UInt<6>("h027")) @[el2_lib.scala 306:41] + _T_3732[38] <= _T_3810 @[el2_lib.scala 306:23] + node _T_3811 = bits(_T_3513, 6, 6) @[el2_lib.scala 308:37] + node _T_3812 = bits(_T_3512, 31, 26) @[el2_lib.scala 308:45] + node _T_3813 = bits(_T_3513, 5, 5) @[el2_lib.scala 308:60] + node _T_3814 = bits(_T_3512, 25, 11) @[el2_lib.scala 308:68] + node _T_3815 = bits(_T_3513, 4, 4) @[el2_lib.scala 308:83] + node _T_3816 = bits(_T_3512, 10, 4) @[el2_lib.scala 308:91] + node _T_3817 = bits(_T_3513, 3, 3) @[el2_lib.scala 308:105] + node _T_3818 = bits(_T_3512, 3, 1) @[el2_lib.scala 308:113] + node _T_3819 = bits(_T_3513, 2, 2) @[el2_lib.scala 308:126] + node _T_3820 = bits(_T_3512, 0, 0) @[el2_lib.scala 308:134] + node _T_3821 = bits(_T_3513, 1, 0) @[el2_lib.scala 308:145] node _T_3822 = cat(_T_3820, _T_3821) @[Cat.scala 29:58] node _T_3823 = cat(_T_3817, _T_3818) @[Cat.scala 29:58] node _T_3824 = cat(_T_3823, _T_3819) @[Cat.scala 29:58] @@ -5399,1408 +5399,1408 @@ circuit el2_ifu_mem_ctl : node _T_3829 = cat(_T_3828, _T_3813) @[Cat.scala 29:58] node _T_3830 = cat(_T_3829, _T_3827) @[Cat.scala 29:58] node _T_3831 = cat(_T_3830, _T_3825) @[Cat.scala 29:58] - node _T_3832 = bits(_T_3726, 0, 0) @[el2_lib.scala 310:49] - node _T_3833 = cat(_T_3732[1], _T_3732[0]) @[el2_lib.scala 310:69] - node _T_3834 = cat(_T_3732[3], _T_3732[2]) @[el2_lib.scala 310:69] - node _T_3835 = cat(_T_3834, _T_3833) @[el2_lib.scala 310:69] - node _T_3836 = cat(_T_3732[5], _T_3732[4]) @[el2_lib.scala 310:69] - node _T_3837 = cat(_T_3732[8], _T_3732[7]) @[el2_lib.scala 310:69] - node _T_3838 = cat(_T_3837, _T_3732[6]) @[el2_lib.scala 310:69] - node _T_3839 = cat(_T_3838, _T_3836) @[el2_lib.scala 310:69] - node _T_3840 = cat(_T_3839, _T_3835) @[el2_lib.scala 310:69] - node _T_3841 = cat(_T_3732[10], _T_3732[9]) @[el2_lib.scala 310:69] - node _T_3842 = cat(_T_3732[13], _T_3732[12]) @[el2_lib.scala 310:69] - node _T_3843 = cat(_T_3842, _T_3732[11]) @[el2_lib.scala 310:69] - node _T_3844 = cat(_T_3843, _T_3841) @[el2_lib.scala 310:69] - node _T_3845 = cat(_T_3732[15], _T_3732[14]) @[el2_lib.scala 310:69] - node _T_3846 = cat(_T_3732[18], _T_3732[17]) @[el2_lib.scala 310:69] - node _T_3847 = cat(_T_3846, _T_3732[16]) @[el2_lib.scala 310:69] - node _T_3848 = cat(_T_3847, _T_3845) @[el2_lib.scala 310:69] - node _T_3849 = cat(_T_3848, _T_3844) @[el2_lib.scala 310:69] - node _T_3850 = cat(_T_3849, _T_3840) @[el2_lib.scala 310:69] - node _T_3851 = cat(_T_3732[20], _T_3732[19]) @[el2_lib.scala 310:69] - node _T_3852 = cat(_T_3732[23], _T_3732[22]) @[el2_lib.scala 310:69] - node _T_3853 = cat(_T_3852, _T_3732[21]) @[el2_lib.scala 310:69] - node _T_3854 = cat(_T_3853, _T_3851) @[el2_lib.scala 310:69] - node _T_3855 = cat(_T_3732[25], _T_3732[24]) @[el2_lib.scala 310:69] - node _T_3856 = cat(_T_3732[28], _T_3732[27]) @[el2_lib.scala 310:69] - node _T_3857 = cat(_T_3856, _T_3732[26]) @[el2_lib.scala 310:69] - node _T_3858 = cat(_T_3857, _T_3855) @[el2_lib.scala 310:69] - node _T_3859 = cat(_T_3858, _T_3854) @[el2_lib.scala 310:69] - node _T_3860 = cat(_T_3732[30], _T_3732[29]) @[el2_lib.scala 310:69] - node _T_3861 = cat(_T_3732[33], _T_3732[32]) @[el2_lib.scala 310:69] - node _T_3862 = cat(_T_3861, _T_3732[31]) @[el2_lib.scala 310:69] - node _T_3863 = cat(_T_3862, _T_3860) @[el2_lib.scala 310:69] - node _T_3864 = cat(_T_3732[35], _T_3732[34]) @[el2_lib.scala 310:69] - node _T_3865 = cat(_T_3732[38], _T_3732[37]) @[el2_lib.scala 310:69] - node _T_3866 = cat(_T_3865, _T_3732[36]) @[el2_lib.scala 310:69] - node _T_3867 = cat(_T_3866, _T_3864) @[el2_lib.scala 310:69] - node _T_3868 = cat(_T_3867, _T_3863) @[el2_lib.scala 310:69] - node _T_3869 = cat(_T_3868, _T_3859) @[el2_lib.scala 310:69] - node _T_3870 = cat(_T_3869, _T_3850) @[el2_lib.scala 310:69] - node _T_3871 = xor(_T_3870, _T_3831) @[el2_lib.scala 310:76] - node _T_3872 = mux(_T_3832, _T_3871, _T_3831) @[el2_lib.scala 310:31] - node _T_3873 = bits(_T_3872, 37, 32) @[el2_lib.scala 312:37] - node _T_3874 = bits(_T_3872, 30, 16) @[el2_lib.scala 312:61] - node _T_3875 = bits(_T_3872, 14, 8) @[el2_lib.scala 312:86] - node _T_3876 = bits(_T_3872, 6, 4) @[el2_lib.scala 312:110] - node _T_3877 = bits(_T_3872, 2, 2) @[el2_lib.scala 312:133] + node _T_3832 = bits(_T_3726, 0, 0) @[el2_lib.scala 309:49] + node _T_3833 = cat(_T_3732[1], _T_3732[0]) @[el2_lib.scala 309:69] + node _T_3834 = cat(_T_3732[3], _T_3732[2]) @[el2_lib.scala 309:69] + node _T_3835 = cat(_T_3834, _T_3833) @[el2_lib.scala 309:69] + node _T_3836 = cat(_T_3732[5], _T_3732[4]) @[el2_lib.scala 309:69] + node _T_3837 = cat(_T_3732[8], _T_3732[7]) @[el2_lib.scala 309:69] + node _T_3838 = cat(_T_3837, _T_3732[6]) @[el2_lib.scala 309:69] + node _T_3839 = cat(_T_3838, _T_3836) @[el2_lib.scala 309:69] + node _T_3840 = cat(_T_3839, _T_3835) @[el2_lib.scala 309:69] + node _T_3841 = cat(_T_3732[10], _T_3732[9]) @[el2_lib.scala 309:69] + node _T_3842 = cat(_T_3732[13], _T_3732[12]) @[el2_lib.scala 309:69] + node _T_3843 = cat(_T_3842, _T_3732[11]) @[el2_lib.scala 309:69] + node _T_3844 = cat(_T_3843, _T_3841) @[el2_lib.scala 309:69] + node _T_3845 = cat(_T_3732[15], _T_3732[14]) @[el2_lib.scala 309:69] + node _T_3846 = cat(_T_3732[18], _T_3732[17]) @[el2_lib.scala 309:69] + node _T_3847 = cat(_T_3846, _T_3732[16]) @[el2_lib.scala 309:69] + node _T_3848 = cat(_T_3847, _T_3845) @[el2_lib.scala 309:69] + node _T_3849 = cat(_T_3848, _T_3844) @[el2_lib.scala 309:69] + node _T_3850 = cat(_T_3849, _T_3840) @[el2_lib.scala 309:69] + node _T_3851 = cat(_T_3732[20], _T_3732[19]) @[el2_lib.scala 309:69] + node _T_3852 = cat(_T_3732[23], _T_3732[22]) @[el2_lib.scala 309:69] + node _T_3853 = cat(_T_3852, _T_3732[21]) @[el2_lib.scala 309:69] + node _T_3854 = cat(_T_3853, _T_3851) @[el2_lib.scala 309:69] + node _T_3855 = cat(_T_3732[25], _T_3732[24]) @[el2_lib.scala 309:69] + node _T_3856 = cat(_T_3732[28], _T_3732[27]) @[el2_lib.scala 309:69] + node _T_3857 = cat(_T_3856, _T_3732[26]) @[el2_lib.scala 309:69] + node _T_3858 = cat(_T_3857, _T_3855) @[el2_lib.scala 309:69] + node _T_3859 = cat(_T_3858, _T_3854) @[el2_lib.scala 309:69] + node _T_3860 = cat(_T_3732[30], _T_3732[29]) @[el2_lib.scala 309:69] + node _T_3861 = cat(_T_3732[33], _T_3732[32]) @[el2_lib.scala 309:69] + node _T_3862 = cat(_T_3861, _T_3732[31]) @[el2_lib.scala 309:69] + node _T_3863 = cat(_T_3862, _T_3860) @[el2_lib.scala 309:69] + node _T_3864 = cat(_T_3732[35], _T_3732[34]) @[el2_lib.scala 309:69] + node _T_3865 = cat(_T_3732[38], _T_3732[37]) @[el2_lib.scala 309:69] + node _T_3866 = cat(_T_3865, _T_3732[36]) @[el2_lib.scala 309:69] + node _T_3867 = cat(_T_3866, _T_3864) @[el2_lib.scala 309:69] + node _T_3868 = cat(_T_3867, _T_3863) @[el2_lib.scala 309:69] + node _T_3869 = cat(_T_3868, _T_3859) @[el2_lib.scala 309:69] + node _T_3870 = cat(_T_3869, _T_3850) @[el2_lib.scala 309:69] + node _T_3871 = xor(_T_3870, _T_3831) @[el2_lib.scala 309:76] + node _T_3872 = mux(_T_3832, _T_3871, _T_3831) @[el2_lib.scala 309:31] + node _T_3873 = bits(_T_3872, 37, 32) @[el2_lib.scala 311:37] + node _T_3874 = bits(_T_3872, 30, 16) @[el2_lib.scala 311:61] + node _T_3875 = bits(_T_3872, 14, 8) @[el2_lib.scala 311:86] + node _T_3876 = bits(_T_3872, 6, 4) @[el2_lib.scala 311:110] + node _T_3877 = bits(_T_3872, 2, 2) @[el2_lib.scala 311:133] node _T_3878 = cat(_T_3876, _T_3877) @[Cat.scala 29:58] node _T_3879 = cat(_T_3873, _T_3874) @[Cat.scala 29:58] node _T_3880 = cat(_T_3879, _T_3875) @[Cat.scala 29:58] node _T_3881 = cat(_T_3880, _T_3878) @[Cat.scala 29:58] - node _T_3882 = bits(_T_3872, 38, 38) @[el2_lib.scala 313:39] - node _T_3883 = bits(_T_3722, 6, 0) @[el2_lib.scala 313:56] - node _T_3884 = eq(_T_3883, UInt<7>("h040")) @[el2_lib.scala 313:62] - node _T_3885 = xor(_T_3882, _T_3884) @[el2_lib.scala 313:44] - node _T_3886 = bits(_T_3872, 31, 31) @[el2_lib.scala 313:102] - node _T_3887 = bits(_T_3872, 15, 15) @[el2_lib.scala 313:124] - node _T_3888 = bits(_T_3872, 7, 7) @[el2_lib.scala 313:146] - node _T_3889 = bits(_T_3872, 3, 3) @[el2_lib.scala 313:167] - node _T_3890 = bits(_T_3872, 1, 0) @[el2_lib.scala 313:188] + node _T_3882 = bits(_T_3872, 38, 38) @[el2_lib.scala 312:39] + node _T_3883 = bits(_T_3722, 6, 0) @[el2_lib.scala 312:56] + node _T_3884 = eq(_T_3883, UInt<7>("h040")) @[el2_lib.scala 312:62] + node _T_3885 = xor(_T_3882, _T_3884) @[el2_lib.scala 312:44] + node _T_3886 = bits(_T_3872, 31, 31) @[el2_lib.scala 312:102] + node _T_3887 = bits(_T_3872, 15, 15) @[el2_lib.scala 312:124] + node _T_3888 = bits(_T_3872, 7, 7) @[el2_lib.scala 312:146] + node _T_3889 = bits(_T_3872, 3, 3) @[el2_lib.scala 312:167] + node _T_3890 = bits(_T_3872, 1, 0) @[el2_lib.scala 312:188] node _T_3891 = cat(_T_3888, _T_3889) @[Cat.scala 29:58] node _T_3892 = cat(_T_3891, _T_3890) @[Cat.scala 29:58] node _T_3893 = cat(_T_3885, _T_3886) @[Cat.scala 29:58] node _T_3894 = cat(_T_3893, _T_3887) @[Cat.scala 29:58] node _T_3895 = cat(_T_3894, _T_3892) @[Cat.scala 29:58] - wire iccm_corrected_ecc : UInt<7>[2] @[el2_ifu_mem_ctl.scala 673:32] - wire _T_3896 : UInt<7>[2] @[el2_ifu_mem_ctl.scala 674:32] - _T_3896[0] <= _T_3510 @[el2_ifu_mem_ctl.scala 674:32] - _T_3896[1] <= _T_3895 @[el2_ifu_mem_ctl.scala 674:32] - iccm_corrected_ecc[0] <= _T_3896[0] @[el2_ifu_mem_ctl.scala 674:22] - iccm_corrected_ecc[1] <= _T_3896[1] @[el2_ifu_mem_ctl.scala 674:22] - wire _T_3897 : UInt<32>[2] @[el2_ifu_mem_ctl.scala 675:33] - _T_3897[0] <= _T_3496 @[el2_ifu_mem_ctl.scala 675:33] - _T_3897[1] <= _T_3881 @[el2_ifu_mem_ctl.scala 675:33] - iccm_corrected_data[0] <= _T_3897[0] @[el2_ifu_mem_ctl.scala 675:23] - iccm_corrected_data[1] <= _T_3897[1] @[el2_ifu_mem_ctl.scala 675:23] + wire iccm_corrected_ecc : UInt<7>[2] @[el2_ifu_mem_ctl.scala 668:32] + wire _T_3896 : UInt<7>[2] @[el2_ifu_mem_ctl.scala 669:32] + _T_3896[0] <= _T_3510 @[el2_ifu_mem_ctl.scala 669:32] + _T_3896[1] <= _T_3895 @[el2_ifu_mem_ctl.scala 669:32] + iccm_corrected_ecc[0] <= _T_3896[0] @[el2_ifu_mem_ctl.scala 669:22] + iccm_corrected_ecc[1] <= _T_3896[1] @[el2_ifu_mem_ctl.scala 669:22] + wire _T_3897 : UInt<32>[2] @[el2_ifu_mem_ctl.scala 670:33] + _T_3897[0] <= _T_3496 @[el2_ifu_mem_ctl.scala 670:33] + _T_3897[1] <= _T_3881 @[el2_ifu_mem_ctl.scala 670:33] + iccm_corrected_data[0] <= _T_3897[0] @[el2_ifu_mem_ctl.scala 670:23] + iccm_corrected_data[1] <= _T_3897[1] @[el2_ifu_mem_ctl.scala 670:23] node _T_3898 = cat(_T_3341, _T_3726) @[Cat.scala 29:58] - iccm_single_ecc_error <= _T_3898 @[el2_ifu_mem_ctl.scala 676:25] + iccm_single_ecc_error <= _T_3898 @[el2_ifu_mem_ctl.scala 671:25] node _T_3899 = cat(_T_3346, _T_3731) @[Cat.scala 29:58] - iccm_double_ecc_error <= _T_3899 @[el2_ifu_mem_ctl.scala 677:25] - node _T_3900 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 678:54] - node _T_3901 = and(_T_3900, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 678:58] - node _T_3902 = and(_T_3901, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 678:78] - io.iccm_rd_ecc_single_err <= _T_3902 @[el2_ifu_mem_ctl.scala 678:29] - node _T_3903 = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 679:54] - node _T_3904 = and(_T_3903, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 679:58] - io.iccm_rd_ecc_double_err <= _T_3904 @[el2_ifu_mem_ctl.scala 679:29] - node _T_3905 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 680:60] - node _T_3906 = bits(_T_3905, 0, 0) @[el2_ifu_mem_ctl.scala 680:64] - node iccm_corrected_data_f_mux = mux(_T_3906, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 680:38] - node _T_3907 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 681:59] - node _T_3908 = bits(_T_3907, 0, 0) @[el2_ifu_mem_ctl.scala 681:63] - node iccm_corrected_ecc_f_mux = mux(_T_3908, iccm_corrected_ecc[0], iccm_corrected_ecc[1]) @[el2_ifu_mem_ctl.scala 681:37] + iccm_double_ecc_error <= _T_3899 @[el2_ifu_mem_ctl.scala 672:25] + node _T_3900 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 673:54] + node _T_3901 = and(_T_3900, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 673:58] + node _T_3902 = and(_T_3901, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 673:78] + io.iccm_rd_ecc_single_err <= _T_3902 @[el2_ifu_mem_ctl.scala 673:29] + node _T_3903 = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 674:54] + node _T_3904 = and(_T_3903, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 674:58] + io.iccm_rd_ecc_double_err <= _T_3904 @[el2_ifu_mem_ctl.scala 674:29] + node _T_3905 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 675:60] + node _T_3906 = bits(_T_3905, 0, 0) @[el2_ifu_mem_ctl.scala 675:64] + node iccm_corrected_data_f_mux = mux(_T_3906, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 675:38] + node _T_3907 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 676:59] + node _T_3908 = bits(_T_3907, 0, 0) @[el2_ifu_mem_ctl.scala 676:63] + node iccm_corrected_ecc_f_mux = mux(_T_3908, iccm_corrected_ecc[0], iccm_corrected_ecc[1]) @[el2_ifu_mem_ctl.scala 676:37] wire iccm_rd_ecc_single_err_ff : UInt<1> iccm_rd_ecc_single_err_ff <= UInt<1>("h00") - node _T_3909 = eq(iccm_rd_ecc_single_err_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 683:76] - node _T_3910 = and(io.iccm_rd_ecc_single_err, _T_3909) @[el2_ifu_mem_ctl.scala 683:74] - node _T_3911 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 683:106] - node _T_3912 = and(_T_3910, _T_3911) @[el2_ifu_mem_ctl.scala 683:104] - node iccm_ecc_write_status = or(_T_3912, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 683:127] - node _T_3913 = or(io.iccm_rd_ecc_single_err, iccm_rd_ecc_single_err_ff) @[el2_ifu_mem_ctl.scala 684:67] - node _T_3914 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 684:98] - node iccm_rd_ecc_single_err_hold_in = and(_T_3913, _T_3914) @[el2_ifu_mem_ctl.scala 684:96] - iccm_error_start <= io.iccm_rd_ecc_single_err @[el2_ifu_mem_ctl.scala 685:20] + node _T_3909 = eq(iccm_rd_ecc_single_err_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 678:76] + node _T_3910 = and(io.iccm_rd_ecc_single_err, _T_3909) @[el2_ifu_mem_ctl.scala 678:74] + node _T_3911 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 678:106] + node _T_3912 = and(_T_3910, _T_3911) @[el2_ifu_mem_ctl.scala 678:104] + node iccm_ecc_write_status = or(_T_3912, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 678:127] + node _T_3913 = or(io.iccm_rd_ecc_single_err, iccm_rd_ecc_single_err_ff) @[el2_ifu_mem_ctl.scala 679:67] + node _T_3914 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 679:98] + node iccm_rd_ecc_single_err_hold_in = and(_T_3913, _T_3914) @[el2_ifu_mem_ctl.scala 679:96] + iccm_error_start <= io.iccm_rd_ecc_single_err @[el2_ifu_mem_ctl.scala 680:20] wire iccm_rw_addr_f : UInt<14> iccm_rw_addr_f <= UInt<1>("h00") - node _T_3915 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 687:57] - node _T_3916 = bits(_T_3915, 0, 0) @[el2_ifu_mem_ctl.scala 687:67] - node _T_3917 = add(iccm_rw_addr_f, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 687:102] - node _T_3918 = tail(_T_3917, 1) @[el2_ifu_mem_ctl.scala 687:102] - node iccm_ecc_corr_index_in = mux(_T_3916, iccm_rw_addr_f, _T_3918) @[el2_ifu_mem_ctl.scala 687:35] - node _T_3919 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_mem_ctl.scala 688:67] - reg _T_3920 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 688:51] - _T_3920 <= _T_3919 @[el2_ifu_mem_ctl.scala 688:51] - iccm_rw_addr_f <= _T_3920 @[el2_ifu_mem_ctl.scala 688:18] - reg _T_3921 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 689:62] - _T_3921 <= iccm_rd_ecc_single_err_hold_in @[el2_ifu_mem_ctl.scala 689:62] - iccm_rd_ecc_single_err_ff <= _T_3921 @[el2_ifu_mem_ctl.scala 689:29] + node _T_3915 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 682:57] + node _T_3916 = bits(_T_3915, 0, 0) @[el2_ifu_mem_ctl.scala 682:67] + node _T_3917 = add(iccm_rw_addr_f, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 682:102] + node _T_3918 = tail(_T_3917, 1) @[el2_ifu_mem_ctl.scala 682:102] + node iccm_ecc_corr_index_in = mux(_T_3916, iccm_rw_addr_f, _T_3918) @[el2_ifu_mem_ctl.scala 682:35] + node _T_3919 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_mem_ctl.scala 683:67] + reg _T_3920 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 683:51] + _T_3920 <= _T_3919 @[el2_ifu_mem_ctl.scala 683:51] + iccm_rw_addr_f <= _T_3920 @[el2_ifu_mem_ctl.scala 683:18] + reg _T_3921 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 684:62] + _T_3921 <= iccm_rd_ecc_single_err_hold_in @[el2_ifu_mem_ctl.scala 684:62] + iccm_rd_ecc_single_err_ff <= _T_3921 @[el2_ifu_mem_ctl.scala 684:29] node _T_3922 = cat(iccm_corrected_ecc_f_mux, iccm_corrected_data_f_mux) @[Cat.scala 29:58] - node _T_3923 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 690:152] + node _T_3923 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 685:152] reg _T_3924 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3923 : @[Reg.scala 28:19] _T_3924 <= _T_3922 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - iccm_ecc_corr_data_ff <= _T_3924 @[el2_ifu_mem_ctl.scala 690:25] - node _T_3925 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 691:119] + iccm_ecc_corr_data_ff <= _T_3924 @[el2_ifu_mem_ctl.scala 685:25] + node _T_3925 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 686:119] reg _T_3926 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3925 : @[Reg.scala 28:19] _T_3926 <= iccm_ecc_corr_index_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - iccm_ecc_corr_index_ff <= _T_3926 @[el2_ifu_mem_ctl.scala 691:26] - node _T_3927 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 692:41] - node _T_3928 = and(io.ifc_fetch_req_bf, _T_3927) @[el2_ifu_mem_ctl.scala 692:39] - node _T_3929 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 692:72] - node _T_3930 = and(_T_3928, _T_3929) @[el2_ifu_mem_ctl.scala 692:70] - node _T_3931 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 693:19] - node _T_3932 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 693:34] - node _T_3933 = and(_T_3931, _T_3932) @[el2_ifu_mem_ctl.scala 693:32] - node _T_3934 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 694:19] - node _T_3935 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 694:39] - node _T_3936 = and(_T_3934, _T_3935) @[el2_ifu_mem_ctl.scala 694:37] - node _T_3937 = or(_T_3933, _T_3936) @[el2_ifu_mem_ctl.scala 693:88] - node _T_3938 = eq(miss_state, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 695:19] - node _T_3939 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 695:43] - node _T_3940 = and(_T_3938, _T_3939) @[el2_ifu_mem_ctl.scala 695:41] - node _T_3941 = or(_T_3937, _T_3940) @[el2_ifu_mem_ctl.scala 694:88] - node _T_3942 = eq(miss_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 696:19] - node _T_3943 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 696:37] - node _T_3944 = and(_T_3942, _T_3943) @[el2_ifu_mem_ctl.scala 696:35] - node _T_3945 = or(_T_3941, _T_3944) @[el2_ifu_mem_ctl.scala 695:88] - node _T_3946 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 697:19] - node _T_3947 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 697:40] - node _T_3948 = and(_T_3946, _T_3947) @[el2_ifu_mem_ctl.scala 697:38] - node _T_3949 = or(_T_3945, _T_3948) @[el2_ifu_mem_ctl.scala 696:88] - node _T_3950 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 698:19] - node _T_3951 = and(_T_3950, miss_state_en) @[el2_ifu_mem_ctl.scala 698:37] - node _T_3952 = eq(miss_nxtstate, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 698:71] - node _T_3953 = and(_T_3951, _T_3952) @[el2_ifu_mem_ctl.scala 698:54] - node _T_3954 = or(_T_3949, _T_3953) @[el2_ifu_mem_ctl.scala 697:57] - node _T_3955 = eq(_T_3954, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 693:5] - node _T_3956 = and(_T_3930, _T_3955) @[el2_ifu_mem_ctl.scala 692:96] - node _T_3957 = and(io.ifc_fetch_req_bf, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 699:28] - node _T_3958 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 699:52] - node _T_3959 = and(_T_3957, _T_3958) @[el2_ifu_mem_ctl.scala 699:50] - node _T_3960 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 699:83] - node _T_3961 = and(_T_3959, _T_3960) @[el2_ifu_mem_ctl.scala 699:81] - node _T_3962 = or(_T_3956, _T_3961) @[el2_ifu_mem_ctl.scala 698:93] - io.ic_rd_en <= _T_3962 @[el2_ifu_mem_ctl.scala 692:15] + iccm_ecc_corr_index_ff <= _T_3926 @[el2_ifu_mem_ctl.scala 686:26] + node _T_3927 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 687:41] + node _T_3928 = and(io.ifc_fetch_req_bf, _T_3927) @[el2_ifu_mem_ctl.scala 687:39] + node _T_3929 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 687:72] + node _T_3930 = and(_T_3928, _T_3929) @[el2_ifu_mem_ctl.scala 687:70] + node _T_3931 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 688:19] + node _T_3932 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 688:34] + node _T_3933 = and(_T_3931, _T_3932) @[el2_ifu_mem_ctl.scala 688:32] + node _T_3934 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 689:19] + node _T_3935 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 689:39] + node _T_3936 = and(_T_3934, _T_3935) @[el2_ifu_mem_ctl.scala 689:37] + node _T_3937 = or(_T_3933, _T_3936) @[el2_ifu_mem_ctl.scala 688:88] + node _T_3938 = eq(miss_state, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 690:19] + node _T_3939 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 690:43] + node _T_3940 = and(_T_3938, _T_3939) @[el2_ifu_mem_ctl.scala 690:41] + node _T_3941 = or(_T_3937, _T_3940) @[el2_ifu_mem_ctl.scala 689:88] + node _T_3942 = eq(miss_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 691:19] + node _T_3943 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 691:37] + node _T_3944 = and(_T_3942, _T_3943) @[el2_ifu_mem_ctl.scala 691:35] + node _T_3945 = or(_T_3941, _T_3944) @[el2_ifu_mem_ctl.scala 690:88] + node _T_3946 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 692:19] + node _T_3947 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 692:40] + node _T_3948 = and(_T_3946, _T_3947) @[el2_ifu_mem_ctl.scala 692:38] + node _T_3949 = or(_T_3945, _T_3948) @[el2_ifu_mem_ctl.scala 691:88] + node _T_3950 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 693:19] + node _T_3951 = and(_T_3950, miss_state_en) @[el2_ifu_mem_ctl.scala 693:37] + node _T_3952 = eq(miss_nxtstate, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 693:71] + node _T_3953 = and(_T_3951, _T_3952) @[el2_ifu_mem_ctl.scala 693:54] + node _T_3954 = or(_T_3949, _T_3953) @[el2_ifu_mem_ctl.scala 692:57] + node _T_3955 = eq(_T_3954, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 688:5] + node _T_3956 = and(_T_3930, _T_3955) @[el2_ifu_mem_ctl.scala 687:96] + node _T_3957 = and(io.ifc_fetch_req_bf, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 694:28] + node _T_3958 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 694:52] + node _T_3959 = and(_T_3957, _T_3958) @[el2_ifu_mem_ctl.scala 694:50] + node _T_3960 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 694:83] + node _T_3961 = and(_T_3959, _T_3960) @[el2_ifu_mem_ctl.scala 694:81] + node _T_3962 = or(_T_3956, _T_3961) @[el2_ifu_mem_ctl.scala 693:93] + io.ic_rd_en <= _T_3962 @[el2_ifu_mem_ctl.scala 687:15] wire bus_ic_wr_en : UInt<2> bus_ic_wr_en <= UInt<1>("h00") node _T_3963 = bits(write_ic_16_bytes, 0, 0) @[Bitwise.scala 72:15] node _T_3964 = mux(_T_3963, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_3965 = and(bus_ic_wr_en, _T_3964) @[el2_ifu_mem_ctl.scala 701:31] - io.ic_wr_en <= _T_3965 @[el2_ifu_mem_ctl.scala 701:15] - node _T_3966 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 702:59] - node _T_3967 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 702:91] - node _T_3968 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 702:127] - node _T_3969 = or(_T_3968, stream_eol_f) @[el2_ifu_mem_ctl.scala 702:151] - node _T_3970 = eq(_T_3969, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 702:106] - node _T_3971 = and(_T_3967, _T_3970) @[el2_ifu_mem_ctl.scala 702:104] - node _T_3972 = or(_T_3966, _T_3971) @[el2_ifu_mem_ctl.scala 702:77] - node _T_3973 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 702:191] - node _T_3974 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 702:205] - node _T_3975 = and(_T_3973, _T_3974) @[el2_ifu_mem_ctl.scala 702:203] - node _T_3976 = eq(_T_3975, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 702:172] - node _T_3977 = and(_T_3972, _T_3976) @[el2_ifu_mem_ctl.scala 702:170] - node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 702:44] - node _T_3979 = and(write_ic_16_bytes, _T_3978) @[el2_ifu_mem_ctl.scala 702:42] - io.ic_write_stall <= _T_3979 @[el2_ifu_mem_ctl.scala 702:21] - reg _T_3980 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 703:53] - _T_3980 <= io.dec_tlu_fence_i_wb @[el2_ifu_mem_ctl.scala 703:53] - reset_all_tags <= _T_3980 @[el2_ifu_mem_ctl.scala 703:18] - node _T_3981 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 705:20] - node _T_3982 = or(reset_ic_in, reset_ic_ff) @[el2_ifu_mem_ctl.scala 705:64] - node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 705:50] - node _T_3984 = and(_T_3981, _T_3983) @[el2_ifu_mem_ctl.scala 705:48] - node _T_3985 = eq(reset_tag_valid_for_miss, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 705:81] - node ic_valid = and(_T_3984, _T_3985) @[el2_ifu_mem_ctl.scala 705:79] - node _T_3986 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 706:61] - node _T_3987 = and(_T_3986, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 706:82] - node _T_3988 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 706:123] - node _T_3989 = bits(ifu_status_wr_addr, 11, 5) @[el2_ifu_mem_ctl.scala 707:25] - node ifu_status_wr_addr_w_debug = mux(_T_3987, _T_3988, _T_3989) @[el2_ifu_mem_ctl.scala 706:41] - reg ifu_status_wr_addr_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 709:14] - ifu_status_wr_addr_ff <= ifu_status_wr_addr_w_debug @[el2_ifu_mem_ctl.scala 709:14] + node _T_3965 = and(bus_ic_wr_en, _T_3964) @[el2_ifu_mem_ctl.scala 696:31] + io.ic_wr_en <= _T_3965 @[el2_ifu_mem_ctl.scala 696:15] + node _T_3966 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 697:59] + node _T_3967 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 697:91] + node _T_3968 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 697:127] + node _T_3969 = or(_T_3968, stream_eol_f) @[el2_ifu_mem_ctl.scala 697:151] + node _T_3970 = eq(_T_3969, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 697:106] + node _T_3971 = and(_T_3967, _T_3970) @[el2_ifu_mem_ctl.scala 697:104] + node _T_3972 = or(_T_3966, _T_3971) @[el2_ifu_mem_ctl.scala 697:77] + node _T_3973 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 697:191] + node _T_3974 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 697:205] + node _T_3975 = and(_T_3973, _T_3974) @[el2_ifu_mem_ctl.scala 697:203] + node _T_3976 = eq(_T_3975, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 697:172] + node _T_3977 = and(_T_3972, _T_3976) @[el2_ifu_mem_ctl.scala 697:170] + node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 697:44] + node _T_3979 = and(write_ic_16_bytes, _T_3978) @[el2_ifu_mem_ctl.scala 697:42] + io.ic_write_stall <= _T_3979 @[el2_ifu_mem_ctl.scala 697:21] + reg _T_3980 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 698:53] + _T_3980 <= io.dec_tlu_fence_i_wb @[el2_ifu_mem_ctl.scala 698:53] + reset_all_tags <= _T_3980 @[el2_ifu_mem_ctl.scala 698:18] + node _T_3981 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 700:20] + node _T_3982 = or(reset_ic_in, reset_ic_ff) @[el2_ifu_mem_ctl.scala 700:64] + node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 700:50] + node _T_3984 = and(_T_3981, _T_3983) @[el2_ifu_mem_ctl.scala 700:48] + node _T_3985 = eq(reset_tag_valid_for_miss, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 700:81] + node ic_valid = and(_T_3984, _T_3985) @[el2_ifu_mem_ctl.scala 700:79] + node _T_3986 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 701:61] + node _T_3987 = and(_T_3986, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 701:82] + node _T_3988 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 701:123] + node _T_3989 = bits(ifu_status_wr_addr, 11, 5) @[el2_ifu_mem_ctl.scala 702:25] + node ifu_status_wr_addr_w_debug = mux(_T_3987, _T_3988, _T_3989) @[el2_ifu_mem_ctl.scala 701:41] + reg ifu_status_wr_addr_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 704:14] + ifu_status_wr_addr_ff <= ifu_status_wr_addr_w_debug @[el2_ifu_mem_ctl.scala 704:14] wire way_status_wr_en : UInt<1> way_status_wr_en <= UInt<1>("h00") - node _T_3990 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 712:74] - node way_status_wr_en_w_debug = or(way_status_wr_en, _T_3990) @[el2_ifu_mem_ctl.scala 712:53] - reg way_status_wr_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 714:14] - way_status_wr_en_ff <= way_status_wr_en_w_debug @[el2_ifu_mem_ctl.scala 714:14] + node _T_3990 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 707:74] + node way_status_wr_en_w_debug = or(way_status_wr_en, _T_3990) @[el2_ifu_mem_ctl.scala 707:53] + reg way_status_wr_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 709:14] + way_status_wr_en_ff <= way_status_wr_en_w_debug @[el2_ifu_mem_ctl.scala 709:14] wire way_status_new : UInt<1> way_status_new <= UInt<1>("h00") - node _T_3991 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 717:56] - node _T_3992 = bits(io.ic_debug_wr_data, 4, 4) @[el2_ifu_mem_ctl.scala 718:55] - node way_status_new_w_debug = mux(_T_3991, _T_3992, way_status_new) @[el2_ifu_mem_ctl.scala 717:37] - reg way_status_new_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 722:14] - way_status_new_ff <= way_status_new_w_debug @[el2_ifu_mem_ctl.scala 722:14] - node _T_3993 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_0 = eq(_T_3993, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_3994 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_1 = eq(_T_3994, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_3995 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_2 = eq(_T_3995, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_3996 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_3 = eq(_T_3996, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_3997 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_4 = eq(_T_3997, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_3998 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_5 = eq(_T_3998, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_3999 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_6 = eq(_T_3999, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_4000 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_7 = eq(_T_4000, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_4001 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_8 = eq(_T_4001, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_4002 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_9 = eq(_T_4002, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_4003 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_10 = eq(_T_4003, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_4004 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_11 = eq(_T_4004, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_4005 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_12 = eq(_T_4005, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_4006 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_13 = eq(_T_4006, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_4007 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_14 = eq(_T_4007, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 724:132] - node _T_4008 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 724:89] - node way_status_clken_15 = eq(_T_4008, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_out : UInt<1>[128] @[el2_ifu_mem_ctl.scala 726:30] - node _T_4009 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4010 = eq(_T_4009, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4011 = and(_T_4010, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4012 = and(_T_4011, way_status_clken_0) @[el2_ifu_mem_ctl.scala 728:131] + node _T_3991 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 712:56] + node _T_3992 = bits(io.ic_debug_wr_data, 4, 4) @[el2_ifu_mem_ctl.scala 713:55] + node way_status_new_w_debug = mux(_T_3991, _T_3992, way_status_new) @[el2_ifu_mem_ctl.scala 712:37] + reg way_status_new_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 717:14] + way_status_new_ff <= way_status_new_w_debug @[el2_ifu_mem_ctl.scala 717:14] + node _T_3993 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_0 = eq(_T_3993, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3994 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_1 = eq(_T_3994, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3995 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_2 = eq(_T_3995, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3996 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_3 = eq(_T_3996, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3997 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_4 = eq(_T_3997, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3998 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_5 = eq(_T_3998, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3999 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_6 = eq(_T_3999, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4000 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_7 = eq(_T_4000, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4001 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_8 = eq(_T_4001, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4002 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_9 = eq(_T_4002, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4003 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_10 = eq(_T_4003, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4004 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_11 = eq(_T_4004, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4005 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_12 = eq(_T_4005, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4006 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_13 = eq(_T_4006, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4007 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_14 = eq(_T_4007, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4008 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_15 = eq(_T_4008, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_out : UInt<1>[128] @[el2_ifu_mem_ctl.scala 721:30] + node _T_4009 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4010 = eq(_T_4009, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4011 = and(_T_4010, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4012 = and(_T_4011, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4013 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4012 : @[Reg.scala 28:19] _T_4013 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[0] <= _T_4013 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4014 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4015 = eq(_T_4014, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4016 = and(_T_4015, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4017 = and(_T_4016, way_status_clken_0) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[0] <= _T_4013 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4014 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4015 = eq(_T_4014, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4016 = and(_T_4015, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4017 = and(_T_4016, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4018 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4017 : @[Reg.scala 28:19] _T_4018 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[1] <= _T_4018 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4019 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4020 = eq(_T_4019, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4021 = and(_T_4020, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4022 = and(_T_4021, way_status_clken_0) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[1] <= _T_4018 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4019 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4020 = eq(_T_4019, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4021 = and(_T_4020, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4022 = and(_T_4021, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4023 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4022 : @[Reg.scala 28:19] _T_4023 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[2] <= _T_4023 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4024 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4025 = eq(_T_4024, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4026 = and(_T_4025, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4027 = and(_T_4026, way_status_clken_0) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[2] <= _T_4023 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4024 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4025 = eq(_T_4024, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4026 = and(_T_4025, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4027 = and(_T_4026, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4028 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4027 : @[Reg.scala 28:19] _T_4028 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[3] <= _T_4028 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4029 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4030 = eq(_T_4029, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4031 = and(_T_4030, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4032 = and(_T_4031, way_status_clken_0) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[3] <= _T_4028 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4029 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4030 = eq(_T_4029, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4031 = and(_T_4030, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4032 = and(_T_4031, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4033 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4032 : @[Reg.scala 28:19] _T_4033 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[4] <= _T_4033 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4034 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4035 = eq(_T_4034, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4036 = and(_T_4035, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4037 = and(_T_4036, way_status_clken_0) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[4] <= _T_4033 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4034 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4035 = eq(_T_4034, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4036 = and(_T_4035, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4037 = and(_T_4036, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4038 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4037 : @[Reg.scala 28:19] _T_4038 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[5] <= _T_4038 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4039 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4040 = eq(_T_4039, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4041 = and(_T_4040, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4042 = and(_T_4041, way_status_clken_0) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[5] <= _T_4038 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4039 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4040 = eq(_T_4039, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4041 = and(_T_4040, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4042 = and(_T_4041, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4043 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4042 : @[Reg.scala 28:19] _T_4043 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[6] <= _T_4043 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4044 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4045 = eq(_T_4044, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4046 = and(_T_4045, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4047 = and(_T_4046, way_status_clken_0) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[6] <= _T_4043 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4044 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4045 = eq(_T_4044, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4046 = and(_T_4045, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4047 = and(_T_4046, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4048 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4047 : @[Reg.scala 28:19] _T_4048 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[7] <= _T_4048 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4049 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4050 = eq(_T_4049, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4051 = and(_T_4050, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4052 = and(_T_4051, way_status_clken_1) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[7] <= _T_4048 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4049 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4050 = eq(_T_4049, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4051 = and(_T_4050, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4052 = and(_T_4051, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4053 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4052 : @[Reg.scala 28:19] _T_4053 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[8] <= _T_4053 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4054 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4055 = eq(_T_4054, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4056 = and(_T_4055, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4057 = and(_T_4056, way_status_clken_1) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[8] <= _T_4053 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4054 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4055 = eq(_T_4054, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4056 = and(_T_4055, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4057 = and(_T_4056, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4058 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4057 : @[Reg.scala 28:19] _T_4058 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[9] <= _T_4058 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4059 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4060 = eq(_T_4059, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4061 = and(_T_4060, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4062 = and(_T_4061, way_status_clken_1) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[9] <= _T_4058 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4059 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4060 = eq(_T_4059, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4061 = and(_T_4060, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4062 = and(_T_4061, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4063 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4062 : @[Reg.scala 28:19] _T_4063 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[10] <= _T_4063 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4064 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4065 = eq(_T_4064, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4066 = and(_T_4065, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4067 = and(_T_4066, way_status_clken_1) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[10] <= _T_4063 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4064 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4065 = eq(_T_4064, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4066 = and(_T_4065, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4067 = and(_T_4066, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4068 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4067 : @[Reg.scala 28:19] _T_4068 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[11] <= _T_4068 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4069 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4070 = eq(_T_4069, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4071 = and(_T_4070, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4072 = and(_T_4071, way_status_clken_1) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[11] <= _T_4068 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4069 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4070 = eq(_T_4069, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4071 = and(_T_4070, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4072 = and(_T_4071, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4073 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4072 : @[Reg.scala 28:19] _T_4073 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[12] <= _T_4073 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4074 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4075 = eq(_T_4074, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4076 = and(_T_4075, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4077 = and(_T_4076, way_status_clken_1) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[12] <= _T_4073 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4074 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4075 = eq(_T_4074, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4076 = and(_T_4075, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4077 = and(_T_4076, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4078 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4077 : @[Reg.scala 28:19] _T_4078 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[13] <= _T_4078 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4079 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4080 = eq(_T_4079, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4081 = and(_T_4080, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4082 = and(_T_4081, way_status_clken_1) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[13] <= _T_4078 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4079 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4080 = eq(_T_4079, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4081 = and(_T_4080, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4082 = and(_T_4081, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4083 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4082 : @[Reg.scala 28:19] _T_4083 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[14] <= _T_4083 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4084 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4085 = eq(_T_4084, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4086 = and(_T_4085, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4087 = and(_T_4086, way_status_clken_1) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[14] <= _T_4083 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4084 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4085 = eq(_T_4084, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4086 = and(_T_4085, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4087 = and(_T_4086, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4088 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4087 : @[Reg.scala 28:19] _T_4088 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[15] <= _T_4088 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4089 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4090 = eq(_T_4089, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4091 = and(_T_4090, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4092 = and(_T_4091, way_status_clken_2) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[15] <= _T_4088 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4089 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4090 = eq(_T_4089, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4091 = and(_T_4090, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4092 = and(_T_4091, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4093 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4092 : @[Reg.scala 28:19] _T_4093 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[16] <= _T_4093 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4094 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4095 = eq(_T_4094, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4096 = and(_T_4095, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4097 = and(_T_4096, way_status_clken_2) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[16] <= _T_4093 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4094 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4095 = eq(_T_4094, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4096 = and(_T_4095, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4097 = and(_T_4096, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4098 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4097 : @[Reg.scala 28:19] _T_4098 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[17] <= _T_4098 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4099 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4100 = eq(_T_4099, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4101 = and(_T_4100, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4102 = and(_T_4101, way_status_clken_2) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[17] <= _T_4098 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4099 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4100 = eq(_T_4099, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4101 = and(_T_4100, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4102 = and(_T_4101, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4103 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4102 : @[Reg.scala 28:19] _T_4103 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[18] <= _T_4103 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4104 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4105 = eq(_T_4104, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4106 = and(_T_4105, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4107 = and(_T_4106, way_status_clken_2) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[18] <= _T_4103 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4104 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4105 = eq(_T_4104, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4106 = and(_T_4105, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4107 = and(_T_4106, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4108 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4107 : @[Reg.scala 28:19] _T_4108 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[19] <= _T_4108 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4109 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4110 = eq(_T_4109, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4111 = and(_T_4110, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4112 = and(_T_4111, way_status_clken_2) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[19] <= _T_4108 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4109 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4110 = eq(_T_4109, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4111 = and(_T_4110, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4112 = and(_T_4111, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4113 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4112 : @[Reg.scala 28:19] _T_4113 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[20] <= _T_4113 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4114 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4115 = eq(_T_4114, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4116 = and(_T_4115, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4117 = and(_T_4116, way_status_clken_2) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[20] <= _T_4113 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4114 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4115 = eq(_T_4114, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4116 = and(_T_4115, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4117 = and(_T_4116, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4118 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4117 : @[Reg.scala 28:19] _T_4118 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[21] <= _T_4118 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4119 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4120 = eq(_T_4119, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4121 = and(_T_4120, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4122 = and(_T_4121, way_status_clken_2) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[21] <= _T_4118 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4119 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4120 = eq(_T_4119, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4121 = and(_T_4120, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4122 = and(_T_4121, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4123 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4122 : @[Reg.scala 28:19] _T_4123 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[22] <= _T_4123 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4124 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4125 = eq(_T_4124, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4126 = and(_T_4125, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4127 = and(_T_4126, way_status_clken_2) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[22] <= _T_4123 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4124 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4125 = eq(_T_4124, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4126 = and(_T_4125, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4127 = and(_T_4126, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4128 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4127 : @[Reg.scala 28:19] _T_4128 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[23] <= _T_4128 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4129 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4130 = eq(_T_4129, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4131 = and(_T_4130, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4132 = and(_T_4131, way_status_clken_3) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[23] <= _T_4128 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4129 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4130 = eq(_T_4129, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4131 = and(_T_4130, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4132 = and(_T_4131, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4133 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4132 : @[Reg.scala 28:19] _T_4133 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[24] <= _T_4133 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4134 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4135 = eq(_T_4134, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4136 = and(_T_4135, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4137 = and(_T_4136, way_status_clken_3) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[24] <= _T_4133 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4134 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4135 = eq(_T_4134, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4136 = and(_T_4135, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4137 = and(_T_4136, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4138 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4137 : @[Reg.scala 28:19] _T_4138 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[25] <= _T_4138 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4139 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4140 = eq(_T_4139, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4141 = and(_T_4140, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4142 = and(_T_4141, way_status_clken_3) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[25] <= _T_4138 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4139 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4140 = eq(_T_4139, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4141 = and(_T_4140, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4142 = and(_T_4141, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4143 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4142 : @[Reg.scala 28:19] _T_4143 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[26] <= _T_4143 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4144 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4145 = eq(_T_4144, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4146 = and(_T_4145, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4147 = and(_T_4146, way_status_clken_3) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[26] <= _T_4143 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4144 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4145 = eq(_T_4144, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4146 = and(_T_4145, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4147 = and(_T_4146, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4148 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4147 : @[Reg.scala 28:19] _T_4148 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[27] <= _T_4148 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4149 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4150 = eq(_T_4149, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4151 = and(_T_4150, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4152 = and(_T_4151, way_status_clken_3) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[27] <= _T_4148 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4149 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4150 = eq(_T_4149, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4151 = and(_T_4150, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4152 = and(_T_4151, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4153 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4152 : @[Reg.scala 28:19] _T_4153 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[28] <= _T_4153 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4154 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4155 = eq(_T_4154, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4156 = and(_T_4155, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4157 = and(_T_4156, way_status_clken_3) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[28] <= _T_4153 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4154 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4155 = eq(_T_4154, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4156 = and(_T_4155, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4157 = and(_T_4156, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4158 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4157 : @[Reg.scala 28:19] _T_4158 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[29] <= _T_4158 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4159 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4160 = eq(_T_4159, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4161 = and(_T_4160, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4162 = and(_T_4161, way_status_clken_3) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[29] <= _T_4158 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4159 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4160 = eq(_T_4159, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4161 = and(_T_4160, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4162 = and(_T_4161, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4163 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4162 : @[Reg.scala 28:19] _T_4163 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[30] <= _T_4163 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4164 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4165 = eq(_T_4164, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4166 = and(_T_4165, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4167 = and(_T_4166, way_status_clken_3) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[30] <= _T_4163 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4164 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4165 = eq(_T_4164, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4166 = and(_T_4165, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4167 = and(_T_4166, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4168 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4167 : @[Reg.scala 28:19] _T_4168 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[31] <= _T_4168 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4169 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4170 = eq(_T_4169, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4171 = and(_T_4170, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4172 = and(_T_4171, way_status_clken_4) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[31] <= _T_4168 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4169 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4170 = eq(_T_4169, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4171 = and(_T_4170, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4172 = and(_T_4171, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4173 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4172 : @[Reg.scala 28:19] _T_4173 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[32] <= _T_4173 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4174 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4175 = eq(_T_4174, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4176 = and(_T_4175, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4177 = and(_T_4176, way_status_clken_4) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[32] <= _T_4173 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4174 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4175 = eq(_T_4174, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4176 = and(_T_4175, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4177 = and(_T_4176, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4178 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4177 : @[Reg.scala 28:19] _T_4178 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[33] <= _T_4178 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4179 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4180 = eq(_T_4179, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4181 = and(_T_4180, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4182 = and(_T_4181, way_status_clken_4) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[33] <= _T_4178 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4179 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4180 = eq(_T_4179, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4181 = and(_T_4180, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4182 = and(_T_4181, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4183 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4182 : @[Reg.scala 28:19] _T_4183 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[34] <= _T_4183 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4184 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4185 = eq(_T_4184, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4186 = and(_T_4185, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4187 = and(_T_4186, way_status_clken_4) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[34] <= _T_4183 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4184 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4185 = eq(_T_4184, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4186 = and(_T_4185, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4187 = and(_T_4186, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4188 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4187 : @[Reg.scala 28:19] _T_4188 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[35] <= _T_4188 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4189 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4190 = eq(_T_4189, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4191 = and(_T_4190, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4192 = and(_T_4191, way_status_clken_4) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[35] <= _T_4188 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4189 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4190 = eq(_T_4189, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4191 = and(_T_4190, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4192 = and(_T_4191, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4193 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4192 : @[Reg.scala 28:19] _T_4193 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[36] <= _T_4193 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4194 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4195 = eq(_T_4194, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4196 = and(_T_4195, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4197 = and(_T_4196, way_status_clken_4) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[36] <= _T_4193 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4194 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4195 = eq(_T_4194, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4196 = and(_T_4195, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4197 = and(_T_4196, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4198 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4197 : @[Reg.scala 28:19] _T_4198 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[37] <= _T_4198 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4199 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4200 = eq(_T_4199, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4201 = and(_T_4200, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4202 = and(_T_4201, way_status_clken_4) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[37] <= _T_4198 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4199 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4200 = eq(_T_4199, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4201 = and(_T_4200, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4202 = and(_T_4201, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4203 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4202 : @[Reg.scala 28:19] _T_4203 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[38] <= _T_4203 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4204 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4205 = eq(_T_4204, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4206 = and(_T_4205, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4207 = and(_T_4206, way_status_clken_4) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[38] <= _T_4203 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4204 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4205 = eq(_T_4204, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4206 = and(_T_4205, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4207 = and(_T_4206, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4208 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4207 : @[Reg.scala 28:19] _T_4208 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[39] <= _T_4208 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4209 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4210 = eq(_T_4209, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4211 = and(_T_4210, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4212 = and(_T_4211, way_status_clken_5) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[39] <= _T_4208 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4209 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4210 = eq(_T_4209, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4211 = and(_T_4210, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4212 = and(_T_4211, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4213 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4212 : @[Reg.scala 28:19] _T_4213 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[40] <= _T_4213 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4214 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4215 = eq(_T_4214, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4216 = and(_T_4215, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4217 = and(_T_4216, way_status_clken_5) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[40] <= _T_4213 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4214 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4215 = eq(_T_4214, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4216 = and(_T_4215, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4217 = and(_T_4216, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4218 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4217 : @[Reg.scala 28:19] _T_4218 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[41] <= _T_4218 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4219 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4220 = eq(_T_4219, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4221 = and(_T_4220, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4222 = and(_T_4221, way_status_clken_5) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[41] <= _T_4218 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4219 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4220 = eq(_T_4219, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4221 = and(_T_4220, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4222 = and(_T_4221, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4223 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4222 : @[Reg.scala 28:19] _T_4223 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[42] <= _T_4223 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4224 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4225 = eq(_T_4224, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4226 = and(_T_4225, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4227 = and(_T_4226, way_status_clken_5) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[42] <= _T_4223 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4224 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4225 = eq(_T_4224, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4226 = and(_T_4225, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4227 = and(_T_4226, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4228 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4227 : @[Reg.scala 28:19] _T_4228 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[43] <= _T_4228 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4229 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4230 = eq(_T_4229, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4231 = and(_T_4230, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4232 = and(_T_4231, way_status_clken_5) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[43] <= _T_4228 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4229 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4230 = eq(_T_4229, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4231 = and(_T_4230, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4232 = and(_T_4231, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4233 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4232 : @[Reg.scala 28:19] _T_4233 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[44] <= _T_4233 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4234 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4235 = eq(_T_4234, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4236 = and(_T_4235, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4237 = and(_T_4236, way_status_clken_5) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[44] <= _T_4233 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4234 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4235 = eq(_T_4234, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4236 = and(_T_4235, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4237 = and(_T_4236, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4238 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4237 : @[Reg.scala 28:19] _T_4238 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[45] <= _T_4238 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4239 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4240 = eq(_T_4239, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4241 = and(_T_4240, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4242 = and(_T_4241, way_status_clken_5) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[45] <= _T_4238 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4239 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4240 = eq(_T_4239, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4241 = and(_T_4240, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4242 = and(_T_4241, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4243 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4242 : @[Reg.scala 28:19] _T_4243 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[46] <= _T_4243 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4244 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4245 = eq(_T_4244, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4246 = and(_T_4245, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4247 = and(_T_4246, way_status_clken_5) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[46] <= _T_4243 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4244 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4245 = eq(_T_4244, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4246 = and(_T_4245, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4247 = and(_T_4246, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4248 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4247 : @[Reg.scala 28:19] _T_4248 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[47] <= _T_4248 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4249 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4250 = eq(_T_4249, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4251 = and(_T_4250, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4252 = and(_T_4251, way_status_clken_6) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[47] <= _T_4248 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4249 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4250 = eq(_T_4249, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4251 = and(_T_4250, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4252 = and(_T_4251, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4253 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4252 : @[Reg.scala 28:19] _T_4253 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[48] <= _T_4253 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4254 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4255 = eq(_T_4254, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4256 = and(_T_4255, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4257 = and(_T_4256, way_status_clken_6) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[48] <= _T_4253 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4254 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4255 = eq(_T_4254, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4256 = and(_T_4255, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4257 = and(_T_4256, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4258 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4257 : @[Reg.scala 28:19] _T_4258 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[49] <= _T_4258 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4259 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4260 = eq(_T_4259, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4261 = and(_T_4260, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4262 = and(_T_4261, way_status_clken_6) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[49] <= _T_4258 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4259 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4260 = eq(_T_4259, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4261 = and(_T_4260, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4262 = and(_T_4261, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4263 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4262 : @[Reg.scala 28:19] _T_4263 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[50] <= _T_4263 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4264 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4265 = eq(_T_4264, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4266 = and(_T_4265, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4267 = and(_T_4266, way_status_clken_6) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[50] <= _T_4263 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4264 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4265 = eq(_T_4264, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4266 = and(_T_4265, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4267 = and(_T_4266, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4268 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4267 : @[Reg.scala 28:19] _T_4268 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[51] <= _T_4268 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4269 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4270 = eq(_T_4269, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4271 = and(_T_4270, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4272 = and(_T_4271, way_status_clken_6) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[51] <= _T_4268 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4269 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4270 = eq(_T_4269, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4271 = and(_T_4270, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4272 = and(_T_4271, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4273 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4272 : @[Reg.scala 28:19] _T_4273 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[52] <= _T_4273 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4274 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4275 = eq(_T_4274, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4276 = and(_T_4275, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4277 = and(_T_4276, way_status_clken_6) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[52] <= _T_4273 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4274 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4275 = eq(_T_4274, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4276 = and(_T_4275, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4277 = and(_T_4276, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4278 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] _T_4278 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[53] <= _T_4278 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4279 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4280 = eq(_T_4279, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4281 = and(_T_4280, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4282 = and(_T_4281, way_status_clken_6) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[53] <= _T_4278 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4279 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4280 = eq(_T_4279, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4281 = and(_T_4280, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4282 = and(_T_4281, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4283 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4282 : @[Reg.scala 28:19] _T_4283 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[54] <= _T_4283 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4284 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4285 = eq(_T_4284, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4286 = and(_T_4285, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4287 = and(_T_4286, way_status_clken_6) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[54] <= _T_4283 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4284 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4285 = eq(_T_4284, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4286 = and(_T_4285, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4287 = and(_T_4286, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4288 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4287 : @[Reg.scala 28:19] _T_4288 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[55] <= _T_4288 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4289 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4290 = eq(_T_4289, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4291 = and(_T_4290, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4292 = and(_T_4291, way_status_clken_7) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[55] <= _T_4288 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4289 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4290 = eq(_T_4289, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4291 = and(_T_4290, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4292 = and(_T_4291, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4293 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4292 : @[Reg.scala 28:19] _T_4293 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[56] <= _T_4293 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4294 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4295 = eq(_T_4294, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4296 = and(_T_4295, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4297 = and(_T_4296, way_status_clken_7) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[56] <= _T_4293 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4294 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4295 = eq(_T_4294, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4296 = and(_T_4295, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4297 = and(_T_4296, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4298 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4297 : @[Reg.scala 28:19] _T_4298 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[57] <= _T_4298 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4299 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4300 = eq(_T_4299, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4301 = and(_T_4300, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4302 = and(_T_4301, way_status_clken_7) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[57] <= _T_4298 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4299 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4300 = eq(_T_4299, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4301 = and(_T_4300, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4302 = and(_T_4301, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4303 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4302 : @[Reg.scala 28:19] _T_4303 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[58] <= _T_4303 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4304 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4305 = eq(_T_4304, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4306 = and(_T_4305, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4307 = and(_T_4306, way_status_clken_7) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[58] <= _T_4303 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4304 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4305 = eq(_T_4304, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4306 = and(_T_4305, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4307 = and(_T_4306, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4308 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4307 : @[Reg.scala 28:19] _T_4308 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[59] <= _T_4308 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4309 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4310 = eq(_T_4309, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4311 = and(_T_4310, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4312 = and(_T_4311, way_status_clken_7) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[59] <= _T_4308 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4309 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4310 = eq(_T_4309, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4311 = and(_T_4310, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4312 = and(_T_4311, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4313 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4312 : @[Reg.scala 28:19] _T_4313 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[60] <= _T_4313 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4314 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4315 = eq(_T_4314, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4316 = and(_T_4315, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4317 = and(_T_4316, way_status_clken_7) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[60] <= _T_4313 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4314 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4315 = eq(_T_4314, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4316 = and(_T_4315, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4317 = and(_T_4316, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4318 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4317 : @[Reg.scala 28:19] _T_4318 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[61] <= _T_4318 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4319 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4320 = eq(_T_4319, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4321 = and(_T_4320, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4322 = and(_T_4321, way_status_clken_7) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[61] <= _T_4318 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4319 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4320 = eq(_T_4319, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4321 = and(_T_4320, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4322 = and(_T_4321, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4323 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4322 : @[Reg.scala 28:19] _T_4323 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[62] <= _T_4323 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4324 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4325 = eq(_T_4324, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4326 = and(_T_4325, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4327 = and(_T_4326, way_status_clken_7) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[62] <= _T_4323 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4324 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4325 = eq(_T_4324, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4326 = and(_T_4325, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4327 = and(_T_4326, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4328 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4327 : @[Reg.scala 28:19] _T_4328 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[63] <= _T_4328 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4329 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4330 = eq(_T_4329, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4331 = and(_T_4330, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4332 = and(_T_4331, way_status_clken_8) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[63] <= _T_4328 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4329 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4330 = eq(_T_4329, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4331 = and(_T_4330, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4332 = and(_T_4331, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4333 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4332 : @[Reg.scala 28:19] _T_4333 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[64] <= _T_4333 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4334 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4335 = eq(_T_4334, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4336 = and(_T_4335, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4337 = and(_T_4336, way_status_clken_8) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[64] <= _T_4333 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4334 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4335 = eq(_T_4334, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4336 = and(_T_4335, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4337 = and(_T_4336, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4338 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4337 : @[Reg.scala 28:19] _T_4338 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[65] <= _T_4338 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4339 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4340 = eq(_T_4339, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4341 = and(_T_4340, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4342 = and(_T_4341, way_status_clken_8) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[65] <= _T_4338 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4339 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4340 = eq(_T_4339, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4341 = and(_T_4340, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4342 = and(_T_4341, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4343 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4342 : @[Reg.scala 28:19] _T_4343 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[66] <= _T_4343 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4344 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4345 = eq(_T_4344, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4346 = and(_T_4345, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4347 = and(_T_4346, way_status_clken_8) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[66] <= _T_4343 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4344 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4345 = eq(_T_4344, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4346 = and(_T_4345, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4347 = and(_T_4346, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4348 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4347 : @[Reg.scala 28:19] _T_4348 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[67] <= _T_4348 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4349 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4350 = eq(_T_4349, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4351 = and(_T_4350, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4352 = and(_T_4351, way_status_clken_8) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[67] <= _T_4348 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4349 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4350 = eq(_T_4349, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4351 = and(_T_4350, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4352 = and(_T_4351, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4353 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4352 : @[Reg.scala 28:19] _T_4353 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[68] <= _T_4353 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4354 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4355 = eq(_T_4354, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4356 = and(_T_4355, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4357 = and(_T_4356, way_status_clken_8) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[68] <= _T_4353 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4354 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4355 = eq(_T_4354, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4356 = and(_T_4355, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4357 = and(_T_4356, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4358 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4357 : @[Reg.scala 28:19] _T_4358 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[69] <= _T_4358 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4359 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4360 = eq(_T_4359, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4361 = and(_T_4360, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4362 = and(_T_4361, way_status_clken_8) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[69] <= _T_4358 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4359 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4360 = eq(_T_4359, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4361 = and(_T_4360, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4362 = and(_T_4361, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4363 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4362 : @[Reg.scala 28:19] _T_4363 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[70] <= _T_4363 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4364 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4365 = eq(_T_4364, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4366 = and(_T_4365, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4367 = and(_T_4366, way_status_clken_8) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[70] <= _T_4363 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4364 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4365 = eq(_T_4364, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4366 = and(_T_4365, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4367 = and(_T_4366, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4368 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4367 : @[Reg.scala 28:19] _T_4368 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[71] <= _T_4368 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4369 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4370 = eq(_T_4369, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4371 = and(_T_4370, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4372 = and(_T_4371, way_status_clken_9) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[71] <= _T_4368 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4369 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4370 = eq(_T_4369, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4371 = and(_T_4370, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4372 = and(_T_4371, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4373 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4372 : @[Reg.scala 28:19] _T_4373 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[72] <= _T_4373 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4374 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4375 = eq(_T_4374, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4376 = and(_T_4375, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4377 = and(_T_4376, way_status_clken_9) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[72] <= _T_4373 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4374 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4375 = eq(_T_4374, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4376 = and(_T_4375, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4377 = and(_T_4376, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4378 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4377 : @[Reg.scala 28:19] _T_4378 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[73] <= _T_4378 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4379 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4380 = eq(_T_4379, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4381 = and(_T_4380, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4382 = and(_T_4381, way_status_clken_9) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[73] <= _T_4378 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4379 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4380 = eq(_T_4379, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4381 = and(_T_4380, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4382 = and(_T_4381, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4383 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4382 : @[Reg.scala 28:19] _T_4383 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[74] <= _T_4383 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4384 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4385 = eq(_T_4384, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4386 = and(_T_4385, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4387 = and(_T_4386, way_status_clken_9) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[74] <= _T_4383 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4384 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4385 = eq(_T_4384, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4386 = and(_T_4385, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4387 = and(_T_4386, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4388 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4387 : @[Reg.scala 28:19] _T_4388 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[75] <= _T_4388 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4389 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4390 = eq(_T_4389, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4391 = and(_T_4390, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4392 = and(_T_4391, way_status_clken_9) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[75] <= _T_4388 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4389 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4390 = eq(_T_4389, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4391 = and(_T_4390, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4392 = and(_T_4391, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4393 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4392 : @[Reg.scala 28:19] _T_4393 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[76] <= _T_4393 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4394 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4395 = eq(_T_4394, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4396 = and(_T_4395, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4397 = and(_T_4396, way_status_clken_9) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[76] <= _T_4393 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4394 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4395 = eq(_T_4394, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4396 = and(_T_4395, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4397 = and(_T_4396, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4398 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4397 : @[Reg.scala 28:19] _T_4398 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[77] <= _T_4398 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4399 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4400 = eq(_T_4399, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4401 = and(_T_4400, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4402 = and(_T_4401, way_status_clken_9) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[77] <= _T_4398 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4399 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4400 = eq(_T_4399, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4401 = and(_T_4400, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4402 = and(_T_4401, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4403 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4402 : @[Reg.scala 28:19] _T_4403 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[78] <= _T_4403 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4404 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4405 = eq(_T_4404, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4406 = and(_T_4405, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4407 = and(_T_4406, way_status_clken_9) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[78] <= _T_4403 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4404 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4405 = eq(_T_4404, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4406 = and(_T_4405, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4407 = and(_T_4406, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4408 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4407 : @[Reg.scala 28:19] _T_4408 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[79] <= _T_4408 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4409 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4410 = eq(_T_4409, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4411 = and(_T_4410, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4412 = and(_T_4411, way_status_clken_10) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[79] <= _T_4408 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4409 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4410 = eq(_T_4409, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4411 = and(_T_4410, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4412 = and(_T_4411, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4413 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4412 : @[Reg.scala 28:19] _T_4413 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[80] <= _T_4413 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4414 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4415 = eq(_T_4414, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4416 = and(_T_4415, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4417 = and(_T_4416, way_status_clken_10) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[80] <= _T_4413 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4414 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4415 = eq(_T_4414, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4416 = and(_T_4415, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4417 = and(_T_4416, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4418 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4417 : @[Reg.scala 28:19] _T_4418 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[81] <= _T_4418 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4419 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4420 = eq(_T_4419, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4421 = and(_T_4420, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4422 = and(_T_4421, way_status_clken_10) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[81] <= _T_4418 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4419 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4420 = eq(_T_4419, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4421 = and(_T_4420, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4422 = and(_T_4421, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4423 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4422 : @[Reg.scala 28:19] _T_4423 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[82] <= _T_4423 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4424 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4425 = eq(_T_4424, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4426 = and(_T_4425, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4427 = and(_T_4426, way_status_clken_10) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[82] <= _T_4423 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4424 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4425 = eq(_T_4424, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4426 = and(_T_4425, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4427 = and(_T_4426, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4428 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4427 : @[Reg.scala 28:19] _T_4428 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[83] <= _T_4428 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4429 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4430 = eq(_T_4429, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4431 = and(_T_4430, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4432 = and(_T_4431, way_status_clken_10) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[83] <= _T_4428 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4429 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4430 = eq(_T_4429, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4431 = and(_T_4430, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4432 = and(_T_4431, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4433 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4432 : @[Reg.scala 28:19] _T_4433 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[84] <= _T_4433 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4434 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4435 = eq(_T_4434, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4436 = and(_T_4435, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4437 = and(_T_4436, way_status_clken_10) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[84] <= _T_4433 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4434 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4435 = eq(_T_4434, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4436 = and(_T_4435, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4437 = and(_T_4436, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4438 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4437 : @[Reg.scala 28:19] _T_4438 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[85] <= _T_4438 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4439 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4440 = eq(_T_4439, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4441 = and(_T_4440, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4442 = and(_T_4441, way_status_clken_10) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[85] <= _T_4438 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4439 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4440 = eq(_T_4439, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4441 = and(_T_4440, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4442 = and(_T_4441, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4443 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4442 : @[Reg.scala 28:19] _T_4443 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[86] <= _T_4443 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4444 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4445 = eq(_T_4444, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4446 = and(_T_4445, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4447 = and(_T_4446, way_status_clken_10) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[86] <= _T_4443 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4444 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4445 = eq(_T_4444, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4446 = and(_T_4445, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4447 = and(_T_4446, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4448 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4447 : @[Reg.scala 28:19] _T_4448 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[87] <= _T_4448 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4449 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4450 = eq(_T_4449, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4451 = and(_T_4450, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4452 = and(_T_4451, way_status_clken_11) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[87] <= _T_4448 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4449 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4450 = eq(_T_4449, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4451 = and(_T_4450, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4452 = and(_T_4451, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4453 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4452 : @[Reg.scala 28:19] _T_4453 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[88] <= _T_4453 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4454 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4455 = eq(_T_4454, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4456 = and(_T_4455, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4457 = and(_T_4456, way_status_clken_11) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[88] <= _T_4453 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4454 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4455 = eq(_T_4454, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4456 = and(_T_4455, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4457 = and(_T_4456, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4458 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4457 : @[Reg.scala 28:19] _T_4458 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[89] <= _T_4458 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4459 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4460 = eq(_T_4459, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4461 = and(_T_4460, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4462 = and(_T_4461, way_status_clken_11) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[89] <= _T_4458 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4459 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4460 = eq(_T_4459, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4461 = and(_T_4460, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4462 = and(_T_4461, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4463 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4462 : @[Reg.scala 28:19] _T_4463 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[90] <= _T_4463 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4464 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4465 = eq(_T_4464, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4466 = and(_T_4465, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4467 = and(_T_4466, way_status_clken_11) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[90] <= _T_4463 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4464 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4465 = eq(_T_4464, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4466 = and(_T_4465, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4467 = and(_T_4466, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4468 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4467 : @[Reg.scala 28:19] _T_4468 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[91] <= _T_4468 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4469 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4470 = eq(_T_4469, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4471 = and(_T_4470, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4472 = and(_T_4471, way_status_clken_11) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[91] <= _T_4468 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4469 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4470 = eq(_T_4469, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4471 = and(_T_4470, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4472 = and(_T_4471, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4473 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4472 : @[Reg.scala 28:19] _T_4473 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[92] <= _T_4473 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4474 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4475 = eq(_T_4474, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4476 = and(_T_4475, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4477 = and(_T_4476, way_status_clken_11) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[92] <= _T_4473 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4474 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4475 = eq(_T_4474, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4476 = and(_T_4475, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4477 = and(_T_4476, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4478 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4477 : @[Reg.scala 28:19] _T_4478 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[93] <= _T_4478 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4479 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4480 = eq(_T_4479, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4481 = and(_T_4480, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4482 = and(_T_4481, way_status_clken_11) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[93] <= _T_4478 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4479 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4480 = eq(_T_4479, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4481 = and(_T_4480, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4482 = and(_T_4481, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4483 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4482 : @[Reg.scala 28:19] _T_4483 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[94] <= _T_4483 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4484 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4485 = eq(_T_4484, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4486 = and(_T_4485, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4487 = and(_T_4486, way_status_clken_11) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[94] <= _T_4483 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4484 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4485 = eq(_T_4484, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4486 = and(_T_4485, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4487 = and(_T_4486, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4488 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4487 : @[Reg.scala 28:19] _T_4488 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[95] <= _T_4488 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4489 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4490 = eq(_T_4489, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4491 = and(_T_4490, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4492 = and(_T_4491, way_status_clken_12) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[95] <= _T_4488 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4489 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4490 = eq(_T_4489, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4491 = and(_T_4490, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4492 = and(_T_4491, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4493 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4492 : @[Reg.scala 28:19] _T_4493 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[96] <= _T_4493 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4494 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4495 = eq(_T_4494, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4496 = and(_T_4495, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4497 = and(_T_4496, way_status_clken_12) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[96] <= _T_4493 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4494 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4495 = eq(_T_4494, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4496 = and(_T_4495, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4497 = and(_T_4496, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4498 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4497 : @[Reg.scala 28:19] _T_4498 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[97] <= _T_4498 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4499 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4500 = eq(_T_4499, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4501 = and(_T_4500, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4502 = and(_T_4501, way_status_clken_12) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[97] <= _T_4498 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4499 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4500 = eq(_T_4499, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4501 = and(_T_4500, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4502 = and(_T_4501, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4503 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4502 : @[Reg.scala 28:19] _T_4503 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[98] <= _T_4503 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4504 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4505 = eq(_T_4504, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4506 = and(_T_4505, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4507 = and(_T_4506, way_status_clken_12) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[98] <= _T_4503 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4504 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4505 = eq(_T_4504, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4506 = and(_T_4505, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4507 = and(_T_4506, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4508 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4507 : @[Reg.scala 28:19] _T_4508 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[99] <= _T_4508 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4509 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4510 = eq(_T_4509, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4511 = and(_T_4510, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4512 = and(_T_4511, way_status_clken_12) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[99] <= _T_4508 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4509 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4510 = eq(_T_4509, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4511 = and(_T_4510, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4512 = and(_T_4511, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4513 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4512 : @[Reg.scala 28:19] _T_4513 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[100] <= _T_4513 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4514 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4515 = eq(_T_4514, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4516 = and(_T_4515, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4517 = and(_T_4516, way_status_clken_12) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[100] <= _T_4513 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4514 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4515 = eq(_T_4514, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4516 = and(_T_4515, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4517 = and(_T_4516, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4518 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4517 : @[Reg.scala 28:19] _T_4518 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[101] <= _T_4518 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4519 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4520 = eq(_T_4519, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4521 = and(_T_4520, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4522 = and(_T_4521, way_status_clken_12) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[101] <= _T_4518 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4519 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4520 = eq(_T_4519, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4521 = and(_T_4520, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4522 = and(_T_4521, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4523 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4522 : @[Reg.scala 28:19] _T_4523 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[102] <= _T_4523 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4524 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4525 = eq(_T_4524, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4526 = and(_T_4525, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4527 = and(_T_4526, way_status_clken_12) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[102] <= _T_4523 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4524 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4525 = eq(_T_4524, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4526 = and(_T_4525, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4527 = and(_T_4526, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4528 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4527 : @[Reg.scala 28:19] _T_4528 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[103] <= _T_4528 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4529 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4530 = eq(_T_4529, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4531 = and(_T_4530, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4532 = and(_T_4531, way_status_clken_13) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[103] <= _T_4528 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4529 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4530 = eq(_T_4529, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4531 = and(_T_4530, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4532 = and(_T_4531, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4533 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4532 : @[Reg.scala 28:19] _T_4533 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[104] <= _T_4533 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4534 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4535 = eq(_T_4534, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4536 = and(_T_4535, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4537 = and(_T_4536, way_status_clken_13) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[104] <= _T_4533 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4534 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4535 = eq(_T_4534, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4536 = and(_T_4535, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4537 = and(_T_4536, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4538 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4537 : @[Reg.scala 28:19] _T_4538 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[105] <= _T_4538 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4539 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4540 = eq(_T_4539, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4541 = and(_T_4540, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4542 = and(_T_4541, way_status_clken_13) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[105] <= _T_4538 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4539 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4540 = eq(_T_4539, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4541 = and(_T_4540, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4542 = and(_T_4541, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4543 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4542 : @[Reg.scala 28:19] _T_4543 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[106] <= _T_4543 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4544 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4545 = eq(_T_4544, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4546 = and(_T_4545, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4547 = and(_T_4546, way_status_clken_13) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[106] <= _T_4543 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4544 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4545 = eq(_T_4544, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4546 = and(_T_4545, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4547 = and(_T_4546, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4548 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4547 : @[Reg.scala 28:19] _T_4548 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[107] <= _T_4548 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4549 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4550 = eq(_T_4549, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4551 = and(_T_4550, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4552 = and(_T_4551, way_status_clken_13) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[107] <= _T_4548 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4549 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4550 = eq(_T_4549, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4551 = and(_T_4550, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4552 = and(_T_4551, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4553 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4552 : @[Reg.scala 28:19] _T_4553 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[108] <= _T_4553 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4554 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4555 = eq(_T_4554, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4556 = and(_T_4555, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4557 = and(_T_4556, way_status_clken_13) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[108] <= _T_4553 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4554 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4555 = eq(_T_4554, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4556 = and(_T_4555, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4557 = and(_T_4556, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4558 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4557 : @[Reg.scala 28:19] _T_4558 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[109] <= _T_4558 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4559 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4560 = eq(_T_4559, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4561 = and(_T_4560, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4562 = and(_T_4561, way_status_clken_13) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[109] <= _T_4558 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4559 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4560 = eq(_T_4559, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4561 = and(_T_4560, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4562 = and(_T_4561, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4563 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4562 : @[Reg.scala 28:19] _T_4563 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[110] <= _T_4563 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4564 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4565 = eq(_T_4564, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4566 = and(_T_4565, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4567 = and(_T_4566, way_status_clken_13) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[110] <= _T_4563 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4564 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4565 = eq(_T_4564, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4566 = and(_T_4565, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4567 = and(_T_4566, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4568 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4567 : @[Reg.scala 28:19] _T_4568 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[111] <= _T_4568 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4569 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4570 = eq(_T_4569, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4571 = and(_T_4570, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4572 = and(_T_4571, way_status_clken_14) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[111] <= _T_4568 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4569 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4570 = eq(_T_4569, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4571 = and(_T_4570, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4572 = and(_T_4571, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4573 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4572 : @[Reg.scala 28:19] _T_4573 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[112] <= _T_4573 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4574 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4575 = eq(_T_4574, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4576 = and(_T_4575, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4577 = and(_T_4576, way_status_clken_14) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[112] <= _T_4573 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4574 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4575 = eq(_T_4574, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4576 = and(_T_4575, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4577 = and(_T_4576, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4578 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4577 : @[Reg.scala 28:19] _T_4578 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[113] <= _T_4578 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4579 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4580 = eq(_T_4579, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4581 = and(_T_4580, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4582 = and(_T_4581, way_status_clken_14) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[113] <= _T_4578 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4579 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4580 = eq(_T_4579, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4581 = and(_T_4580, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4582 = and(_T_4581, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4583 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4582 : @[Reg.scala 28:19] _T_4583 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[114] <= _T_4583 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4584 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4585 = eq(_T_4584, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4586 = and(_T_4585, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4587 = and(_T_4586, way_status_clken_14) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[114] <= _T_4583 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4584 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4585 = eq(_T_4584, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4586 = and(_T_4585, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4587 = and(_T_4586, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4588 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4587 : @[Reg.scala 28:19] _T_4588 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[115] <= _T_4588 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4589 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4590 = eq(_T_4589, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4591 = and(_T_4590, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4592 = and(_T_4591, way_status_clken_14) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[115] <= _T_4588 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4589 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4590 = eq(_T_4589, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4591 = and(_T_4590, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4592 = and(_T_4591, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4593 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4592 : @[Reg.scala 28:19] _T_4593 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[116] <= _T_4593 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4594 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4595 = eq(_T_4594, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4596 = and(_T_4595, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4597 = and(_T_4596, way_status_clken_14) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[116] <= _T_4593 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4594 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4595 = eq(_T_4594, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4596 = and(_T_4595, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4597 = and(_T_4596, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4598 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4597 : @[Reg.scala 28:19] _T_4598 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[117] <= _T_4598 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4599 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4600 = eq(_T_4599, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4601 = and(_T_4600, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4602 = and(_T_4601, way_status_clken_14) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[117] <= _T_4598 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4599 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4600 = eq(_T_4599, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4601 = and(_T_4600, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4602 = and(_T_4601, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4603 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4602 : @[Reg.scala 28:19] _T_4603 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[118] <= _T_4603 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4604 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4605 = eq(_T_4604, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4606 = and(_T_4605, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4607 = and(_T_4606, way_status_clken_14) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[118] <= _T_4603 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4604 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4605 = eq(_T_4604, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4606 = and(_T_4605, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4607 = and(_T_4606, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4608 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4607 : @[Reg.scala 28:19] _T_4608 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[119] <= _T_4608 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4609 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4610 = eq(_T_4609, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4611 = and(_T_4610, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4612 = and(_T_4611, way_status_clken_15) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[119] <= _T_4608 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4609 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4610 = eq(_T_4609, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4611 = and(_T_4610, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4612 = and(_T_4611, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4613 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4612 : @[Reg.scala 28:19] _T_4613 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[120] <= _T_4613 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4614 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4615 = eq(_T_4614, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4616 = and(_T_4615, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4617 = and(_T_4616, way_status_clken_15) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[120] <= _T_4613 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4614 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4615 = eq(_T_4614, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4616 = and(_T_4615, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4617 = and(_T_4616, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4618 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4617 : @[Reg.scala 28:19] _T_4618 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[121] <= _T_4618 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4619 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4620 = eq(_T_4619, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4621 = and(_T_4620, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4622 = and(_T_4621, way_status_clken_15) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[121] <= _T_4618 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4619 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4620 = eq(_T_4619, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4621 = and(_T_4620, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4622 = and(_T_4621, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4623 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4622 : @[Reg.scala 28:19] _T_4623 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[122] <= _T_4623 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4624 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4625 = eq(_T_4624, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4626 = and(_T_4625, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4627 = and(_T_4626, way_status_clken_15) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[122] <= _T_4623 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4624 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4625 = eq(_T_4624, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4626 = and(_T_4625, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4627 = and(_T_4626, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4628 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4627 : @[Reg.scala 28:19] _T_4628 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[123] <= _T_4628 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4629 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4630 = eq(_T_4629, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4631 = and(_T_4630, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4632 = and(_T_4631, way_status_clken_15) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[123] <= _T_4628 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4629 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4630 = eq(_T_4629, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4631 = and(_T_4630, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4632 = and(_T_4631, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4633 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4632 : @[Reg.scala 28:19] _T_4633 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[124] <= _T_4633 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4634 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4635 = eq(_T_4634, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4636 = and(_T_4635, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4637 = and(_T_4636, way_status_clken_15) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[124] <= _T_4633 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4634 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4635 = eq(_T_4634, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4636 = and(_T_4635, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4637 = and(_T_4636, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4638 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4637 : @[Reg.scala 28:19] _T_4638 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[125] <= _T_4638 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4639 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4640 = eq(_T_4639, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4641 = and(_T_4640, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4642 = and(_T_4641, way_status_clken_15) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[125] <= _T_4638 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4639 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4640 = eq(_T_4639, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4641 = and(_T_4640, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4642 = and(_T_4641, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4643 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4642 : @[Reg.scala 28:19] _T_4643 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[126] <= _T_4643 @[el2_ifu_mem_ctl.scala 728:35] - node _T_4644 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 728:95] - node _T_4645 = eq(_T_4644, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:100] - node _T_4646 = and(_T_4645, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 728:108] - node _T_4647 = and(_T_4646, way_status_clken_15) @[el2_ifu_mem_ctl.scala 728:131] + way_status_out[126] <= _T_4643 @[el2_ifu_mem_ctl.scala 723:35] + node _T_4644 = bits(ifu_status_wr_addr_ff, 2, 0) @[el2_ifu_mem_ctl.scala 723:95] + node _T_4645 = eq(_T_4644, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:100] + node _T_4646 = and(_T_4645, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:108] + node _T_4647 = and(_T_4646, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:131] reg _T_4648 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4647 : @[Reg.scala 28:19] _T_4648 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[127] <= _T_4648 @[el2_ifu_mem_ctl.scala 728:35] + way_status_out[127] <= _T_4648 @[el2_ifu_mem_ctl.scala 723:35] node _T_4649 = cat(way_status_out[127], way_status_out[126]) @[Cat.scala 29:58] node _T_4650 = cat(_T_4649, way_status_out[125]) @[Cat.scala 29:58] node _T_4651 = cat(_T_4650, way_status_out[124]) @[Cat.scala 29:58] @@ -6943,134 +6943,134 @@ circuit el2_ifu_mem_ctl : node _T_4787 = cat(_T_4786, way_status_clken_2) @[Cat.scala 29:58] node _T_4788 = cat(_T_4787, way_status_clken_1) @[Cat.scala 29:58] node test_way_status_clken = cat(_T_4788, way_status_clken_0) @[Cat.scala 29:58] - node _T_4789 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4790 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4791 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4792 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4793 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4794 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4795 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4796 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4797 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4798 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4799 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4800 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4801 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4802 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4803 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4804 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4805 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4806 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4807 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4808 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4809 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4810 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4811 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4812 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4813 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4814 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4815 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4816 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4817 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4818 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4819 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4820 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4821 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4822 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4823 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4824 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4825 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4826 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4827 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4828 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4829 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4830 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4831 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4832 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4833 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4834 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4835 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4836 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4837 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4838 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4839 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4840 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4841 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4842 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4843 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4844 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4845 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4846 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4847 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4848 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4849 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4850 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4851 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4852 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4853 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4854 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4855 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4856 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4857 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4858 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4859 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4860 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4861 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4862 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4863 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4864 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4865 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4866 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4867 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4868 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4869 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4870 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4871 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4872 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4873 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4874 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4875 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4876 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4877 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4878 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4879 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4880 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4881 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4882 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4883 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4884 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4885 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4886 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4887 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4888 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4889 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4890 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4891 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4892 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4893 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4894 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4895 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4896 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4897 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4898 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4899 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4900 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4901 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4902 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4903 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4904 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4905 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4906 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4907 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4908 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4909 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4910 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4911 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4912 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4913 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4914 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4915 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 733:80] - node _T_4916 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 733:80] + node _T_4789 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4790 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4791 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4792 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4793 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4794 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4795 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4796 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4797 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4798 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4799 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4800 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4801 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4802 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4803 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4804 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4805 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4806 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4807 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4808 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4809 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4810 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4811 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4812 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4813 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4814 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4815 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4816 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4817 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4818 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4819 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4820 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4821 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4822 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4823 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4824 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4825 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4826 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4827 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4828 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4829 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4830 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4831 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4832 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4833 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4834 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4835 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4836 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4837 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4838 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4839 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4840 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4841 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4842 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4843 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4844 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4845 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4846 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4847 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4848 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4849 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4850 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4851 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4852 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4853 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4854 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4855 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4856 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4857 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4858 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4859 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4860 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4861 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4862 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4863 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4864 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4865 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4866 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4867 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4868 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4869 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4870 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4871 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4872 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4873 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4874 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4875 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4876 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4877 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4878 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4879 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4880 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4881 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4882 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4883 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4884 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4885 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4886 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4887 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4888 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4889 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4890 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4891 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4892 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4893 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4894 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4895 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4896 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4897 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4898 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4899 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4900 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4901 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4902 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4903 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4904 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4905 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4906 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4907 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4908 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4909 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4910 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4911 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4912 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4913 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4914 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4915 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 728:80] + node _T_4916 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 728:80] node _T_4917 = mux(_T_4789, way_status_out[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4918 = mux(_T_4790, way_status_out[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4919 = mux(_T_4791, way_status_out[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -7328,6380 +7328,6380 @@ circuit el2_ifu_mem_ctl : node _T_5171 = or(_T_5170, _T_5044) @[Mux.scala 27:72] wire _T_5172 : UInt<1> @[Mux.scala 27:72] _T_5172 <= _T_5171 @[Mux.scala 27:72] - way_status <= _T_5172 @[el2_ifu_mem_ctl.scala 733:14] - node _T_5173 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 734:61] - node _T_5174 = and(_T_5173, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 734:82] - node _T_5175 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 735:23] - node _T_5176 = bits(ifu_ic_rw_int_addr, 11, 5) @[el2_ifu_mem_ctl.scala 735:89] - node ifu_ic_rw_int_addr_w_debug = mux(_T_5174, _T_5175, _T_5176) @[el2_ifu_mem_ctl.scala 734:41] - reg _T_5177 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 737:14] - _T_5177 <= ifu_ic_rw_int_addr_w_debug @[el2_ifu_mem_ctl.scala 737:14] - ifu_ic_rw_int_addr_ff <= _T_5177 @[el2_ifu_mem_ctl.scala 736:27] + way_status <= _T_5172 @[el2_ifu_mem_ctl.scala 728:14] + node _T_5173 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 729:61] + node _T_5174 = and(_T_5173, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 729:82] + node _T_5175 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 730:23] + node _T_5176 = bits(ifu_ic_rw_int_addr, 11, 5) @[el2_ifu_mem_ctl.scala 730:89] + node ifu_ic_rw_int_addr_w_debug = mux(_T_5174, _T_5175, _T_5176) @[el2_ifu_mem_ctl.scala 729:41] + reg _T_5177 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 732:14] + _T_5177 <= ifu_ic_rw_int_addr_w_debug @[el2_ifu_mem_ctl.scala 732:14] + ifu_ic_rw_int_addr_ff <= _T_5177 @[el2_ifu_mem_ctl.scala 731:27] wire ifu_tag_wren : UInt<2> ifu_tag_wren <= UInt<1>("h00") wire ic_debug_tag_wr_en : UInt<2> ic_debug_tag_wr_en <= UInt<1>("h00") - node ifu_tag_wren_w_debug = or(ifu_tag_wren, ic_debug_tag_wr_en) @[el2_ifu_mem_ctl.scala 741:45] - reg ifu_tag_wren_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 743:14] - ifu_tag_wren_ff <= ifu_tag_wren_w_debug @[el2_ifu_mem_ctl.scala 743:14] - node _T_5178 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 745:50] - node _T_5179 = bits(io.ic_debug_wr_data, 0, 0) @[el2_ifu_mem_ctl.scala 745:94] - node ic_valid_w_debug = mux(_T_5178, _T_5179, ic_valid) @[el2_ifu_mem_ctl.scala 745:31] - reg ic_valid_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 747:14] - ic_valid_ff <= ic_valid_w_debug @[el2_ifu_mem_ctl.scala 747:14] - node _T_5180 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 751:35] - node _T_5181 = eq(_T_5180, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:78] - node _T_5182 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:104] - node _T_5183 = and(_T_5181, _T_5182) @[el2_ifu_mem_ctl.scala 751:87] - node _T_5184 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 752:27] - node _T_5185 = eq(_T_5184, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:70] - node _T_5186 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:97] - node _T_5187 = and(_T_5185, _T_5186) @[el2_ifu_mem_ctl.scala 752:79] - node _T_5188 = or(_T_5183, _T_5187) @[el2_ifu_mem_ctl.scala 751:109] - node _T_5189 = or(_T_5188, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:102] - node _T_5190 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 751:35] - node _T_5191 = eq(_T_5190, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:78] - node _T_5192 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:104] - node _T_5193 = and(_T_5191, _T_5192) @[el2_ifu_mem_ctl.scala 751:87] - node _T_5194 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 752:27] - node _T_5195 = eq(_T_5194, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:70] - node _T_5196 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:97] - node _T_5197 = and(_T_5195, _T_5196) @[el2_ifu_mem_ctl.scala 752:79] - node _T_5198 = or(_T_5193, _T_5197) @[el2_ifu_mem_ctl.scala 751:109] - node _T_5199 = or(_T_5198, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:102] + node ifu_tag_wren_w_debug = or(ifu_tag_wren, ic_debug_tag_wr_en) @[el2_ifu_mem_ctl.scala 736:45] + reg ifu_tag_wren_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 738:14] + ifu_tag_wren_ff <= ifu_tag_wren_w_debug @[el2_ifu_mem_ctl.scala 738:14] + node _T_5178 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 740:50] + node _T_5179 = bits(io.ic_debug_wr_data, 0, 0) @[el2_ifu_mem_ctl.scala 740:94] + node ic_valid_w_debug = mux(_T_5178, _T_5179, ic_valid) @[el2_ifu_mem_ctl.scala 740:31] + reg ic_valid_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 742:14] + ic_valid_ff <= ic_valid_w_debug @[el2_ifu_mem_ctl.scala 742:14] + node _T_5180 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5181 = eq(_T_5180, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 746:78] + node _T_5182 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 746:104] + node _T_5183 = and(_T_5181, _T_5182) @[el2_ifu_mem_ctl.scala 746:87] + node _T_5184 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5185 = eq(_T_5184, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:70] + node _T_5186 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 747:97] + node _T_5187 = and(_T_5185, _T_5186) @[el2_ifu_mem_ctl.scala 747:79] + node _T_5188 = or(_T_5183, _T_5187) @[el2_ifu_mem_ctl.scala 746:109] + node _T_5189 = or(_T_5188, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:102] + node _T_5190 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5191 = eq(_T_5190, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 746:78] + node _T_5192 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 746:104] + node _T_5193 = and(_T_5191, _T_5192) @[el2_ifu_mem_ctl.scala 746:87] + node _T_5194 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5195 = eq(_T_5194, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:70] + node _T_5196 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 747:97] + node _T_5197 = and(_T_5195, _T_5196) @[el2_ifu_mem_ctl.scala 747:79] + node _T_5198 = or(_T_5193, _T_5197) @[el2_ifu_mem_ctl.scala 746:109] + node _T_5199 = or(_T_5198, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:102] node tag_valid_clken_0 = cat(_T_5199, _T_5189) @[Cat.scala 29:58] - node _T_5200 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 751:35] - node _T_5201 = eq(_T_5200, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 751:78] - node _T_5202 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:104] - node _T_5203 = and(_T_5201, _T_5202) @[el2_ifu_mem_ctl.scala 751:87] - node _T_5204 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 752:27] - node _T_5205 = eq(_T_5204, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 752:70] - node _T_5206 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:97] - node _T_5207 = and(_T_5205, _T_5206) @[el2_ifu_mem_ctl.scala 752:79] - node _T_5208 = or(_T_5203, _T_5207) @[el2_ifu_mem_ctl.scala 751:109] - node _T_5209 = or(_T_5208, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:102] - node _T_5210 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 751:35] - node _T_5211 = eq(_T_5210, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 751:78] - node _T_5212 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:104] - node _T_5213 = and(_T_5211, _T_5212) @[el2_ifu_mem_ctl.scala 751:87] - node _T_5214 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 752:27] - node _T_5215 = eq(_T_5214, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 752:70] - node _T_5216 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:97] - node _T_5217 = and(_T_5215, _T_5216) @[el2_ifu_mem_ctl.scala 752:79] - node _T_5218 = or(_T_5213, _T_5217) @[el2_ifu_mem_ctl.scala 751:109] - node _T_5219 = or(_T_5218, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5200 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5201 = eq(_T_5200, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 746:78] + node _T_5202 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 746:104] + node _T_5203 = and(_T_5201, _T_5202) @[el2_ifu_mem_ctl.scala 746:87] + node _T_5204 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5205 = eq(_T_5204, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 747:70] + node _T_5206 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 747:97] + node _T_5207 = and(_T_5205, _T_5206) @[el2_ifu_mem_ctl.scala 747:79] + node _T_5208 = or(_T_5203, _T_5207) @[el2_ifu_mem_ctl.scala 746:109] + node _T_5209 = or(_T_5208, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:102] + node _T_5210 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5211 = eq(_T_5210, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 746:78] + node _T_5212 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 746:104] + node _T_5213 = and(_T_5211, _T_5212) @[el2_ifu_mem_ctl.scala 746:87] + node _T_5214 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5215 = eq(_T_5214, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 747:70] + node _T_5216 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 747:97] + node _T_5217 = and(_T_5215, _T_5216) @[el2_ifu_mem_ctl.scala 747:79] + node _T_5218 = or(_T_5213, _T_5217) @[el2_ifu_mem_ctl.scala 746:109] + node _T_5219 = or(_T_5218, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:102] node tag_valid_clken_1 = cat(_T_5219, _T_5209) @[Cat.scala 29:58] - node _T_5220 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 751:35] - node _T_5221 = eq(_T_5220, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 751:78] - node _T_5222 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:104] - node _T_5223 = and(_T_5221, _T_5222) @[el2_ifu_mem_ctl.scala 751:87] - node _T_5224 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 752:27] - node _T_5225 = eq(_T_5224, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 752:70] - node _T_5226 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:97] - node _T_5227 = and(_T_5225, _T_5226) @[el2_ifu_mem_ctl.scala 752:79] - node _T_5228 = or(_T_5223, _T_5227) @[el2_ifu_mem_ctl.scala 751:109] - node _T_5229 = or(_T_5228, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:102] - node _T_5230 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 751:35] - node _T_5231 = eq(_T_5230, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 751:78] - node _T_5232 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:104] - node _T_5233 = and(_T_5231, _T_5232) @[el2_ifu_mem_ctl.scala 751:87] - node _T_5234 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 752:27] - node _T_5235 = eq(_T_5234, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 752:70] - node _T_5236 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:97] - node _T_5237 = and(_T_5235, _T_5236) @[el2_ifu_mem_ctl.scala 752:79] - node _T_5238 = or(_T_5233, _T_5237) @[el2_ifu_mem_ctl.scala 751:109] - node _T_5239 = or(_T_5238, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5220 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5221 = eq(_T_5220, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 746:78] + node _T_5222 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 746:104] + node _T_5223 = and(_T_5221, _T_5222) @[el2_ifu_mem_ctl.scala 746:87] + node _T_5224 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5225 = eq(_T_5224, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 747:70] + node _T_5226 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 747:97] + node _T_5227 = and(_T_5225, _T_5226) @[el2_ifu_mem_ctl.scala 747:79] + node _T_5228 = or(_T_5223, _T_5227) @[el2_ifu_mem_ctl.scala 746:109] + node _T_5229 = or(_T_5228, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:102] + node _T_5230 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5231 = eq(_T_5230, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 746:78] + node _T_5232 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 746:104] + node _T_5233 = and(_T_5231, _T_5232) @[el2_ifu_mem_ctl.scala 746:87] + node _T_5234 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5235 = eq(_T_5234, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 747:70] + node _T_5236 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 747:97] + node _T_5237 = and(_T_5235, _T_5236) @[el2_ifu_mem_ctl.scala 747:79] + node _T_5238 = or(_T_5233, _T_5237) @[el2_ifu_mem_ctl.scala 746:109] + node _T_5239 = or(_T_5238, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:102] node tag_valid_clken_2 = cat(_T_5239, _T_5229) @[Cat.scala 29:58] - node _T_5240 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 751:35] - node _T_5241 = eq(_T_5240, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 751:78] - node _T_5242 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:104] - node _T_5243 = and(_T_5241, _T_5242) @[el2_ifu_mem_ctl.scala 751:87] - node _T_5244 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 752:27] - node _T_5245 = eq(_T_5244, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 752:70] - node _T_5246 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 752:97] - node _T_5247 = and(_T_5245, _T_5246) @[el2_ifu_mem_ctl.scala 752:79] - node _T_5248 = or(_T_5243, _T_5247) @[el2_ifu_mem_ctl.scala 751:109] - node _T_5249 = or(_T_5248, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:102] - node _T_5250 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 751:35] - node _T_5251 = eq(_T_5250, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 751:78] - node _T_5252 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:104] - node _T_5253 = and(_T_5251, _T_5252) @[el2_ifu_mem_ctl.scala 751:87] - node _T_5254 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 752:27] - node _T_5255 = eq(_T_5254, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 752:70] - node _T_5256 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 752:97] - node _T_5257 = and(_T_5255, _T_5256) @[el2_ifu_mem_ctl.scala 752:79] - node _T_5258 = or(_T_5253, _T_5257) @[el2_ifu_mem_ctl.scala 751:109] - node _T_5259 = or(_T_5258, reset_all_tags) @[el2_ifu_mem_ctl.scala 752:102] + node _T_5240 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5241 = eq(_T_5240, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 746:78] + node _T_5242 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 746:104] + node _T_5243 = and(_T_5241, _T_5242) @[el2_ifu_mem_ctl.scala 746:87] + node _T_5244 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5245 = eq(_T_5244, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 747:70] + node _T_5246 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 747:97] + node _T_5247 = and(_T_5245, _T_5246) @[el2_ifu_mem_ctl.scala 747:79] + node _T_5248 = or(_T_5243, _T_5247) @[el2_ifu_mem_ctl.scala 746:109] + node _T_5249 = or(_T_5248, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:102] + node _T_5250 = bits(ifu_ic_rw_int_addr_ff, 6, 5) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5251 = eq(_T_5250, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 746:78] + node _T_5252 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 746:104] + node _T_5253 = and(_T_5251, _T_5252) @[el2_ifu_mem_ctl.scala 746:87] + node _T_5254 = bits(perr_ic_index_ff, 6, 5) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5255 = eq(_T_5254, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 747:70] + node _T_5256 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 747:97] + node _T_5257 = and(_T_5255, _T_5256) @[el2_ifu_mem_ctl.scala 747:79] + node _T_5258 = or(_T_5253, _T_5257) @[el2_ifu_mem_ctl.scala 746:109] + node _T_5259 = or(_T_5258, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:102] node tag_valid_clken_3 = cat(_T_5259, _T_5249) @[Cat.scala 29:58] - wire ic_tag_valid_out : UInt<1>[128][2] @[el2_ifu_mem_ctl.scala 755:32] - node _T_5260 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5261 = eq(_T_5260, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5262 = and(ic_valid_ff, _T_5261) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5263 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5264 = and(_T_5262, _T_5263) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5265 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5266 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5267 = and(_T_5265, _T_5266) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5268 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5269 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5270 = and(_T_5268, _T_5269) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5271 = or(_T_5267, _T_5270) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5272 = or(_T_5271, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5273 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5274 = and(_T_5272, _T_5273) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5275 = bits(_T_5274, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + wire ic_tag_valid_out : UInt<1>[128][2] @[el2_ifu_mem_ctl.scala 750:32] + node _T_5260 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5261 = eq(_T_5260, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5262 = and(ic_valid_ff, _T_5261) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5263 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5264 = and(_T_5262, _T_5263) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5265 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5266 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5267 = and(_T_5265, _T_5266) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5268 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5269 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5270 = and(_T_5268, _T_5269) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5271 = or(_T_5267, _T_5270) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5272 = or(_T_5271, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5273 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5274 = and(_T_5272, _T_5273) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5275 = bits(_T_5274, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5276 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5275 : @[Reg.scala 28:19] _T_5276 <= _T_5264 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][0] <= _T_5276 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5277 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5278 = eq(_T_5277, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5279 = and(ic_valid_ff, _T_5278) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5280 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5281 = and(_T_5279, _T_5280) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5282 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5283 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5284 = and(_T_5282, _T_5283) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5285 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5286 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5287 = and(_T_5285, _T_5286) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5288 = or(_T_5284, _T_5287) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5289 = or(_T_5288, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5290 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5291 = and(_T_5289, _T_5290) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5292 = bits(_T_5291, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][0] <= _T_5276 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5277 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5278 = eq(_T_5277, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5279 = and(ic_valid_ff, _T_5278) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5280 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5281 = and(_T_5279, _T_5280) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5282 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5283 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5284 = and(_T_5282, _T_5283) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5285 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5286 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5287 = and(_T_5285, _T_5286) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5288 = or(_T_5284, _T_5287) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5289 = or(_T_5288, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5290 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5291 = and(_T_5289, _T_5290) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5292 = bits(_T_5291, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5293 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5292 : @[Reg.scala 28:19] _T_5293 <= _T_5281 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][1] <= _T_5293 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5294 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5295 = eq(_T_5294, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5296 = and(ic_valid_ff, _T_5295) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5297 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5298 = and(_T_5296, _T_5297) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5299 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5300 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5301 = and(_T_5299, _T_5300) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5302 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5303 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5304 = and(_T_5302, _T_5303) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5305 = or(_T_5301, _T_5304) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5306 = or(_T_5305, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5307 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5308 = and(_T_5306, _T_5307) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5309 = bits(_T_5308, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][1] <= _T_5293 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5294 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5295 = eq(_T_5294, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5296 = and(ic_valid_ff, _T_5295) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5297 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5298 = and(_T_5296, _T_5297) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5299 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5300 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5301 = and(_T_5299, _T_5300) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5302 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5303 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5304 = and(_T_5302, _T_5303) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5305 = or(_T_5301, _T_5304) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5306 = or(_T_5305, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5307 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5308 = and(_T_5306, _T_5307) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5309 = bits(_T_5308, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5310 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5309 : @[Reg.scala 28:19] _T_5310 <= _T_5298 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][2] <= _T_5310 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5311 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5312 = eq(_T_5311, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5313 = and(ic_valid_ff, _T_5312) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5314 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5315 = and(_T_5313, _T_5314) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5316 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5317 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5318 = and(_T_5316, _T_5317) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5319 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5320 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5321 = and(_T_5319, _T_5320) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5322 = or(_T_5318, _T_5321) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5323 = or(_T_5322, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5324 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5325 = and(_T_5323, _T_5324) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5326 = bits(_T_5325, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][2] <= _T_5310 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5311 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5312 = eq(_T_5311, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5313 = and(ic_valid_ff, _T_5312) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5314 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5315 = and(_T_5313, _T_5314) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5316 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5317 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5318 = and(_T_5316, _T_5317) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5319 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5320 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5321 = and(_T_5319, _T_5320) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5322 = or(_T_5318, _T_5321) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5323 = or(_T_5322, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5324 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5325 = and(_T_5323, _T_5324) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5326 = bits(_T_5325, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5327 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5326 : @[Reg.scala 28:19] _T_5327 <= _T_5315 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][3] <= _T_5327 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5328 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5329 = eq(_T_5328, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5330 = and(ic_valid_ff, _T_5329) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5331 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5332 = and(_T_5330, _T_5331) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5333 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5334 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5335 = and(_T_5333, _T_5334) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5336 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5337 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5338 = and(_T_5336, _T_5337) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5339 = or(_T_5335, _T_5338) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5340 = or(_T_5339, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5341 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5342 = and(_T_5340, _T_5341) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5343 = bits(_T_5342, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][3] <= _T_5327 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5328 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5329 = eq(_T_5328, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5330 = and(ic_valid_ff, _T_5329) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5331 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5332 = and(_T_5330, _T_5331) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5333 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5334 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5335 = and(_T_5333, _T_5334) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5336 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5337 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5338 = and(_T_5336, _T_5337) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5339 = or(_T_5335, _T_5338) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5340 = or(_T_5339, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5341 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5342 = and(_T_5340, _T_5341) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5343 = bits(_T_5342, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5344 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5343 : @[Reg.scala 28:19] _T_5344 <= _T_5332 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][4] <= _T_5344 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5345 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5346 = eq(_T_5345, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5347 = and(ic_valid_ff, _T_5346) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5348 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5349 = and(_T_5347, _T_5348) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5350 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5351 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5352 = and(_T_5350, _T_5351) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5353 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5354 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5355 = and(_T_5353, _T_5354) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5356 = or(_T_5352, _T_5355) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5357 = or(_T_5356, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5358 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5359 = and(_T_5357, _T_5358) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5360 = bits(_T_5359, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][4] <= _T_5344 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5345 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5346 = eq(_T_5345, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5347 = and(ic_valid_ff, _T_5346) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5348 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5349 = and(_T_5347, _T_5348) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5350 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5351 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5352 = and(_T_5350, _T_5351) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5353 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5354 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5355 = and(_T_5353, _T_5354) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5356 = or(_T_5352, _T_5355) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5357 = or(_T_5356, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5358 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5359 = and(_T_5357, _T_5358) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5360 = bits(_T_5359, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5361 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5360 : @[Reg.scala 28:19] _T_5361 <= _T_5349 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][5] <= _T_5361 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5362 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5363 = eq(_T_5362, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5364 = and(ic_valid_ff, _T_5363) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5365 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5366 = and(_T_5364, _T_5365) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5367 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5368 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5369 = and(_T_5367, _T_5368) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5370 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5371 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5372 = and(_T_5370, _T_5371) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5373 = or(_T_5369, _T_5372) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5374 = or(_T_5373, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5375 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5376 = and(_T_5374, _T_5375) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5377 = bits(_T_5376, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][5] <= _T_5361 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5362 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5363 = eq(_T_5362, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5364 = and(ic_valid_ff, _T_5363) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5365 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5366 = and(_T_5364, _T_5365) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5367 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5368 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5369 = and(_T_5367, _T_5368) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5370 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5371 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5372 = and(_T_5370, _T_5371) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5373 = or(_T_5369, _T_5372) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5374 = or(_T_5373, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5375 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5376 = and(_T_5374, _T_5375) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5377 = bits(_T_5376, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5378 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5377 : @[Reg.scala 28:19] _T_5378 <= _T_5366 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][6] <= _T_5378 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5379 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5380 = eq(_T_5379, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5381 = and(ic_valid_ff, _T_5380) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5382 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5383 = and(_T_5381, _T_5382) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5384 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5385 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5386 = and(_T_5384, _T_5385) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5387 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5388 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5389 = and(_T_5387, _T_5388) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5390 = or(_T_5386, _T_5389) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5391 = or(_T_5390, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5392 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5393 = and(_T_5391, _T_5392) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5394 = bits(_T_5393, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][6] <= _T_5378 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5379 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5380 = eq(_T_5379, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5381 = and(ic_valid_ff, _T_5380) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5382 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5383 = and(_T_5381, _T_5382) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5384 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5385 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5386 = and(_T_5384, _T_5385) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5387 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5388 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5389 = and(_T_5387, _T_5388) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5390 = or(_T_5386, _T_5389) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5391 = or(_T_5390, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5392 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5393 = and(_T_5391, _T_5392) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5394 = bits(_T_5393, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5395 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5394 : @[Reg.scala 28:19] _T_5395 <= _T_5383 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][7] <= _T_5395 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5396 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5397 = eq(_T_5396, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5398 = and(ic_valid_ff, _T_5397) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5399 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5400 = and(_T_5398, _T_5399) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5401 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5402 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5403 = and(_T_5401, _T_5402) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5404 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5405 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5406 = and(_T_5404, _T_5405) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5407 = or(_T_5403, _T_5406) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5408 = or(_T_5407, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5409 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5410 = and(_T_5408, _T_5409) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5411 = bits(_T_5410, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][7] <= _T_5395 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5396 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5397 = eq(_T_5396, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5398 = and(ic_valid_ff, _T_5397) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5399 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5400 = and(_T_5398, _T_5399) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5401 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5402 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5403 = and(_T_5401, _T_5402) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5404 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5405 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5406 = and(_T_5404, _T_5405) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5407 = or(_T_5403, _T_5406) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5408 = or(_T_5407, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5409 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5410 = and(_T_5408, _T_5409) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5411 = bits(_T_5410, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5412 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5411 : @[Reg.scala 28:19] _T_5412 <= _T_5400 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][8] <= _T_5412 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5413 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5414 = eq(_T_5413, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5415 = and(ic_valid_ff, _T_5414) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5416 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5417 = and(_T_5415, _T_5416) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5418 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5419 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5420 = and(_T_5418, _T_5419) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5421 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5422 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5423 = and(_T_5421, _T_5422) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5424 = or(_T_5420, _T_5423) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5425 = or(_T_5424, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5426 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5427 = and(_T_5425, _T_5426) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5428 = bits(_T_5427, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][8] <= _T_5412 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5413 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5414 = eq(_T_5413, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5415 = and(ic_valid_ff, _T_5414) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5416 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5417 = and(_T_5415, _T_5416) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5418 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5419 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5420 = and(_T_5418, _T_5419) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5421 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5422 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5423 = and(_T_5421, _T_5422) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5424 = or(_T_5420, _T_5423) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5425 = or(_T_5424, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5426 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5427 = and(_T_5425, _T_5426) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5428 = bits(_T_5427, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5429 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5428 : @[Reg.scala 28:19] _T_5429 <= _T_5417 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][9] <= _T_5429 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5430 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5431 = eq(_T_5430, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5432 = and(ic_valid_ff, _T_5431) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5433 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5434 = and(_T_5432, _T_5433) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5435 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5436 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5437 = and(_T_5435, _T_5436) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5438 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5439 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5440 = and(_T_5438, _T_5439) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5441 = or(_T_5437, _T_5440) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5442 = or(_T_5441, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5443 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5444 = and(_T_5442, _T_5443) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5445 = bits(_T_5444, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][9] <= _T_5429 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5430 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5431 = eq(_T_5430, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5432 = and(ic_valid_ff, _T_5431) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5433 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5434 = and(_T_5432, _T_5433) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5435 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5436 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5437 = and(_T_5435, _T_5436) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5438 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5439 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5440 = and(_T_5438, _T_5439) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5441 = or(_T_5437, _T_5440) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5442 = or(_T_5441, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5443 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5444 = and(_T_5442, _T_5443) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5445 = bits(_T_5444, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5446 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5445 : @[Reg.scala 28:19] _T_5446 <= _T_5434 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][10] <= _T_5446 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5447 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5448 = eq(_T_5447, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5449 = and(ic_valid_ff, _T_5448) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5450 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5451 = and(_T_5449, _T_5450) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5452 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5453 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5454 = and(_T_5452, _T_5453) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5455 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5456 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5457 = and(_T_5455, _T_5456) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5458 = or(_T_5454, _T_5457) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5459 = or(_T_5458, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5460 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5461 = and(_T_5459, _T_5460) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5462 = bits(_T_5461, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][10] <= _T_5446 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5447 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5448 = eq(_T_5447, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5449 = and(ic_valid_ff, _T_5448) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5450 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5451 = and(_T_5449, _T_5450) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5452 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5453 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5454 = and(_T_5452, _T_5453) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5455 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5456 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5457 = and(_T_5455, _T_5456) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5458 = or(_T_5454, _T_5457) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5459 = or(_T_5458, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5460 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5461 = and(_T_5459, _T_5460) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5462 = bits(_T_5461, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5463 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5462 : @[Reg.scala 28:19] _T_5463 <= _T_5451 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][11] <= _T_5463 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5464 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5465 = eq(_T_5464, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5466 = and(ic_valid_ff, _T_5465) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5467 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5468 = and(_T_5466, _T_5467) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5469 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5470 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5471 = and(_T_5469, _T_5470) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5472 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5473 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5474 = and(_T_5472, _T_5473) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5475 = or(_T_5471, _T_5474) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5476 = or(_T_5475, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5477 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5478 = and(_T_5476, _T_5477) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5479 = bits(_T_5478, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][11] <= _T_5463 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5464 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5465 = eq(_T_5464, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5466 = and(ic_valid_ff, _T_5465) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5467 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5468 = and(_T_5466, _T_5467) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5469 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5470 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5471 = and(_T_5469, _T_5470) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5472 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5473 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5474 = and(_T_5472, _T_5473) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5475 = or(_T_5471, _T_5474) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5476 = or(_T_5475, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5477 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5478 = and(_T_5476, _T_5477) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5479 = bits(_T_5478, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5480 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5479 : @[Reg.scala 28:19] _T_5480 <= _T_5468 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][12] <= _T_5480 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5481 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5482 = eq(_T_5481, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5483 = and(ic_valid_ff, _T_5482) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5484 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5485 = and(_T_5483, _T_5484) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5486 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5487 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5488 = and(_T_5486, _T_5487) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5489 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5490 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5491 = and(_T_5489, _T_5490) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5492 = or(_T_5488, _T_5491) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5493 = or(_T_5492, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5494 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5495 = and(_T_5493, _T_5494) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5496 = bits(_T_5495, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][12] <= _T_5480 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5481 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5482 = eq(_T_5481, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5483 = and(ic_valid_ff, _T_5482) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5484 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5485 = and(_T_5483, _T_5484) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5486 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5487 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5488 = and(_T_5486, _T_5487) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5489 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5490 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5491 = and(_T_5489, _T_5490) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5492 = or(_T_5488, _T_5491) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5493 = or(_T_5492, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5494 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5495 = and(_T_5493, _T_5494) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5496 = bits(_T_5495, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5497 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5496 : @[Reg.scala 28:19] _T_5497 <= _T_5485 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][13] <= _T_5497 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5498 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5499 = eq(_T_5498, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5500 = and(ic_valid_ff, _T_5499) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5501 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5502 = and(_T_5500, _T_5501) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5503 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5504 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5505 = and(_T_5503, _T_5504) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5506 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5507 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5508 = and(_T_5506, _T_5507) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5509 = or(_T_5505, _T_5508) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5510 = or(_T_5509, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5511 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5512 = and(_T_5510, _T_5511) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5513 = bits(_T_5512, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][13] <= _T_5497 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5498 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5499 = eq(_T_5498, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5500 = and(ic_valid_ff, _T_5499) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5501 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5502 = and(_T_5500, _T_5501) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5503 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5504 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5505 = and(_T_5503, _T_5504) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5506 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5507 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5508 = and(_T_5506, _T_5507) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5509 = or(_T_5505, _T_5508) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5510 = or(_T_5509, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5511 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5512 = and(_T_5510, _T_5511) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5513 = bits(_T_5512, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5514 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5513 : @[Reg.scala 28:19] _T_5514 <= _T_5502 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][14] <= _T_5514 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5515 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5516 = eq(_T_5515, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5517 = and(ic_valid_ff, _T_5516) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5518 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5519 = and(_T_5517, _T_5518) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5520 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5521 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5522 = and(_T_5520, _T_5521) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5523 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5524 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5525 = and(_T_5523, _T_5524) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5526 = or(_T_5522, _T_5525) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5527 = or(_T_5526, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5528 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5529 = and(_T_5527, _T_5528) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5530 = bits(_T_5529, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][14] <= _T_5514 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5515 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5516 = eq(_T_5515, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5517 = and(ic_valid_ff, _T_5516) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5518 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5519 = and(_T_5517, _T_5518) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5520 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5521 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5522 = and(_T_5520, _T_5521) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5523 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5524 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5525 = and(_T_5523, _T_5524) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5526 = or(_T_5522, _T_5525) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5527 = or(_T_5526, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5528 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5529 = and(_T_5527, _T_5528) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5530 = bits(_T_5529, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5531 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5530 : @[Reg.scala 28:19] _T_5531 <= _T_5519 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][15] <= _T_5531 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5532 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5533 = eq(_T_5532, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5534 = and(ic_valid_ff, _T_5533) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5535 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5536 = and(_T_5534, _T_5535) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5537 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5538 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5539 = and(_T_5537, _T_5538) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5540 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5541 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5542 = and(_T_5540, _T_5541) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5543 = or(_T_5539, _T_5542) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5544 = or(_T_5543, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5545 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5546 = and(_T_5544, _T_5545) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5547 = bits(_T_5546, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][15] <= _T_5531 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5532 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5533 = eq(_T_5532, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5534 = and(ic_valid_ff, _T_5533) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5535 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5536 = and(_T_5534, _T_5535) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5537 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5538 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5539 = and(_T_5537, _T_5538) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5540 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5541 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5542 = and(_T_5540, _T_5541) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5543 = or(_T_5539, _T_5542) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5544 = or(_T_5543, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5545 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5546 = and(_T_5544, _T_5545) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5547 = bits(_T_5546, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5548 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5547 : @[Reg.scala 28:19] _T_5548 <= _T_5536 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][16] <= _T_5548 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5549 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5550 = eq(_T_5549, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5551 = and(ic_valid_ff, _T_5550) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5552 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5553 = and(_T_5551, _T_5552) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5554 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5555 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5556 = and(_T_5554, _T_5555) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5557 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5558 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5559 = and(_T_5557, _T_5558) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5560 = or(_T_5556, _T_5559) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5561 = or(_T_5560, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5562 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5563 = and(_T_5561, _T_5562) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5564 = bits(_T_5563, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][16] <= _T_5548 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5549 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5550 = eq(_T_5549, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5551 = and(ic_valid_ff, _T_5550) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5552 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5553 = and(_T_5551, _T_5552) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5554 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5555 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5556 = and(_T_5554, _T_5555) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5557 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5558 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5559 = and(_T_5557, _T_5558) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5560 = or(_T_5556, _T_5559) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5561 = or(_T_5560, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5562 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5563 = and(_T_5561, _T_5562) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5564 = bits(_T_5563, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5565 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5564 : @[Reg.scala 28:19] _T_5565 <= _T_5553 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][17] <= _T_5565 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5566 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5567 = eq(_T_5566, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5568 = and(ic_valid_ff, _T_5567) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5569 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5570 = and(_T_5568, _T_5569) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5571 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5572 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5573 = and(_T_5571, _T_5572) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5574 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5575 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5576 = and(_T_5574, _T_5575) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5577 = or(_T_5573, _T_5576) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5578 = or(_T_5577, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5579 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5580 = and(_T_5578, _T_5579) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5581 = bits(_T_5580, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][17] <= _T_5565 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5566 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5567 = eq(_T_5566, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5568 = and(ic_valid_ff, _T_5567) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5569 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5570 = and(_T_5568, _T_5569) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5571 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5572 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5573 = and(_T_5571, _T_5572) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5574 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5575 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5576 = and(_T_5574, _T_5575) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5577 = or(_T_5573, _T_5576) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5578 = or(_T_5577, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5579 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5580 = and(_T_5578, _T_5579) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5581 = bits(_T_5580, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5582 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5581 : @[Reg.scala 28:19] _T_5582 <= _T_5570 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][18] <= _T_5582 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5583 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5584 = eq(_T_5583, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5585 = and(ic_valid_ff, _T_5584) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5586 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5587 = and(_T_5585, _T_5586) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5588 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5589 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5590 = and(_T_5588, _T_5589) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5591 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5592 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5593 = and(_T_5591, _T_5592) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5594 = or(_T_5590, _T_5593) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5595 = or(_T_5594, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5596 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5597 = and(_T_5595, _T_5596) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5598 = bits(_T_5597, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][18] <= _T_5582 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5583 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5584 = eq(_T_5583, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5585 = and(ic_valid_ff, _T_5584) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5586 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5587 = and(_T_5585, _T_5586) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5588 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5589 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5590 = and(_T_5588, _T_5589) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5591 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5592 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5593 = and(_T_5591, _T_5592) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5594 = or(_T_5590, _T_5593) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5595 = or(_T_5594, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5596 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5597 = and(_T_5595, _T_5596) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5598 = bits(_T_5597, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5599 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5598 : @[Reg.scala 28:19] _T_5599 <= _T_5587 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][19] <= _T_5599 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5600 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5601 = eq(_T_5600, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5602 = and(ic_valid_ff, _T_5601) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5603 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5604 = and(_T_5602, _T_5603) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5605 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5606 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5607 = and(_T_5605, _T_5606) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5608 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5609 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5610 = and(_T_5608, _T_5609) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5611 = or(_T_5607, _T_5610) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5612 = or(_T_5611, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5613 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5614 = and(_T_5612, _T_5613) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5615 = bits(_T_5614, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][19] <= _T_5599 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5600 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5601 = eq(_T_5600, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5602 = and(ic_valid_ff, _T_5601) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5603 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5604 = and(_T_5602, _T_5603) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5605 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5606 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5607 = and(_T_5605, _T_5606) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5608 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5609 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5610 = and(_T_5608, _T_5609) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5611 = or(_T_5607, _T_5610) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5612 = or(_T_5611, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5613 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5614 = and(_T_5612, _T_5613) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5615 = bits(_T_5614, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5616 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5615 : @[Reg.scala 28:19] _T_5616 <= _T_5604 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][20] <= _T_5616 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5617 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5618 = eq(_T_5617, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5619 = and(ic_valid_ff, _T_5618) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5620 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5621 = and(_T_5619, _T_5620) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5622 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5623 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5624 = and(_T_5622, _T_5623) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5625 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5626 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5627 = and(_T_5625, _T_5626) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5628 = or(_T_5624, _T_5627) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5629 = or(_T_5628, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5630 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5631 = and(_T_5629, _T_5630) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5632 = bits(_T_5631, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][20] <= _T_5616 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5617 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5618 = eq(_T_5617, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5619 = and(ic_valid_ff, _T_5618) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5620 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5621 = and(_T_5619, _T_5620) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5622 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5623 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5624 = and(_T_5622, _T_5623) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5625 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5626 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5627 = and(_T_5625, _T_5626) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5628 = or(_T_5624, _T_5627) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5629 = or(_T_5628, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5630 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5631 = and(_T_5629, _T_5630) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5632 = bits(_T_5631, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5633 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5632 : @[Reg.scala 28:19] _T_5633 <= _T_5621 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][21] <= _T_5633 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5634 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5635 = eq(_T_5634, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5636 = and(ic_valid_ff, _T_5635) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5637 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5638 = and(_T_5636, _T_5637) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5639 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5640 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5641 = and(_T_5639, _T_5640) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5642 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5643 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5644 = and(_T_5642, _T_5643) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5645 = or(_T_5641, _T_5644) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5646 = or(_T_5645, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5647 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5648 = and(_T_5646, _T_5647) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5649 = bits(_T_5648, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][21] <= _T_5633 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5634 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5635 = eq(_T_5634, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5636 = and(ic_valid_ff, _T_5635) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5637 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5638 = and(_T_5636, _T_5637) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5639 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5640 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5641 = and(_T_5639, _T_5640) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5642 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5643 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5644 = and(_T_5642, _T_5643) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5645 = or(_T_5641, _T_5644) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5646 = or(_T_5645, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5647 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5648 = and(_T_5646, _T_5647) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5649 = bits(_T_5648, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5650 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5649 : @[Reg.scala 28:19] _T_5650 <= _T_5638 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][22] <= _T_5650 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5651 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5652 = eq(_T_5651, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5653 = and(ic_valid_ff, _T_5652) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5654 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5655 = and(_T_5653, _T_5654) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5656 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5657 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5658 = and(_T_5656, _T_5657) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5659 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5660 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5661 = and(_T_5659, _T_5660) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5662 = or(_T_5658, _T_5661) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5663 = or(_T_5662, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5664 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5665 = and(_T_5663, _T_5664) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5666 = bits(_T_5665, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][22] <= _T_5650 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5651 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5652 = eq(_T_5651, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5653 = and(ic_valid_ff, _T_5652) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5654 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5655 = and(_T_5653, _T_5654) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5656 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5657 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5658 = and(_T_5656, _T_5657) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5659 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5660 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5661 = and(_T_5659, _T_5660) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5662 = or(_T_5658, _T_5661) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5663 = or(_T_5662, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5664 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5665 = and(_T_5663, _T_5664) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5666 = bits(_T_5665, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5667 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5666 : @[Reg.scala 28:19] _T_5667 <= _T_5655 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][23] <= _T_5667 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5668 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5669 = eq(_T_5668, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5670 = and(ic_valid_ff, _T_5669) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5671 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5672 = and(_T_5670, _T_5671) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5673 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5674 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5675 = and(_T_5673, _T_5674) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5676 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5677 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5678 = and(_T_5676, _T_5677) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5679 = or(_T_5675, _T_5678) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5680 = or(_T_5679, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5681 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5682 = and(_T_5680, _T_5681) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5683 = bits(_T_5682, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][23] <= _T_5667 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5668 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5669 = eq(_T_5668, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5670 = and(ic_valid_ff, _T_5669) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5671 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5672 = and(_T_5670, _T_5671) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5673 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5674 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5675 = and(_T_5673, _T_5674) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5676 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5677 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5678 = and(_T_5676, _T_5677) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5679 = or(_T_5675, _T_5678) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5680 = or(_T_5679, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5681 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5682 = and(_T_5680, _T_5681) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5683 = bits(_T_5682, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5684 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5683 : @[Reg.scala 28:19] _T_5684 <= _T_5672 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][24] <= _T_5684 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5685 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5686 = eq(_T_5685, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5687 = and(ic_valid_ff, _T_5686) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5688 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5689 = and(_T_5687, _T_5688) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5690 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5691 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5692 = and(_T_5690, _T_5691) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5693 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5694 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5695 = and(_T_5693, _T_5694) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5696 = or(_T_5692, _T_5695) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5697 = or(_T_5696, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5698 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5699 = and(_T_5697, _T_5698) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5700 = bits(_T_5699, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][24] <= _T_5684 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5685 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5686 = eq(_T_5685, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5687 = and(ic_valid_ff, _T_5686) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5688 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5689 = and(_T_5687, _T_5688) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5690 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5691 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5692 = and(_T_5690, _T_5691) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5693 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5694 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5695 = and(_T_5693, _T_5694) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5696 = or(_T_5692, _T_5695) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5697 = or(_T_5696, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5698 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5699 = and(_T_5697, _T_5698) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5700 = bits(_T_5699, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5701 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5700 : @[Reg.scala 28:19] _T_5701 <= _T_5689 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][25] <= _T_5701 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5702 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5703 = eq(_T_5702, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5704 = and(ic_valid_ff, _T_5703) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5705 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5706 = and(_T_5704, _T_5705) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5707 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5708 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5709 = and(_T_5707, _T_5708) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5710 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5711 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5712 = and(_T_5710, _T_5711) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5713 = or(_T_5709, _T_5712) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5714 = or(_T_5713, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5715 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5716 = and(_T_5714, _T_5715) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5717 = bits(_T_5716, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][25] <= _T_5701 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5702 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5703 = eq(_T_5702, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5704 = and(ic_valid_ff, _T_5703) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5705 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5706 = and(_T_5704, _T_5705) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5707 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5708 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5709 = and(_T_5707, _T_5708) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5710 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5711 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5712 = and(_T_5710, _T_5711) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5713 = or(_T_5709, _T_5712) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5714 = or(_T_5713, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5715 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5716 = and(_T_5714, _T_5715) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5717 = bits(_T_5716, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5718 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5717 : @[Reg.scala 28:19] _T_5718 <= _T_5706 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][26] <= _T_5718 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5719 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5720 = eq(_T_5719, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5721 = and(ic_valid_ff, _T_5720) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5722 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5723 = and(_T_5721, _T_5722) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5724 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5725 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5726 = and(_T_5724, _T_5725) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5727 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5728 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5729 = and(_T_5727, _T_5728) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5730 = or(_T_5726, _T_5729) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5731 = or(_T_5730, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5732 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5733 = and(_T_5731, _T_5732) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5734 = bits(_T_5733, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][26] <= _T_5718 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5719 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5720 = eq(_T_5719, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5721 = and(ic_valid_ff, _T_5720) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5722 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5723 = and(_T_5721, _T_5722) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5724 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5725 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5726 = and(_T_5724, _T_5725) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5727 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5728 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5729 = and(_T_5727, _T_5728) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5730 = or(_T_5726, _T_5729) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5731 = or(_T_5730, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5732 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5733 = and(_T_5731, _T_5732) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5734 = bits(_T_5733, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5735 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5734 : @[Reg.scala 28:19] _T_5735 <= _T_5723 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][27] <= _T_5735 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5736 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5737 = eq(_T_5736, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5738 = and(ic_valid_ff, _T_5737) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5739 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5740 = and(_T_5738, _T_5739) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5741 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5742 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5743 = and(_T_5741, _T_5742) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5744 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5745 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5746 = and(_T_5744, _T_5745) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5747 = or(_T_5743, _T_5746) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5748 = or(_T_5747, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5749 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5750 = and(_T_5748, _T_5749) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5751 = bits(_T_5750, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][27] <= _T_5735 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5736 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5737 = eq(_T_5736, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5738 = and(ic_valid_ff, _T_5737) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5739 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5740 = and(_T_5738, _T_5739) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5741 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5742 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5743 = and(_T_5741, _T_5742) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5744 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5745 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5746 = and(_T_5744, _T_5745) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5747 = or(_T_5743, _T_5746) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5748 = or(_T_5747, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5749 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5750 = and(_T_5748, _T_5749) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5751 = bits(_T_5750, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5752 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5751 : @[Reg.scala 28:19] _T_5752 <= _T_5740 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][28] <= _T_5752 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5753 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5754 = eq(_T_5753, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5755 = and(ic_valid_ff, _T_5754) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5756 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5757 = and(_T_5755, _T_5756) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5758 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5759 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5760 = and(_T_5758, _T_5759) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5761 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5762 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5763 = and(_T_5761, _T_5762) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5764 = or(_T_5760, _T_5763) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5765 = or(_T_5764, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5766 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5767 = and(_T_5765, _T_5766) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5768 = bits(_T_5767, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][28] <= _T_5752 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5753 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5754 = eq(_T_5753, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5755 = and(ic_valid_ff, _T_5754) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5756 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5757 = and(_T_5755, _T_5756) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5758 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5759 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5760 = and(_T_5758, _T_5759) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5761 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5762 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5763 = and(_T_5761, _T_5762) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5764 = or(_T_5760, _T_5763) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5765 = or(_T_5764, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5766 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5767 = and(_T_5765, _T_5766) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5768 = bits(_T_5767, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5769 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5768 : @[Reg.scala 28:19] _T_5769 <= _T_5757 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][29] <= _T_5769 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5770 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5771 = eq(_T_5770, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5772 = and(ic_valid_ff, _T_5771) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5773 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5774 = and(_T_5772, _T_5773) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5775 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5776 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5777 = and(_T_5775, _T_5776) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5778 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5779 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5780 = and(_T_5778, _T_5779) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5781 = or(_T_5777, _T_5780) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5782 = or(_T_5781, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5783 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5784 = and(_T_5782, _T_5783) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5785 = bits(_T_5784, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][29] <= _T_5769 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5770 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5771 = eq(_T_5770, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5772 = and(ic_valid_ff, _T_5771) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5773 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5774 = and(_T_5772, _T_5773) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5775 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5776 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5777 = and(_T_5775, _T_5776) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5778 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5779 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5780 = and(_T_5778, _T_5779) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5781 = or(_T_5777, _T_5780) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5782 = or(_T_5781, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5783 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5784 = and(_T_5782, _T_5783) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5785 = bits(_T_5784, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5786 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5785 : @[Reg.scala 28:19] _T_5786 <= _T_5774 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][30] <= _T_5786 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5787 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5788 = eq(_T_5787, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5789 = and(ic_valid_ff, _T_5788) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5790 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5791 = and(_T_5789, _T_5790) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5792 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5793 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5794 = and(_T_5792, _T_5793) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5795 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5796 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5797 = and(_T_5795, _T_5796) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5798 = or(_T_5794, _T_5797) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5799 = or(_T_5798, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5800 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5801 = and(_T_5799, _T_5800) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5802 = bits(_T_5801, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][30] <= _T_5786 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5787 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5788 = eq(_T_5787, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5789 = and(ic_valid_ff, _T_5788) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5790 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5791 = and(_T_5789, _T_5790) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5792 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5793 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5794 = and(_T_5792, _T_5793) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5795 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5796 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5797 = and(_T_5795, _T_5796) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5798 = or(_T_5794, _T_5797) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5799 = or(_T_5798, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5800 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5801 = and(_T_5799, _T_5800) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5802 = bits(_T_5801, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5803 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5802 : @[Reg.scala 28:19] _T_5803 <= _T_5791 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][31] <= _T_5803 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5804 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5805 = eq(_T_5804, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5806 = and(ic_valid_ff, _T_5805) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5807 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5808 = and(_T_5806, _T_5807) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5809 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5810 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5811 = and(_T_5809, _T_5810) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5812 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5813 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5814 = and(_T_5812, _T_5813) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5815 = or(_T_5811, _T_5814) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5816 = or(_T_5815, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5817 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5818 = and(_T_5816, _T_5817) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5819 = bits(_T_5818, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][31] <= _T_5803 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5804 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5805 = eq(_T_5804, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5806 = and(ic_valid_ff, _T_5805) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5807 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5808 = and(_T_5806, _T_5807) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5809 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5810 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5811 = and(_T_5809, _T_5810) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5812 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5813 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5814 = and(_T_5812, _T_5813) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5815 = or(_T_5811, _T_5814) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5816 = or(_T_5815, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5817 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5818 = and(_T_5816, _T_5817) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5819 = bits(_T_5818, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5820 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5819 : @[Reg.scala 28:19] _T_5820 <= _T_5808 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][0] <= _T_5820 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5821 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5822 = eq(_T_5821, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5823 = and(ic_valid_ff, _T_5822) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5824 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5825 = and(_T_5823, _T_5824) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5826 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5827 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5828 = and(_T_5826, _T_5827) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5829 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5830 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5831 = and(_T_5829, _T_5830) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5832 = or(_T_5828, _T_5831) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5833 = or(_T_5832, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5834 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5835 = and(_T_5833, _T_5834) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5836 = bits(_T_5835, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][0] <= _T_5820 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5821 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5822 = eq(_T_5821, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5823 = and(ic_valid_ff, _T_5822) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5824 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5825 = and(_T_5823, _T_5824) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5826 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5827 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5828 = and(_T_5826, _T_5827) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5829 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5830 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5831 = and(_T_5829, _T_5830) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5832 = or(_T_5828, _T_5831) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5833 = or(_T_5832, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5834 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5835 = and(_T_5833, _T_5834) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5836 = bits(_T_5835, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5837 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5836 : @[Reg.scala 28:19] _T_5837 <= _T_5825 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][1] <= _T_5837 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5838 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5839 = eq(_T_5838, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5840 = and(ic_valid_ff, _T_5839) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5841 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5842 = and(_T_5840, _T_5841) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5843 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5844 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5845 = and(_T_5843, _T_5844) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5846 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5847 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5848 = and(_T_5846, _T_5847) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5849 = or(_T_5845, _T_5848) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5850 = or(_T_5849, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5851 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5852 = and(_T_5850, _T_5851) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5853 = bits(_T_5852, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][1] <= _T_5837 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5838 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5839 = eq(_T_5838, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5840 = and(ic_valid_ff, _T_5839) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5841 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5842 = and(_T_5840, _T_5841) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5843 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5844 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5845 = and(_T_5843, _T_5844) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5846 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5847 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5848 = and(_T_5846, _T_5847) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5849 = or(_T_5845, _T_5848) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5850 = or(_T_5849, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5851 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5852 = and(_T_5850, _T_5851) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5853 = bits(_T_5852, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5854 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5853 : @[Reg.scala 28:19] _T_5854 <= _T_5842 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][2] <= _T_5854 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5855 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5856 = eq(_T_5855, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5857 = and(ic_valid_ff, _T_5856) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5858 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5859 = and(_T_5857, _T_5858) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5860 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5861 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5862 = and(_T_5860, _T_5861) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5863 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5864 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5865 = and(_T_5863, _T_5864) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5866 = or(_T_5862, _T_5865) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5867 = or(_T_5866, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5868 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5869 = and(_T_5867, _T_5868) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5870 = bits(_T_5869, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][2] <= _T_5854 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5855 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5856 = eq(_T_5855, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5857 = and(ic_valid_ff, _T_5856) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5858 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5859 = and(_T_5857, _T_5858) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5860 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5861 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5862 = and(_T_5860, _T_5861) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5863 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5864 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5865 = and(_T_5863, _T_5864) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5866 = or(_T_5862, _T_5865) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5867 = or(_T_5866, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5868 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5869 = and(_T_5867, _T_5868) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5870 = bits(_T_5869, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5871 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5870 : @[Reg.scala 28:19] _T_5871 <= _T_5859 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][3] <= _T_5871 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5872 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5873 = eq(_T_5872, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5874 = and(ic_valid_ff, _T_5873) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5875 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5876 = and(_T_5874, _T_5875) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5877 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5878 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5879 = and(_T_5877, _T_5878) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5880 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5881 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5882 = and(_T_5880, _T_5881) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5883 = or(_T_5879, _T_5882) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5884 = or(_T_5883, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5885 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5886 = and(_T_5884, _T_5885) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5887 = bits(_T_5886, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][3] <= _T_5871 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5872 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5873 = eq(_T_5872, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5874 = and(ic_valid_ff, _T_5873) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5875 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5876 = and(_T_5874, _T_5875) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5877 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5878 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5879 = and(_T_5877, _T_5878) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5880 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5881 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5882 = and(_T_5880, _T_5881) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5883 = or(_T_5879, _T_5882) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5884 = or(_T_5883, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5885 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5886 = and(_T_5884, _T_5885) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5887 = bits(_T_5886, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5888 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5887 : @[Reg.scala 28:19] _T_5888 <= _T_5876 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][4] <= _T_5888 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5889 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5890 = eq(_T_5889, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5891 = and(ic_valid_ff, _T_5890) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5892 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5893 = and(_T_5891, _T_5892) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5894 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5895 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5896 = and(_T_5894, _T_5895) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5897 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5898 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5899 = and(_T_5897, _T_5898) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5900 = or(_T_5896, _T_5899) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5901 = or(_T_5900, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5902 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5903 = and(_T_5901, _T_5902) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5904 = bits(_T_5903, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][4] <= _T_5888 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5889 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5890 = eq(_T_5889, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5891 = and(ic_valid_ff, _T_5890) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5892 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5893 = and(_T_5891, _T_5892) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5894 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5895 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5896 = and(_T_5894, _T_5895) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5897 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5898 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5899 = and(_T_5897, _T_5898) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5900 = or(_T_5896, _T_5899) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5901 = or(_T_5900, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5902 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5903 = and(_T_5901, _T_5902) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5904 = bits(_T_5903, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5905 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5904 : @[Reg.scala 28:19] _T_5905 <= _T_5893 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][5] <= _T_5905 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5906 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5907 = eq(_T_5906, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5908 = and(ic_valid_ff, _T_5907) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5909 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5910 = and(_T_5908, _T_5909) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5911 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5912 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5913 = and(_T_5911, _T_5912) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5914 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5915 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5916 = and(_T_5914, _T_5915) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5917 = or(_T_5913, _T_5916) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5918 = or(_T_5917, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5919 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5920 = and(_T_5918, _T_5919) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5921 = bits(_T_5920, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][5] <= _T_5905 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5906 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5907 = eq(_T_5906, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5908 = and(ic_valid_ff, _T_5907) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5909 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5910 = and(_T_5908, _T_5909) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5911 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5912 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5913 = and(_T_5911, _T_5912) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5914 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5915 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5916 = and(_T_5914, _T_5915) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5917 = or(_T_5913, _T_5916) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5918 = or(_T_5917, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5919 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5920 = and(_T_5918, _T_5919) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5921 = bits(_T_5920, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5922 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5921 : @[Reg.scala 28:19] _T_5922 <= _T_5910 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][6] <= _T_5922 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5923 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5924 = eq(_T_5923, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5925 = and(ic_valid_ff, _T_5924) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5926 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5927 = and(_T_5925, _T_5926) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5928 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5929 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5930 = and(_T_5928, _T_5929) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5931 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5932 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5933 = and(_T_5931, _T_5932) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5934 = or(_T_5930, _T_5933) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5935 = or(_T_5934, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5936 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5937 = and(_T_5935, _T_5936) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5938 = bits(_T_5937, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][6] <= _T_5922 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5923 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5924 = eq(_T_5923, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5925 = and(ic_valid_ff, _T_5924) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5926 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5927 = and(_T_5925, _T_5926) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5928 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5929 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5930 = and(_T_5928, _T_5929) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5931 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5932 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5933 = and(_T_5931, _T_5932) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5934 = or(_T_5930, _T_5933) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5935 = or(_T_5934, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5936 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5937 = and(_T_5935, _T_5936) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5938 = bits(_T_5937, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5939 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5938 : @[Reg.scala 28:19] _T_5939 <= _T_5927 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][7] <= _T_5939 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5940 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5941 = eq(_T_5940, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5942 = and(ic_valid_ff, _T_5941) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5943 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5944 = and(_T_5942, _T_5943) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5945 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5946 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5947 = and(_T_5945, _T_5946) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5948 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5949 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5950 = and(_T_5948, _T_5949) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5951 = or(_T_5947, _T_5950) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5952 = or(_T_5951, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5953 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5954 = and(_T_5952, _T_5953) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5955 = bits(_T_5954, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][7] <= _T_5939 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5940 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5941 = eq(_T_5940, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5942 = and(ic_valid_ff, _T_5941) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5943 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5944 = and(_T_5942, _T_5943) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5945 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5946 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5947 = and(_T_5945, _T_5946) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5948 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5949 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5950 = and(_T_5948, _T_5949) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5951 = or(_T_5947, _T_5950) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5952 = or(_T_5951, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5953 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5954 = and(_T_5952, _T_5953) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5955 = bits(_T_5954, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5956 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5955 : @[Reg.scala 28:19] _T_5956 <= _T_5944 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][8] <= _T_5956 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5957 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5958 = eq(_T_5957, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5959 = and(ic_valid_ff, _T_5958) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5960 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5961 = and(_T_5959, _T_5960) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5962 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5963 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5964 = and(_T_5962, _T_5963) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5965 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5966 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5967 = and(_T_5965, _T_5966) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5968 = or(_T_5964, _T_5967) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5969 = or(_T_5968, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5970 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5971 = and(_T_5969, _T_5970) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5972 = bits(_T_5971, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][8] <= _T_5956 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5957 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5958 = eq(_T_5957, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5959 = and(ic_valid_ff, _T_5958) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5960 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5961 = and(_T_5959, _T_5960) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5962 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5963 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5964 = and(_T_5962, _T_5963) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5965 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5966 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5967 = and(_T_5965, _T_5966) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5968 = or(_T_5964, _T_5967) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5969 = or(_T_5968, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5970 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5971 = and(_T_5969, _T_5970) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5972 = bits(_T_5971, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5973 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5972 : @[Reg.scala 28:19] _T_5973 <= _T_5961 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][9] <= _T_5973 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5974 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5975 = eq(_T_5974, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5976 = and(ic_valid_ff, _T_5975) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5977 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5978 = and(_T_5976, _T_5977) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5979 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5980 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5981 = and(_T_5979, _T_5980) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5982 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_5983 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_5984 = and(_T_5982, _T_5983) @[el2_ifu_mem_ctl.scala 761:124] - node _T_5985 = or(_T_5981, _T_5984) @[el2_ifu_mem_ctl.scala 761:81] - node _T_5986 = or(_T_5985, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_5987 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_5988 = and(_T_5986, _T_5987) @[el2_ifu_mem_ctl.scala 761:165] - node _T_5989 = bits(_T_5988, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][9] <= _T_5973 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5974 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5975 = eq(_T_5974, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5976 = and(ic_valid_ff, _T_5975) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5977 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5978 = and(_T_5976, _T_5977) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5979 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5980 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5981 = and(_T_5979, _T_5980) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5982 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_5983 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_5984 = and(_T_5982, _T_5983) @[el2_ifu_mem_ctl.scala 756:124] + node _T_5985 = or(_T_5981, _T_5984) @[el2_ifu_mem_ctl.scala 756:81] + node _T_5986 = or(_T_5985, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_5987 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_5988 = and(_T_5986, _T_5987) @[el2_ifu_mem_ctl.scala 756:165] + node _T_5989 = bits(_T_5988, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_5990 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5989 : @[Reg.scala 28:19] _T_5990 <= _T_5978 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][10] <= _T_5990 @[el2_ifu_mem_ctl.scala 760:41] - node _T_5991 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_5992 = eq(_T_5991, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_5993 = and(ic_valid_ff, _T_5992) @[el2_ifu_mem_ctl.scala 760:66] - node _T_5994 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_5995 = and(_T_5993, _T_5994) @[el2_ifu_mem_ctl.scala 760:91] - node _T_5996 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_5997 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_5998 = and(_T_5996, _T_5997) @[el2_ifu_mem_ctl.scala 761:59] - node _T_5999 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6000 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6001 = and(_T_5999, _T_6000) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6002 = or(_T_5998, _T_6001) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6003 = or(_T_6002, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6004 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6005 = and(_T_6003, _T_6004) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6006 = bits(_T_6005, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][10] <= _T_5990 @[el2_ifu_mem_ctl.scala 755:41] + node _T_5991 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_5992 = eq(_T_5991, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_5993 = and(ic_valid_ff, _T_5992) @[el2_ifu_mem_ctl.scala 755:66] + node _T_5994 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_5995 = and(_T_5993, _T_5994) @[el2_ifu_mem_ctl.scala 755:91] + node _T_5996 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_5997 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_5998 = and(_T_5996, _T_5997) @[el2_ifu_mem_ctl.scala 756:59] + node _T_5999 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6000 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6001 = and(_T_5999, _T_6000) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6002 = or(_T_5998, _T_6001) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6003 = or(_T_6002, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6004 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6005 = and(_T_6003, _T_6004) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6006 = bits(_T_6005, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6007 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6006 : @[Reg.scala 28:19] _T_6007 <= _T_5995 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][11] <= _T_6007 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6008 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6009 = eq(_T_6008, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6010 = and(ic_valid_ff, _T_6009) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6011 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6012 = and(_T_6010, _T_6011) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6013 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6014 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6015 = and(_T_6013, _T_6014) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6016 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6017 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6018 = and(_T_6016, _T_6017) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6019 = or(_T_6015, _T_6018) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6020 = or(_T_6019, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6021 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6022 = and(_T_6020, _T_6021) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6023 = bits(_T_6022, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][11] <= _T_6007 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6008 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6009 = eq(_T_6008, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6010 = and(ic_valid_ff, _T_6009) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6011 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6012 = and(_T_6010, _T_6011) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6013 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6014 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6015 = and(_T_6013, _T_6014) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6016 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6017 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6018 = and(_T_6016, _T_6017) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6019 = or(_T_6015, _T_6018) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6020 = or(_T_6019, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6021 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6022 = and(_T_6020, _T_6021) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6023 = bits(_T_6022, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6024 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6023 : @[Reg.scala 28:19] _T_6024 <= _T_6012 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][12] <= _T_6024 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6025 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6026 = eq(_T_6025, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6027 = and(ic_valid_ff, _T_6026) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6028 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6029 = and(_T_6027, _T_6028) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6030 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6031 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6032 = and(_T_6030, _T_6031) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6033 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6034 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6035 = and(_T_6033, _T_6034) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6036 = or(_T_6032, _T_6035) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6037 = or(_T_6036, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6038 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6039 = and(_T_6037, _T_6038) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6040 = bits(_T_6039, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][12] <= _T_6024 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6025 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6026 = eq(_T_6025, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6027 = and(ic_valid_ff, _T_6026) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6028 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6029 = and(_T_6027, _T_6028) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6030 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6031 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6032 = and(_T_6030, _T_6031) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6033 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6034 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6035 = and(_T_6033, _T_6034) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6036 = or(_T_6032, _T_6035) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6037 = or(_T_6036, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6038 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6039 = and(_T_6037, _T_6038) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6040 = bits(_T_6039, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6041 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6040 : @[Reg.scala 28:19] _T_6041 <= _T_6029 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][13] <= _T_6041 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6042 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6043 = eq(_T_6042, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6044 = and(ic_valid_ff, _T_6043) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6045 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6046 = and(_T_6044, _T_6045) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6047 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6048 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6049 = and(_T_6047, _T_6048) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6050 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6051 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6052 = and(_T_6050, _T_6051) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6053 = or(_T_6049, _T_6052) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6054 = or(_T_6053, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6055 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6056 = and(_T_6054, _T_6055) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6057 = bits(_T_6056, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][13] <= _T_6041 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6042 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6043 = eq(_T_6042, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6044 = and(ic_valid_ff, _T_6043) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6045 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6046 = and(_T_6044, _T_6045) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6047 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6048 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6049 = and(_T_6047, _T_6048) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6050 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6051 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6052 = and(_T_6050, _T_6051) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6053 = or(_T_6049, _T_6052) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6054 = or(_T_6053, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6055 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6056 = and(_T_6054, _T_6055) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6057 = bits(_T_6056, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6058 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6057 : @[Reg.scala 28:19] _T_6058 <= _T_6046 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][14] <= _T_6058 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6059 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6060 = eq(_T_6059, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6061 = and(ic_valid_ff, _T_6060) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6062 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6063 = and(_T_6061, _T_6062) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6064 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6065 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6066 = and(_T_6064, _T_6065) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6067 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6068 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6069 = and(_T_6067, _T_6068) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6070 = or(_T_6066, _T_6069) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6071 = or(_T_6070, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6072 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6073 = and(_T_6071, _T_6072) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6074 = bits(_T_6073, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][14] <= _T_6058 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6059 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6060 = eq(_T_6059, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6061 = and(ic_valid_ff, _T_6060) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6062 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6063 = and(_T_6061, _T_6062) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6064 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6065 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6066 = and(_T_6064, _T_6065) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6067 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6068 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6069 = and(_T_6067, _T_6068) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6070 = or(_T_6066, _T_6069) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6071 = or(_T_6070, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6072 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6073 = and(_T_6071, _T_6072) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6074 = bits(_T_6073, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6075 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6074 : @[Reg.scala 28:19] _T_6075 <= _T_6063 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][15] <= _T_6075 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6076 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6077 = eq(_T_6076, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6078 = and(ic_valid_ff, _T_6077) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6079 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6080 = and(_T_6078, _T_6079) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6081 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6082 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6083 = and(_T_6081, _T_6082) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6084 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6085 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6086 = and(_T_6084, _T_6085) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6087 = or(_T_6083, _T_6086) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6088 = or(_T_6087, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6089 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6090 = and(_T_6088, _T_6089) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6091 = bits(_T_6090, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][15] <= _T_6075 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6076 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6077 = eq(_T_6076, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6078 = and(ic_valid_ff, _T_6077) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6079 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6080 = and(_T_6078, _T_6079) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6081 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6082 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6083 = and(_T_6081, _T_6082) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6084 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6085 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6086 = and(_T_6084, _T_6085) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6087 = or(_T_6083, _T_6086) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6088 = or(_T_6087, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6089 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6090 = and(_T_6088, _T_6089) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6091 = bits(_T_6090, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6092 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6091 : @[Reg.scala 28:19] _T_6092 <= _T_6080 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][16] <= _T_6092 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6093 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6094 = eq(_T_6093, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6095 = and(ic_valid_ff, _T_6094) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6096 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6097 = and(_T_6095, _T_6096) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6098 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6099 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6100 = and(_T_6098, _T_6099) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6101 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6102 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6103 = and(_T_6101, _T_6102) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6104 = or(_T_6100, _T_6103) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6105 = or(_T_6104, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6106 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6107 = and(_T_6105, _T_6106) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6108 = bits(_T_6107, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][16] <= _T_6092 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6093 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6094 = eq(_T_6093, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6095 = and(ic_valid_ff, _T_6094) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6096 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6097 = and(_T_6095, _T_6096) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6098 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6099 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6100 = and(_T_6098, _T_6099) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6101 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6102 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6103 = and(_T_6101, _T_6102) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6104 = or(_T_6100, _T_6103) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6105 = or(_T_6104, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6106 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6107 = and(_T_6105, _T_6106) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6108 = bits(_T_6107, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6109 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6108 : @[Reg.scala 28:19] _T_6109 <= _T_6097 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][17] <= _T_6109 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6110 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6111 = eq(_T_6110, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6112 = and(ic_valid_ff, _T_6111) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6113 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6114 = and(_T_6112, _T_6113) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6115 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6116 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6117 = and(_T_6115, _T_6116) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6118 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6119 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6120 = and(_T_6118, _T_6119) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6121 = or(_T_6117, _T_6120) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6122 = or(_T_6121, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6123 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6124 = and(_T_6122, _T_6123) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6125 = bits(_T_6124, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][17] <= _T_6109 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6110 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6111 = eq(_T_6110, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6112 = and(ic_valid_ff, _T_6111) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6113 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6114 = and(_T_6112, _T_6113) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6115 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6116 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6117 = and(_T_6115, _T_6116) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6118 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6119 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6120 = and(_T_6118, _T_6119) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6121 = or(_T_6117, _T_6120) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6122 = or(_T_6121, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6123 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6124 = and(_T_6122, _T_6123) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6125 = bits(_T_6124, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6126 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6125 : @[Reg.scala 28:19] _T_6126 <= _T_6114 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][18] <= _T_6126 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6127 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6128 = eq(_T_6127, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6129 = and(ic_valid_ff, _T_6128) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6130 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6131 = and(_T_6129, _T_6130) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6132 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6133 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6134 = and(_T_6132, _T_6133) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6135 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6136 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6137 = and(_T_6135, _T_6136) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6138 = or(_T_6134, _T_6137) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6139 = or(_T_6138, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6140 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6141 = and(_T_6139, _T_6140) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6142 = bits(_T_6141, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][18] <= _T_6126 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6127 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6128 = eq(_T_6127, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6129 = and(ic_valid_ff, _T_6128) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6130 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6131 = and(_T_6129, _T_6130) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6132 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6133 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6134 = and(_T_6132, _T_6133) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6135 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6136 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6137 = and(_T_6135, _T_6136) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6138 = or(_T_6134, _T_6137) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6139 = or(_T_6138, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6140 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6141 = and(_T_6139, _T_6140) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6142 = bits(_T_6141, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6143 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6142 : @[Reg.scala 28:19] _T_6143 <= _T_6131 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][19] <= _T_6143 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6144 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6145 = eq(_T_6144, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6146 = and(ic_valid_ff, _T_6145) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6147 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6148 = and(_T_6146, _T_6147) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6149 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6150 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6151 = and(_T_6149, _T_6150) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6152 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6153 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6154 = and(_T_6152, _T_6153) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6155 = or(_T_6151, _T_6154) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6156 = or(_T_6155, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6157 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6158 = and(_T_6156, _T_6157) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6159 = bits(_T_6158, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][19] <= _T_6143 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6144 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6145 = eq(_T_6144, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6146 = and(ic_valid_ff, _T_6145) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6147 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6148 = and(_T_6146, _T_6147) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6149 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6150 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6151 = and(_T_6149, _T_6150) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6152 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6153 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6154 = and(_T_6152, _T_6153) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6155 = or(_T_6151, _T_6154) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6156 = or(_T_6155, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6157 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6158 = and(_T_6156, _T_6157) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6159 = bits(_T_6158, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6160 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6159 : @[Reg.scala 28:19] _T_6160 <= _T_6148 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][20] <= _T_6160 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6161 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6162 = eq(_T_6161, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6163 = and(ic_valid_ff, _T_6162) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6164 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6165 = and(_T_6163, _T_6164) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6166 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6167 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6168 = and(_T_6166, _T_6167) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6169 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6170 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6171 = and(_T_6169, _T_6170) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6172 = or(_T_6168, _T_6171) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6173 = or(_T_6172, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6174 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6175 = and(_T_6173, _T_6174) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6176 = bits(_T_6175, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][20] <= _T_6160 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6161 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6162 = eq(_T_6161, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6163 = and(ic_valid_ff, _T_6162) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6164 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6165 = and(_T_6163, _T_6164) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6166 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6167 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6168 = and(_T_6166, _T_6167) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6169 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6170 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6171 = and(_T_6169, _T_6170) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6172 = or(_T_6168, _T_6171) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6173 = or(_T_6172, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6174 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6175 = and(_T_6173, _T_6174) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6176 = bits(_T_6175, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6177 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6176 : @[Reg.scala 28:19] _T_6177 <= _T_6165 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][21] <= _T_6177 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6178 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6179 = eq(_T_6178, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6180 = and(ic_valid_ff, _T_6179) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6181 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6182 = and(_T_6180, _T_6181) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6183 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6184 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6185 = and(_T_6183, _T_6184) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6186 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6187 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6188 = and(_T_6186, _T_6187) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6189 = or(_T_6185, _T_6188) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6190 = or(_T_6189, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6191 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6192 = and(_T_6190, _T_6191) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6193 = bits(_T_6192, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][21] <= _T_6177 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6178 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6179 = eq(_T_6178, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6180 = and(ic_valid_ff, _T_6179) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6181 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6182 = and(_T_6180, _T_6181) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6183 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6184 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6185 = and(_T_6183, _T_6184) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6186 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6187 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6188 = and(_T_6186, _T_6187) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6189 = or(_T_6185, _T_6188) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6190 = or(_T_6189, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6191 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6192 = and(_T_6190, _T_6191) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6193 = bits(_T_6192, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6194 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6193 : @[Reg.scala 28:19] _T_6194 <= _T_6182 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][22] <= _T_6194 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6195 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6196 = eq(_T_6195, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6197 = and(ic_valid_ff, _T_6196) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6198 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6199 = and(_T_6197, _T_6198) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6200 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6201 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6202 = and(_T_6200, _T_6201) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6203 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6204 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6205 = and(_T_6203, _T_6204) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6206 = or(_T_6202, _T_6205) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6207 = or(_T_6206, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6208 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6209 = and(_T_6207, _T_6208) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6210 = bits(_T_6209, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][22] <= _T_6194 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6195 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6196 = eq(_T_6195, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6197 = and(ic_valid_ff, _T_6196) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6198 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6199 = and(_T_6197, _T_6198) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6200 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6201 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6202 = and(_T_6200, _T_6201) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6203 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6204 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6205 = and(_T_6203, _T_6204) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6206 = or(_T_6202, _T_6205) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6207 = or(_T_6206, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6208 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6209 = and(_T_6207, _T_6208) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6210 = bits(_T_6209, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6211 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6210 : @[Reg.scala 28:19] _T_6211 <= _T_6199 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][23] <= _T_6211 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6212 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6213 = eq(_T_6212, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6214 = and(ic_valid_ff, _T_6213) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6215 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6216 = and(_T_6214, _T_6215) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6217 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6218 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6219 = and(_T_6217, _T_6218) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6220 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6221 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6222 = and(_T_6220, _T_6221) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6223 = or(_T_6219, _T_6222) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6224 = or(_T_6223, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6225 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6226 = and(_T_6224, _T_6225) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6227 = bits(_T_6226, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][23] <= _T_6211 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6212 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6213 = eq(_T_6212, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6214 = and(ic_valid_ff, _T_6213) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6215 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6216 = and(_T_6214, _T_6215) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6217 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6218 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6219 = and(_T_6217, _T_6218) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6220 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6221 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6222 = and(_T_6220, _T_6221) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6223 = or(_T_6219, _T_6222) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6224 = or(_T_6223, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6225 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6226 = and(_T_6224, _T_6225) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6227 = bits(_T_6226, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6228 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6227 : @[Reg.scala 28:19] _T_6228 <= _T_6216 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][24] <= _T_6228 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6229 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6230 = eq(_T_6229, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6231 = and(ic_valid_ff, _T_6230) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6232 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6233 = and(_T_6231, _T_6232) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6234 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6235 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6236 = and(_T_6234, _T_6235) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6237 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6238 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6239 = and(_T_6237, _T_6238) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6240 = or(_T_6236, _T_6239) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6241 = or(_T_6240, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6242 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6243 = and(_T_6241, _T_6242) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6244 = bits(_T_6243, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][24] <= _T_6228 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6229 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6230 = eq(_T_6229, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6231 = and(ic_valid_ff, _T_6230) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6232 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6233 = and(_T_6231, _T_6232) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6234 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6235 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6236 = and(_T_6234, _T_6235) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6237 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6238 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6239 = and(_T_6237, _T_6238) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6240 = or(_T_6236, _T_6239) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6241 = or(_T_6240, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6242 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6243 = and(_T_6241, _T_6242) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6244 = bits(_T_6243, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6245 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6244 : @[Reg.scala 28:19] _T_6245 <= _T_6233 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][25] <= _T_6245 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6246 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6247 = eq(_T_6246, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6248 = and(ic_valid_ff, _T_6247) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6249 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6250 = and(_T_6248, _T_6249) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6251 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6252 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6253 = and(_T_6251, _T_6252) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6254 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6255 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6256 = and(_T_6254, _T_6255) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6257 = or(_T_6253, _T_6256) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6258 = or(_T_6257, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6259 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6260 = and(_T_6258, _T_6259) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6261 = bits(_T_6260, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][25] <= _T_6245 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6246 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6247 = eq(_T_6246, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6248 = and(ic_valid_ff, _T_6247) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6249 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6250 = and(_T_6248, _T_6249) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6251 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6252 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6253 = and(_T_6251, _T_6252) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6254 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6255 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6256 = and(_T_6254, _T_6255) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6257 = or(_T_6253, _T_6256) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6258 = or(_T_6257, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6259 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6260 = and(_T_6258, _T_6259) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6261 = bits(_T_6260, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6262 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6261 : @[Reg.scala 28:19] _T_6262 <= _T_6250 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][26] <= _T_6262 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6263 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6264 = eq(_T_6263, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6265 = and(ic_valid_ff, _T_6264) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6266 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6267 = and(_T_6265, _T_6266) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6268 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6269 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6270 = and(_T_6268, _T_6269) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6271 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6272 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6273 = and(_T_6271, _T_6272) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6274 = or(_T_6270, _T_6273) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6275 = or(_T_6274, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6276 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6277 = and(_T_6275, _T_6276) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6278 = bits(_T_6277, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][26] <= _T_6262 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6263 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6264 = eq(_T_6263, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6265 = and(ic_valid_ff, _T_6264) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6266 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6267 = and(_T_6265, _T_6266) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6268 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6269 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6270 = and(_T_6268, _T_6269) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6271 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6272 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6273 = and(_T_6271, _T_6272) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6274 = or(_T_6270, _T_6273) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6275 = or(_T_6274, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6276 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6277 = and(_T_6275, _T_6276) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6278 = bits(_T_6277, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6279 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6278 : @[Reg.scala 28:19] _T_6279 <= _T_6267 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][27] <= _T_6279 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6280 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6281 = eq(_T_6280, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6282 = and(ic_valid_ff, _T_6281) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6283 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6284 = and(_T_6282, _T_6283) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6285 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6286 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6287 = and(_T_6285, _T_6286) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6288 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6289 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6290 = and(_T_6288, _T_6289) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6291 = or(_T_6287, _T_6290) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6292 = or(_T_6291, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6293 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6294 = and(_T_6292, _T_6293) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6295 = bits(_T_6294, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][27] <= _T_6279 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6280 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6281 = eq(_T_6280, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6282 = and(ic_valid_ff, _T_6281) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6283 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6284 = and(_T_6282, _T_6283) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6285 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6286 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6287 = and(_T_6285, _T_6286) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6288 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6289 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6290 = and(_T_6288, _T_6289) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6291 = or(_T_6287, _T_6290) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6292 = or(_T_6291, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6293 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6294 = and(_T_6292, _T_6293) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6295 = bits(_T_6294, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6296 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6295 : @[Reg.scala 28:19] _T_6296 <= _T_6284 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][28] <= _T_6296 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6297 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6298 = eq(_T_6297, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6299 = and(ic_valid_ff, _T_6298) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6300 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6301 = and(_T_6299, _T_6300) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6302 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6303 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6304 = and(_T_6302, _T_6303) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6305 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6306 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6307 = and(_T_6305, _T_6306) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6308 = or(_T_6304, _T_6307) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6309 = or(_T_6308, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6310 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6311 = and(_T_6309, _T_6310) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6312 = bits(_T_6311, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][28] <= _T_6296 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6297 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6298 = eq(_T_6297, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6299 = and(ic_valid_ff, _T_6298) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6300 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6301 = and(_T_6299, _T_6300) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6302 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6303 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6304 = and(_T_6302, _T_6303) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6305 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6306 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6307 = and(_T_6305, _T_6306) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6308 = or(_T_6304, _T_6307) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6309 = or(_T_6308, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6310 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6311 = and(_T_6309, _T_6310) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6312 = bits(_T_6311, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6313 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6312 : @[Reg.scala 28:19] _T_6313 <= _T_6301 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][29] <= _T_6313 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6314 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6315 = eq(_T_6314, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6316 = and(ic_valid_ff, _T_6315) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6317 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6318 = and(_T_6316, _T_6317) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6319 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6320 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6321 = and(_T_6319, _T_6320) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6322 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6323 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6324 = and(_T_6322, _T_6323) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6325 = or(_T_6321, _T_6324) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6326 = or(_T_6325, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6327 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6328 = and(_T_6326, _T_6327) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6329 = bits(_T_6328, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][29] <= _T_6313 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6314 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6315 = eq(_T_6314, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6316 = and(ic_valid_ff, _T_6315) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6317 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6318 = and(_T_6316, _T_6317) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6319 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6320 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6321 = and(_T_6319, _T_6320) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6322 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6323 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6324 = and(_T_6322, _T_6323) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6325 = or(_T_6321, _T_6324) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6326 = or(_T_6325, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6327 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6328 = and(_T_6326, _T_6327) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6329 = bits(_T_6328, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6330 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6329 : @[Reg.scala 28:19] _T_6330 <= _T_6318 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][30] <= _T_6330 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6331 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6332 = eq(_T_6331, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6333 = and(ic_valid_ff, _T_6332) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6334 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6335 = and(_T_6333, _T_6334) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6336 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6337 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6338 = and(_T_6336, _T_6337) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6339 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6340 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6341 = and(_T_6339, _T_6340) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6342 = or(_T_6338, _T_6341) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6343 = or(_T_6342, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6344 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6345 = and(_T_6343, _T_6344) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6346 = bits(_T_6345, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][30] <= _T_6330 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6331 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6332 = eq(_T_6331, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6333 = and(ic_valid_ff, _T_6332) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6334 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6335 = and(_T_6333, _T_6334) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6336 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6337 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6338 = and(_T_6336, _T_6337) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6339 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6340 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6341 = and(_T_6339, _T_6340) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6342 = or(_T_6338, _T_6341) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6343 = or(_T_6342, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6344 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6345 = and(_T_6343, _T_6344) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6346 = bits(_T_6345, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6347 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6346 : @[Reg.scala 28:19] _T_6347 <= _T_6335 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][31] <= _T_6347 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6348 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6349 = eq(_T_6348, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6350 = and(ic_valid_ff, _T_6349) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6351 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6352 = and(_T_6350, _T_6351) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6353 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6354 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6355 = and(_T_6353, _T_6354) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6356 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6357 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6358 = and(_T_6356, _T_6357) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6359 = or(_T_6355, _T_6358) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6360 = or(_T_6359, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6361 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6362 = and(_T_6360, _T_6361) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6363 = bits(_T_6362, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][31] <= _T_6347 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6348 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6349 = eq(_T_6348, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6350 = and(ic_valid_ff, _T_6349) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6351 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6352 = and(_T_6350, _T_6351) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6353 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6354 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6355 = and(_T_6353, _T_6354) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6356 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6357 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6358 = and(_T_6356, _T_6357) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6359 = or(_T_6355, _T_6358) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6360 = or(_T_6359, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6361 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6362 = and(_T_6360, _T_6361) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6363 = bits(_T_6362, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6364 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6363 : @[Reg.scala 28:19] _T_6364 <= _T_6352 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][32] <= _T_6364 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6365 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6366 = eq(_T_6365, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6367 = and(ic_valid_ff, _T_6366) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6368 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6369 = and(_T_6367, _T_6368) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6370 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6371 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6372 = and(_T_6370, _T_6371) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6373 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6374 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6375 = and(_T_6373, _T_6374) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6376 = or(_T_6372, _T_6375) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6377 = or(_T_6376, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6378 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6379 = and(_T_6377, _T_6378) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6380 = bits(_T_6379, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][32] <= _T_6364 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6365 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6366 = eq(_T_6365, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6367 = and(ic_valid_ff, _T_6366) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6368 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6369 = and(_T_6367, _T_6368) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6370 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6371 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6372 = and(_T_6370, _T_6371) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6373 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6374 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6375 = and(_T_6373, _T_6374) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6376 = or(_T_6372, _T_6375) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6377 = or(_T_6376, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6378 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6379 = and(_T_6377, _T_6378) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6380 = bits(_T_6379, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6381 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6380 : @[Reg.scala 28:19] _T_6381 <= _T_6369 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][33] <= _T_6381 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6382 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6383 = eq(_T_6382, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6384 = and(ic_valid_ff, _T_6383) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6385 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6386 = and(_T_6384, _T_6385) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6387 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6388 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6389 = and(_T_6387, _T_6388) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6390 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6391 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6392 = and(_T_6390, _T_6391) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6393 = or(_T_6389, _T_6392) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6394 = or(_T_6393, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6395 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6396 = and(_T_6394, _T_6395) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6397 = bits(_T_6396, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][33] <= _T_6381 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6382 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6383 = eq(_T_6382, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6384 = and(ic_valid_ff, _T_6383) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6385 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6386 = and(_T_6384, _T_6385) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6387 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6388 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6389 = and(_T_6387, _T_6388) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6390 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6391 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6392 = and(_T_6390, _T_6391) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6393 = or(_T_6389, _T_6392) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6394 = or(_T_6393, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6395 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6396 = and(_T_6394, _T_6395) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6397 = bits(_T_6396, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6398 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6397 : @[Reg.scala 28:19] _T_6398 <= _T_6386 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][34] <= _T_6398 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6399 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6400 = eq(_T_6399, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6401 = and(ic_valid_ff, _T_6400) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6402 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6403 = and(_T_6401, _T_6402) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6404 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6405 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6406 = and(_T_6404, _T_6405) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6407 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6408 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6409 = and(_T_6407, _T_6408) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6410 = or(_T_6406, _T_6409) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6411 = or(_T_6410, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6412 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6413 = and(_T_6411, _T_6412) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6414 = bits(_T_6413, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][34] <= _T_6398 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6399 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6400 = eq(_T_6399, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6401 = and(ic_valid_ff, _T_6400) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6402 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6403 = and(_T_6401, _T_6402) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6404 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6405 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6406 = and(_T_6404, _T_6405) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6407 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6408 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6409 = and(_T_6407, _T_6408) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6410 = or(_T_6406, _T_6409) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6411 = or(_T_6410, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6412 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6413 = and(_T_6411, _T_6412) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6414 = bits(_T_6413, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6415 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6414 : @[Reg.scala 28:19] _T_6415 <= _T_6403 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][35] <= _T_6415 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6416 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6417 = eq(_T_6416, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6418 = and(ic_valid_ff, _T_6417) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6419 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6420 = and(_T_6418, _T_6419) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6421 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6422 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6423 = and(_T_6421, _T_6422) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6424 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6425 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6426 = and(_T_6424, _T_6425) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6427 = or(_T_6423, _T_6426) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6428 = or(_T_6427, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6429 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6430 = and(_T_6428, _T_6429) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6431 = bits(_T_6430, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][35] <= _T_6415 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6416 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6417 = eq(_T_6416, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6418 = and(ic_valid_ff, _T_6417) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6419 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6420 = and(_T_6418, _T_6419) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6421 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6422 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6423 = and(_T_6421, _T_6422) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6424 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6425 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6426 = and(_T_6424, _T_6425) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6427 = or(_T_6423, _T_6426) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6428 = or(_T_6427, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6429 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6430 = and(_T_6428, _T_6429) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6431 = bits(_T_6430, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6432 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6431 : @[Reg.scala 28:19] _T_6432 <= _T_6420 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][36] <= _T_6432 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6433 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6434 = eq(_T_6433, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6435 = and(ic_valid_ff, _T_6434) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6436 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6437 = and(_T_6435, _T_6436) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6438 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6439 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6440 = and(_T_6438, _T_6439) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6441 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6442 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6443 = and(_T_6441, _T_6442) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6444 = or(_T_6440, _T_6443) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6445 = or(_T_6444, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6446 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6447 = and(_T_6445, _T_6446) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6448 = bits(_T_6447, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][36] <= _T_6432 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6433 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6434 = eq(_T_6433, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6435 = and(ic_valid_ff, _T_6434) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6436 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6437 = and(_T_6435, _T_6436) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6438 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6439 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6440 = and(_T_6438, _T_6439) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6441 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6442 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6443 = and(_T_6441, _T_6442) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6444 = or(_T_6440, _T_6443) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6445 = or(_T_6444, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6446 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6447 = and(_T_6445, _T_6446) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6448 = bits(_T_6447, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6449 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6448 : @[Reg.scala 28:19] _T_6449 <= _T_6437 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][37] <= _T_6449 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6450 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6451 = eq(_T_6450, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6452 = and(ic_valid_ff, _T_6451) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6453 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6454 = and(_T_6452, _T_6453) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6455 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6456 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6457 = and(_T_6455, _T_6456) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6458 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6459 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6460 = and(_T_6458, _T_6459) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6461 = or(_T_6457, _T_6460) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6462 = or(_T_6461, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6463 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6464 = and(_T_6462, _T_6463) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6465 = bits(_T_6464, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][37] <= _T_6449 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6450 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6451 = eq(_T_6450, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6452 = and(ic_valid_ff, _T_6451) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6453 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6454 = and(_T_6452, _T_6453) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6455 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6456 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6457 = and(_T_6455, _T_6456) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6458 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6459 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6460 = and(_T_6458, _T_6459) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6461 = or(_T_6457, _T_6460) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6462 = or(_T_6461, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6463 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6464 = and(_T_6462, _T_6463) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6465 = bits(_T_6464, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6466 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6465 : @[Reg.scala 28:19] _T_6466 <= _T_6454 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][38] <= _T_6466 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6467 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6468 = eq(_T_6467, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6469 = and(ic_valid_ff, _T_6468) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6470 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6471 = and(_T_6469, _T_6470) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6472 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6473 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6474 = and(_T_6472, _T_6473) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6475 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6476 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6477 = and(_T_6475, _T_6476) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6478 = or(_T_6474, _T_6477) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6479 = or(_T_6478, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6480 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6481 = and(_T_6479, _T_6480) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6482 = bits(_T_6481, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][38] <= _T_6466 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6467 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6468 = eq(_T_6467, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6469 = and(ic_valid_ff, _T_6468) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6470 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6471 = and(_T_6469, _T_6470) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6472 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6473 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6474 = and(_T_6472, _T_6473) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6475 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6476 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6477 = and(_T_6475, _T_6476) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6478 = or(_T_6474, _T_6477) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6479 = or(_T_6478, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6480 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6481 = and(_T_6479, _T_6480) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6482 = bits(_T_6481, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6483 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6482 : @[Reg.scala 28:19] _T_6483 <= _T_6471 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][39] <= _T_6483 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6484 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6485 = eq(_T_6484, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6486 = and(ic_valid_ff, _T_6485) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6487 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6488 = and(_T_6486, _T_6487) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6489 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6490 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6491 = and(_T_6489, _T_6490) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6492 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6493 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6494 = and(_T_6492, _T_6493) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6495 = or(_T_6491, _T_6494) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6496 = or(_T_6495, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6497 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6498 = and(_T_6496, _T_6497) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6499 = bits(_T_6498, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][39] <= _T_6483 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6484 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6485 = eq(_T_6484, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6486 = and(ic_valid_ff, _T_6485) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6487 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6488 = and(_T_6486, _T_6487) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6489 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6490 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6491 = and(_T_6489, _T_6490) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6492 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6493 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6494 = and(_T_6492, _T_6493) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6495 = or(_T_6491, _T_6494) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6496 = or(_T_6495, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6497 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6498 = and(_T_6496, _T_6497) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6499 = bits(_T_6498, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6500 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6499 : @[Reg.scala 28:19] _T_6500 <= _T_6488 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][40] <= _T_6500 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6501 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6502 = eq(_T_6501, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6503 = and(ic_valid_ff, _T_6502) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6504 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6505 = and(_T_6503, _T_6504) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6506 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6507 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6508 = and(_T_6506, _T_6507) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6509 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6510 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6511 = and(_T_6509, _T_6510) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6512 = or(_T_6508, _T_6511) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6513 = or(_T_6512, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6514 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6515 = and(_T_6513, _T_6514) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6516 = bits(_T_6515, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][40] <= _T_6500 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6501 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6502 = eq(_T_6501, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6503 = and(ic_valid_ff, _T_6502) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6504 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6505 = and(_T_6503, _T_6504) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6506 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6507 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6508 = and(_T_6506, _T_6507) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6509 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6510 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6511 = and(_T_6509, _T_6510) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6512 = or(_T_6508, _T_6511) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6513 = or(_T_6512, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6514 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6515 = and(_T_6513, _T_6514) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6516 = bits(_T_6515, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6517 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6516 : @[Reg.scala 28:19] _T_6517 <= _T_6505 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][41] <= _T_6517 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6518 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6519 = eq(_T_6518, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6520 = and(ic_valid_ff, _T_6519) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6521 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6522 = and(_T_6520, _T_6521) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6523 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6524 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6525 = and(_T_6523, _T_6524) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6526 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6527 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6528 = and(_T_6526, _T_6527) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6529 = or(_T_6525, _T_6528) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6530 = or(_T_6529, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6531 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6532 = and(_T_6530, _T_6531) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6533 = bits(_T_6532, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][41] <= _T_6517 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6518 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6519 = eq(_T_6518, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6520 = and(ic_valid_ff, _T_6519) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6521 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6522 = and(_T_6520, _T_6521) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6523 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6524 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6525 = and(_T_6523, _T_6524) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6526 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6527 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6528 = and(_T_6526, _T_6527) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6529 = or(_T_6525, _T_6528) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6530 = or(_T_6529, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6531 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6532 = and(_T_6530, _T_6531) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6533 = bits(_T_6532, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6534 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6533 : @[Reg.scala 28:19] _T_6534 <= _T_6522 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][42] <= _T_6534 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6535 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6536 = eq(_T_6535, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6537 = and(ic_valid_ff, _T_6536) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6538 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6539 = and(_T_6537, _T_6538) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6540 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6541 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6542 = and(_T_6540, _T_6541) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6543 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6544 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6545 = and(_T_6543, _T_6544) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6546 = or(_T_6542, _T_6545) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6547 = or(_T_6546, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6548 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6549 = and(_T_6547, _T_6548) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6550 = bits(_T_6549, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][42] <= _T_6534 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6535 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6536 = eq(_T_6535, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6537 = and(ic_valid_ff, _T_6536) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6538 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6539 = and(_T_6537, _T_6538) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6540 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6541 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6542 = and(_T_6540, _T_6541) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6543 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6544 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6545 = and(_T_6543, _T_6544) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6546 = or(_T_6542, _T_6545) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6547 = or(_T_6546, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6548 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6549 = and(_T_6547, _T_6548) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6550 = bits(_T_6549, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6551 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6550 : @[Reg.scala 28:19] _T_6551 <= _T_6539 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][43] <= _T_6551 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6552 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6553 = eq(_T_6552, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6554 = and(ic_valid_ff, _T_6553) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6555 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6556 = and(_T_6554, _T_6555) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6557 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6558 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6559 = and(_T_6557, _T_6558) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6560 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6561 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6562 = and(_T_6560, _T_6561) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6563 = or(_T_6559, _T_6562) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6564 = or(_T_6563, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6565 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6566 = and(_T_6564, _T_6565) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6567 = bits(_T_6566, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][43] <= _T_6551 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6552 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6553 = eq(_T_6552, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6554 = and(ic_valid_ff, _T_6553) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6555 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6556 = and(_T_6554, _T_6555) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6557 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6558 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6559 = and(_T_6557, _T_6558) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6560 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6561 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6562 = and(_T_6560, _T_6561) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6563 = or(_T_6559, _T_6562) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6564 = or(_T_6563, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6565 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6566 = and(_T_6564, _T_6565) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6567 = bits(_T_6566, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6568 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6567 : @[Reg.scala 28:19] _T_6568 <= _T_6556 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][44] <= _T_6568 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6569 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6570 = eq(_T_6569, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6571 = and(ic_valid_ff, _T_6570) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6572 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6573 = and(_T_6571, _T_6572) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6574 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6575 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6576 = and(_T_6574, _T_6575) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6577 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6578 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6579 = and(_T_6577, _T_6578) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6580 = or(_T_6576, _T_6579) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6581 = or(_T_6580, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6582 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6583 = and(_T_6581, _T_6582) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6584 = bits(_T_6583, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][44] <= _T_6568 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6569 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6570 = eq(_T_6569, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6571 = and(ic_valid_ff, _T_6570) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6572 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6573 = and(_T_6571, _T_6572) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6574 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6575 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6576 = and(_T_6574, _T_6575) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6577 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6578 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6579 = and(_T_6577, _T_6578) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6580 = or(_T_6576, _T_6579) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6581 = or(_T_6580, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6582 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6583 = and(_T_6581, _T_6582) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6584 = bits(_T_6583, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6585 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6584 : @[Reg.scala 28:19] _T_6585 <= _T_6573 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][45] <= _T_6585 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6586 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6587 = eq(_T_6586, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6588 = and(ic_valid_ff, _T_6587) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6589 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6590 = and(_T_6588, _T_6589) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6591 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6592 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6593 = and(_T_6591, _T_6592) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6594 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6595 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6596 = and(_T_6594, _T_6595) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6597 = or(_T_6593, _T_6596) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6598 = or(_T_6597, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6599 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6600 = and(_T_6598, _T_6599) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6601 = bits(_T_6600, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][45] <= _T_6585 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6586 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6587 = eq(_T_6586, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6588 = and(ic_valid_ff, _T_6587) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6589 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6590 = and(_T_6588, _T_6589) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6591 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6592 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6593 = and(_T_6591, _T_6592) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6594 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6595 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6596 = and(_T_6594, _T_6595) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6597 = or(_T_6593, _T_6596) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6598 = or(_T_6597, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6599 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6600 = and(_T_6598, _T_6599) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6601 = bits(_T_6600, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6602 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6601 : @[Reg.scala 28:19] _T_6602 <= _T_6590 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][46] <= _T_6602 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6603 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6604 = eq(_T_6603, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6605 = and(ic_valid_ff, _T_6604) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6606 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6607 = and(_T_6605, _T_6606) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6608 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6609 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6610 = and(_T_6608, _T_6609) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6611 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6612 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6613 = and(_T_6611, _T_6612) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6614 = or(_T_6610, _T_6613) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6615 = or(_T_6614, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6616 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6617 = and(_T_6615, _T_6616) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6618 = bits(_T_6617, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][46] <= _T_6602 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6603 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6604 = eq(_T_6603, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6605 = and(ic_valid_ff, _T_6604) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6606 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6607 = and(_T_6605, _T_6606) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6608 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6609 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6610 = and(_T_6608, _T_6609) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6611 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6612 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6613 = and(_T_6611, _T_6612) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6614 = or(_T_6610, _T_6613) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6615 = or(_T_6614, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6616 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6617 = and(_T_6615, _T_6616) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6618 = bits(_T_6617, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6619 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6618 : @[Reg.scala 28:19] _T_6619 <= _T_6607 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][47] <= _T_6619 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6620 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6621 = eq(_T_6620, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6622 = and(ic_valid_ff, _T_6621) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6623 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6624 = and(_T_6622, _T_6623) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6625 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6626 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6627 = and(_T_6625, _T_6626) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6628 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6629 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6630 = and(_T_6628, _T_6629) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6631 = or(_T_6627, _T_6630) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6632 = or(_T_6631, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6633 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6634 = and(_T_6632, _T_6633) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6635 = bits(_T_6634, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][47] <= _T_6619 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6620 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6621 = eq(_T_6620, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6622 = and(ic_valid_ff, _T_6621) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6623 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6624 = and(_T_6622, _T_6623) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6625 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6626 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6627 = and(_T_6625, _T_6626) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6628 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6629 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6630 = and(_T_6628, _T_6629) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6631 = or(_T_6627, _T_6630) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6632 = or(_T_6631, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6633 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6634 = and(_T_6632, _T_6633) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6635 = bits(_T_6634, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6636 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6635 : @[Reg.scala 28:19] _T_6636 <= _T_6624 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][48] <= _T_6636 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6637 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6638 = eq(_T_6637, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6639 = and(ic_valid_ff, _T_6638) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6640 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6641 = and(_T_6639, _T_6640) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6642 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6643 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6644 = and(_T_6642, _T_6643) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6645 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6646 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6647 = and(_T_6645, _T_6646) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6648 = or(_T_6644, _T_6647) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6649 = or(_T_6648, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6650 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6651 = and(_T_6649, _T_6650) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6652 = bits(_T_6651, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][48] <= _T_6636 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6637 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6638 = eq(_T_6637, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6639 = and(ic_valid_ff, _T_6638) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6640 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6641 = and(_T_6639, _T_6640) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6642 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6643 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6644 = and(_T_6642, _T_6643) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6645 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6646 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6647 = and(_T_6645, _T_6646) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6648 = or(_T_6644, _T_6647) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6649 = or(_T_6648, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6650 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6651 = and(_T_6649, _T_6650) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6652 = bits(_T_6651, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6653 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6652 : @[Reg.scala 28:19] _T_6653 <= _T_6641 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][49] <= _T_6653 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6654 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6655 = eq(_T_6654, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6656 = and(ic_valid_ff, _T_6655) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6657 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6658 = and(_T_6656, _T_6657) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6659 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6660 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6661 = and(_T_6659, _T_6660) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6662 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6663 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6664 = and(_T_6662, _T_6663) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6665 = or(_T_6661, _T_6664) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6666 = or(_T_6665, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6667 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6668 = and(_T_6666, _T_6667) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6669 = bits(_T_6668, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][49] <= _T_6653 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6654 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6655 = eq(_T_6654, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6656 = and(ic_valid_ff, _T_6655) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6657 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6658 = and(_T_6656, _T_6657) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6659 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6660 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6661 = and(_T_6659, _T_6660) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6662 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6663 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6664 = and(_T_6662, _T_6663) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6665 = or(_T_6661, _T_6664) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6666 = or(_T_6665, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6667 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6668 = and(_T_6666, _T_6667) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6669 = bits(_T_6668, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6670 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6669 : @[Reg.scala 28:19] _T_6670 <= _T_6658 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][50] <= _T_6670 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6671 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6672 = eq(_T_6671, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6673 = and(ic_valid_ff, _T_6672) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6674 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6675 = and(_T_6673, _T_6674) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6676 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6677 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6678 = and(_T_6676, _T_6677) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6679 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6680 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6681 = and(_T_6679, _T_6680) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6682 = or(_T_6678, _T_6681) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6683 = or(_T_6682, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6684 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6685 = and(_T_6683, _T_6684) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6686 = bits(_T_6685, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][50] <= _T_6670 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6671 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6672 = eq(_T_6671, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6673 = and(ic_valid_ff, _T_6672) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6674 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6675 = and(_T_6673, _T_6674) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6676 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6677 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6678 = and(_T_6676, _T_6677) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6679 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6680 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6681 = and(_T_6679, _T_6680) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6682 = or(_T_6678, _T_6681) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6683 = or(_T_6682, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6684 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6685 = and(_T_6683, _T_6684) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6686 = bits(_T_6685, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6687 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6686 : @[Reg.scala 28:19] _T_6687 <= _T_6675 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][51] <= _T_6687 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6688 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6689 = eq(_T_6688, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6690 = and(ic_valid_ff, _T_6689) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6691 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6692 = and(_T_6690, _T_6691) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6693 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6694 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6695 = and(_T_6693, _T_6694) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6696 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6697 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6698 = and(_T_6696, _T_6697) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6699 = or(_T_6695, _T_6698) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6700 = or(_T_6699, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6701 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6702 = and(_T_6700, _T_6701) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6703 = bits(_T_6702, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][51] <= _T_6687 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6688 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6689 = eq(_T_6688, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6690 = and(ic_valid_ff, _T_6689) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6691 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6692 = and(_T_6690, _T_6691) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6693 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6694 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6695 = and(_T_6693, _T_6694) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6696 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6697 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6698 = and(_T_6696, _T_6697) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6699 = or(_T_6695, _T_6698) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6700 = or(_T_6699, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6701 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6702 = and(_T_6700, _T_6701) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6703 = bits(_T_6702, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6704 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6703 : @[Reg.scala 28:19] _T_6704 <= _T_6692 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][52] <= _T_6704 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6705 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6706 = eq(_T_6705, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6707 = and(ic_valid_ff, _T_6706) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6708 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6709 = and(_T_6707, _T_6708) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6710 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6711 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6712 = and(_T_6710, _T_6711) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6713 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6714 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6715 = and(_T_6713, _T_6714) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6716 = or(_T_6712, _T_6715) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6717 = or(_T_6716, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6718 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6719 = and(_T_6717, _T_6718) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6720 = bits(_T_6719, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][52] <= _T_6704 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6705 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6706 = eq(_T_6705, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6707 = and(ic_valid_ff, _T_6706) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6708 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6709 = and(_T_6707, _T_6708) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6710 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6711 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6712 = and(_T_6710, _T_6711) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6713 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6714 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6715 = and(_T_6713, _T_6714) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6716 = or(_T_6712, _T_6715) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6717 = or(_T_6716, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6718 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6719 = and(_T_6717, _T_6718) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6720 = bits(_T_6719, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6721 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6720 : @[Reg.scala 28:19] _T_6721 <= _T_6709 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][53] <= _T_6721 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6722 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6723 = eq(_T_6722, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6724 = and(ic_valid_ff, _T_6723) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6725 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6726 = and(_T_6724, _T_6725) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6727 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6728 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6729 = and(_T_6727, _T_6728) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6730 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6731 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6732 = and(_T_6730, _T_6731) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6733 = or(_T_6729, _T_6732) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6734 = or(_T_6733, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6735 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6736 = and(_T_6734, _T_6735) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6737 = bits(_T_6736, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][53] <= _T_6721 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6722 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6723 = eq(_T_6722, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6724 = and(ic_valid_ff, _T_6723) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6725 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6726 = and(_T_6724, _T_6725) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6727 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6728 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6729 = and(_T_6727, _T_6728) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6730 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6731 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6732 = and(_T_6730, _T_6731) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6733 = or(_T_6729, _T_6732) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6734 = or(_T_6733, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6735 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6736 = and(_T_6734, _T_6735) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6737 = bits(_T_6736, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6738 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6737 : @[Reg.scala 28:19] _T_6738 <= _T_6726 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][54] <= _T_6738 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6739 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6740 = eq(_T_6739, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6741 = and(ic_valid_ff, _T_6740) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6742 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6743 = and(_T_6741, _T_6742) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6744 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6745 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6746 = and(_T_6744, _T_6745) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6747 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6748 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6749 = and(_T_6747, _T_6748) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6750 = or(_T_6746, _T_6749) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6751 = or(_T_6750, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6752 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6753 = and(_T_6751, _T_6752) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6754 = bits(_T_6753, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][54] <= _T_6738 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6739 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6740 = eq(_T_6739, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6741 = and(ic_valid_ff, _T_6740) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6742 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6743 = and(_T_6741, _T_6742) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6744 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6745 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6746 = and(_T_6744, _T_6745) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6747 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6748 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6749 = and(_T_6747, _T_6748) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6750 = or(_T_6746, _T_6749) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6751 = or(_T_6750, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6752 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6753 = and(_T_6751, _T_6752) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6754 = bits(_T_6753, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6755 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6754 : @[Reg.scala 28:19] _T_6755 <= _T_6743 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][55] <= _T_6755 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6756 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6757 = eq(_T_6756, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6758 = and(ic_valid_ff, _T_6757) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6759 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6760 = and(_T_6758, _T_6759) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6761 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6762 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6763 = and(_T_6761, _T_6762) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6764 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6765 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6766 = and(_T_6764, _T_6765) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6767 = or(_T_6763, _T_6766) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6768 = or(_T_6767, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6769 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6770 = and(_T_6768, _T_6769) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6771 = bits(_T_6770, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][55] <= _T_6755 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6756 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6757 = eq(_T_6756, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6758 = and(ic_valid_ff, _T_6757) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6759 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6760 = and(_T_6758, _T_6759) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6761 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6762 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6763 = and(_T_6761, _T_6762) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6764 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6765 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6766 = and(_T_6764, _T_6765) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6767 = or(_T_6763, _T_6766) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6768 = or(_T_6767, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6769 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6770 = and(_T_6768, _T_6769) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6771 = bits(_T_6770, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6772 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6771 : @[Reg.scala 28:19] _T_6772 <= _T_6760 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][56] <= _T_6772 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6773 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6774 = eq(_T_6773, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6775 = and(ic_valid_ff, _T_6774) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6776 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6777 = and(_T_6775, _T_6776) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6778 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6779 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6780 = and(_T_6778, _T_6779) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6781 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6782 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6783 = and(_T_6781, _T_6782) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6784 = or(_T_6780, _T_6783) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6785 = or(_T_6784, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6786 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6787 = and(_T_6785, _T_6786) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6788 = bits(_T_6787, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][56] <= _T_6772 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6773 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6774 = eq(_T_6773, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6775 = and(ic_valid_ff, _T_6774) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6776 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6777 = and(_T_6775, _T_6776) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6778 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6779 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6780 = and(_T_6778, _T_6779) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6781 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6782 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6783 = and(_T_6781, _T_6782) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6784 = or(_T_6780, _T_6783) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6785 = or(_T_6784, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6786 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6787 = and(_T_6785, _T_6786) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6788 = bits(_T_6787, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6789 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6788 : @[Reg.scala 28:19] _T_6789 <= _T_6777 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][57] <= _T_6789 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6790 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6791 = eq(_T_6790, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6792 = and(ic_valid_ff, _T_6791) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6793 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6794 = and(_T_6792, _T_6793) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6795 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6796 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6797 = and(_T_6795, _T_6796) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6798 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6799 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6800 = and(_T_6798, _T_6799) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6801 = or(_T_6797, _T_6800) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6802 = or(_T_6801, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6803 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6804 = and(_T_6802, _T_6803) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6805 = bits(_T_6804, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][57] <= _T_6789 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6790 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6791 = eq(_T_6790, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6792 = and(ic_valid_ff, _T_6791) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6793 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6794 = and(_T_6792, _T_6793) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6795 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6796 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6797 = and(_T_6795, _T_6796) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6798 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6799 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6800 = and(_T_6798, _T_6799) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6801 = or(_T_6797, _T_6800) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6802 = or(_T_6801, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6803 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6804 = and(_T_6802, _T_6803) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6805 = bits(_T_6804, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6806 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6805 : @[Reg.scala 28:19] _T_6806 <= _T_6794 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][58] <= _T_6806 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6807 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6808 = eq(_T_6807, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6809 = and(ic_valid_ff, _T_6808) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6810 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6811 = and(_T_6809, _T_6810) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6812 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6813 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6814 = and(_T_6812, _T_6813) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6815 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6816 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6817 = and(_T_6815, _T_6816) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6818 = or(_T_6814, _T_6817) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6819 = or(_T_6818, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6820 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6821 = and(_T_6819, _T_6820) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6822 = bits(_T_6821, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][58] <= _T_6806 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6807 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6808 = eq(_T_6807, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6809 = and(ic_valid_ff, _T_6808) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6810 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6811 = and(_T_6809, _T_6810) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6812 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6813 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6814 = and(_T_6812, _T_6813) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6815 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6816 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6817 = and(_T_6815, _T_6816) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6818 = or(_T_6814, _T_6817) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6819 = or(_T_6818, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6820 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6821 = and(_T_6819, _T_6820) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6822 = bits(_T_6821, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6823 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6822 : @[Reg.scala 28:19] _T_6823 <= _T_6811 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][59] <= _T_6823 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6824 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6825 = eq(_T_6824, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6826 = and(ic_valid_ff, _T_6825) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6827 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6828 = and(_T_6826, _T_6827) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6829 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6830 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6831 = and(_T_6829, _T_6830) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6832 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6833 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6834 = and(_T_6832, _T_6833) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6835 = or(_T_6831, _T_6834) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6836 = or(_T_6835, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6837 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6838 = and(_T_6836, _T_6837) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6839 = bits(_T_6838, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][59] <= _T_6823 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6824 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6825 = eq(_T_6824, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6826 = and(ic_valid_ff, _T_6825) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6827 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6828 = and(_T_6826, _T_6827) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6829 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6830 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6831 = and(_T_6829, _T_6830) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6832 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6833 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6834 = and(_T_6832, _T_6833) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6835 = or(_T_6831, _T_6834) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6836 = or(_T_6835, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6837 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6838 = and(_T_6836, _T_6837) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6839 = bits(_T_6838, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6840 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6839 : @[Reg.scala 28:19] _T_6840 <= _T_6828 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][60] <= _T_6840 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6841 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6842 = eq(_T_6841, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6843 = and(ic_valid_ff, _T_6842) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6844 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6845 = and(_T_6843, _T_6844) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6846 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6847 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6848 = and(_T_6846, _T_6847) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6849 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6850 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6851 = and(_T_6849, _T_6850) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6852 = or(_T_6848, _T_6851) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6853 = or(_T_6852, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6854 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6855 = and(_T_6853, _T_6854) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6856 = bits(_T_6855, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][60] <= _T_6840 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6841 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6842 = eq(_T_6841, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6843 = and(ic_valid_ff, _T_6842) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6844 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6845 = and(_T_6843, _T_6844) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6846 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6847 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6848 = and(_T_6846, _T_6847) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6849 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6850 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6851 = and(_T_6849, _T_6850) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6852 = or(_T_6848, _T_6851) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6853 = or(_T_6852, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6854 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6855 = and(_T_6853, _T_6854) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6856 = bits(_T_6855, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6857 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6856 : @[Reg.scala 28:19] _T_6857 <= _T_6845 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][61] <= _T_6857 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6858 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6859 = eq(_T_6858, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6860 = and(ic_valid_ff, _T_6859) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6861 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6862 = and(_T_6860, _T_6861) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6863 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6864 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6865 = and(_T_6863, _T_6864) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6866 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6867 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6868 = and(_T_6866, _T_6867) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6869 = or(_T_6865, _T_6868) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6870 = or(_T_6869, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6871 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6872 = and(_T_6870, _T_6871) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6873 = bits(_T_6872, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][61] <= _T_6857 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6858 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6859 = eq(_T_6858, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6860 = and(ic_valid_ff, _T_6859) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6861 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6862 = and(_T_6860, _T_6861) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6863 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6864 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6865 = and(_T_6863, _T_6864) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6866 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6867 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6868 = and(_T_6866, _T_6867) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6869 = or(_T_6865, _T_6868) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6870 = or(_T_6869, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6871 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6872 = and(_T_6870, _T_6871) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6873 = bits(_T_6872, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6874 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6873 : @[Reg.scala 28:19] _T_6874 <= _T_6862 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][62] <= _T_6874 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6875 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6876 = eq(_T_6875, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6877 = and(ic_valid_ff, _T_6876) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6878 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6879 = and(_T_6877, _T_6878) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6880 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6881 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6882 = and(_T_6880, _T_6881) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6883 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6884 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6885 = and(_T_6883, _T_6884) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6886 = or(_T_6882, _T_6885) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6887 = or(_T_6886, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6888 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6889 = and(_T_6887, _T_6888) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6890 = bits(_T_6889, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][62] <= _T_6874 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6875 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6876 = eq(_T_6875, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6877 = and(ic_valid_ff, _T_6876) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6878 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6879 = and(_T_6877, _T_6878) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6880 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6881 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6882 = and(_T_6880, _T_6881) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6883 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6884 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6885 = and(_T_6883, _T_6884) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6886 = or(_T_6882, _T_6885) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6887 = or(_T_6886, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6888 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6889 = and(_T_6887, _T_6888) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6890 = bits(_T_6889, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6891 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6890 : @[Reg.scala 28:19] _T_6891 <= _T_6879 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][63] <= _T_6891 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6892 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6893 = eq(_T_6892, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6894 = and(ic_valid_ff, _T_6893) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6895 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6896 = and(_T_6894, _T_6895) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6897 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6898 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6899 = and(_T_6897, _T_6898) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6900 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6901 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6902 = and(_T_6900, _T_6901) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6903 = or(_T_6899, _T_6902) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6904 = or(_T_6903, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6905 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6906 = and(_T_6904, _T_6905) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6907 = bits(_T_6906, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][63] <= _T_6891 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6892 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6893 = eq(_T_6892, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6894 = and(ic_valid_ff, _T_6893) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6895 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6896 = and(_T_6894, _T_6895) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6897 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6898 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6899 = and(_T_6897, _T_6898) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6900 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6901 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6902 = and(_T_6900, _T_6901) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6903 = or(_T_6899, _T_6902) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6904 = or(_T_6903, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6905 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6906 = and(_T_6904, _T_6905) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6907 = bits(_T_6906, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6908 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6907 : @[Reg.scala 28:19] _T_6908 <= _T_6896 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][32] <= _T_6908 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6909 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6910 = eq(_T_6909, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6911 = and(ic_valid_ff, _T_6910) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6912 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6913 = and(_T_6911, _T_6912) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6914 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6915 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6916 = and(_T_6914, _T_6915) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6917 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6918 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6919 = and(_T_6917, _T_6918) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6920 = or(_T_6916, _T_6919) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6921 = or(_T_6920, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6922 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6923 = and(_T_6921, _T_6922) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6924 = bits(_T_6923, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][32] <= _T_6908 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6909 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6910 = eq(_T_6909, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6911 = and(ic_valid_ff, _T_6910) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6912 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6913 = and(_T_6911, _T_6912) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6914 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6915 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6916 = and(_T_6914, _T_6915) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6917 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6918 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6919 = and(_T_6917, _T_6918) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6920 = or(_T_6916, _T_6919) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6921 = or(_T_6920, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6922 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6923 = and(_T_6921, _T_6922) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6924 = bits(_T_6923, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6925 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6924 : @[Reg.scala 28:19] _T_6925 <= _T_6913 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][33] <= _T_6925 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6926 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6927 = eq(_T_6926, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6928 = and(ic_valid_ff, _T_6927) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6929 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6930 = and(_T_6928, _T_6929) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6931 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6932 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6933 = and(_T_6931, _T_6932) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6934 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6935 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6936 = and(_T_6934, _T_6935) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6937 = or(_T_6933, _T_6936) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6938 = or(_T_6937, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6939 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6940 = and(_T_6938, _T_6939) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6941 = bits(_T_6940, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][33] <= _T_6925 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6926 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6927 = eq(_T_6926, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6928 = and(ic_valid_ff, _T_6927) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6929 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6930 = and(_T_6928, _T_6929) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6931 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6932 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6933 = and(_T_6931, _T_6932) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6934 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6935 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6936 = and(_T_6934, _T_6935) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6937 = or(_T_6933, _T_6936) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6938 = or(_T_6937, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6939 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6940 = and(_T_6938, _T_6939) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6941 = bits(_T_6940, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6942 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6941 : @[Reg.scala 28:19] _T_6942 <= _T_6930 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][34] <= _T_6942 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6943 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6944 = eq(_T_6943, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6945 = and(ic_valid_ff, _T_6944) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6946 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6947 = and(_T_6945, _T_6946) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6948 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6949 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6950 = and(_T_6948, _T_6949) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6951 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6952 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6953 = and(_T_6951, _T_6952) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6954 = or(_T_6950, _T_6953) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6955 = or(_T_6954, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6956 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6957 = and(_T_6955, _T_6956) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6958 = bits(_T_6957, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][34] <= _T_6942 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6943 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6944 = eq(_T_6943, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6945 = and(ic_valid_ff, _T_6944) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6946 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6947 = and(_T_6945, _T_6946) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6948 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6949 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6950 = and(_T_6948, _T_6949) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6951 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6952 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6953 = and(_T_6951, _T_6952) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6954 = or(_T_6950, _T_6953) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6955 = or(_T_6954, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6956 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6957 = and(_T_6955, _T_6956) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6958 = bits(_T_6957, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6959 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6958 : @[Reg.scala 28:19] _T_6959 <= _T_6947 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][35] <= _T_6959 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6960 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6961 = eq(_T_6960, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6962 = and(ic_valid_ff, _T_6961) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6963 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6964 = and(_T_6962, _T_6963) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6965 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6966 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6967 = and(_T_6965, _T_6966) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6968 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6969 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6970 = and(_T_6968, _T_6969) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6971 = or(_T_6967, _T_6970) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6972 = or(_T_6971, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6973 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6974 = and(_T_6972, _T_6973) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6975 = bits(_T_6974, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][35] <= _T_6959 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6960 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6961 = eq(_T_6960, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6962 = and(ic_valid_ff, _T_6961) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6963 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6964 = and(_T_6962, _T_6963) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6965 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6966 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6967 = and(_T_6965, _T_6966) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6968 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6969 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6970 = and(_T_6968, _T_6969) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6971 = or(_T_6967, _T_6970) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6972 = or(_T_6971, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6973 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6974 = and(_T_6972, _T_6973) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6975 = bits(_T_6974, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6976 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6975 : @[Reg.scala 28:19] _T_6976 <= _T_6964 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][36] <= _T_6976 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6977 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6978 = eq(_T_6977, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6979 = and(ic_valid_ff, _T_6978) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6980 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6981 = and(_T_6979, _T_6980) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6982 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_6983 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_6984 = and(_T_6982, _T_6983) @[el2_ifu_mem_ctl.scala 761:59] - node _T_6985 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_6986 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_6987 = and(_T_6985, _T_6986) @[el2_ifu_mem_ctl.scala 761:124] - node _T_6988 = or(_T_6984, _T_6987) @[el2_ifu_mem_ctl.scala 761:81] - node _T_6989 = or(_T_6988, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_6990 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_6991 = and(_T_6989, _T_6990) @[el2_ifu_mem_ctl.scala 761:165] - node _T_6992 = bits(_T_6991, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][36] <= _T_6976 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6977 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6978 = eq(_T_6977, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6979 = and(ic_valid_ff, _T_6978) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6980 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6981 = and(_T_6979, _T_6980) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6982 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_6983 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_6984 = and(_T_6982, _T_6983) @[el2_ifu_mem_ctl.scala 756:59] + node _T_6985 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_6986 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_6987 = and(_T_6985, _T_6986) @[el2_ifu_mem_ctl.scala 756:124] + node _T_6988 = or(_T_6984, _T_6987) @[el2_ifu_mem_ctl.scala 756:81] + node _T_6989 = or(_T_6988, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_6990 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_6991 = and(_T_6989, _T_6990) @[el2_ifu_mem_ctl.scala 756:165] + node _T_6992 = bits(_T_6991, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_6993 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6992 : @[Reg.scala 28:19] _T_6993 <= _T_6981 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][37] <= _T_6993 @[el2_ifu_mem_ctl.scala 760:41] - node _T_6994 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_6995 = eq(_T_6994, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_6996 = and(ic_valid_ff, _T_6995) @[el2_ifu_mem_ctl.scala 760:66] - node _T_6997 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_6998 = and(_T_6996, _T_6997) @[el2_ifu_mem_ctl.scala 760:91] - node _T_6999 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7000 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7001 = and(_T_6999, _T_7000) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7002 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7003 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7004 = and(_T_7002, _T_7003) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7005 = or(_T_7001, _T_7004) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7006 = or(_T_7005, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7007 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7008 = and(_T_7006, _T_7007) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7009 = bits(_T_7008, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][37] <= _T_6993 @[el2_ifu_mem_ctl.scala 755:41] + node _T_6994 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_6995 = eq(_T_6994, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_6996 = and(ic_valid_ff, _T_6995) @[el2_ifu_mem_ctl.scala 755:66] + node _T_6997 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_6998 = and(_T_6996, _T_6997) @[el2_ifu_mem_ctl.scala 755:91] + node _T_6999 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7000 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7001 = and(_T_6999, _T_7000) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7002 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7003 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7004 = and(_T_7002, _T_7003) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7005 = or(_T_7001, _T_7004) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7006 = or(_T_7005, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7007 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7008 = and(_T_7006, _T_7007) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7009 = bits(_T_7008, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7010 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7009 : @[Reg.scala 28:19] _T_7010 <= _T_6998 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][38] <= _T_7010 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7011 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7012 = eq(_T_7011, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7013 = and(ic_valid_ff, _T_7012) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7014 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7015 = and(_T_7013, _T_7014) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7016 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7017 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7018 = and(_T_7016, _T_7017) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7019 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7020 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7021 = and(_T_7019, _T_7020) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7022 = or(_T_7018, _T_7021) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7023 = or(_T_7022, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7024 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7025 = and(_T_7023, _T_7024) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7026 = bits(_T_7025, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][38] <= _T_7010 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7011 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7012 = eq(_T_7011, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7013 = and(ic_valid_ff, _T_7012) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7014 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7015 = and(_T_7013, _T_7014) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7016 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7017 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7018 = and(_T_7016, _T_7017) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7019 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7020 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7021 = and(_T_7019, _T_7020) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7022 = or(_T_7018, _T_7021) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7023 = or(_T_7022, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7024 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7025 = and(_T_7023, _T_7024) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7026 = bits(_T_7025, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7027 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7026 : @[Reg.scala 28:19] _T_7027 <= _T_7015 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][39] <= _T_7027 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7028 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7029 = eq(_T_7028, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7030 = and(ic_valid_ff, _T_7029) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7031 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7032 = and(_T_7030, _T_7031) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7033 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7034 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7035 = and(_T_7033, _T_7034) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7036 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7037 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7038 = and(_T_7036, _T_7037) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7039 = or(_T_7035, _T_7038) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7040 = or(_T_7039, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7041 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7042 = and(_T_7040, _T_7041) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7043 = bits(_T_7042, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][39] <= _T_7027 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7028 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7029 = eq(_T_7028, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7030 = and(ic_valid_ff, _T_7029) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7031 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7032 = and(_T_7030, _T_7031) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7033 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7034 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7035 = and(_T_7033, _T_7034) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7036 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7037 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7038 = and(_T_7036, _T_7037) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7039 = or(_T_7035, _T_7038) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7040 = or(_T_7039, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7041 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7042 = and(_T_7040, _T_7041) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7043 = bits(_T_7042, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7044 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7043 : @[Reg.scala 28:19] _T_7044 <= _T_7032 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][40] <= _T_7044 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7045 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7046 = eq(_T_7045, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7047 = and(ic_valid_ff, _T_7046) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7048 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7049 = and(_T_7047, _T_7048) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7050 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7051 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7052 = and(_T_7050, _T_7051) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7053 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7054 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7055 = and(_T_7053, _T_7054) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7056 = or(_T_7052, _T_7055) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7057 = or(_T_7056, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7058 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7059 = and(_T_7057, _T_7058) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7060 = bits(_T_7059, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][40] <= _T_7044 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7045 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7046 = eq(_T_7045, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7047 = and(ic_valid_ff, _T_7046) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7048 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7049 = and(_T_7047, _T_7048) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7050 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7051 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7052 = and(_T_7050, _T_7051) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7053 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7054 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7055 = and(_T_7053, _T_7054) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7056 = or(_T_7052, _T_7055) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7057 = or(_T_7056, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7058 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7059 = and(_T_7057, _T_7058) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7060 = bits(_T_7059, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7061 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7060 : @[Reg.scala 28:19] _T_7061 <= _T_7049 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][41] <= _T_7061 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7062 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7063 = eq(_T_7062, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7064 = and(ic_valid_ff, _T_7063) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7065 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7066 = and(_T_7064, _T_7065) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7067 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7068 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7069 = and(_T_7067, _T_7068) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7070 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7071 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7072 = and(_T_7070, _T_7071) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7073 = or(_T_7069, _T_7072) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7074 = or(_T_7073, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7075 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7076 = and(_T_7074, _T_7075) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7077 = bits(_T_7076, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][41] <= _T_7061 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7062 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7063 = eq(_T_7062, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7064 = and(ic_valid_ff, _T_7063) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7065 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7066 = and(_T_7064, _T_7065) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7067 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7068 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7069 = and(_T_7067, _T_7068) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7070 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7071 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7072 = and(_T_7070, _T_7071) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7073 = or(_T_7069, _T_7072) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7074 = or(_T_7073, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7075 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7076 = and(_T_7074, _T_7075) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7077 = bits(_T_7076, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7078 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7077 : @[Reg.scala 28:19] _T_7078 <= _T_7066 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][42] <= _T_7078 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7079 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7080 = eq(_T_7079, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7081 = and(ic_valid_ff, _T_7080) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7082 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7083 = and(_T_7081, _T_7082) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7084 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7085 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7086 = and(_T_7084, _T_7085) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7087 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7088 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7089 = and(_T_7087, _T_7088) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7090 = or(_T_7086, _T_7089) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7091 = or(_T_7090, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7092 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7093 = and(_T_7091, _T_7092) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7094 = bits(_T_7093, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][42] <= _T_7078 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7079 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7080 = eq(_T_7079, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7081 = and(ic_valid_ff, _T_7080) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7082 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7083 = and(_T_7081, _T_7082) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7084 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7085 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7086 = and(_T_7084, _T_7085) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7087 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7088 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7089 = and(_T_7087, _T_7088) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7090 = or(_T_7086, _T_7089) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7091 = or(_T_7090, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7092 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7093 = and(_T_7091, _T_7092) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7094 = bits(_T_7093, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7095 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7094 : @[Reg.scala 28:19] _T_7095 <= _T_7083 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][43] <= _T_7095 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7096 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7097 = eq(_T_7096, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7098 = and(ic_valid_ff, _T_7097) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7099 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7100 = and(_T_7098, _T_7099) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7101 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7102 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7103 = and(_T_7101, _T_7102) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7104 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7105 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7106 = and(_T_7104, _T_7105) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7107 = or(_T_7103, _T_7106) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7108 = or(_T_7107, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7109 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7110 = and(_T_7108, _T_7109) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7111 = bits(_T_7110, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][43] <= _T_7095 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7096 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7097 = eq(_T_7096, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7098 = and(ic_valid_ff, _T_7097) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7099 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7100 = and(_T_7098, _T_7099) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7101 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7102 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7103 = and(_T_7101, _T_7102) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7104 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7105 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7106 = and(_T_7104, _T_7105) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7107 = or(_T_7103, _T_7106) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7108 = or(_T_7107, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7109 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7110 = and(_T_7108, _T_7109) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7111 = bits(_T_7110, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7112 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7111 : @[Reg.scala 28:19] _T_7112 <= _T_7100 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][44] <= _T_7112 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7113 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7114 = eq(_T_7113, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7115 = and(ic_valid_ff, _T_7114) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7116 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7117 = and(_T_7115, _T_7116) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7118 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7119 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7120 = and(_T_7118, _T_7119) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7121 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7122 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7123 = and(_T_7121, _T_7122) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7124 = or(_T_7120, _T_7123) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7125 = or(_T_7124, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7126 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7127 = and(_T_7125, _T_7126) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7128 = bits(_T_7127, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][44] <= _T_7112 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7113 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7114 = eq(_T_7113, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7115 = and(ic_valid_ff, _T_7114) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7116 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7117 = and(_T_7115, _T_7116) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7118 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7119 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7120 = and(_T_7118, _T_7119) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7121 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7122 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7123 = and(_T_7121, _T_7122) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7124 = or(_T_7120, _T_7123) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7125 = or(_T_7124, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7126 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7127 = and(_T_7125, _T_7126) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7128 = bits(_T_7127, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7129 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7128 : @[Reg.scala 28:19] _T_7129 <= _T_7117 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][45] <= _T_7129 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7130 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7131 = eq(_T_7130, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7132 = and(ic_valid_ff, _T_7131) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7133 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7134 = and(_T_7132, _T_7133) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7135 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7136 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7137 = and(_T_7135, _T_7136) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7138 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7139 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7140 = and(_T_7138, _T_7139) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7141 = or(_T_7137, _T_7140) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7142 = or(_T_7141, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7143 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7144 = and(_T_7142, _T_7143) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7145 = bits(_T_7144, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][45] <= _T_7129 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7130 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7131 = eq(_T_7130, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7132 = and(ic_valid_ff, _T_7131) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7133 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7134 = and(_T_7132, _T_7133) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7135 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7136 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7137 = and(_T_7135, _T_7136) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7138 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7139 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7140 = and(_T_7138, _T_7139) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7141 = or(_T_7137, _T_7140) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7142 = or(_T_7141, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7143 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7144 = and(_T_7142, _T_7143) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7145 = bits(_T_7144, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7146 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7145 : @[Reg.scala 28:19] _T_7146 <= _T_7134 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][46] <= _T_7146 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7147 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7148 = eq(_T_7147, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7149 = and(ic_valid_ff, _T_7148) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7150 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7151 = and(_T_7149, _T_7150) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7152 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7153 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7154 = and(_T_7152, _T_7153) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7155 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7156 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7157 = and(_T_7155, _T_7156) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7158 = or(_T_7154, _T_7157) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7159 = or(_T_7158, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7160 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7161 = and(_T_7159, _T_7160) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7162 = bits(_T_7161, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][46] <= _T_7146 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7147 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7148 = eq(_T_7147, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7149 = and(ic_valid_ff, _T_7148) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7150 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7151 = and(_T_7149, _T_7150) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7152 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7153 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7154 = and(_T_7152, _T_7153) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7155 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7156 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7157 = and(_T_7155, _T_7156) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7158 = or(_T_7154, _T_7157) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7159 = or(_T_7158, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7160 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7161 = and(_T_7159, _T_7160) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7162 = bits(_T_7161, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7163 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7162 : @[Reg.scala 28:19] _T_7163 <= _T_7151 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][47] <= _T_7163 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7164 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7165 = eq(_T_7164, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7166 = and(ic_valid_ff, _T_7165) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7167 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7168 = and(_T_7166, _T_7167) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7169 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7170 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7171 = and(_T_7169, _T_7170) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7172 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7173 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7174 = and(_T_7172, _T_7173) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7175 = or(_T_7171, _T_7174) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7176 = or(_T_7175, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7177 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7178 = and(_T_7176, _T_7177) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7179 = bits(_T_7178, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][47] <= _T_7163 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7164 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7165 = eq(_T_7164, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7166 = and(ic_valid_ff, _T_7165) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7167 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7168 = and(_T_7166, _T_7167) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7169 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7170 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7171 = and(_T_7169, _T_7170) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7172 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7173 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7174 = and(_T_7172, _T_7173) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7175 = or(_T_7171, _T_7174) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7176 = or(_T_7175, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7177 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7178 = and(_T_7176, _T_7177) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7179 = bits(_T_7178, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7180 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7179 : @[Reg.scala 28:19] _T_7180 <= _T_7168 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][48] <= _T_7180 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7181 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7182 = eq(_T_7181, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7183 = and(ic_valid_ff, _T_7182) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7184 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7185 = and(_T_7183, _T_7184) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7186 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7187 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7188 = and(_T_7186, _T_7187) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7189 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7190 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7191 = and(_T_7189, _T_7190) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7192 = or(_T_7188, _T_7191) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7193 = or(_T_7192, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7194 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7195 = and(_T_7193, _T_7194) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7196 = bits(_T_7195, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][48] <= _T_7180 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7181 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7182 = eq(_T_7181, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7183 = and(ic_valid_ff, _T_7182) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7184 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7185 = and(_T_7183, _T_7184) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7186 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7187 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7188 = and(_T_7186, _T_7187) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7189 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7190 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7191 = and(_T_7189, _T_7190) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7192 = or(_T_7188, _T_7191) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7193 = or(_T_7192, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7194 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7195 = and(_T_7193, _T_7194) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7196 = bits(_T_7195, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7197 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7196 : @[Reg.scala 28:19] _T_7197 <= _T_7185 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][49] <= _T_7197 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7198 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7199 = eq(_T_7198, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7200 = and(ic_valid_ff, _T_7199) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7201 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7202 = and(_T_7200, _T_7201) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7203 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7204 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7205 = and(_T_7203, _T_7204) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7206 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7207 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7208 = and(_T_7206, _T_7207) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7209 = or(_T_7205, _T_7208) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7210 = or(_T_7209, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7211 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7212 = and(_T_7210, _T_7211) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7213 = bits(_T_7212, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][49] <= _T_7197 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7198 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7199 = eq(_T_7198, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7200 = and(ic_valid_ff, _T_7199) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7201 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7202 = and(_T_7200, _T_7201) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7203 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7204 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7205 = and(_T_7203, _T_7204) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7206 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7207 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7208 = and(_T_7206, _T_7207) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7209 = or(_T_7205, _T_7208) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7210 = or(_T_7209, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7211 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7212 = and(_T_7210, _T_7211) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7213 = bits(_T_7212, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7214 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7213 : @[Reg.scala 28:19] _T_7214 <= _T_7202 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][50] <= _T_7214 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7215 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7216 = eq(_T_7215, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7217 = and(ic_valid_ff, _T_7216) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7218 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7219 = and(_T_7217, _T_7218) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7220 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7221 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7222 = and(_T_7220, _T_7221) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7223 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7224 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7225 = and(_T_7223, _T_7224) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7226 = or(_T_7222, _T_7225) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7227 = or(_T_7226, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7228 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7229 = and(_T_7227, _T_7228) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7230 = bits(_T_7229, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][50] <= _T_7214 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7215 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7216 = eq(_T_7215, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7217 = and(ic_valid_ff, _T_7216) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7218 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7219 = and(_T_7217, _T_7218) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7220 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7221 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7222 = and(_T_7220, _T_7221) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7223 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7224 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7225 = and(_T_7223, _T_7224) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7226 = or(_T_7222, _T_7225) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7227 = or(_T_7226, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7228 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7229 = and(_T_7227, _T_7228) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7230 = bits(_T_7229, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7231 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7230 : @[Reg.scala 28:19] _T_7231 <= _T_7219 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][51] <= _T_7231 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7232 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7233 = eq(_T_7232, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7234 = and(ic_valid_ff, _T_7233) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7235 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7236 = and(_T_7234, _T_7235) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7237 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7238 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7239 = and(_T_7237, _T_7238) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7240 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7241 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7242 = and(_T_7240, _T_7241) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7243 = or(_T_7239, _T_7242) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7244 = or(_T_7243, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7245 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7246 = and(_T_7244, _T_7245) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7247 = bits(_T_7246, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][51] <= _T_7231 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7232 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7233 = eq(_T_7232, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7234 = and(ic_valid_ff, _T_7233) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7235 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7236 = and(_T_7234, _T_7235) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7237 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7238 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7239 = and(_T_7237, _T_7238) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7240 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7241 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7242 = and(_T_7240, _T_7241) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7243 = or(_T_7239, _T_7242) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7244 = or(_T_7243, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7245 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7246 = and(_T_7244, _T_7245) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7247 = bits(_T_7246, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7248 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7247 : @[Reg.scala 28:19] _T_7248 <= _T_7236 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][52] <= _T_7248 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7249 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7250 = eq(_T_7249, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7251 = and(ic_valid_ff, _T_7250) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7252 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7253 = and(_T_7251, _T_7252) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7254 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7255 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7256 = and(_T_7254, _T_7255) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7257 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7258 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7259 = and(_T_7257, _T_7258) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7260 = or(_T_7256, _T_7259) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7261 = or(_T_7260, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7262 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7263 = and(_T_7261, _T_7262) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7264 = bits(_T_7263, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][52] <= _T_7248 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7249 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7250 = eq(_T_7249, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7251 = and(ic_valid_ff, _T_7250) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7252 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7253 = and(_T_7251, _T_7252) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7254 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7255 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7256 = and(_T_7254, _T_7255) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7257 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7258 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7259 = and(_T_7257, _T_7258) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7260 = or(_T_7256, _T_7259) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7261 = or(_T_7260, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7262 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7263 = and(_T_7261, _T_7262) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7264 = bits(_T_7263, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7265 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7264 : @[Reg.scala 28:19] _T_7265 <= _T_7253 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][53] <= _T_7265 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7266 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7267 = eq(_T_7266, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7268 = and(ic_valid_ff, _T_7267) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7269 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7270 = and(_T_7268, _T_7269) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7271 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7272 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7273 = and(_T_7271, _T_7272) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7274 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7275 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7276 = and(_T_7274, _T_7275) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7277 = or(_T_7273, _T_7276) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7278 = or(_T_7277, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7279 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7280 = and(_T_7278, _T_7279) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7281 = bits(_T_7280, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][53] <= _T_7265 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7266 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7267 = eq(_T_7266, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7268 = and(ic_valid_ff, _T_7267) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7269 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7270 = and(_T_7268, _T_7269) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7271 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7272 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7273 = and(_T_7271, _T_7272) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7274 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7275 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7276 = and(_T_7274, _T_7275) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7277 = or(_T_7273, _T_7276) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7278 = or(_T_7277, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7279 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7280 = and(_T_7278, _T_7279) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7281 = bits(_T_7280, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7282 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7281 : @[Reg.scala 28:19] _T_7282 <= _T_7270 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][54] <= _T_7282 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7283 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7284 = eq(_T_7283, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7285 = and(ic_valid_ff, _T_7284) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7286 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7287 = and(_T_7285, _T_7286) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7288 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7289 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7290 = and(_T_7288, _T_7289) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7291 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7292 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7293 = and(_T_7291, _T_7292) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7294 = or(_T_7290, _T_7293) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7295 = or(_T_7294, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7296 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7297 = and(_T_7295, _T_7296) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7298 = bits(_T_7297, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][54] <= _T_7282 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7283 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7284 = eq(_T_7283, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7285 = and(ic_valid_ff, _T_7284) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7286 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7287 = and(_T_7285, _T_7286) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7288 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7289 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7290 = and(_T_7288, _T_7289) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7291 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7292 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7293 = and(_T_7291, _T_7292) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7294 = or(_T_7290, _T_7293) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7295 = or(_T_7294, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7296 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7297 = and(_T_7295, _T_7296) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7298 = bits(_T_7297, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7299 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7298 : @[Reg.scala 28:19] _T_7299 <= _T_7287 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][55] <= _T_7299 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7300 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7301 = eq(_T_7300, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7302 = and(ic_valid_ff, _T_7301) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7303 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7304 = and(_T_7302, _T_7303) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7305 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7306 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7307 = and(_T_7305, _T_7306) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7308 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7309 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7310 = and(_T_7308, _T_7309) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7311 = or(_T_7307, _T_7310) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7312 = or(_T_7311, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7313 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7314 = and(_T_7312, _T_7313) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7315 = bits(_T_7314, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][55] <= _T_7299 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7300 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7301 = eq(_T_7300, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7302 = and(ic_valid_ff, _T_7301) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7303 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7304 = and(_T_7302, _T_7303) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7305 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7306 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7307 = and(_T_7305, _T_7306) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7308 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7309 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7310 = and(_T_7308, _T_7309) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7311 = or(_T_7307, _T_7310) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7312 = or(_T_7311, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7313 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7314 = and(_T_7312, _T_7313) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7315 = bits(_T_7314, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7316 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7315 : @[Reg.scala 28:19] _T_7316 <= _T_7304 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][56] <= _T_7316 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7317 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7318 = eq(_T_7317, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7319 = and(ic_valid_ff, _T_7318) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7320 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7321 = and(_T_7319, _T_7320) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7322 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7323 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7324 = and(_T_7322, _T_7323) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7325 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7326 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7327 = and(_T_7325, _T_7326) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7328 = or(_T_7324, _T_7327) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7329 = or(_T_7328, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7330 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7331 = and(_T_7329, _T_7330) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7332 = bits(_T_7331, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][56] <= _T_7316 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7317 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7318 = eq(_T_7317, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7319 = and(ic_valid_ff, _T_7318) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7320 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7321 = and(_T_7319, _T_7320) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7322 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7323 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7324 = and(_T_7322, _T_7323) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7325 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7326 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7327 = and(_T_7325, _T_7326) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7328 = or(_T_7324, _T_7327) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7329 = or(_T_7328, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7330 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7331 = and(_T_7329, _T_7330) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7332 = bits(_T_7331, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7333 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7332 : @[Reg.scala 28:19] _T_7333 <= _T_7321 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][57] <= _T_7333 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7334 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7335 = eq(_T_7334, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7336 = and(ic_valid_ff, _T_7335) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7337 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7338 = and(_T_7336, _T_7337) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7339 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7340 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7341 = and(_T_7339, _T_7340) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7342 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7343 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7344 = and(_T_7342, _T_7343) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7345 = or(_T_7341, _T_7344) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7346 = or(_T_7345, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7347 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7348 = and(_T_7346, _T_7347) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7349 = bits(_T_7348, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][57] <= _T_7333 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7334 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7335 = eq(_T_7334, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7336 = and(ic_valid_ff, _T_7335) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7337 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7338 = and(_T_7336, _T_7337) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7339 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7340 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7341 = and(_T_7339, _T_7340) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7342 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7343 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7344 = and(_T_7342, _T_7343) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7345 = or(_T_7341, _T_7344) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7346 = or(_T_7345, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7347 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7348 = and(_T_7346, _T_7347) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7349 = bits(_T_7348, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7350 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7349 : @[Reg.scala 28:19] _T_7350 <= _T_7338 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][58] <= _T_7350 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7351 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7352 = eq(_T_7351, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7353 = and(ic_valid_ff, _T_7352) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7354 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7355 = and(_T_7353, _T_7354) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7356 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7357 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7358 = and(_T_7356, _T_7357) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7359 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7360 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7361 = and(_T_7359, _T_7360) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7362 = or(_T_7358, _T_7361) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7363 = or(_T_7362, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7364 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7365 = and(_T_7363, _T_7364) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7366 = bits(_T_7365, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][58] <= _T_7350 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7351 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7352 = eq(_T_7351, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7353 = and(ic_valid_ff, _T_7352) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7354 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7355 = and(_T_7353, _T_7354) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7356 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7357 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7358 = and(_T_7356, _T_7357) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7359 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7360 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7361 = and(_T_7359, _T_7360) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7362 = or(_T_7358, _T_7361) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7363 = or(_T_7362, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7364 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7365 = and(_T_7363, _T_7364) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7366 = bits(_T_7365, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7367 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7366 : @[Reg.scala 28:19] _T_7367 <= _T_7355 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][59] <= _T_7367 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7368 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7369 = eq(_T_7368, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7370 = and(ic_valid_ff, _T_7369) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7371 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7372 = and(_T_7370, _T_7371) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7373 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7374 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7375 = and(_T_7373, _T_7374) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7376 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7377 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7378 = and(_T_7376, _T_7377) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7379 = or(_T_7375, _T_7378) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7380 = or(_T_7379, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7381 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7382 = and(_T_7380, _T_7381) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7383 = bits(_T_7382, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][59] <= _T_7367 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7368 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7369 = eq(_T_7368, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7370 = and(ic_valid_ff, _T_7369) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7371 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7372 = and(_T_7370, _T_7371) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7373 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7374 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7375 = and(_T_7373, _T_7374) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7376 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7377 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7378 = and(_T_7376, _T_7377) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7379 = or(_T_7375, _T_7378) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7380 = or(_T_7379, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7381 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7382 = and(_T_7380, _T_7381) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7383 = bits(_T_7382, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7384 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7383 : @[Reg.scala 28:19] _T_7384 <= _T_7372 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][60] <= _T_7384 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7385 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7386 = eq(_T_7385, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7387 = and(ic_valid_ff, _T_7386) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7388 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7389 = and(_T_7387, _T_7388) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7390 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7391 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7392 = and(_T_7390, _T_7391) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7393 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7394 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7395 = and(_T_7393, _T_7394) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7396 = or(_T_7392, _T_7395) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7397 = or(_T_7396, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7398 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7399 = and(_T_7397, _T_7398) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7400 = bits(_T_7399, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][60] <= _T_7384 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7385 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7386 = eq(_T_7385, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7387 = and(ic_valid_ff, _T_7386) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7388 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7389 = and(_T_7387, _T_7388) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7390 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7391 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7392 = and(_T_7390, _T_7391) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7393 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7394 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7395 = and(_T_7393, _T_7394) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7396 = or(_T_7392, _T_7395) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7397 = or(_T_7396, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7398 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7399 = and(_T_7397, _T_7398) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7400 = bits(_T_7399, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7401 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7400 : @[Reg.scala 28:19] _T_7401 <= _T_7389 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][61] <= _T_7401 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7402 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7403 = eq(_T_7402, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7404 = and(ic_valid_ff, _T_7403) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7405 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7406 = and(_T_7404, _T_7405) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7407 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7408 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7409 = and(_T_7407, _T_7408) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7410 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7411 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7412 = and(_T_7410, _T_7411) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7413 = or(_T_7409, _T_7412) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7414 = or(_T_7413, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7415 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7416 = and(_T_7414, _T_7415) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7417 = bits(_T_7416, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][61] <= _T_7401 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7402 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7403 = eq(_T_7402, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7404 = and(ic_valid_ff, _T_7403) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7405 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7406 = and(_T_7404, _T_7405) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7407 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7408 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7409 = and(_T_7407, _T_7408) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7410 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7411 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7412 = and(_T_7410, _T_7411) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7413 = or(_T_7409, _T_7412) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7414 = or(_T_7413, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7415 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7416 = and(_T_7414, _T_7415) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7417 = bits(_T_7416, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7418 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7417 : @[Reg.scala 28:19] _T_7418 <= _T_7406 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][62] <= _T_7418 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7419 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7420 = eq(_T_7419, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7421 = and(ic_valid_ff, _T_7420) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7422 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7423 = and(_T_7421, _T_7422) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7424 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7425 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7426 = and(_T_7424, _T_7425) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7427 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7428 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7429 = and(_T_7427, _T_7428) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7430 = or(_T_7426, _T_7429) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7431 = or(_T_7430, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7432 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7433 = and(_T_7431, _T_7432) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7434 = bits(_T_7433, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][62] <= _T_7418 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7419 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7420 = eq(_T_7419, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7421 = and(ic_valid_ff, _T_7420) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7422 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7423 = and(_T_7421, _T_7422) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7424 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7425 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7426 = and(_T_7424, _T_7425) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7427 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7428 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7429 = and(_T_7427, _T_7428) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7430 = or(_T_7426, _T_7429) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7431 = or(_T_7430, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7432 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7433 = and(_T_7431, _T_7432) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7434 = bits(_T_7433, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7435 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7434 : @[Reg.scala 28:19] _T_7435 <= _T_7423 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][63] <= _T_7435 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7436 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7437 = eq(_T_7436, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7438 = and(ic_valid_ff, _T_7437) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7439 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7440 = and(_T_7438, _T_7439) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7441 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7442 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7443 = and(_T_7441, _T_7442) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7444 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7445 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7446 = and(_T_7444, _T_7445) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7447 = or(_T_7443, _T_7446) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7448 = or(_T_7447, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7449 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7450 = and(_T_7448, _T_7449) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7451 = bits(_T_7450, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][63] <= _T_7435 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7436 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7437 = eq(_T_7436, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7438 = and(ic_valid_ff, _T_7437) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7439 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7440 = and(_T_7438, _T_7439) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7441 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7442 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7443 = and(_T_7441, _T_7442) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7444 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7445 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7446 = and(_T_7444, _T_7445) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7447 = or(_T_7443, _T_7446) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7448 = or(_T_7447, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7449 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7450 = and(_T_7448, _T_7449) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7451 = bits(_T_7450, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7452 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7451 : @[Reg.scala 28:19] _T_7452 <= _T_7440 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][64] <= _T_7452 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7453 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7454 = eq(_T_7453, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7455 = and(ic_valid_ff, _T_7454) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7456 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7457 = and(_T_7455, _T_7456) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7458 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7459 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7460 = and(_T_7458, _T_7459) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7461 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7462 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7463 = and(_T_7461, _T_7462) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7464 = or(_T_7460, _T_7463) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7465 = or(_T_7464, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7466 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7467 = and(_T_7465, _T_7466) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7468 = bits(_T_7467, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][64] <= _T_7452 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7453 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7454 = eq(_T_7453, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7455 = and(ic_valid_ff, _T_7454) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7456 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7457 = and(_T_7455, _T_7456) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7458 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7459 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7460 = and(_T_7458, _T_7459) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7461 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7462 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7463 = and(_T_7461, _T_7462) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7464 = or(_T_7460, _T_7463) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7465 = or(_T_7464, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7466 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7467 = and(_T_7465, _T_7466) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7468 = bits(_T_7467, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7469 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7468 : @[Reg.scala 28:19] _T_7469 <= _T_7457 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][65] <= _T_7469 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7470 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7471 = eq(_T_7470, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7472 = and(ic_valid_ff, _T_7471) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7473 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7474 = and(_T_7472, _T_7473) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7475 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7476 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7477 = and(_T_7475, _T_7476) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7478 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7479 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7480 = and(_T_7478, _T_7479) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7481 = or(_T_7477, _T_7480) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7482 = or(_T_7481, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7483 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7484 = and(_T_7482, _T_7483) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7485 = bits(_T_7484, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][65] <= _T_7469 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7470 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7471 = eq(_T_7470, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7472 = and(ic_valid_ff, _T_7471) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7473 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7474 = and(_T_7472, _T_7473) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7475 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7476 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7477 = and(_T_7475, _T_7476) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7478 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7479 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7480 = and(_T_7478, _T_7479) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7481 = or(_T_7477, _T_7480) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7482 = or(_T_7481, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7483 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7484 = and(_T_7482, _T_7483) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7485 = bits(_T_7484, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7486 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7485 : @[Reg.scala 28:19] _T_7486 <= _T_7474 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][66] <= _T_7486 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7487 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7488 = eq(_T_7487, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7489 = and(ic_valid_ff, _T_7488) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7490 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7491 = and(_T_7489, _T_7490) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7492 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7493 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7494 = and(_T_7492, _T_7493) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7495 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7496 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7497 = and(_T_7495, _T_7496) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7498 = or(_T_7494, _T_7497) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7499 = or(_T_7498, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7500 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7501 = and(_T_7499, _T_7500) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7502 = bits(_T_7501, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][66] <= _T_7486 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7487 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7488 = eq(_T_7487, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7489 = and(ic_valid_ff, _T_7488) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7490 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7491 = and(_T_7489, _T_7490) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7492 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7493 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7494 = and(_T_7492, _T_7493) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7495 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7496 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7497 = and(_T_7495, _T_7496) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7498 = or(_T_7494, _T_7497) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7499 = or(_T_7498, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7500 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7501 = and(_T_7499, _T_7500) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7502 = bits(_T_7501, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7503 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7502 : @[Reg.scala 28:19] _T_7503 <= _T_7491 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][67] <= _T_7503 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7504 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7505 = eq(_T_7504, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7506 = and(ic_valid_ff, _T_7505) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7507 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7508 = and(_T_7506, _T_7507) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7509 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7510 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7511 = and(_T_7509, _T_7510) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7512 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7513 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7514 = and(_T_7512, _T_7513) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7515 = or(_T_7511, _T_7514) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7516 = or(_T_7515, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7517 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7518 = and(_T_7516, _T_7517) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7519 = bits(_T_7518, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][67] <= _T_7503 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7504 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7505 = eq(_T_7504, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7506 = and(ic_valid_ff, _T_7505) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7507 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7508 = and(_T_7506, _T_7507) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7509 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7510 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7511 = and(_T_7509, _T_7510) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7512 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7513 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7514 = and(_T_7512, _T_7513) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7515 = or(_T_7511, _T_7514) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7516 = or(_T_7515, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7517 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7518 = and(_T_7516, _T_7517) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7519 = bits(_T_7518, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7520 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7519 : @[Reg.scala 28:19] _T_7520 <= _T_7508 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][68] <= _T_7520 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7521 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7522 = eq(_T_7521, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7523 = and(ic_valid_ff, _T_7522) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7524 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7525 = and(_T_7523, _T_7524) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7526 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7527 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7528 = and(_T_7526, _T_7527) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7529 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7530 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7531 = and(_T_7529, _T_7530) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7532 = or(_T_7528, _T_7531) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7533 = or(_T_7532, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7534 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7535 = and(_T_7533, _T_7534) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7536 = bits(_T_7535, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][68] <= _T_7520 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7521 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7522 = eq(_T_7521, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7523 = and(ic_valid_ff, _T_7522) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7524 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7525 = and(_T_7523, _T_7524) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7526 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7527 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7528 = and(_T_7526, _T_7527) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7529 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7530 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7531 = and(_T_7529, _T_7530) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7532 = or(_T_7528, _T_7531) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7533 = or(_T_7532, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7534 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7535 = and(_T_7533, _T_7534) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7536 = bits(_T_7535, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7537 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7536 : @[Reg.scala 28:19] _T_7537 <= _T_7525 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][69] <= _T_7537 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7538 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7539 = eq(_T_7538, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7540 = and(ic_valid_ff, _T_7539) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7541 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7542 = and(_T_7540, _T_7541) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7543 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7544 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7545 = and(_T_7543, _T_7544) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7546 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7547 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7548 = and(_T_7546, _T_7547) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7549 = or(_T_7545, _T_7548) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7550 = or(_T_7549, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7551 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7552 = and(_T_7550, _T_7551) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7553 = bits(_T_7552, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][69] <= _T_7537 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7538 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7539 = eq(_T_7538, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7540 = and(ic_valid_ff, _T_7539) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7541 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7542 = and(_T_7540, _T_7541) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7543 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7544 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7545 = and(_T_7543, _T_7544) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7546 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7547 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7548 = and(_T_7546, _T_7547) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7549 = or(_T_7545, _T_7548) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7550 = or(_T_7549, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7551 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7552 = and(_T_7550, _T_7551) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7553 = bits(_T_7552, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7554 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7553 : @[Reg.scala 28:19] _T_7554 <= _T_7542 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][70] <= _T_7554 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7555 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7556 = eq(_T_7555, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7557 = and(ic_valid_ff, _T_7556) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7558 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7559 = and(_T_7557, _T_7558) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7560 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7561 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7562 = and(_T_7560, _T_7561) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7563 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7564 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7565 = and(_T_7563, _T_7564) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7566 = or(_T_7562, _T_7565) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7567 = or(_T_7566, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7568 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7569 = and(_T_7567, _T_7568) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7570 = bits(_T_7569, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][70] <= _T_7554 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7555 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7556 = eq(_T_7555, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7557 = and(ic_valid_ff, _T_7556) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7558 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7559 = and(_T_7557, _T_7558) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7560 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7561 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7562 = and(_T_7560, _T_7561) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7563 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7564 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7565 = and(_T_7563, _T_7564) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7566 = or(_T_7562, _T_7565) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7567 = or(_T_7566, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7568 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7569 = and(_T_7567, _T_7568) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7570 = bits(_T_7569, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7571 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7570 : @[Reg.scala 28:19] _T_7571 <= _T_7559 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][71] <= _T_7571 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7572 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7573 = eq(_T_7572, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7574 = and(ic_valid_ff, _T_7573) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7575 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7576 = and(_T_7574, _T_7575) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7577 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7578 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7579 = and(_T_7577, _T_7578) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7580 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7581 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7582 = and(_T_7580, _T_7581) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7583 = or(_T_7579, _T_7582) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7584 = or(_T_7583, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7585 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7586 = and(_T_7584, _T_7585) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7587 = bits(_T_7586, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][71] <= _T_7571 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7572 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7573 = eq(_T_7572, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7574 = and(ic_valid_ff, _T_7573) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7575 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7576 = and(_T_7574, _T_7575) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7577 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7578 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7579 = and(_T_7577, _T_7578) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7580 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7581 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7582 = and(_T_7580, _T_7581) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7583 = or(_T_7579, _T_7582) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7584 = or(_T_7583, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7585 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7586 = and(_T_7584, _T_7585) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7587 = bits(_T_7586, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7588 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7587 : @[Reg.scala 28:19] _T_7588 <= _T_7576 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][72] <= _T_7588 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7589 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7590 = eq(_T_7589, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7591 = and(ic_valid_ff, _T_7590) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7592 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7593 = and(_T_7591, _T_7592) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7594 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7595 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7596 = and(_T_7594, _T_7595) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7597 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7598 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7599 = and(_T_7597, _T_7598) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7600 = or(_T_7596, _T_7599) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7601 = or(_T_7600, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7602 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7603 = and(_T_7601, _T_7602) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7604 = bits(_T_7603, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][72] <= _T_7588 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7589 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7590 = eq(_T_7589, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7591 = and(ic_valid_ff, _T_7590) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7592 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7593 = and(_T_7591, _T_7592) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7594 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7595 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7596 = and(_T_7594, _T_7595) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7597 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7598 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7599 = and(_T_7597, _T_7598) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7600 = or(_T_7596, _T_7599) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7601 = or(_T_7600, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7602 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7603 = and(_T_7601, _T_7602) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7604 = bits(_T_7603, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7605 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7604 : @[Reg.scala 28:19] _T_7605 <= _T_7593 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][73] <= _T_7605 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7606 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7607 = eq(_T_7606, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7608 = and(ic_valid_ff, _T_7607) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7609 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7610 = and(_T_7608, _T_7609) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7611 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7612 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7613 = and(_T_7611, _T_7612) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7614 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7615 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7616 = and(_T_7614, _T_7615) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7617 = or(_T_7613, _T_7616) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7618 = or(_T_7617, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7619 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7620 = and(_T_7618, _T_7619) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7621 = bits(_T_7620, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][73] <= _T_7605 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7606 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7607 = eq(_T_7606, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7608 = and(ic_valid_ff, _T_7607) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7609 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7610 = and(_T_7608, _T_7609) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7611 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7612 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7613 = and(_T_7611, _T_7612) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7614 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7615 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7616 = and(_T_7614, _T_7615) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7617 = or(_T_7613, _T_7616) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7618 = or(_T_7617, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7619 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7620 = and(_T_7618, _T_7619) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7621 = bits(_T_7620, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7622 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7621 : @[Reg.scala 28:19] _T_7622 <= _T_7610 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][74] <= _T_7622 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7623 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7624 = eq(_T_7623, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7625 = and(ic_valid_ff, _T_7624) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7626 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7627 = and(_T_7625, _T_7626) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7628 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7629 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7630 = and(_T_7628, _T_7629) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7631 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7632 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7633 = and(_T_7631, _T_7632) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7634 = or(_T_7630, _T_7633) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7635 = or(_T_7634, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7636 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7637 = and(_T_7635, _T_7636) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7638 = bits(_T_7637, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][74] <= _T_7622 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7623 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7624 = eq(_T_7623, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7625 = and(ic_valid_ff, _T_7624) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7626 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7627 = and(_T_7625, _T_7626) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7628 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7629 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7630 = and(_T_7628, _T_7629) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7631 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7632 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7633 = and(_T_7631, _T_7632) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7634 = or(_T_7630, _T_7633) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7635 = or(_T_7634, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7636 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7637 = and(_T_7635, _T_7636) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7638 = bits(_T_7637, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7639 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7638 : @[Reg.scala 28:19] _T_7639 <= _T_7627 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][75] <= _T_7639 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7640 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7641 = eq(_T_7640, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7642 = and(ic_valid_ff, _T_7641) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7643 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7644 = and(_T_7642, _T_7643) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7645 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7646 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7647 = and(_T_7645, _T_7646) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7648 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7649 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7650 = and(_T_7648, _T_7649) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7651 = or(_T_7647, _T_7650) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7652 = or(_T_7651, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7653 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7654 = and(_T_7652, _T_7653) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7655 = bits(_T_7654, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][75] <= _T_7639 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7640 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7641 = eq(_T_7640, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7642 = and(ic_valid_ff, _T_7641) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7643 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7644 = and(_T_7642, _T_7643) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7645 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7646 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7647 = and(_T_7645, _T_7646) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7648 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7649 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7650 = and(_T_7648, _T_7649) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7651 = or(_T_7647, _T_7650) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7652 = or(_T_7651, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7653 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7654 = and(_T_7652, _T_7653) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7655 = bits(_T_7654, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7656 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7655 : @[Reg.scala 28:19] _T_7656 <= _T_7644 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][76] <= _T_7656 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7657 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7658 = eq(_T_7657, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7659 = and(ic_valid_ff, _T_7658) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7660 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7661 = and(_T_7659, _T_7660) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7662 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7663 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7664 = and(_T_7662, _T_7663) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7665 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7666 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7667 = and(_T_7665, _T_7666) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7668 = or(_T_7664, _T_7667) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7669 = or(_T_7668, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7670 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7671 = and(_T_7669, _T_7670) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7672 = bits(_T_7671, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][76] <= _T_7656 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7657 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7658 = eq(_T_7657, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7659 = and(ic_valid_ff, _T_7658) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7660 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7661 = and(_T_7659, _T_7660) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7662 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7663 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7664 = and(_T_7662, _T_7663) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7665 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7666 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7667 = and(_T_7665, _T_7666) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7668 = or(_T_7664, _T_7667) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7669 = or(_T_7668, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7670 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7671 = and(_T_7669, _T_7670) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7672 = bits(_T_7671, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7673 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7672 : @[Reg.scala 28:19] _T_7673 <= _T_7661 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][77] <= _T_7673 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7674 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7675 = eq(_T_7674, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7676 = and(ic_valid_ff, _T_7675) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7677 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7678 = and(_T_7676, _T_7677) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7679 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7680 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7681 = and(_T_7679, _T_7680) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7682 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7683 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7684 = and(_T_7682, _T_7683) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7685 = or(_T_7681, _T_7684) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7686 = or(_T_7685, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7687 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7688 = and(_T_7686, _T_7687) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7689 = bits(_T_7688, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][77] <= _T_7673 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7674 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7675 = eq(_T_7674, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7676 = and(ic_valid_ff, _T_7675) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7677 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7678 = and(_T_7676, _T_7677) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7679 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7680 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7681 = and(_T_7679, _T_7680) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7682 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7683 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7684 = and(_T_7682, _T_7683) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7685 = or(_T_7681, _T_7684) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7686 = or(_T_7685, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7687 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7688 = and(_T_7686, _T_7687) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7689 = bits(_T_7688, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7690 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7689 : @[Reg.scala 28:19] _T_7690 <= _T_7678 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][78] <= _T_7690 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7691 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7692 = eq(_T_7691, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7693 = and(ic_valid_ff, _T_7692) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7694 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7695 = and(_T_7693, _T_7694) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7696 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7697 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7698 = and(_T_7696, _T_7697) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7699 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7700 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7701 = and(_T_7699, _T_7700) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7702 = or(_T_7698, _T_7701) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7703 = or(_T_7702, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7704 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7705 = and(_T_7703, _T_7704) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7706 = bits(_T_7705, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][78] <= _T_7690 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7691 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7692 = eq(_T_7691, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7693 = and(ic_valid_ff, _T_7692) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7694 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7695 = and(_T_7693, _T_7694) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7696 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7697 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7698 = and(_T_7696, _T_7697) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7699 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7700 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7701 = and(_T_7699, _T_7700) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7702 = or(_T_7698, _T_7701) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7703 = or(_T_7702, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7704 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7705 = and(_T_7703, _T_7704) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7706 = bits(_T_7705, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7707 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7706 : @[Reg.scala 28:19] _T_7707 <= _T_7695 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][79] <= _T_7707 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7708 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7709 = eq(_T_7708, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7710 = and(ic_valid_ff, _T_7709) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7711 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7712 = and(_T_7710, _T_7711) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7713 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7714 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7715 = and(_T_7713, _T_7714) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7716 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7717 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7718 = and(_T_7716, _T_7717) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7719 = or(_T_7715, _T_7718) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7720 = or(_T_7719, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7721 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7722 = and(_T_7720, _T_7721) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7723 = bits(_T_7722, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][79] <= _T_7707 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7708 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7709 = eq(_T_7708, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7710 = and(ic_valid_ff, _T_7709) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7711 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7712 = and(_T_7710, _T_7711) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7713 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7714 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7715 = and(_T_7713, _T_7714) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7716 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7717 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7718 = and(_T_7716, _T_7717) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7719 = or(_T_7715, _T_7718) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7720 = or(_T_7719, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7721 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7722 = and(_T_7720, _T_7721) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7723 = bits(_T_7722, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7724 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7723 : @[Reg.scala 28:19] _T_7724 <= _T_7712 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][80] <= _T_7724 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7725 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7726 = eq(_T_7725, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7727 = and(ic_valid_ff, _T_7726) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7728 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7729 = and(_T_7727, _T_7728) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7730 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7731 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7732 = and(_T_7730, _T_7731) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7733 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7734 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7735 = and(_T_7733, _T_7734) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7736 = or(_T_7732, _T_7735) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7737 = or(_T_7736, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7738 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7739 = and(_T_7737, _T_7738) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7740 = bits(_T_7739, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][80] <= _T_7724 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7725 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7726 = eq(_T_7725, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7727 = and(ic_valid_ff, _T_7726) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7728 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7729 = and(_T_7727, _T_7728) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7730 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7731 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7732 = and(_T_7730, _T_7731) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7733 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7734 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7735 = and(_T_7733, _T_7734) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7736 = or(_T_7732, _T_7735) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7737 = or(_T_7736, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7738 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7739 = and(_T_7737, _T_7738) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7740 = bits(_T_7739, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7741 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7740 : @[Reg.scala 28:19] _T_7741 <= _T_7729 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][81] <= _T_7741 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7742 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7743 = eq(_T_7742, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7744 = and(ic_valid_ff, _T_7743) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7745 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7746 = and(_T_7744, _T_7745) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7747 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7748 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7749 = and(_T_7747, _T_7748) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7750 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7751 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7752 = and(_T_7750, _T_7751) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7753 = or(_T_7749, _T_7752) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7754 = or(_T_7753, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7755 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7756 = and(_T_7754, _T_7755) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7757 = bits(_T_7756, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][81] <= _T_7741 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7742 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7743 = eq(_T_7742, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7744 = and(ic_valid_ff, _T_7743) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7745 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7746 = and(_T_7744, _T_7745) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7747 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7748 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7749 = and(_T_7747, _T_7748) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7750 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7751 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7752 = and(_T_7750, _T_7751) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7753 = or(_T_7749, _T_7752) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7754 = or(_T_7753, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7755 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7756 = and(_T_7754, _T_7755) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7757 = bits(_T_7756, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7758 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7757 : @[Reg.scala 28:19] _T_7758 <= _T_7746 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][82] <= _T_7758 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7759 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7760 = eq(_T_7759, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7761 = and(ic_valid_ff, _T_7760) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7762 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7763 = and(_T_7761, _T_7762) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7764 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7765 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7766 = and(_T_7764, _T_7765) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7767 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7768 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7769 = and(_T_7767, _T_7768) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7770 = or(_T_7766, _T_7769) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7771 = or(_T_7770, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7772 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7773 = and(_T_7771, _T_7772) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7774 = bits(_T_7773, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][82] <= _T_7758 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7759 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7760 = eq(_T_7759, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7761 = and(ic_valid_ff, _T_7760) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7762 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7763 = and(_T_7761, _T_7762) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7764 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7765 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7766 = and(_T_7764, _T_7765) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7767 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7768 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7769 = and(_T_7767, _T_7768) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7770 = or(_T_7766, _T_7769) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7771 = or(_T_7770, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7772 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7773 = and(_T_7771, _T_7772) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7774 = bits(_T_7773, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7775 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7774 : @[Reg.scala 28:19] _T_7775 <= _T_7763 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][83] <= _T_7775 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7776 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7777 = eq(_T_7776, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7778 = and(ic_valid_ff, _T_7777) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7779 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7780 = and(_T_7778, _T_7779) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7781 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7782 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7783 = and(_T_7781, _T_7782) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7784 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7785 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7786 = and(_T_7784, _T_7785) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7787 = or(_T_7783, _T_7786) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7788 = or(_T_7787, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7789 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7790 = and(_T_7788, _T_7789) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7791 = bits(_T_7790, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][83] <= _T_7775 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7776 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7777 = eq(_T_7776, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7778 = and(ic_valid_ff, _T_7777) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7779 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7780 = and(_T_7778, _T_7779) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7781 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7782 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7783 = and(_T_7781, _T_7782) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7784 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7785 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7786 = and(_T_7784, _T_7785) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7787 = or(_T_7783, _T_7786) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7788 = or(_T_7787, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7789 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7790 = and(_T_7788, _T_7789) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7791 = bits(_T_7790, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7792 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7791 : @[Reg.scala 28:19] _T_7792 <= _T_7780 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][84] <= _T_7792 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7793 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7794 = eq(_T_7793, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7795 = and(ic_valid_ff, _T_7794) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7796 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7797 = and(_T_7795, _T_7796) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7798 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7799 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7800 = and(_T_7798, _T_7799) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7801 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7802 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7803 = and(_T_7801, _T_7802) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7804 = or(_T_7800, _T_7803) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7805 = or(_T_7804, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7806 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7807 = and(_T_7805, _T_7806) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7808 = bits(_T_7807, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][84] <= _T_7792 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7793 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7794 = eq(_T_7793, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7795 = and(ic_valid_ff, _T_7794) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7796 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7797 = and(_T_7795, _T_7796) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7798 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7799 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7800 = and(_T_7798, _T_7799) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7801 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7802 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7803 = and(_T_7801, _T_7802) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7804 = or(_T_7800, _T_7803) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7805 = or(_T_7804, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7806 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7807 = and(_T_7805, _T_7806) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7808 = bits(_T_7807, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7809 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7808 : @[Reg.scala 28:19] _T_7809 <= _T_7797 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][85] <= _T_7809 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7810 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7811 = eq(_T_7810, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7812 = and(ic_valid_ff, _T_7811) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7813 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7814 = and(_T_7812, _T_7813) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7815 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7816 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7817 = and(_T_7815, _T_7816) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7818 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7819 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7820 = and(_T_7818, _T_7819) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7821 = or(_T_7817, _T_7820) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7822 = or(_T_7821, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7823 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7824 = and(_T_7822, _T_7823) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7825 = bits(_T_7824, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][85] <= _T_7809 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7810 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7811 = eq(_T_7810, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7812 = and(ic_valid_ff, _T_7811) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7813 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7814 = and(_T_7812, _T_7813) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7815 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7816 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7817 = and(_T_7815, _T_7816) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7818 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7819 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7820 = and(_T_7818, _T_7819) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7821 = or(_T_7817, _T_7820) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7822 = or(_T_7821, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7823 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7824 = and(_T_7822, _T_7823) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7825 = bits(_T_7824, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7826 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7825 : @[Reg.scala 28:19] _T_7826 <= _T_7814 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][86] <= _T_7826 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7827 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7828 = eq(_T_7827, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7829 = and(ic_valid_ff, _T_7828) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7830 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7831 = and(_T_7829, _T_7830) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7832 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7833 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7834 = and(_T_7832, _T_7833) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7835 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7836 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7837 = and(_T_7835, _T_7836) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7838 = or(_T_7834, _T_7837) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7839 = or(_T_7838, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7840 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7841 = and(_T_7839, _T_7840) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7842 = bits(_T_7841, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][86] <= _T_7826 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7827 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7828 = eq(_T_7827, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7829 = and(ic_valid_ff, _T_7828) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7830 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7831 = and(_T_7829, _T_7830) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7832 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7833 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7834 = and(_T_7832, _T_7833) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7835 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7836 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7837 = and(_T_7835, _T_7836) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7838 = or(_T_7834, _T_7837) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7839 = or(_T_7838, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7840 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7841 = and(_T_7839, _T_7840) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7842 = bits(_T_7841, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7843 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7842 : @[Reg.scala 28:19] _T_7843 <= _T_7831 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][87] <= _T_7843 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7844 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7845 = eq(_T_7844, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7846 = and(ic_valid_ff, _T_7845) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7847 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7848 = and(_T_7846, _T_7847) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7849 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7850 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7851 = and(_T_7849, _T_7850) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7852 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7853 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7854 = and(_T_7852, _T_7853) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7855 = or(_T_7851, _T_7854) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7856 = or(_T_7855, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7857 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7858 = and(_T_7856, _T_7857) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7859 = bits(_T_7858, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][87] <= _T_7843 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7844 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7845 = eq(_T_7844, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7846 = and(ic_valid_ff, _T_7845) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7847 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7848 = and(_T_7846, _T_7847) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7849 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7850 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7851 = and(_T_7849, _T_7850) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7852 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7853 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7854 = and(_T_7852, _T_7853) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7855 = or(_T_7851, _T_7854) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7856 = or(_T_7855, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7857 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7858 = and(_T_7856, _T_7857) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7859 = bits(_T_7858, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7860 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7859 : @[Reg.scala 28:19] _T_7860 <= _T_7848 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][88] <= _T_7860 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7861 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7862 = eq(_T_7861, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7863 = and(ic_valid_ff, _T_7862) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7864 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7865 = and(_T_7863, _T_7864) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7866 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7867 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7868 = and(_T_7866, _T_7867) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7869 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7870 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7871 = and(_T_7869, _T_7870) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7872 = or(_T_7868, _T_7871) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7873 = or(_T_7872, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7874 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7875 = and(_T_7873, _T_7874) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7876 = bits(_T_7875, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][88] <= _T_7860 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7861 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7862 = eq(_T_7861, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7863 = and(ic_valid_ff, _T_7862) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7864 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7865 = and(_T_7863, _T_7864) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7866 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7867 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7868 = and(_T_7866, _T_7867) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7869 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7870 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7871 = and(_T_7869, _T_7870) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7872 = or(_T_7868, _T_7871) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7873 = or(_T_7872, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7874 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7875 = and(_T_7873, _T_7874) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7876 = bits(_T_7875, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7877 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7876 : @[Reg.scala 28:19] _T_7877 <= _T_7865 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][89] <= _T_7877 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7878 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7879 = eq(_T_7878, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7880 = and(ic_valid_ff, _T_7879) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7881 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7882 = and(_T_7880, _T_7881) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7883 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7884 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7885 = and(_T_7883, _T_7884) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7886 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7887 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7888 = and(_T_7886, _T_7887) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7889 = or(_T_7885, _T_7888) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7890 = or(_T_7889, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7891 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7892 = and(_T_7890, _T_7891) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7893 = bits(_T_7892, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][89] <= _T_7877 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7878 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7879 = eq(_T_7878, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7880 = and(ic_valid_ff, _T_7879) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7881 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7882 = and(_T_7880, _T_7881) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7883 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7884 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7885 = and(_T_7883, _T_7884) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7886 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7887 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7888 = and(_T_7886, _T_7887) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7889 = or(_T_7885, _T_7888) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7890 = or(_T_7889, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7891 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7892 = and(_T_7890, _T_7891) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7893 = bits(_T_7892, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7894 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7893 : @[Reg.scala 28:19] _T_7894 <= _T_7882 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][90] <= _T_7894 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7895 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7896 = eq(_T_7895, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7897 = and(ic_valid_ff, _T_7896) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7898 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7899 = and(_T_7897, _T_7898) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7900 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7901 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7902 = and(_T_7900, _T_7901) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7903 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7904 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7905 = and(_T_7903, _T_7904) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7906 = or(_T_7902, _T_7905) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7907 = or(_T_7906, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7908 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7909 = and(_T_7907, _T_7908) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7910 = bits(_T_7909, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][90] <= _T_7894 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7895 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7896 = eq(_T_7895, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7897 = and(ic_valid_ff, _T_7896) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7898 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7899 = and(_T_7897, _T_7898) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7900 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7901 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7902 = and(_T_7900, _T_7901) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7903 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7904 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7905 = and(_T_7903, _T_7904) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7906 = or(_T_7902, _T_7905) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7907 = or(_T_7906, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7908 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7909 = and(_T_7907, _T_7908) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7910 = bits(_T_7909, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7911 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7910 : @[Reg.scala 28:19] _T_7911 <= _T_7899 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][91] <= _T_7911 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7912 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7913 = eq(_T_7912, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7914 = and(ic_valid_ff, _T_7913) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7915 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7916 = and(_T_7914, _T_7915) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7917 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7918 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7919 = and(_T_7917, _T_7918) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7920 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7921 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7922 = and(_T_7920, _T_7921) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7923 = or(_T_7919, _T_7922) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7924 = or(_T_7923, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7925 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7926 = and(_T_7924, _T_7925) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7927 = bits(_T_7926, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][91] <= _T_7911 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7912 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7913 = eq(_T_7912, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7914 = and(ic_valid_ff, _T_7913) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7915 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7916 = and(_T_7914, _T_7915) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7917 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7918 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7919 = and(_T_7917, _T_7918) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7920 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7921 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7922 = and(_T_7920, _T_7921) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7923 = or(_T_7919, _T_7922) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7924 = or(_T_7923, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7925 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7926 = and(_T_7924, _T_7925) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7927 = bits(_T_7926, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7928 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7927 : @[Reg.scala 28:19] _T_7928 <= _T_7916 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][92] <= _T_7928 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7929 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7930 = eq(_T_7929, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7931 = and(ic_valid_ff, _T_7930) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7932 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7933 = and(_T_7931, _T_7932) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7934 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7935 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7936 = and(_T_7934, _T_7935) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7937 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7938 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7939 = and(_T_7937, _T_7938) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7940 = or(_T_7936, _T_7939) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7941 = or(_T_7940, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7942 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7943 = and(_T_7941, _T_7942) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7944 = bits(_T_7943, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][92] <= _T_7928 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7929 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7930 = eq(_T_7929, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7931 = and(ic_valid_ff, _T_7930) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7932 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7933 = and(_T_7931, _T_7932) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7934 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7935 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7936 = and(_T_7934, _T_7935) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7937 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7938 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7939 = and(_T_7937, _T_7938) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7940 = or(_T_7936, _T_7939) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7941 = or(_T_7940, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7942 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7943 = and(_T_7941, _T_7942) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7944 = bits(_T_7943, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7945 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7944 : @[Reg.scala 28:19] _T_7945 <= _T_7933 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][93] <= _T_7945 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7946 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7947 = eq(_T_7946, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7948 = and(ic_valid_ff, _T_7947) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7949 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7950 = and(_T_7948, _T_7949) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7951 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7952 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7953 = and(_T_7951, _T_7952) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7954 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7955 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7956 = and(_T_7954, _T_7955) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7957 = or(_T_7953, _T_7956) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7958 = or(_T_7957, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7959 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7960 = and(_T_7958, _T_7959) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7961 = bits(_T_7960, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][93] <= _T_7945 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7946 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7947 = eq(_T_7946, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7948 = and(ic_valid_ff, _T_7947) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7949 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7950 = and(_T_7948, _T_7949) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7951 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7952 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7953 = and(_T_7951, _T_7952) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7954 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7955 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7956 = and(_T_7954, _T_7955) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7957 = or(_T_7953, _T_7956) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7958 = or(_T_7957, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7959 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7960 = and(_T_7958, _T_7959) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7961 = bits(_T_7960, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7962 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7961 : @[Reg.scala 28:19] _T_7962 <= _T_7950 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][94] <= _T_7962 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7963 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7964 = eq(_T_7963, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7965 = and(ic_valid_ff, _T_7964) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7966 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7967 = and(_T_7965, _T_7966) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7968 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7969 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7970 = and(_T_7968, _T_7969) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7971 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7972 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7973 = and(_T_7971, _T_7972) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7974 = or(_T_7970, _T_7973) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7975 = or(_T_7974, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7976 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7977 = and(_T_7975, _T_7976) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7978 = bits(_T_7977, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][94] <= _T_7962 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7963 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7964 = eq(_T_7963, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7965 = and(ic_valid_ff, _T_7964) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7966 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7967 = and(_T_7965, _T_7966) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7968 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7969 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7970 = and(_T_7968, _T_7969) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7971 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7972 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7973 = and(_T_7971, _T_7972) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7974 = or(_T_7970, _T_7973) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7975 = or(_T_7974, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7976 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7977 = and(_T_7975, _T_7976) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7978 = bits(_T_7977, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7979 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7978 : @[Reg.scala 28:19] _T_7979 <= _T_7967 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][95] <= _T_7979 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7980 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7981 = eq(_T_7980, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7982 = and(ic_valid_ff, _T_7981) @[el2_ifu_mem_ctl.scala 760:66] - node _T_7983 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_7984 = and(_T_7982, _T_7983) @[el2_ifu_mem_ctl.scala 760:91] - node _T_7985 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_7986 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_7987 = and(_T_7985, _T_7986) @[el2_ifu_mem_ctl.scala 761:59] - node _T_7988 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_7989 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_7990 = and(_T_7988, _T_7989) @[el2_ifu_mem_ctl.scala 761:124] - node _T_7991 = or(_T_7987, _T_7990) @[el2_ifu_mem_ctl.scala 761:81] - node _T_7992 = or(_T_7991, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_7993 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_7994 = and(_T_7992, _T_7993) @[el2_ifu_mem_ctl.scala 761:165] - node _T_7995 = bits(_T_7994, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][95] <= _T_7979 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7980 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7981 = eq(_T_7980, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7982 = and(ic_valid_ff, _T_7981) @[el2_ifu_mem_ctl.scala 755:66] + node _T_7983 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_7984 = and(_T_7982, _T_7983) @[el2_ifu_mem_ctl.scala 755:91] + node _T_7985 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_7986 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_7987 = and(_T_7985, _T_7986) @[el2_ifu_mem_ctl.scala 756:59] + node _T_7988 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_7989 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_7990 = and(_T_7988, _T_7989) @[el2_ifu_mem_ctl.scala 756:124] + node _T_7991 = or(_T_7987, _T_7990) @[el2_ifu_mem_ctl.scala 756:81] + node _T_7992 = or(_T_7991, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_7993 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_7994 = and(_T_7992, _T_7993) @[el2_ifu_mem_ctl.scala 756:165] + node _T_7995 = bits(_T_7994, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_7996 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7995 : @[Reg.scala 28:19] _T_7996 <= _T_7984 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][64] <= _T_7996 @[el2_ifu_mem_ctl.scala 760:41] - node _T_7997 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_7998 = eq(_T_7997, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_7999 = and(ic_valid_ff, _T_7998) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8000 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8001 = and(_T_7999, _T_8000) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8002 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8003 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8004 = and(_T_8002, _T_8003) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8005 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8006 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8007 = and(_T_8005, _T_8006) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8008 = or(_T_8004, _T_8007) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8009 = or(_T_8008, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8010 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8011 = and(_T_8009, _T_8010) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8012 = bits(_T_8011, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][64] <= _T_7996 @[el2_ifu_mem_ctl.scala 755:41] + node _T_7997 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_7998 = eq(_T_7997, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_7999 = and(ic_valid_ff, _T_7998) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8000 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8001 = and(_T_7999, _T_8000) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8002 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8003 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8004 = and(_T_8002, _T_8003) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8005 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8006 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8007 = and(_T_8005, _T_8006) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8008 = or(_T_8004, _T_8007) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8009 = or(_T_8008, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8010 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8011 = and(_T_8009, _T_8010) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8012 = bits(_T_8011, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8013 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8012 : @[Reg.scala 28:19] _T_8013 <= _T_8001 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][65] <= _T_8013 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8014 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8015 = eq(_T_8014, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8016 = and(ic_valid_ff, _T_8015) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8017 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8018 = and(_T_8016, _T_8017) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8019 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8020 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8021 = and(_T_8019, _T_8020) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8022 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8023 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8024 = and(_T_8022, _T_8023) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8025 = or(_T_8021, _T_8024) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8026 = or(_T_8025, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8027 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8028 = and(_T_8026, _T_8027) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8029 = bits(_T_8028, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][65] <= _T_8013 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8014 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8015 = eq(_T_8014, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8016 = and(ic_valid_ff, _T_8015) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8017 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8018 = and(_T_8016, _T_8017) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8019 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8020 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8021 = and(_T_8019, _T_8020) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8022 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8023 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8024 = and(_T_8022, _T_8023) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8025 = or(_T_8021, _T_8024) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8026 = or(_T_8025, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8027 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8028 = and(_T_8026, _T_8027) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8029 = bits(_T_8028, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8030 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8029 : @[Reg.scala 28:19] _T_8030 <= _T_8018 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][66] <= _T_8030 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8031 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8032 = eq(_T_8031, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8033 = and(ic_valid_ff, _T_8032) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8034 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8035 = and(_T_8033, _T_8034) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8036 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8037 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8038 = and(_T_8036, _T_8037) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8039 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8040 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8041 = and(_T_8039, _T_8040) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8042 = or(_T_8038, _T_8041) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8043 = or(_T_8042, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8044 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8045 = and(_T_8043, _T_8044) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8046 = bits(_T_8045, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][66] <= _T_8030 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8031 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8032 = eq(_T_8031, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8033 = and(ic_valid_ff, _T_8032) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8034 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8035 = and(_T_8033, _T_8034) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8036 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8037 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8038 = and(_T_8036, _T_8037) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8039 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8040 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8041 = and(_T_8039, _T_8040) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8042 = or(_T_8038, _T_8041) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8043 = or(_T_8042, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8044 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8045 = and(_T_8043, _T_8044) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8046 = bits(_T_8045, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8047 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8046 : @[Reg.scala 28:19] _T_8047 <= _T_8035 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][67] <= _T_8047 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8048 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8049 = eq(_T_8048, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8050 = and(ic_valid_ff, _T_8049) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8051 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8052 = and(_T_8050, _T_8051) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8053 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8054 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8055 = and(_T_8053, _T_8054) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8056 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8057 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8058 = and(_T_8056, _T_8057) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8059 = or(_T_8055, _T_8058) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8060 = or(_T_8059, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8061 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8062 = and(_T_8060, _T_8061) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8063 = bits(_T_8062, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][67] <= _T_8047 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8048 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8049 = eq(_T_8048, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8050 = and(ic_valid_ff, _T_8049) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8051 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8052 = and(_T_8050, _T_8051) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8053 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8054 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8055 = and(_T_8053, _T_8054) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8056 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8057 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8058 = and(_T_8056, _T_8057) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8059 = or(_T_8055, _T_8058) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8060 = or(_T_8059, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8061 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8062 = and(_T_8060, _T_8061) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8063 = bits(_T_8062, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8064 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8063 : @[Reg.scala 28:19] _T_8064 <= _T_8052 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][68] <= _T_8064 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8065 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8066 = eq(_T_8065, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8067 = and(ic_valid_ff, _T_8066) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8068 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8069 = and(_T_8067, _T_8068) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8070 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8071 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8072 = and(_T_8070, _T_8071) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8073 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8074 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8075 = and(_T_8073, _T_8074) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8076 = or(_T_8072, _T_8075) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8077 = or(_T_8076, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8078 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8079 = and(_T_8077, _T_8078) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8080 = bits(_T_8079, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][68] <= _T_8064 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8065 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8066 = eq(_T_8065, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8067 = and(ic_valid_ff, _T_8066) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8068 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8069 = and(_T_8067, _T_8068) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8070 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8071 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8072 = and(_T_8070, _T_8071) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8073 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8074 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8075 = and(_T_8073, _T_8074) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8076 = or(_T_8072, _T_8075) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8077 = or(_T_8076, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8078 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8079 = and(_T_8077, _T_8078) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8080 = bits(_T_8079, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8081 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8080 : @[Reg.scala 28:19] _T_8081 <= _T_8069 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][69] <= _T_8081 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8082 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8083 = eq(_T_8082, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8084 = and(ic_valid_ff, _T_8083) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8085 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8086 = and(_T_8084, _T_8085) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8087 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8088 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8089 = and(_T_8087, _T_8088) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8090 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8091 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8092 = and(_T_8090, _T_8091) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8093 = or(_T_8089, _T_8092) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8094 = or(_T_8093, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8095 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8096 = and(_T_8094, _T_8095) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8097 = bits(_T_8096, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][69] <= _T_8081 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8082 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8083 = eq(_T_8082, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8084 = and(ic_valid_ff, _T_8083) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8085 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8086 = and(_T_8084, _T_8085) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8087 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8088 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8089 = and(_T_8087, _T_8088) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8090 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8091 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8092 = and(_T_8090, _T_8091) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8093 = or(_T_8089, _T_8092) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8094 = or(_T_8093, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8095 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8096 = and(_T_8094, _T_8095) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8097 = bits(_T_8096, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8098 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8097 : @[Reg.scala 28:19] _T_8098 <= _T_8086 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][70] <= _T_8098 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8099 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8100 = eq(_T_8099, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8101 = and(ic_valid_ff, _T_8100) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8102 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8103 = and(_T_8101, _T_8102) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8104 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8105 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8106 = and(_T_8104, _T_8105) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8107 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8108 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8109 = and(_T_8107, _T_8108) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8110 = or(_T_8106, _T_8109) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8111 = or(_T_8110, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8112 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8113 = and(_T_8111, _T_8112) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8114 = bits(_T_8113, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][70] <= _T_8098 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8099 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8100 = eq(_T_8099, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8101 = and(ic_valid_ff, _T_8100) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8102 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8103 = and(_T_8101, _T_8102) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8104 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8105 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8106 = and(_T_8104, _T_8105) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8107 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8108 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8109 = and(_T_8107, _T_8108) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8110 = or(_T_8106, _T_8109) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8111 = or(_T_8110, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8112 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8113 = and(_T_8111, _T_8112) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8114 = bits(_T_8113, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8115 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8114 : @[Reg.scala 28:19] _T_8115 <= _T_8103 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][71] <= _T_8115 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8116 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8117 = eq(_T_8116, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8118 = and(ic_valid_ff, _T_8117) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8119 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8120 = and(_T_8118, _T_8119) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8121 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8122 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8123 = and(_T_8121, _T_8122) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8124 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8125 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8126 = and(_T_8124, _T_8125) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8127 = or(_T_8123, _T_8126) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8128 = or(_T_8127, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8129 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8130 = and(_T_8128, _T_8129) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8131 = bits(_T_8130, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][71] <= _T_8115 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8116 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8117 = eq(_T_8116, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8118 = and(ic_valid_ff, _T_8117) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8119 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8120 = and(_T_8118, _T_8119) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8121 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8122 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8123 = and(_T_8121, _T_8122) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8124 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8125 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8126 = and(_T_8124, _T_8125) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8127 = or(_T_8123, _T_8126) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8128 = or(_T_8127, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8129 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8130 = and(_T_8128, _T_8129) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8131 = bits(_T_8130, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8132 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8131 : @[Reg.scala 28:19] _T_8132 <= _T_8120 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][72] <= _T_8132 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8133 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8134 = eq(_T_8133, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8135 = and(ic_valid_ff, _T_8134) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8136 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8137 = and(_T_8135, _T_8136) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8138 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8139 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8140 = and(_T_8138, _T_8139) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8141 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8142 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8143 = and(_T_8141, _T_8142) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8144 = or(_T_8140, _T_8143) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8145 = or(_T_8144, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8146 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8147 = and(_T_8145, _T_8146) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8148 = bits(_T_8147, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][72] <= _T_8132 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8133 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8134 = eq(_T_8133, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8135 = and(ic_valid_ff, _T_8134) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8136 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8137 = and(_T_8135, _T_8136) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8138 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8139 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8140 = and(_T_8138, _T_8139) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8141 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8142 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8143 = and(_T_8141, _T_8142) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8144 = or(_T_8140, _T_8143) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8145 = or(_T_8144, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8146 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8147 = and(_T_8145, _T_8146) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8148 = bits(_T_8147, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8149 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8148 : @[Reg.scala 28:19] _T_8149 <= _T_8137 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][73] <= _T_8149 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8150 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8151 = eq(_T_8150, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8152 = and(ic_valid_ff, _T_8151) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8153 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8154 = and(_T_8152, _T_8153) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8155 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8156 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8157 = and(_T_8155, _T_8156) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8158 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8159 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8160 = and(_T_8158, _T_8159) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8161 = or(_T_8157, _T_8160) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8162 = or(_T_8161, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8163 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8164 = and(_T_8162, _T_8163) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8165 = bits(_T_8164, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][73] <= _T_8149 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8150 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8151 = eq(_T_8150, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8152 = and(ic_valid_ff, _T_8151) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8153 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8154 = and(_T_8152, _T_8153) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8155 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8156 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8157 = and(_T_8155, _T_8156) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8158 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8159 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8160 = and(_T_8158, _T_8159) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8161 = or(_T_8157, _T_8160) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8162 = or(_T_8161, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8163 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8164 = and(_T_8162, _T_8163) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8165 = bits(_T_8164, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8166 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8165 : @[Reg.scala 28:19] _T_8166 <= _T_8154 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][74] <= _T_8166 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8167 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8168 = eq(_T_8167, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8169 = and(ic_valid_ff, _T_8168) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8170 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8171 = and(_T_8169, _T_8170) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8172 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8173 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8174 = and(_T_8172, _T_8173) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8175 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8176 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8177 = and(_T_8175, _T_8176) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8178 = or(_T_8174, _T_8177) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8179 = or(_T_8178, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8180 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8181 = and(_T_8179, _T_8180) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8182 = bits(_T_8181, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][74] <= _T_8166 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8167 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8168 = eq(_T_8167, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8169 = and(ic_valid_ff, _T_8168) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8170 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8171 = and(_T_8169, _T_8170) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8172 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8173 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8174 = and(_T_8172, _T_8173) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8175 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8176 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8177 = and(_T_8175, _T_8176) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8178 = or(_T_8174, _T_8177) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8179 = or(_T_8178, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8180 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8181 = and(_T_8179, _T_8180) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8182 = bits(_T_8181, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8183 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8182 : @[Reg.scala 28:19] _T_8183 <= _T_8171 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][75] <= _T_8183 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8184 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8185 = eq(_T_8184, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8186 = and(ic_valid_ff, _T_8185) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8187 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8188 = and(_T_8186, _T_8187) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8189 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8190 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8191 = and(_T_8189, _T_8190) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8192 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8193 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8194 = and(_T_8192, _T_8193) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8195 = or(_T_8191, _T_8194) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8196 = or(_T_8195, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8197 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8198 = and(_T_8196, _T_8197) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8199 = bits(_T_8198, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][75] <= _T_8183 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8184 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8185 = eq(_T_8184, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8186 = and(ic_valid_ff, _T_8185) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8187 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8188 = and(_T_8186, _T_8187) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8189 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8190 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8191 = and(_T_8189, _T_8190) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8192 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8193 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8194 = and(_T_8192, _T_8193) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8195 = or(_T_8191, _T_8194) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8196 = or(_T_8195, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8197 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8198 = and(_T_8196, _T_8197) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8199 = bits(_T_8198, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8200 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8199 : @[Reg.scala 28:19] _T_8200 <= _T_8188 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][76] <= _T_8200 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8201 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8202 = eq(_T_8201, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8203 = and(ic_valid_ff, _T_8202) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8204 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8205 = and(_T_8203, _T_8204) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8206 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8207 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8208 = and(_T_8206, _T_8207) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8209 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8210 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8211 = and(_T_8209, _T_8210) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8212 = or(_T_8208, _T_8211) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8213 = or(_T_8212, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8214 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8215 = and(_T_8213, _T_8214) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8216 = bits(_T_8215, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][76] <= _T_8200 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8201 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8202 = eq(_T_8201, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8203 = and(ic_valid_ff, _T_8202) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8204 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8205 = and(_T_8203, _T_8204) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8206 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8207 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8208 = and(_T_8206, _T_8207) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8209 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8210 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8211 = and(_T_8209, _T_8210) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8212 = or(_T_8208, _T_8211) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8213 = or(_T_8212, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8214 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8215 = and(_T_8213, _T_8214) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8216 = bits(_T_8215, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8217 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8216 : @[Reg.scala 28:19] _T_8217 <= _T_8205 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][77] <= _T_8217 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8218 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8219 = eq(_T_8218, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8220 = and(ic_valid_ff, _T_8219) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8221 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8222 = and(_T_8220, _T_8221) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8223 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8224 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8225 = and(_T_8223, _T_8224) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8226 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8227 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8228 = and(_T_8226, _T_8227) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8229 = or(_T_8225, _T_8228) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8230 = or(_T_8229, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8231 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8232 = and(_T_8230, _T_8231) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8233 = bits(_T_8232, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][77] <= _T_8217 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8218 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8219 = eq(_T_8218, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8220 = and(ic_valid_ff, _T_8219) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8221 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8222 = and(_T_8220, _T_8221) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8223 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8224 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8225 = and(_T_8223, _T_8224) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8226 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8227 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8228 = and(_T_8226, _T_8227) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8229 = or(_T_8225, _T_8228) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8230 = or(_T_8229, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8231 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8232 = and(_T_8230, _T_8231) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8233 = bits(_T_8232, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8234 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8233 : @[Reg.scala 28:19] _T_8234 <= _T_8222 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][78] <= _T_8234 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8235 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8236 = eq(_T_8235, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8237 = and(ic_valid_ff, _T_8236) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8238 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8239 = and(_T_8237, _T_8238) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8240 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8241 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8242 = and(_T_8240, _T_8241) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8243 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8244 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8245 = and(_T_8243, _T_8244) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8246 = or(_T_8242, _T_8245) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8247 = or(_T_8246, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8248 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8249 = and(_T_8247, _T_8248) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8250 = bits(_T_8249, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][78] <= _T_8234 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8235 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8236 = eq(_T_8235, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8237 = and(ic_valid_ff, _T_8236) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8238 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8239 = and(_T_8237, _T_8238) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8240 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8241 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8242 = and(_T_8240, _T_8241) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8243 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8244 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8245 = and(_T_8243, _T_8244) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8246 = or(_T_8242, _T_8245) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8247 = or(_T_8246, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8248 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8249 = and(_T_8247, _T_8248) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8250 = bits(_T_8249, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8251 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8250 : @[Reg.scala 28:19] _T_8251 <= _T_8239 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][79] <= _T_8251 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8252 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8253 = eq(_T_8252, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8254 = and(ic_valid_ff, _T_8253) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8255 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8256 = and(_T_8254, _T_8255) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8257 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8258 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8259 = and(_T_8257, _T_8258) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8260 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8261 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8262 = and(_T_8260, _T_8261) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8263 = or(_T_8259, _T_8262) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8264 = or(_T_8263, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8265 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8266 = and(_T_8264, _T_8265) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8267 = bits(_T_8266, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][79] <= _T_8251 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8252 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8253 = eq(_T_8252, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8254 = and(ic_valid_ff, _T_8253) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8255 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8256 = and(_T_8254, _T_8255) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8257 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8258 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8259 = and(_T_8257, _T_8258) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8260 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8261 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8262 = and(_T_8260, _T_8261) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8263 = or(_T_8259, _T_8262) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8264 = or(_T_8263, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8265 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8266 = and(_T_8264, _T_8265) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8267 = bits(_T_8266, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8268 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8267 : @[Reg.scala 28:19] _T_8268 <= _T_8256 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][80] <= _T_8268 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8269 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8270 = eq(_T_8269, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8271 = and(ic_valid_ff, _T_8270) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8272 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8273 = and(_T_8271, _T_8272) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8274 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8275 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8276 = and(_T_8274, _T_8275) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8277 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8278 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8279 = and(_T_8277, _T_8278) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8280 = or(_T_8276, _T_8279) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8281 = or(_T_8280, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8282 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8283 = and(_T_8281, _T_8282) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8284 = bits(_T_8283, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][80] <= _T_8268 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8269 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8270 = eq(_T_8269, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8271 = and(ic_valid_ff, _T_8270) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8272 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8273 = and(_T_8271, _T_8272) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8274 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8275 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8276 = and(_T_8274, _T_8275) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8277 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8278 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8279 = and(_T_8277, _T_8278) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8280 = or(_T_8276, _T_8279) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8281 = or(_T_8280, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8282 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8283 = and(_T_8281, _T_8282) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8284 = bits(_T_8283, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8285 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8284 : @[Reg.scala 28:19] _T_8285 <= _T_8273 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][81] <= _T_8285 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8286 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8287 = eq(_T_8286, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8288 = and(ic_valid_ff, _T_8287) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8289 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8290 = and(_T_8288, _T_8289) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8291 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8292 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8293 = and(_T_8291, _T_8292) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8294 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8295 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8296 = and(_T_8294, _T_8295) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8297 = or(_T_8293, _T_8296) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8298 = or(_T_8297, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8299 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8300 = and(_T_8298, _T_8299) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8301 = bits(_T_8300, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][81] <= _T_8285 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8286 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8287 = eq(_T_8286, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8288 = and(ic_valid_ff, _T_8287) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8289 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8290 = and(_T_8288, _T_8289) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8291 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8292 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8293 = and(_T_8291, _T_8292) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8294 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8295 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8296 = and(_T_8294, _T_8295) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8297 = or(_T_8293, _T_8296) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8298 = or(_T_8297, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8299 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8300 = and(_T_8298, _T_8299) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8301 = bits(_T_8300, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8302 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8301 : @[Reg.scala 28:19] _T_8302 <= _T_8290 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][82] <= _T_8302 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8303 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8304 = eq(_T_8303, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8305 = and(ic_valid_ff, _T_8304) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8306 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8307 = and(_T_8305, _T_8306) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8308 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8309 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8310 = and(_T_8308, _T_8309) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8311 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8312 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8313 = and(_T_8311, _T_8312) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8314 = or(_T_8310, _T_8313) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8315 = or(_T_8314, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8316 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8317 = and(_T_8315, _T_8316) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8318 = bits(_T_8317, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][82] <= _T_8302 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8303 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8304 = eq(_T_8303, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8305 = and(ic_valid_ff, _T_8304) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8306 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8307 = and(_T_8305, _T_8306) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8308 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8309 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8310 = and(_T_8308, _T_8309) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8311 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8312 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8313 = and(_T_8311, _T_8312) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8314 = or(_T_8310, _T_8313) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8315 = or(_T_8314, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8316 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8317 = and(_T_8315, _T_8316) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8318 = bits(_T_8317, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8319 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8318 : @[Reg.scala 28:19] _T_8319 <= _T_8307 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][83] <= _T_8319 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8320 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8321 = eq(_T_8320, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8322 = and(ic_valid_ff, _T_8321) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8323 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8324 = and(_T_8322, _T_8323) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8325 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8326 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8327 = and(_T_8325, _T_8326) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8328 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8329 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8330 = and(_T_8328, _T_8329) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8331 = or(_T_8327, _T_8330) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8332 = or(_T_8331, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8333 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8334 = and(_T_8332, _T_8333) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8335 = bits(_T_8334, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][83] <= _T_8319 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8320 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8321 = eq(_T_8320, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8322 = and(ic_valid_ff, _T_8321) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8323 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8324 = and(_T_8322, _T_8323) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8325 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8326 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8327 = and(_T_8325, _T_8326) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8328 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8329 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8330 = and(_T_8328, _T_8329) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8331 = or(_T_8327, _T_8330) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8332 = or(_T_8331, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8333 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8334 = and(_T_8332, _T_8333) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8335 = bits(_T_8334, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8336 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8335 : @[Reg.scala 28:19] _T_8336 <= _T_8324 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][84] <= _T_8336 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8337 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8338 = eq(_T_8337, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8339 = and(ic_valid_ff, _T_8338) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8340 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8341 = and(_T_8339, _T_8340) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8342 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8343 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8344 = and(_T_8342, _T_8343) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8345 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8346 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8347 = and(_T_8345, _T_8346) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8348 = or(_T_8344, _T_8347) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8349 = or(_T_8348, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8350 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8351 = and(_T_8349, _T_8350) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8352 = bits(_T_8351, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][84] <= _T_8336 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8337 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8338 = eq(_T_8337, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8339 = and(ic_valid_ff, _T_8338) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8340 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8341 = and(_T_8339, _T_8340) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8342 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8343 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8344 = and(_T_8342, _T_8343) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8345 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8346 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8347 = and(_T_8345, _T_8346) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8348 = or(_T_8344, _T_8347) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8349 = or(_T_8348, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8350 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8351 = and(_T_8349, _T_8350) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8352 = bits(_T_8351, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8353 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8352 : @[Reg.scala 28:19] _T_8353 <= _T_8341 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][85] <= _T_8353 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8354 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8355 = eq(_T_8354, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8356 = and(ic_valid_ff, _T_8355) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8357 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8358 = and(_T_8356, _T_8357) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8359 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8360 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8361 = and(_T_8359, _T_8360) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8362 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8363 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8364 = and(_T_8362, _T_8363) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8365 = or(_T_8361, _T_8364) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8366 = or(_T_8365, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8367 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8368 = and(_T_8366, _T_8367) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8369 = bits(_T_8368, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][85] <= _T_8353 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8354 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8355 = eq(_T_8354, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8356 = and(ic_valid_ff, _T_8355) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8357 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8358 = and(_T_8356, _T_8357) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8359 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8360 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8361 = and(_T_8359, _T_8360) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8362 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8363 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8364 = and(_T_8362, _T_8363) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8365 = or(_T_8361, _T_8364) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8366 = or(_T_8365, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8367 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8368 = and(_T_8366, _T_8367) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8369 = bits(_T_8368, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8370 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8369 : @[Reg.scala 28:19] _T_8370 <= _T_8358 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][86] <= _T_8370 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8371 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8372 = eq(_T_8371, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8373 = and(ic_valid_ff, _T_8372) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8374 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8375 = and(_T_8373, _T_8374) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8376 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8377 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8378 = and(_T_8376, _T_8377) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8379 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8380 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8381 = and(_T_8379, _T_8380) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8382 = or(_T_8378, _T_8381) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8383 = or(_T_8382, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8384 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8385 = and(_T_8383, _T_8384) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8386 = bits(_T_8385, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][86] <= _T_8370 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8371 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8372 = eq(_T_8371, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8373 = and(ic_valid_ff, _T_8372) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8374 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8375 = and(_T_8373, _T_8374) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8376 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8377 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8378 = and(_T_8376, _T_8377) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8379 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8380 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8381 = and(_T_8379, _T_8380) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8382 = or(_T_8378, _T_8381) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8383 = or(_T_8382, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8384 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8385 = and(_T_8383, _T_8384) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8386 = bits(_T_8385, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8387 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8386 : @[Reg.scala 28:19] _T_8387 <= _T_8375 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][87] <= _T_8387 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8388 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8389 = eq(_T_8388, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8390 = and(ic_valid_ff, _T_8389) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8391 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8392 = and(_T_8390, _T_8391) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8393 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8394 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8395 = and(_T_8393, _T_8394) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8396 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8397 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8398 = and(_T_8396, _T_8397) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8399 = or(_T_8395, _T_8398) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8400 = or(_T_8399, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8401 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8402 = and(_T_8400, _T_8401) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8403 = bits(_T_8402, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][87] <= _T_8387 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8388 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8389 = eq(_T_8388, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8390 = and(ic_valid_ff, _T_8389) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8391 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8392 = and(_T_8390, _T_8391) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8393 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8394 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8395 = and(_T_8393, _T_8394) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8396 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8397 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8398 = and(_T_8396, _T_8397) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8399 = or(_T_8395, _T_8398) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8400 = or(_T_8399, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8401 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8402 = and(_T_8400, _T_8401) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8403 = bits(_T_8402, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8404 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8403 : @[Reg.scala 28:19] _T_8404 <= _T_8392 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][88] <= _T_8404 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8405 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8406 = eq(_T_8405, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8407 = and(ic_valid_ff, _T_8406) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8408 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8409 = and(_T_8407, _T_8408) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8410 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8411 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8412 = and(_T_8410, _T_8411) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8413 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8414 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8415 = and(_T_8413, _T_8414) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8416 = or(_T_8412, _T_8415) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8417 = or(_T_8416, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8418 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8419 = and(_T_8417, _T_8418) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8420 = bits(_T_8419, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][88] <= _T_8404 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8405 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8406 = eq(_T_8405, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8407 = and(ic_valid_ff, _T_8406) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8408 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8409 = and(_T_8407, _T_8408) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8410 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8411 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8412 = and(_T_8410, _T_8411) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8413 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8414 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8415 = and(_T_8413, _T_8414) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8416 = or(_T_8412, _T_8415) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8417 = or(_T_8416, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8418 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8419 = and(_T_8417, _T_8418) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8420 = bits(_T_8419, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8421 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8420 : @[Reg.scala 28:19] _T_8421 <= _T_8409 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][89] <= _T_8421 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8422 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8423 = eq(_T_8422, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8424 = and(ic_valid_ff, _T_8423) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8425 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8426 = and(_T_8424, _T_8425) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8427 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8428 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8429 = and(_T_8427, _T_8428) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8430 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8431 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8432 = and(_T_8430, _T_8431) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8433 = or(_T_8429, _T_8432) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8434 = or(_T_8433, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8435 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8436 = and(_T_8434, _T_8435) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8437 = bits(_T_8436, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][89] <= _T_8421 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8422 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8423 = eq(_T_8422, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8424 = and(ic_valid_ff, _T_8423) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8425 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8426 = and(_T_8424, _T_8425) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8427 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8428 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8429 = and(_T_8427, _T_8428) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8430 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8431 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8432 = and(_T_8430, _T_8431) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8433 = or(_T_8429, _T_8432) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8434 = or(_T_8433, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8435 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8436 = and(_T_8434, _T_8435) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8437 = bits(_T_8436, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8438 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8437 : @[Reg.scala 28:19] _T_8438 <= _T_8426 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][90] <= _T_8438 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8439 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8440 = eq(_T_8439, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8441 = and(ic_valid_ff, _T_8440) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8442 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8443 = and(_T_8441, _T_8442) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8444 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8445 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8446 = and(_T_8444, _T_8445) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8447 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8448 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8449 = and(_T_8447, _T_8448) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8450 = or(_T_8446, _T_8449) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8451 = or(_T_8450, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8452 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8453 = and(_T_8451, _T_8452) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8454 = bits(_T_8453, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][90] <= _T_8438 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8439 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8440 = eq(_T_8439, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8441 = and(ic_valid_ff, _T_8440) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8442 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8443 = and(_T_8441, _T_8442) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8444 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8445 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8446 = and(_T_8444, _T_8445) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8447 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8448 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8449 = and(_T_8447, _T_8448) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8450 = or(_T_8446, _T_8449) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8451 = or(_T_8450, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8452 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8453 = and(_T_8451, _T_8452) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8454 = bits(_T_8453, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8455 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8454 : @[Reg.scala 28:19] _T_8455 <= _T_8443 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][91] <= _T_8455 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8456 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8457 = eq(_T_8456, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8458 = and(ic_valid_ff, _T_8457) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8459 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8460 = and(_T_8458, _T_8459) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8461 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8462 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8463 = and(_T_8461, _T_8462) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8464 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8465 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8466 = and(_T_8464, _T_8465) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8467 = or(_T_8463, _T_8466) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8468 = or(_T_8467, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8469 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8470 = and(_T_8468, _T_8469) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8471 = bits(_T_8470, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][91] <= _T_8455 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8456 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8457 = eq(_T_8456, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8458 = and(ic_valid_ff, _T_8457) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8459 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8460 = and(_T_8458, _T_8459) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8461 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8462 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8463 = and(_T_8461, _T_8462) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8464 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8465 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8466 = and(_T_8464, _T_8465) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8467 = or(_T_8463, _T_8466) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8468 = or(_T_8467, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8469 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8470 = and(_T_8468, _T_8469) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8471 = bits(_T_8470, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8472 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8471 : @[Reg.scala 28:19] _T_8472 <= _T_8460 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][92] <= _T_8472 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8473 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8474 = eq(_T_8473, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8475 = and(ic_valid_ff, _T_8474) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8476 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8477 = and(_T_8475, _T_8476) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8478 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8479 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8480 = and(_T_8478, _T_8479) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8481 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8482 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8483 = and(_T_8481, _T_8482) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8484 = or(_T_8480, _T_8483) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8485 = or(_T_8484, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8486 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8487 = and(_T_8485, _T_8486) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8488 = bits(_T_8487, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][92] <= _T_8472 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8473 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8474 = eq(_T_8473, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8475 = and(ic_valid_ff, _T_8474) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8476 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8477 = and(_T_8475, _T_8476) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8478 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8479 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8480 = and(_T_8478, _T_8479) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8481 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8482 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8483 = and(_T_8481, _T_8482) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8484 = or(_T_8480, _T_8483) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8485 = or(_T_8484, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8486 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8487 = and(_T_8485, _T_8486) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8488 = bits(_T_8487, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8489 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8488 : @[Reg.scala 28:19] _T_8489 <= _T_8477 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][93] <= _T_8489 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8490 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8491 = eq(_T_8490, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8492 = and(ic_valid_ff, _T_8491) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8493 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8494 = and(_T_8492, _T_8493) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8495 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8496 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8497 = and(_T_8495, _T_8496) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8498 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8499 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8500 = and(_T_8498, _T_8499) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8501 = or(_T_8497, _T_8500) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8502 = or(_T_8501, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8503 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8504 = and(_T_8502, _T_8503) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8505 = bits(_T_8504, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][93] <= _T_8489 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8490 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8491 = eq(_T_8490, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8492 = and(ic_valid_ff, _T_8491) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8493 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8494 = and(_T_8492, _T_8493) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8495 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8496 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8497 = and(_T_8495, _T_8496) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8498 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8499 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8500 = and(_T_8498, _T_8499) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8501 = or(_T_8497, _T_8500) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8502 = or(_T_8501, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8503 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8504 = and(_T_8502, _T_8503) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8505 = bits(_T_8504, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8506 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8505 : @[Reg.scala 28:19] _T_8506 <= _T_8494 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][94] <= _T_8506 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8507 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8508 = eq(_T_8507, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8509 = and(ic_valid_ff, _T_8508) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8510 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8511 = and(_T_8509, _T_8510) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8512 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8513 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8514 = and(_T_8512, _T_8513) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8515 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8516 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8517 = and(_T_8515, _T_8516) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8518 = or(_T_8514, _T_8517) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8519 = or(_T_8518, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8520 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8521 = and(_T_8519, _T_8520) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8522 = bits(_T_8521, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][94] <= _T_8506 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8507 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8508 = eq(_T_8507, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8509 = and(ic_valid_ff, _T_8508) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8510 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8511 = and(_T_8509, _T_8510) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8512 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8513 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8514 = and(_T_8512, _T_8513) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8515 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8516 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8517 = and(_T_8515, _T_8516) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8518 = or(_T_8514, _T_8517) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8519 = or(_T_8518, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8520 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8521 = and(_T_8519, _T_8520) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8522 = bits(_T_8521, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8523 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8522 : @[Reg.scala 28:19] _T_8523 <= _T_8511 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][95] <= _T_8523 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8524 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8525 = eq(_T_8524, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8526 = and(ic_valid_ff, _T_8525) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8527 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8528 = and(_T_8526, _T_8527) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8529 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8530 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8531 = and(_T_8529, _T_8530) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8532 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8533 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8534 = and(_T_8532, _T_8533) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8535 = or(_T_8531, _T_8534) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8536 = or(_T_8535, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8537 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8538 = and(_T_8536, _T_8537) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8539 = bits(_T_8538, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][95] <= _T_8523 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8524 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8525 = eq(_T_8524, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8526 = and(ic_valid_ff, _T_8525) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8527 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8528 = and(_T_8526, _T_8527) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8529 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8530 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8531 = and(_T_8529, _T_8530) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8532 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8533 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8534 = and(_T_8532, _T_8533) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8535 = or(_T_8531, _T_8534) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8536 = or(_T_8535, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8537 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8538 = and(_T_8536, _T_8537) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8539 = bits(_T_8538, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8540 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8539 : @[Reg.scala 28:19] _T_8540 <= _T_8528 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][96] <= _T_8540 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8541 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8542 = eq(_T_8541, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8543 = and(ic_valid_ff, _T_8542) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8544 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8545 = and(_T_8543, _T_8544) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8546 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8547 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8548 = and(_T_8546, _T_8547) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8549 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8550 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8551 = and(_T_8549, _T_8550) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8552 = or(_T_8548, _T_8551) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8553 = or(_T_8552, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8554 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8555 = and(_T_8553, _T_8554) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8556 = bits(_T_8555, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][96] <= _T_8540 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8541 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8542 = eq(_T_8541, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8543 = and(ic_valid_ff, _T_8542) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8544 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8545 = and(_T_8543, _T_8544) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8546 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8547 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8548 = and(_T_8546, _T_8547) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8549 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8550 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8551 = and(_T_8549, _T_8550) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8552 = or(_T_8548, _T_8551) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8553 = or(_T_8552, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8554 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8555 = and(_T_8553, _T_8554) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8556 = bits(_T_8555, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8557 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8556 : @[Reg.scala 28:19] _T_8557 <= _T_8545 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][97] <= _T_8557 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8558 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8559 = eq(_T_8558, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8560 = and(ic_valid_ff, _T_8559) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8561 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8562 = and(_T_8560, _T_8561) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8563 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8564 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8565 = and(_T_8563, _T_8564) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8566 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8567 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8568 = and(_T_8566, _T_8567) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8569 = or(_T_8565, _T_8568) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8570 = or(_T_8569, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8571 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8572 = and(_T_8570, _T_8571) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8573 = bits(_T_8572, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][97] <= _T_8557 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8558 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8559 = eq(_T_8558, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8560 = and(ic_valid_ff, _T_8559) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8561 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8562 = and(_T_8560, _T_8561) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8563 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8564 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8565 = and(_T_8563, _T_8564) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8566 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8567 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8568 = and(_T_8566, _T_8567) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8569 = or(_T_8565, _T_8568) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8570 = or(_T_8569, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8571 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8572 = and(_T_8570, _T_8571) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8573 = bits(_T_8572, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8574 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8573 : @[Reg.scala 28:19] _T_8574 <= _T_8562 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][98] <= _T_8574 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8575 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8576 = eq(_T_8575, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8577 = and(ic_valid_ff, _T_8576) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8578 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8579 = and(_T_8577, _T_8578) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8580 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8581 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8582 = and(_T_8580, _T_8581) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8583 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8584 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8585 = and(_T_8583, _T_8584) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8586 = or(_T_8582, _T_8585) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8587 = or(_T_8586, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8588 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8589 = and(_T_8587, _T_8588) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8590 = bits(_T_8589, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][98] <= _T_8574 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8575 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8576 = eq(_T_8575, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8577 = and(ic_valid_ff, _T_8576) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8578 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8579 = and(_T_8577, _T_8578) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8580 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8581 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8582 = and(_T_8580, _T_8581) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8583 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8584 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8585 = and(_T_8583, _T_8584) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8586 = or(_T_8582, _T_8585) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8587 = or(_T_8586, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8588 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8589 = and(_T_8587, _T_8588) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8590 = bits(_T_8589, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8591 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8590 : @[Reg.scala 28:19] _T_8591 <= _T_8579 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][99] <= _T_8591 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8592 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8593 = eq(_T_8592, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8594 = and(ic_valid_ff, _T_8593) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8595 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8596 = and(_T_8594, _T_8595) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8597 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8598 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8599 = and(_T_8597, _T_8598) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8600 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8601 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8602 = and(_T_8600, _T_8601) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8603 = or(_T_8599, _T_8602) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8604 = or(_T_8603, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8605 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8606 = and(_T_8604, _T_8605) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8607 = bits(_T_8606, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][99] <= _T_8591 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8592 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8593 = eq(_T_8592, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8594 = and(ic_valid_ff, _T_8593) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8595 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8596 = and(_T_8594, _T_8595) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8597 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8598 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8599 = and(_T_8597, _T_8598) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8600 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8601 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8602 = and(_T_8600, _T_8601) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8603 = or(_T_8599, _T_8602) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8604 = or(_T_8603, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8605 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8606 = and(_T_8604, _T_8605) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8607 = bits(_T_8606, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8608 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8607 : @[Reg.scala 28:19] _T_8608 <= _T_8596 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][100] <= _T_8608 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8609 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8610 = eq(_T_8609, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8611 = and(ic_valid_ff, _T_8610) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8612 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8613 = and(_T_8611, _T_8612) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8614 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8615 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8616 = and(_T_8614, _T_8615) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8617 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8618 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8619 = and(_T_8617, _T_8618) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8620 = or(_T_8616, _T_8619) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8621 = or(_T_8620, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8622 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8623 = and(_T_8621, _T_8622) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8624 = bits(_T_8623, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][100] <= _T_8608 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8609 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8610 = eq(_T_8609, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8611 = and(ic_valid_ff, _T_8610) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8612 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8613 = and(_T_8611, _T_8612) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8614 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8615 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8616 = and(_T_8614, _T_8615) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8617 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8618 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8619 = and(_T_8617, _T_8618) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8620 = or(_T_8616, _T_8619) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8621 = or(_T_8620, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8622 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8623 = and(_T_8621, _T_8622) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8624 = bits(_T_8623, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8625 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8624 : @[Reg.scala 28:19] _T_8625 <= _T_8613 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][101] <= _T_8625 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8626 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8627 = eq(_T_8626, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8628 = and(ic_valid_ff, _T_8627) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8629 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8630 = and(_T_8628, _T_8629) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8631 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8632 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8633 = and(_T_8631, _T_8632) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8634 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8635 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8636 = and(_T_8634, _T_8635) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8637 = or(_T_8633, _T_8636) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8638 = or(_T_8637, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8639 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8640 = and(_T_8638, _T_8639) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8641 = bits(_T_8640, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][101] <= _T_8625 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8626 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8627 = eq(_T_8626, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8628 = and(ic_valid_ff, _T_8627) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8629 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8630 = and(_T_8628, _T_8629) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8631 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8632 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8633 = and(_T_8631, _T_8632) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8634 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8635 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8636 = and(_T_8634, _T_8635) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8637 = or(_T_8633, _T_8636) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8638 = or(_T_8637, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8639 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8640 = and(_T_8638, _T_8639) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8641 = bits(_T_8640, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8642 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8641 : @[Reg.scala 28:19] _T_8642 <= _T_8630 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][102] <= _T_8642 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8643 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8644 = eq(_T_8643, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8645 = and(ic_valid_ff, _T_8644) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8646 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8647 = and(_T_8645, _T_8646) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8648 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8649 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8650 = and(_T_8648, _T_8649) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8651 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8652 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8653 = and(_T_8651, _T_8652) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8654 = or(_T_8650, _T_8653) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8655 = or(_T_8654, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8656 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8657 = and(_T_8655, _T_8656) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8658 = bits(_T_8657, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][102] <= _T_8642 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8643 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8644 = eq(_T_8643, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8645 = and(ic_valid_ff, _T_8644) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8646 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8647 = and(_T_8645, _T_8646) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8648 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8649 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8650 = and(_T_8648, _T_8649) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8651 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8652 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8653 = and(_T_8651, _T_8652) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8654 = or(_T_8650, _T_8653) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8655 = or(_T_8654, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8656 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8657 = and(_T_8655, _T_8656) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8658 = bits(_T_8657, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8659 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8658 : @[Reg.scala 28:19] _T_8659 <= _T_8647 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][103] <= _T_8659 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8660 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8661 = eq(_T_8660, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8662 = and(ic_valid_ff, _T_8661) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8663 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8664 = and(_T_8662, _T_8663) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8665 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8666 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8667 = and(_T_8665, _T_8666) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8668 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8669 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8670 = and(_T_8668, _T_8669) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8671 = or(_T_8667, _T_8670) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8672 = or(_T_8671, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8673 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8674 = and(_T_8672, _T_8673) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8675 = bits(_T_8674, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][103] <= _T_8659 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8660 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8661 = eq(_T_8660, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8662 = and(ic_valid_ff, _T_8661) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8663 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8664 = and(_T_8662, _T_8663) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8665 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8666 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8667 = and(_T_8665, _T_8666) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8668 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8669 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8670 = and(_T_8668, _T_8669) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8671 = or(_T_8667, _T_8670) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8672 = or(_T_8671, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8673 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8674 = and(_T_8672, _T_8673) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8675 = bits(_T_8674, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8676 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8675 : @[Reg.scala 28:19] _T_8676 <= _T_8664 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][104] <= _T_8676 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8677 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8678 = eq(_T_8677, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8679 = and(ic_valid_ff, _T_8678) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8680 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8681 = and(_T_8679, _T_8680) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8682 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8683 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8684 = and(_T_8682, _T_8683) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8685 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8686 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8687 = and(_T_8685, _T_8686) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8688 = or(_T_8684, _T_8687) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8689 = or(_T_8688, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8690 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8691 = and(_T_8689, _T_8690) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8692 = bits(_T_8691, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][104] <= _T_8676 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8677 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8678 = eq(_T_8677, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8679 = and(ic_valid_ff, _T_8678) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8680 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8681 = and(_T_8679, _T_8680) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8682 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8683 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8684 = and(_T_8682, _T_8683) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8685 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8686 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8687 = and(_T_8685, _T_8686) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8688 = or(_T_8684, _T_8687) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8689 = or(_T_8688, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8690 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8691 = and(_T_8689, _T_8690) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8692 = bits(_T_8691, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8693 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8692 : @[Reg.scala 28:19] _T_8693 <= _T_8681 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][105] <= _T_8693 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8694 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8695 = eq(_T_8694, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8696 = and(ic_valid_ff, _T_8695) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8697 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8698 = and(_T_8696, _T_8697) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8699 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8700 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8701 = and(_T_8699, _T_8700) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8702 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8703 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8704 = and(_T_8702, _T_8703) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8705 = or(_T_8701, _T_8704) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8706 = or(_T_8705, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8707 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8708 = and(_T_8706, _T_8707) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8709 = bits(_T_8708, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][105] <= _T_8693 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8694 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8695 = eq(_T_8694, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8696 = and(ic_valid_ff, _T_8695) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8697 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8698 = and(_T_8696, _T_8697) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8699 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8700 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8701 = and(_T_8699, _T_8700) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8702 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8703 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8704 = and(_T_8702, _T_8703) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8705 = or(_T_8701, _T_8704) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8706 = or(_T_8705, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8707 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8708 = and(_T_8706, _T_8707) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8709 = bits(_T_8708, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8710 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8709 : @[Reg.scala 28:19] _T_8710 <= _T_8698 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][106] <= _T_8710 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8711 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8712 = eq(_T_8711, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8713 = and(ic_valid_ff, _T_8712) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8714 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8715 = and(_T_8713, _T_8714) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8716 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8717 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8718 = and(_T_8716, _T_8717) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8719 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8720 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8721 = and(_T_8719, _T_8720) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8722 = or(_T_8718, _T_8721) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8723 = or(_T_8722, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8724 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8725 = and(_T_8723, _T_8724) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8726 = bits(_T_8725, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][106] <= _T_8710 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8711 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8712 = eq(_T_8711, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8713 = and(ic_valid_ff, _T_8712) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8714 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8715 = and(_T_8713, _T_8714) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8716 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8717 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8718 = and(_T_8716, _T_8717) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8719 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8720 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8721 = and(_T_8719, _T_8720) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8722 = or(_T_8718, _T_8721) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8723 = or(_T_8722, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8724 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8725 = and(_T_8723, _T_8724) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8726 = bits(_T_8725, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8727 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8726 : @[Reg.scala 28:19] _T_8727 <= _T_8715 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][107] <= _T_8727 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8728 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8729 = eq(_T_8728, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8730 = and(ic_valid_ff, _T_8729) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8731 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8732 = and(_T_8730, _T_8731) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8733 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8734 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8735 = and(_T_8733, _T_8734) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8736 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8737 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8738 = and(_T_8736, _T_8737) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8739 = or(_T_8735, _T_8738) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8740 = or(_T_8739, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8741 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8742 = and(_T_8740, _T_8741) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8743 = bits(_T_8742, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][107] <= _T_8727 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8728 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8729 = eq(_T_8728, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8730 = and(ic_valid_ff, _T_8729) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8731 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8732 = and(_T_8730, _T_8731) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8733 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8734 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8735 = and(_T_8733, _T_8734) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8736 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8737 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8738 = and(_T_8736, _T_8737) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8739 = or(_T_8735, _T_8738) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8740 = or(_T_8739, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8741 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8742 = and(_T_8740, _T_8741) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8743 = bits(_T_8742, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8744 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8743 : @[Reg.scala 28:19] _T_8744 <= _T_8732 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][108] <= _T_8744 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8745 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8746 = eq(_T_8745, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8747 = and(ic_valid_ff, _T_8746) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8748 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8749 = and(_T_8747, _T_8748) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8750 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8751 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8752 = and(_T_8750, _T_8751) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8753 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8754 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8755 = and(_T_8753, _T_8754) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8756 = or(_T_8752, _T_8755) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8757 = or(_T_8756, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8758 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8759 = and(_T_8757, _T_8758) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8760 = bits(_T_8759, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][108] <= _T_8744 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8745 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8746 = eq(_T_8745, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8747 = and(ic_valid_ff, _T_8746) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8748 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8749 = and(_T_8747, _T_8748) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8750 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8751 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8752 = and(_T_8750, _T_8751) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8753 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8754 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8755 = and(_T_8753, _T_8754) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8756 = or(_T_8752, _T_8755) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8757 = or(_T_8756, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8758 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8759 = and(_T_8757, _T_8758) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8760 = bits(_T_8759, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8761 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8760 : @[Reg.scala 28:19] _T_8761 <= _T_8749 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][109] <= _T_8761 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8762 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8763 = eq(_T_8762, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8764 = and(ic_valid_ff, _T_8763) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8765 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8766 = and(_T_8764, _T_8765) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8767 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8768 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8769 = and(_T_8767, _T_8768) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8770 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8771 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8772 = and(_T_8770, _T_8771) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8773 = or(_T_8769, _T_8772) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8774 = or(_T_8773, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8775 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8776 = and(_T_8774, _T_8775) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8777 = bits(_T_8776, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][109] <= _T_8761 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8762 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8763 = eq(_T_8762, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8764 = and(ic_valid_ff, _T_8763) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8765 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8766 = and(_T_8764, _T_8765) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8767 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8768 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8769 = and(_T_8767, _T_8768) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8770 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8771 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8772 = and(_T_8770, _T_8771) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8773 = or(_T_8769, _T_8772) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8774 = or(_T_8773, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8775 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8776 = and(_T_8774, _T_8775) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8777 = bits(_T_8776, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8778 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8777 : @[Reg.scala 28:19] _T_8778 <= _T_8766 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][110] <= _T_8778 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8779 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8780 = eq(_T_8779, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8781 = and(ic_valid_ff, _T_8780) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8782 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8783 = and(_T_8781, _T_8782) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8784 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8785 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8786 = and(_T_8784, _T_8785) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8787 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8788 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8789 = and(_T_8787, _T_8788) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8790 = or(_T_8786, _T_8789) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8791 = or(_T_8790, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8792 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8793 = and(_T_8791, _T_8792) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8794 = bits(_T_8793, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][110] <= _T_8778 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8779 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8780 = eq(_T_8779, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8781 = and(ic_valid_ff, _T_8780) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8782 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8783 = and(_T_8781, _T_8782) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8784 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8785 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8786 = and(_T_8784, _T_8785) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8787 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8788 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8789 = and(_T_8787, _T_8788) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8790 = or(_T_8786, _T_8789) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8791 = or(_T_8790, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8792 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8793 = and(_T_8791, _T_8792) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8794 = bits(_T_8793, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8795 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8794 : @[Reg.scala 28:19] _T_8795 <= _T_8783 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][111] <= _T_8795 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8796 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8797 = eq(_T_8796, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8798 = and(ic_valid_ff, _T_8797) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8799 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8800 = and(_T_8798, _T_8799) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8801 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8802 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8803 = and(_T_8801, _T_8802) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8804 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8805 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8806 = and(_T_8804, _T_8805) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8807 = or(_T_8803, _T_8806) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8808 = or(_T_8807, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8809 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8810 = and(_T_8808, _T_8809) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8811 = bits(_T_8810, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][111] <= _T_8795 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8796 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8797 = eq(_T_8796, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8798 = and(ic_valid_ff, _T_8797) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8799 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8800 = and(_T_8798, _T_8799) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8801 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8802 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8803 = and(_T_8801, _T_8802) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8804 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8805 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8806 = and(_T_8804, _T_8805) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8807 = or(_T_8803, _T_8806) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8808 = or(_T_8807, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8809 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8810 = and(_T_8808, _T_8809) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8811 = bits(_T_8810, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8812 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8811 : @[Reg.scala 28:19] _T_8812 <= _T_8800 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][112] <= _T_8812 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8813 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8814 = eq(_T_8813, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8815 = and(ic_valid_ff, _T_8814) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8816 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8817 = and(_T_8815, _T_8816) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8818 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8819 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8820 = and(_T_8818, _T_8819) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8821 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8822 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8823 = and(_T_8821, _T_8822) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8824 = or(_T_8820, _T_8823) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8825 = or(_T_8824, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8826 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8827 = and(_T_8825, _T_8826) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8828 = bits(_T_8827, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][112] <= _T_8812 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8813 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8814 = eq(_T_8813, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8815 = and(ic_valid_ff, _T_8814) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8816 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8817 = and(_T_8815, _T_8816) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8818 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8819 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8820 = and(_T_8818, _T_8819) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8821 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8822 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8823 = and(_T_8821, _T_8822) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8824 = or(_T_8820, _T_8823) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8825 = or(_T_8824, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8826 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8827 = and(_T_8825, _T_8826) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8828 = bits(_T_8827, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8829 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8828 : @[Reg.scala 28:19] _T_8829 <= _T_8817 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][113] <= _T_8829 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8830 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8831 = eq(_T_8830, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8832 = and(ic_valid_ff, _T_8831) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8833 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8834 = and(_T_8832, _T_8833) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8835 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8836 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8837 = and(_T_8835, _T_8836) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8838 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8839 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8840 = and(_T_8838, _T_8839) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8841 = or(_T_8837, _T_8840) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8842 = or(_T_8841, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8843 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8844 = and(_T_8842, _T_8843) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8845 = bits(_T_8844, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][113] <= _T_8829 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8830 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8831 = eq(_T_8830, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8832 = and(ic_valid_ff, _T_8831) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8833 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8834 = and(_T_8832, _T_8833) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8835 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8836 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8837 = and(_T_8835, _T_8836) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8838 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8839 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8840 = and(_T_8838, _T_8839) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8841 = or(_T_8837, _T_8840) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8842 = or(_T_8841, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8843 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8844 = and(_T_8842, _T_8843) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8845 = bits(_T_8844, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8846 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8845 : @[Reg.scala 28:19] _T_8846 <= _T_8834 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][114] <= _T_8846 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8847 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8848 = eq(_T_8847, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8849 = and(ic_valid_ff, _T_8848) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8850 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8851 = and(_T_8849, _T_8850) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8852 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8853 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8854 = and(_T_8852, _T_8853) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8855 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8856 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8857 = and(_T_8855, _T_8856) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8858 = or(_T_8854, _T_8857) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8859 = or(_T_8858, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8860 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8861 = and(_T_8859, _T_8860) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8862 = bits(_T_8861, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][114] <= _T_8846 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8847 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8848 = eq(_T_8847, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8849 = and(ic_valid_ff, _T_8848) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8850 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8851 = and(_T_8849, _T_8850) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8852 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8853 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8854 = and(_T_8852, _T_8853) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8855 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8856 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8857 = and(_T_8855, _T_8856) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8858 = or(_T_8854, _T_8857) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8859 = or(_T_8858, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8860 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8861 = and(_T_8859, _T_8860) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8862 = bits(_T_8861, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8863 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8862 : @[Reg.scala 28:19] _T_8863 <= _T_8851 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][115] <= _T_8863 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8864 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8865 = eq(_T_8864, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8866 = and(ic_valid_ff, _T_8865) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8867 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8868 = and(_T_8866, _T_8867) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8869 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8870 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8871 = and(_T_8869, _T_8870) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8872 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8873 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8874 = and(_T_8872, _T_8873) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8875 = or(_T_8871, _T_8874) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8876 = or(_T_8875, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8877 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8878 = and(_T_8876, _T_8877) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8879 = bits(_T_8878, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][115] <= _T_8863 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8864 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8865 = eq(_T_8864, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8866 = and(ic_valid_ff, _T_8865) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8867 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8868 = and(_T_8866, _T_8867) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8869 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8870 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8871 = and(_T_8869, _T_8870) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8872 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8873 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8874 = and(_T_8872, _T_8873) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8875 = or(_T_8871, _T_8874) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8876 = or(_T_8875, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8877 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8878 = and(_T_8876, _T_8877) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8879 = bits(_T_8878, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8880 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8879 : @[Reg.scala 28:19] _T_8880 <= _T_8868 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][116] <= _T_8880 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8881 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8882 = eq(_T_8881, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8883 = and(ic_valid_ff, _T_8882) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8884 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8885 = and(_T_8883, _T_8884) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8886 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8887 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8888 = and(_T_8886, _T_8887) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8889 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8890 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8891 = and(_T_8889, _T_8890) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8892 = or(_T_8888, _T_8891) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8893 = or(_T_8892, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8894 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8895 = and(_T_8893, _T_8894) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8896 = bits(_T_8895, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][116] <= _T_8880 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8881 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8882 = eq(_T_8881, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8883 = and(ic_valid_ff, _T_8882) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8884 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8885 = and(_T_8883, _T_8884) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8886 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8887 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8888 = and(_T_8886, _T_8887) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8889 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8890 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8891 = and(_T_8889, _T_8890) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8892 = or(_T_8888, _T_8891) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8893 = or(_T_8892, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8894 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8895 = and(_T_8893, _T_8894) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8896 = bits(_T_8895, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8897 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8896 : @[Reg.scala 28:19] _T_8897 <= _T_8885 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][117] <= _T_8897 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8898 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8899 = eq(_T_8898, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8900 = and(ic_valid_ff, _T_8899) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8901 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8902 = and(_T_8900, _T_8901) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8903 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8904 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8905 = and(_T_8903, _T_8904) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8906 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8907 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8908 = and(_T_8906, _T_8907) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8909 = or(_T_8905, _T_8908) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8910 = or(_T_8909, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8911 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8912 = and(_T_8910, _T_8911) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8913 = bits(_T_8912, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][117] <= _T_8897 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8898 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8899 = eq(_T_8898, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8900 = and(ic_valid_ff, _T_8899) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8901 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8902 = and(_T_8900, _T_8901) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8903 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8904 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8905 = and(_T_8903, _T_8904) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8906 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8907 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8908 = and(_T_8906, _T_8907) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8909 = or(_T_8905, _T_8908) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8910 = or(_T_8909, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8911 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8912 = and(_T_8910, _T_8911) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8913 = bits(_T_8912, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8914 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8913 : @[Reg.scala 28:19] _T_8914 <= _T_8902 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][118] <= _T_8914 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8915 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8916 = eq(_T_8915, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8917 = and(ic_valid_ff, _T_8916) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8918 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8919 = and(_T_8917, _T_8918) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8920 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8921 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8922 = and(_T_8920, _T_8921) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8923 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8924 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8925 = and(_T_8923, _T_8924) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8926 = or(_T_8922, _T_8925) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8927 = or(_T_8926, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8928 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8929 = and(_T_8927, _T_8928) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8930 = bits(_T_8929, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][118] <= _T_8914 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8915 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8916 = eq(_T_8915, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8917 = and(ic_valid_ff, _T_8916) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8918 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8919 = and(_T_8917, _T_8918) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8920 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8921 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8922 = and(_T_8920, _T_8921) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8923 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8924 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8925 = and(_T_8923, _T_8924) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8926 = or(_T_8922, _T_8925) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8927 = or(_T_8926, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8928 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8929 = and(_T_8927, _T_8928) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8930 = bits(_T_8929, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8931 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8930 : @[Reg.scala 28:19] _T_8931 <= _T_8919 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][119] <= _T_8931 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8932 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8933 = eq(_T_8932, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8934 = and(ic_valid_ff, _T_8933) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8935 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8936 = and(_T_8934, _T_8935) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8937 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8938 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8939 = and(_T_8937, _T_8938) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8940 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8941 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8942 = and(_T_8940, _T_8941) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8943 = or(_T_8939, _T_8942) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8944 = or(_T_8943, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8945 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8946 = and(_T_8944, _T_8945) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8947 = bits(_T_8946, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][119] <= _T_8931 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8932 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8933 = eq(_T_8932, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8934 = and(ic_valid_ff, _T_8933) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8935 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8936 = and(_T_8934, _T_8935) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8937 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8938 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8939 = and(_T_8937, _T_8938) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8940 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8941 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8942 = and(_T_8940, _T_8941) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8943 = or(_T_8939, _T_8942) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8944 = or(_T_8943, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8945 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8946 = and(_T_8944, _T_8945) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8947 = bits(_T_8946, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8948 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8947 : @[Reg.scala 28:19] _T_8948 <= _T_8936 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][120] <= _T_8948 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8949 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8950 = eq(_T_8949, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8951 = and(ic_valid_ff, _T_8950) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8952 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8953 = and(_T_8951, _T_8952) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8954 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8955 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8956 = and(_T_8954, _T_8955) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8957 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8958 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8959 = and(_T_8957, _T_8958) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8960 = or(_T_8956, _T_8959) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8961 = or(_T_8960, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8962 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8963 = and(_T_8961, _T_8962) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8964 = bits(_T_8963, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][120] <= _T_8948 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8949 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8950 = eq(_T_8949, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8951 = and(ic_valid_ff, _T_8950) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8952 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8953 = and(_T_8951, _T_8952) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8954 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8955 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8956 = and(_T_8954, _T_8955) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8957 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8958 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8959 = and(_T_8957, _T_8958) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8960 = or(_T_8956, _T_8959) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8961 = or(_T_8960, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8962 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8963 = and(_T_8961, _T_8962) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8964 = bits(_T_8963, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8965 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8964 : @[Reg.scala 28:19] _T_8965 <= _T_8953 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][121] <= _T_8965 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8966 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8967 = eq(_T_8966, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8968 = and(ic_valid_ff, _T_8967) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8969 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8970 = and(_T_8968, _T_8969) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8971 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8972 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8973 = and(_T_8971, _T_8972) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8974 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8975 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8976 = and(_T_8974, _T_8975) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8977 = or(_T_8973, _T_8976) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8978 = or(_T_8977, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8979 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8980 = and(_T_8978, _T_8979) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8981 = bits(_T_8980, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][121] <= _T_8965 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8966 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8967 = eq(_T_8966, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8968 = and(ic_valid_ff, _T_8967) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8969 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8970 = and(_T_8968, _T_8969) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8971 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8972 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8973 = and(_T_8971, _T_8972) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8974 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8975 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8976 = and(_T_8974, _T_8975) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8977 = or(_T_8973, _T_8976) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8978 = or(_T_8977, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8979 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8980 = and(_T_8978, _T_8979) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8981 = bits(_T_8980, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8982 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8981 : @[Reg.scala 28:19] _T_8982 <= _T_8970 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][122] <= _T_8982 @[el2_ifu_mem_ctl.scala 760:41] - node _T_8983 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_8984 = eq(_T_8983, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_8985 = and(ic_valid_ff, _T_8984) @[el2_ifu_mem_ctl.scala 760:66] - node _T_8986 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_8987 = and(_T_8985, _T_8986) @[el2_ifu_mem_ctl.scala 760:91] - node _T_8988 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_8989 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_8990 = and(_T_8988, _T_8989) @[el2_ifu_mem_ctl.scala 761:59] - node _T_8991 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_8992 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_8993 = and(_T_8991, _T_8992) @[el2_ifu_mem_ctl.scala 761:124] - node _T_8994 = or(_T_8990, _T_8993) @[el2_ifu_mem_ctl.scala 761:81] - node _T_8995 = or(_T_8994, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_8996 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_8997 = and(_T_8995, _T_8996) @[el2_ifu_mem_ctl.scala 761:165] - node _T_8998 = bits(_T_8997, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][122] <= _T_8982 @[el2_ifu_mem_ctl.scala 755:41] + node _T_8983 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_8984 = eq(_T_8983, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_8985 = and(ic_valid_ff, _T_8984) @[el2_ifu_mem_ctl.scala 755:66] + node _T_8986 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_8987 = and(_T_8985, _T_8986) @[el2_ifu_mem_ctl.scala 755:91] + node _T_8988 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_8989 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_8990 = and(_T_8988, _T_8989) @[el2_ifu_mem_ctl.scala 756:59] + node _T_8991 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_8992 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_8993 = and(_T_8991, _T_8992) @[el2_ifu_mem_ctl.scala 756:124] + node _T_8994 = or(_T_8990, _T_8993) @[el2_ifu_mem_ctl.scala 756:81] + node _T_8995 = or(_T_8994, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_8996 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_8997 = and(_T_8995, _T_8996) @[el2_ifu_mem_ctl.scala 756:165] + node _T_8998 = bits(_T_8997, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_8999 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8998 : @[Reg.scala 28:19] _T_8999 <= _T_8987 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][123] <= _T_8999 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9000 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9001 = eq(_T_9000, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9002 = and(ic_valid_ff, _T_9001) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9003 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9004 = and(_T_9002, _T_9003) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9005 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9006 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9007 = and(_T_9005, _T_9006) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9008 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9009 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9010 = and(_T_9008, _T_9009) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9011 = or(_T_9007, _T_9010) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9012 = or(_T_9011, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9013 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9014 = and(_T_9012, _T_9013) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9015 = bits(_T_9014, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][123] <= _T_8999 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9000 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9001 = eq(_T_9000, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9002 = and(ic_valid_ff, _T_9001) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9003 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9004 = and(_T_9002, _T_9003) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9005 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9006 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9007 = and(_T_9005, _T_9006) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9008 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9009 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9010 = and(_T_9008, _T_9009) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9011 = or(_T_9007, _T_9010) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9012 = or(_T_9011, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9013 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9014 = and(_T_9012, _T_9013) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9015 = bits(_T_9014, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9016 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9015 : @[Reg.scala 28:19] _T_9016 <= _T_9004 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][124] <= _T_9016 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9017 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9018 = eq(_T_9017, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9019 = and(ic_valid_ff, _T_9018) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9020 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9021 = and(_T_9019, _T_9020) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9022 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9023 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9024 = and(_T_9022, _T_9023) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9025 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9026 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9027 = and(_T_9025, _T_9026) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9028 = or(_T_9024, _T_9027) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9029 = or(_T_9028, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9030 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9031 = and(_T_9029, _T_9030) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9032 = bits(_T_9031, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][124] <= _T_9016 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9017 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9018 = eq(_T_9017, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9019 = and(ic_valid_ff, _T_9018) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9020 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9021 = and(_T_9019, _T_9020) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9022 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9023 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9024 = and(_T_9022, _T_9023) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9025 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9026 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9027 = and(_T_9025, _T_9026) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9028 = or(_T_9024, _T_9027) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9029 = or(_T_9028, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9030 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9031 = and(_T_9029, _T_9030) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9032 = bits(_T_9031, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9033 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9032 : @[Reg.scala 28:19] _T_9033 <= _T_9021 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][125] <= _T_9033 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9034 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9035 = eq(_T_9034, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9036 = and(ic_valid_ff, _T_9035) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9037 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9038 = and(_T_9036, _T_9037) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9039 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9040 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9041 = and(_T_9039, _T_9040) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9042 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9043 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9044 = and(_T_9042, _T_9043) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9045 = or(_T_9041, _T_9044) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9046 = or(_T_9045, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9047 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9048 = and(_T_9046, _T_9047) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9049 = bits(_T_9048, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][125] <= _T_9033 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9034 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9035 = eq(_T_9034, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9036 = and(ic_valid_ff, _T_9035) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9037 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9038 = and(_T_9036, _T_9037) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9039 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9040 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9041 = and(_T_9039, _T_9040) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9042 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9043 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9044 = and(_T_9042, _T_9043) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9045 = or(_T_9041, _T_9044) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9046 = or(_T_9045, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9047 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9048 = and(_T_9046, _T_9047) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9049 = bits(_T_9048, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9050 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9049 : @[Reg.scala 28:19] _T_9050 <= _T_9038 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][126] <= _T_9050 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9051 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9052 = eq(_T_9051, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9053 = and(ic_valid_ff, _T_9052) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9054 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9055 = and(_T_9053, _T_9054) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9056 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9057 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9058 = and(_T_9056, _T_9057) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9059 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9060 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9061 = and(_T_9059, _T_9060) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9062 = or(_T_9058, _T_9061) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9063 = or(_T_9062, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9064 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9065 = and(_T_9063, _T_9064) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9066 = bits(_T_9065, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][126] <= _T_9050 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9051 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9052 = eq(_T_9051, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9053 = and(ic_valid_ff, _T_9052) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9054 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9055 = and(_T_9053, _T_9054) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9056 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9057 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9058 = and(_T_9056, _T_9057) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9059 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9060 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9061 = and(_T_9059, _T_9060) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9062 = or(_T_9058, _T_9061) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9063 = or(_T_9062, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9064 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9065 = and(_T_9063, _T_9064) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9066 = bits(_T_9065, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9067 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9066 : @[Reg.scala 28:19] _T_9067 <= _T_9055 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][127] <= _T_9067 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9068 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9069 = eq(_T_9068, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9070 = and(ic_valid_ff, _T_9069) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9071 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9072 = and(_T_9070, _T_9071) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9073 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9074 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9075 = and(_T_9073, _T_9074) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9076 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9077 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9078 = and(_T_9076, _T_9077) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9079 = or(_T_9075, _T_9078) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9080 = or(_T_9079, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9081 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9082 = and(_T_9080, _T_9081) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9083 = bits(_T_9082, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[0][127] <= _T_9067 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9068 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9069 = eq(_T_9068, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9070 = and(ic_valid_ff, _T_9069) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9071 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9072 = and(_T_9070, _T_9071) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9073 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9074 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9075 = and(_T_9073, _T_9074) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9076 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9077 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9078 = and(_T_9076, _T_9077) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9079 = or(_T_9075, _T_9078) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9080 = or(_T_9079, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9081 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9082 = and(_T_9080, _T_9081) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9083 = bits(_T_9082, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9084 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9083 : @[Reg.scala 28:19] _T_9084 <= _T_9072 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][96] <= _T_9084 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9085 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9086 = eq(_T_9085, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9087 = and(ic_valid_ff, _T_9086) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9088 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9089 = and(_T_9087, _T_9088) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9090 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9091 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9092 = and(_T_9090, _T_9091) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9093 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9094 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9095 = and(_T_9093, _T_9094) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9096 = or(_T_9092, _T_9095) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9097 = or(_T_9096, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9098 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9099 = and(_T_9097, _T_9098) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9100 = bits(_T_9099, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][96] <= _T_9084 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9085 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9086 = eq(_T_9085, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9087 = and(ic_valid_ff, _T_9086) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9088 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9089 = and(_T_9087, _T_9088) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9090 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9091 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9092 = and(_T_9090, _T_9091) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9093 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9094 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9095 = and(_T_9093, _T_9094) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9096 = or(_T_9092, _T_9095) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9097 = or(_T_9096, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9098 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9099 = and(_T_9097, _T_9098) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9100 = bits(_T_9099, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9101 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9100 : @[Reg.scala 28:19] _T_9101 <= _T_9089 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][97] <= _T_9101 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9102 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9103 = eq(_T_9102, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9104 = and(ic_valid_ff, _T_9103) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9105 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9106 = and(_T_9104, _T_9105) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9107 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9108 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9109 = and(_T_9107, _T_9108) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9110 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9111 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9112 = and(_T_9110, _T_9111) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9113 = or(_T_9109, _T_9112) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9114 = or(_T_9113, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9115 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9116 = and(_T_9114, _T_9115) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9117 = bits(_T_9116, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][97] <= _T_9101 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9102 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9103 = eq(_T_9102, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9104 = and(ic_valid_ff, _T_9103) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9105 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9106 = and(_T_9104, _T_9105) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9107 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9108 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9109 = and(_T_9107, _T_9108) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9110 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9111 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9112 = and(_T_9110, _T_9111) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9113 = or(_T_9109, _T_9112) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9114 = or(_T_9113, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9115 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9116 = and(_T_9114, _T_9115) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9117 = bits(_T_9116, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9118 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9117 : @[Reg.scala 28:19] _T_9118 <= _T_9106 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][98] <= _T_9118 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9119 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9120 = eq(_T_9119, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9121 = and(ic_valid_ff, _T_9120) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9122 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9123 = and(_T_9121, _T_9122) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9124 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9125 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9126 = and(_T_9124, _T_9125) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9127 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9128 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9129 = and(_T_9127, _T_9128) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9130 = or(_T_9126, _T_9129) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9131 = or(_T_9130, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9132 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9133 = and(_T_9131, _T_9132) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9134 = bits(_T_9133, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][98] <= _T_9118 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9119 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9120 = eq(_T_9119, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9121 = and(ic_valid_ff, _T_9120) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9122 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9123 = and(_T_9121, _T_9122) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9124 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9125 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9126 = and(_T_9124, _T_9125) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9127 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9128 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9129 = and(_T_9127, _T_9128) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9130 = or(_T_9126, _T_9129) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9131 = or(_T_9130, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9132 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9133 = and(_T_9131, _T_9132) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9134 = bits(_T_9133, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9135 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9134 : @[Reg.scala 28:19] _T_9135 <= _T_9123 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][99] <= _T_9135 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9136 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9137 = eq(_T_9136, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9138 = and(ic_valid_ff, _T_9137) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9139 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9140 = and(_T_9138, _T_9139) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9141 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9142 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9143 = and(_T_9141, _T_9142) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9144 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9145 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9146 = and(_T_9144, _T_9145) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9147 = or(_T_9143, _T_9146) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9148 = or(_T_9147, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9149 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9150 = and(_T_9148, _T_9149) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9151 = bits(_T_9150, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][99] <= _T_9135 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9136 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9137 = eq(_T_9136, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9138 = and(ic_valid_ff, _T_9137) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9139 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9140 = and(_T_9138, _T_9139) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9141 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9142 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9143 = and(_T_9141, _T_9142) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9144 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9145 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9146 = and(_T_9144, _T_9145) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9147 = or(_T_9143, _T_9146) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9148 = or(_T_9147, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9149 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9150 = and(_T_9148, _T_9149) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9151 = bits(_T_9150, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9152 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9151 : @[Reg.scala 28:19] _T_9152 <= _T_9140 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][100] <= _T_9152 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9153 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9154 = eq(_T_9153, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9155 = and(ic_valid_ff, _T_9154) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9156 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9157 = and(_T_9155, _T_9156) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9158 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9159 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9160 = and(_T_9158, _T_9159) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9161 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9162 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9163 = and(_T_9161, _T_9162) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9164 = or(_T_9160, _T_9163) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9165 = or(_T_9164, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9166 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9167 = and(_T_9165, _T_9166) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9168 = bits(_T_9167, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][100] <= _T_9152 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9153 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9154 = eq(_T_9153, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9155 = and(ic_valid_ff, _T_9154) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9156 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9157 = and(_T_9155, _T_9156) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9158 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9159 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9160 = and(_T_9158, _T_9159) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9161 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9162 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9163 = and(_T_9161, _T_9162) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9164 = or(_T_9160, _T_9163) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9165 = or(_T_9164, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9166 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9167 = and(_T_9165, _T_9166) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9168 = bits(_T_9167, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9169 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9168 : @[Reg.scala 28:19] _T_9169 <= _T_9157 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][101] <= _T_9169 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9170 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9171 = eq(_T_9170, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9172 = and(ic_valid_ff, _T_9171) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9173 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9174 = and(_T_9172, _T_9173) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9175 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9176 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9177 = and(_T_9175, _T_9176) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9178 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9179 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9180 = and(_T_9178, _T_9179) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9181 = or(_T_9177, _T_9180) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9182 = or(_T_9181, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9183 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9184 = and(_T_9182, _T_9183) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9185 = bits(_T_9184, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][101] <= _T_9169 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9170 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9171 = eq(_T_9170, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9172 = and(ic_valid_ff, _T_9171) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9173 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9174 = and(_T_9172, _T_9173) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9175 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9176 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9177 = and(_T_9175, _T_9176) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9178 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9179 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9180 = and(_T_9178, _T_9179) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9181 = or(_T_9177, _T_9180) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9182 = or(_T_9181, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9183 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9184 = and(_T_9182, _T_9183) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9185 = bits(_T_9184, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9186 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9185 : @[Reg.scala 28:19] _T_9186 <= _T_9174 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][102] <= _T_9186 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9187 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9188 = eq(_T_9187, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9189 = and(ic_valid_ff, _T_9188) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9190 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9191 = and(_T_9189, _T_9190) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9192 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9193 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9194 = and(_T_9192, _T_9193) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9195 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9196 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9197 = and(_T_9195, _T_9196) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9198 = or(_T_9194, _T_9197) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9199 = or(_T_9198, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9200 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9201 = and(_T_9199, _T_9200) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9202 = bits(_T_9201, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][102] <= _T_9186 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9187 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9188 = eq(_T_9187, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9189 = and(ic_valid_ff, _T_9188) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9190 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9191 = and(_T_9189, _T_9190) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9192 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9193 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9194 = and(_T_9192, _T_9193) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9195 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9196 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9197 = and(_T_9195, _T_9196) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9198 = or(_T_9194, _T_9197) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9199 = or(_T_9198, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9200 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9201 = and(_T_9199, _T_9200) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9202 = bits(_T_9201, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9203 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9202 : @[Reg.scala 28:19] _T_9203 <= _T_9191 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][103] <= _T_9203 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9204 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9205 = eq(_T_9204, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9206 = and(ic_valid_ff, _T_9205) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9207 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9208 = and(_T_9206, _T_9207) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9209 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9210 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9211 = and(_T_9209, _T_9210) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9212 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9213 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9214 = and(_T_9212, _T_9213) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9215 = or(_T_9211, _T_9214) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9216 = or(_T_9215, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9217 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9218 = and(_T_9216, _T_9217) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9219 = bits(_T_9218, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][103] <= _T_9203 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9204 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9205 = eq(_T_9204, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9206 = and(ic_valid_ff, _T_9205) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9207 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9208 = and(_T_9206, _T_9207) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9209 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9210 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9211 = and(_T_9209, _T_9210) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9212 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9213 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9214 = and(_T_9212, _T_9213) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9215 = or(_T_9211, _T_9214) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9216 = or(_T_9215, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9217 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9218 = and(_T_9216, _T_9217) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9219 = bits(_T_9218, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9220 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9219 : @[Reg.scala 28:19] _T_9220 <= _T_9208 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][104] <= _T_9220 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9221 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9222 = eq(_T_9221, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9223 = and(ic_valid_ff, _T_9222) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9224 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9225 = and(_T_9223, _T_9224) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9226 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9227 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9228 = and(_T_9226, _T_9227) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9229 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9230 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9231 = and(_T_9229, _T_9230) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9232 = or(_T_9228, _T_9231) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9233 = or(_T_9232, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9234 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9235 = and(_T_9233, _T_9234) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9236 = bits(_T_9235, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][104] <= _T_9220 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9221 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9222 = eq(_T_9221, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9223 = and(ic_valid_ff, _T_9222) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9224 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9225 = and(_T_9223, _T_9224) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9226 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9227 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9228 = and(_T_9226, _T_9227) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9229 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9230 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9231 = and(_T_9229, _T_9230) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9232 = or(_T_9228, _T_9231) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9233 = or(_T_9232, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9234 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9235 = and(_T_9233, _T_9234) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9236 = bits(_T_9235, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9237 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9236 : @[Reg.scala 28:19] _T_9237 <= _T_9225 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][105] <= _T_9237 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9238 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9239 = eq(_T_9238, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9240 = and(ic_valid_ff, _T_9239) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9241 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9242 = and(_T_9240, _T_9241) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9243 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9244 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9245 = and(_T_9243, _T_9244) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9246 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9247 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9248 = and(_T_9246, _T_9247) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9249 = or(_T_9245, _T_9248) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9250 = or(_T_9249, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9251 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9252 = and(_T_9250, _T_9251) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9253 = bits(_T_9252, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][105] <= _T_9237 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9238 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9239 = eq(_T_9238, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9240 = and(ic_valid_ff, _T_9239) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9241 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9242 = and(_T_9240, _T_9241) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9243 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9244 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9245 = and(_T_9243, _T_9244) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9246 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9247 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9248 = and(_T_9246, _T_9247) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9249 = or(_T_9245, _T_9248) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9250 = or(_T_9249, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9251 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9252 = and(_T_9250, _T_9251) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9253 = bits(_T_9252, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9254 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9253 : @[Reg.scala 28:19] _T_9254 <= _T_9242 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][106] <= _T_9254 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9255 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9256 = eq(_T_9255, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9257 = and(ic_valid_ff, _T_9256) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9258 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9259 = and(_T_9257, _T_9258) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9260 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9261 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9262 = and(_T_9260, _T_9261) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9263 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9264 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9265 = and(_T_9263, _T_9264) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9266 = or(_T_9262, _T_9265) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9267 = or(_T_9266, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9268 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9269 = and(_T_9267, _T_9268) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9270 = bits(_T_9269, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][106] <= _T_9254 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9255 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9256 = eq(_T_9255, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9257 = and(ic_valid_ff, _T_9256) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9258 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9259 = and(_T_9257, _T_9258) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9260 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9261 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9262 = and(_T_9260, _T_9261) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9263 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9264 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9265 = and(_T_9263, _T_9264) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9266 = or(_T_9262, _T_9265) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9267 = or(_T_9266, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9268 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9269 = and(_T_9267, _T_9268) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9270 = bits(_T_9269, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9271 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9270 : @[Reg.scala 28:19] _T_9271 <= _T_9259 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][107] <= _T_9271 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9272 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9273 = eq(_T_9272, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9274 = and(ic_valid_ff, _T_9273) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9275 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9276 = and(_T_9274, _T_9275) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9277 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9278 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9279 = and(_T_9277, _T_9278) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9280 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9281 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9282 = and(_T_9280, _T_9281) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9283 = or(_T_9279, _T_9282) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9284 = or(_T_9283, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9285 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9286 = and(_T_9284, _T_9285) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9287 = bits(_T_9286, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][107] <= _T_9271 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9272 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9273 = eq(_T_9272, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9274 = and(ic_valid_ff, _T_9273) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9275 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9276 = and(_T_9274, _T_9275) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9277 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9278 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9279 = and(_T_9277, _T_9278) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9280 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9281 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9282 = and(_T_9280, _T_9281) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9283 = or(_T_9279, _T_9282) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9284 = or(_T_9283, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9285 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9286 = and(_T_9284, _T_9285) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9287 = bits(_T_9286, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9288 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9287 : @[Reg.scala 28:19] _T_9288 <= _T_9276 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][108] <= _T_9288 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9289 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9290 = eq(_T_9289, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9291 = and(ic_valid_ff, _T_9290) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9292 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9293 = and(_T_9291, _T_9292) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9294 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9295 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9296 = and(_T_9294, _T_9295) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9297 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9298 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9299 = and(_T_9297, _T_9298) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9300 = or(_T_9296, _T_9299) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9301 = or(_T_9300, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9302 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9303 = and(_T_9301, _T_9302) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9304 = bits(_T_9303, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][108] <= _T_9288 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9289 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9290 = eq(_T_9289, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9291 = and(ic_valid_ff, _T_9290) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9292 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9293 = and(_T_9291, _T_9292) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9294 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9295 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9296 = and(_T_9294, _T_9295) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9297 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9298 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9299 = and(_T_9297, _T_9298) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9300 = or(_T_9296, _T_9299) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9301 = or(_T_9300, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9302 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9303 = and(_T_9301, _T_9302) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9304 = bits(_T_9303, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9305 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9304 : @[Reg.scala 28:19] _T_9305 <= _T_9293 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][109] <= _T_9305 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9306 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9307 = eq(_T_9306, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9308 = and(ic_valid_ff, _T_9307) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9309 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9310 = and(_T_9308, _T_9309) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9311 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9312 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9313 = and(_T_9311, _T_9312) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9314 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9315 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9316 = and(_T_9314, _T_9315) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9317 = or(_T_9313, _T_9316) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9318 = or(_T_9317, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9319 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9320 = and(_T_9318, _T_9319) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9321 = bits(_T_9320, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][109] <= _T_9305 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9306 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9307 = eq(_T_9306, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9308 = and(ic_valid_ff, _T_9307) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9309 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9310 = and(_T_9308, _T_9309) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9311 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9312 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9313 = and(_T_9311, _T_9312) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9314 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9315 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9316 = and(_T_9314, _T_9315) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9317 = or(_T_9313, _T_9316) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9318 = or(_T_9317, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9319 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9320 = and(_T_9318, _T_9319) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9321 = bits(_T_9320, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9322 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9321 : @[Reg.scala 28:19] _T_9322 <= _T_9310 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][110] <= _T_9322 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9323 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9324 = eq(_T_9323, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9325 = and(ic_valid_ff, _T_9324) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9326 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9327 = and(_T_9325, _T_9326) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9328 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9329 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9330 = and(_T_9328, _T_9329) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9331 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9332 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9333 = and(_T_9331, _T_9332) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9334 = or(_T_9330, _T_9333) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9335 = or(_T_9334, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9336 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9337 = and(_T_9335, _T_9336) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9338 = bits(_T_9337, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][110] <= _T_9322 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9323 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9324 = eq(_T_9323, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9325 = and(ic_valid_ff, _T_9324) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9326 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9327 = and(_T_9325, _T_9326) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9328 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9329 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9330 = and(_T_9328, _T_9329) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9331 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9332 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9333 = and(_T_9331, _T_9332) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9334 = or(_T_9330, _T_9333) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9335 = or(_T_9334, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9336 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9337 = and(_T_9335, _T_9336) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9338 = bits(_T_9337, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9339 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9338 : @[Reg.scala 28:19] _T_9339 <= _T_9327 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][111] <= _T_9339 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9340 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9341 = eq(_T_9340, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9342 = and(ic_valid_ff, _T_9341) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9343 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9344 = and(_T_9342, _T_9343) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9345 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9346 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9347 = and(_T_9345, _T_9346) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9348 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9349 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9350 = and(_T_9348, _T_9349) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9351 = or(_T_9347, _T_9350) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9352 = or(_T_9351, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9353 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9354 = and(_T_9352, _T_9353) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9355 = bits(_T_9354, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][111] <= _T_9339 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9340 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9341 = eq(_T_9340, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9342 = and(ic_valid_ff, _T_9341) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9343 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9344 = and(_T_9342, _T_9343) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9345 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9346 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9347 = and(_T_9345, _T_9346) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9348 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9349 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9350 = and(_T_9348, _T_9349) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9351 = or(_T_9347, _T_9350) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9352 = or(_T_9351, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9353 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9354 = and(_T_9352, _T_9353) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9355 = bits(_T_9354, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9356 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9355 : @[Reg.scala 28:19] _T_9356 <= _T_9344 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][112] <= _T_9356 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9357 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9358 = eq(_T_9357, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9359 = and(ic_valid_ff, _T_9358) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9360 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9361 = and(_T_9359, _T_9360) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9362 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9363 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9364 = and(_T_9362, _T_9363) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9365 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9366 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9367 = and(_T_9365, _T_9366) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9368 = or(_T_9364, _T_9367) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9369 = or(_T_9368, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9370 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9371 = and(_T_9369, _T_9370) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9372 = bits(_T_9371, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][112] <= _T_9356 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9357 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9358 = eq(_T_9357, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9359 = and(ic_valid_ff, _T_9358) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9360 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9361 = and(_T_9359, _T_9360) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9362 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9363 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9364 = and(_T_9362, _T_9363) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9365 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9366 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9367 = and(_T_9365, _T_9366) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9368 = or(_T_9364, _T_9367) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9369 = or(_T_9368, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9370 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9371 = and(_T_9369, _T_9370) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9372 = bits(_T_9371, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9373 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9372 : @[Reg.scala 28:19] _T_9373 <= _T_9361 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][113] <= _T_9373 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9374 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9375 = eq(_T_9374, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9376 = and(ic_valid_ff, _T_9375) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9377 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9378 = and(_T_9376, _T_9377) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9379 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9380 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9381 = and(_T_9379, _T_9380) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9382 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9383 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9384 = and(_T_9382, _T_9383) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9385 = or(_T_9381, _T_9384) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9386 = or(_T_9385, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9387 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9388 = and(_T_9386, _T_9387) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9389 = bits(_T_9388, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][113] <= _T_9373 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9374 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9375 = eq(_T_9374, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9376 = and(ic_valid_ff, _T_9375) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9377 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9378 = and(_T_9376, _T_9377) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9379 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9380 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9381 = and(_T_9379, _T_9380) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9382 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9383 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9384 = and(_T_9382, _T_9383) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9385 = or(_T_9381, _T_9384) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9386 = or(_T_9385, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9387 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9388 = and(_T_9386, _T_9387) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9389 = bits(_T_9388, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9390 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9389 : @[Reg.scala 28:19] _T_9390 <= _T_9378 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][114] <= _T_9390 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9391 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9392 = eq(_T_9391, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9393 = and(ic_valid_ff, _T_9392) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9394 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9395 = and(_T_9393, _T_9394) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9396 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9397 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9398 = and(_T_9396, _T_9397) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9399 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9400 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9401 = and(_T_9399, _T_9400) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9402 = or(_T_9398, _T_9401) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9403 = or(_T_9402, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9404 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9405 = and(_T_9403, _T_9404) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9406 = bits(_T_9405, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][114] <= _T_9390 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9391 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9392 = eq(_T_9391, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9393 = and(ic_valid_ff, _T_9392) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9394 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9395 = and(_T_9393, _T_9394) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9396 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9397 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9398 = and(_T_9396, _T_9397) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9399 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9400 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9401 = and(_T_9399, _T_9400) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9402 = or(_T_9398, _T_9401) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9403 = or(_T_9402, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9404 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9405 = and(_T_9403, _T_9404) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9406 = bits(_T_9405, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9407 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9406 : @[Reg.scala 28:19] _T_9407 <= _T_9395 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][115] <= _T_9407 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9408 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9409 = eq(_T_9408, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9410 = and(ic_valid_ff, _T_9409) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9411 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9412 = and(_T_9410, _T_9411) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9413 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9414 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9415 = and(_T_9413, _T_9414) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9416 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9417 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9418 = and(_T_9416, _T_9417) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9419 = or(_T_9415, _T_9418) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9420 = or(_T_9419, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9421 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9422 = and(_T_9420, _T_9421) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9423 = bits(_T_9422, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][115] <= _T_9407 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9408 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9409 = eq(_T_9408, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9410 = and(ic_valid_ff, _T_9409) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9411 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9412 = and(_T_9410, _T_9411) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9413 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9414 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9415 = and(_T_9413, _T_9414) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9416 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9417 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9418 = and(_T_9416, _T_9417) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9419 = or(_T_9415, _T_9418) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9420 = or(_T_9419, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9421 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9422 = and(_T_9420, _T_9421) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9423 = bits(_T_9422, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9424 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9423 : @[Reg.scala 28:19] _T_9424 <= _T_9412 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][116] <= _T_9424 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9425 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9426 = eq(_T_9425, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9427 = and(ic_valid_ff, _T_9426) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9428 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9429 = and(_T_9427, _T_9428) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9430 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9431 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9432 = and(_T_9430, _T_9431) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9433 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9434 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9435 = and(_T_9433, _T_9434) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9436 = or(_T_9432, _T_9435) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9437 = or(_T_9436, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9438 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9439 = and(_T_9437, _T_9438) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9440 = bits(_T_9439, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][116] <= _T_9424 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9425 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9426 = eq(_T_9425, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9427 = and(ic_valid_ff, _T_9426) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9428 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9429 = and(_T_9427, _T_9428) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9430 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9431 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9432 = and(_T_9430, _T_9431) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9433 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9434 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9435 = and(_T_9433, _T_9434) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9436 = or(_T_9432, _T_9435) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9437 = or(_T_9436, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9438 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9439 = and(_T_9437, _T_9438) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9440 = bits(_T_9439, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9441 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9440 : @[Reg.scala 28:19] _T_9441 <= _T_9429 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][117] <= _T_9441 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9442 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9443 = eq(_T_9442, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9444 = and(ic_valid_ff, _T_9443) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9445 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9446 = and(_T_9444, _T_9445) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9447 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9448 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9449 = and(_T_9447, _T_9448) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9450 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9451 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9452 = and(_T_9450, _T_9451) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9453 = or(_T_9449, _T_9452) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9454 = or(_T_9453, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9455 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9456 = and(_T_9454, _T_9455) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9457 = bits(_T_9456, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][117] <= _T_9441 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9442 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9443 = eq(_T_9442, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9444 = and(ic_valid_ff, _T_9443) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9445 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9446 = and(_T_9444, _T_9445) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9447 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9448 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9449 = and(_T_9447, _T_9448) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9450 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9451 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9452 = and(_T_9450, _T_9451) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9453 = or(_T_9449, _T_9452) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9454 = or(_T_9453, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9455 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9456 = and(_T_9454, _T_9455) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9457 = bits(_T_9456, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9458 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9457 : @[Reg.scala 28:19] _T_9458 <= _T_9446 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][118] <= _T_9458 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9459 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9460 = eq(_T_9459, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9461 = and(ic_valid_ff, _T_9460) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9462 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9463 = and(_T_9461, _T_9462) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9464 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9465 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9466 = and(_T_9464, _T_9465) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9467 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9468 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9469 = and(_T_9467, _T_9468) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9470 = or(_T_9466, _T_9469) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9471 = or(_T_9470, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9472 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9473 = and(_T_9471, _T_9472) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9474 = bits(_T_9473, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][118] <= _T_9458 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9459 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9460 = eq(_T_9459, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9461 = and(ic_valid_ff, _T_9460) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9462 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9463 = and(_T_9461, _T_9462) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9464 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9465 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9466 = and(_T_9464, _T_9465) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9467 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9468 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9469 = and(_T_9467, _T_9468) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9470 = or(_T_9466, _T_9469) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9471 = or(_T_9470, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9472 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9473 = and(_T_9471, _T_9472) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9474 = bits(_T_9473, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9475 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9474 : @[Reg.scala 28:19] _T_9475 <= _T_9463 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][119] <= _T_9475 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9476 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9477 = eq(_T_9476, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9478 = and(ic_valid_ff, _T_9477) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9479 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9480 = and(_T_9478, _T_9479) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9481 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9482 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9483 = and(_T_9481, _T_9482) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9484 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9485 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9486 = and(_T_9484, _T_9485) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9487 = or(_T_9483, _T_9486) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9488 = or(_T_9487, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9489 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9490 = and(_T_9488, _T_9489) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9491 = bits(_T_9490, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][119] <= _T_9475 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9476 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9477 = eq(_T_9476, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9478 = and(ic_valid_ff, _T_9477) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9479 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9480 = and(_T_9478, _T_9479) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9481 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9482 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9483 = and(_T_9481, _T_9482) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9484 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9485 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9486 = and(_T_9484, _T_9485) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9487 = or(_T_9483, _T_9486) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9488 = or(_T_9487, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9489 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9490 = and(_T_9488, _T_9489) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9491 = bits(_T_9490, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9492 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9491 : @[Reg.scala 28:19] _T_9492 <= _T_9480 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][120] <= _T_9492 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9493 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9494 = eq(_T_9493, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9495 = and(ic_valid_ff, _T_9494) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9496 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9497 = and(_T_9495, _T_9496) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9498 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9499 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9500 = and(_T_9498, _T_9499) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9501 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9502 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9503 = and(_T_9501, _T_9502) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9504 = or(_T_9500, _T_9503) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9505 = or(_T_9504, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9506 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9507 = and(_T_9505, _T_9506) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9508 = bits(_T_9507, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][120] <= _T_9492 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9493 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9494 = eq(_T_9493, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9495 = and(ic_valid_ff, _T_9494) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9496 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9497 = and(_T_9495, _T_9496) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9498 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9499 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9500 = and(_T_9498, _T_9499) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9501 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9502 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9503 = and(_T_9501, _T_9502) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9504 = or(_T_9500, _T_9503) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9505 = or(_T_9504, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9506 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9507 = and(_T_9505, _T_9506) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9508 = bits(_T_9507, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9509 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9508 : @[Reg.scala 28:19] _T_9509 <= _T_9497 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][121] <= _T_9509 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9510 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9511 = eq(_T_9510, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9512 = and(ic_valid_ff, _T_9511) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9513 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9514 = and(_T_9512, _T_9513) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9515 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9516 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9517 = and(_T_9515, _T_9516) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9518 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9519 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9520 = and(_T_9518, _T_9519) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9521 = or(_T_9517, _T_9520) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9522 = or(_T_9521, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9523 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9524 = and(_T_9522, _T_9523) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9525 = bits(_T_9524, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][121] <= _T_9509 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9510 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9511 = eq(_T_9510, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9512 = and(ic_valid_ff, _T_9511) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9513 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9514 = and(_T_9512, _T_9513) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9515 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9516 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9517 = and(_T_9515, _T_9516) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9518 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9519 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9520 = and(_T_9518, _T_9519) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9521 = or(_T_9517, _T_9520) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9522 = or(_T_9521, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9523 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9524 = and(_T_9522, _T_9523) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9525 = bits(_T_9524, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9526 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9525 : @[Reg.scala 28:19] _T_9526 <= _T_9514 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][122] <= _T_9526 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9527 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9528 = eq(_T_9527, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9529 = and(ic_valid_ff, _T_9528) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9530 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9531 = and(_T_9529, _T_9530) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9532 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9533 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9534 = and(_T_9532, _T_9533) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9535 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9536 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9537 = and(_T_9535, _T_9536) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9538 = or(_T_9534, _T_9537) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9539 = or(_T_9538, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9540 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9541 = and(_T_9539, _T_9540) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9542 = bits(_T_9541, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][122] <= _T_9526 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9527 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9528 = eq(_T_9527, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9529 = and(ic_valid_ff, _T_9528) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9530 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9531 = and(_T_9529, _T_9530) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9532 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9533 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9534 = and(_T_9532, _T_9533) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9535 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9536 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9537 = and(_T_9535, _T_9536) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9538 = or(_T_9534, _T_9537) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9539 = or(_T_9538, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9540 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9541 = and(_T_9539, _T_9540) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9542 = bits(_T_9541, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9543 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9542 : @[Reg.scala 28:19] _T_9543 <= _T_9531 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][123] <= _T_9543 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9544 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9545 = eq(_T_9544, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9546 = and(ic_valid_ff, _T_9545) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9547 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9548 = and(_T_9546, _T_9547) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9549 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9550 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9551 = and(_T_9549, _T_9550) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9552 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9553 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9554 = and(_T_9552, _T_9553) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9555 = or(_T_9551, _T_9554) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9556 = or(_T_9555, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9557 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9558 = and(_T_9556, _T_9557) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9559 = bits(_T_9558, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][123] <= _T_9543 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9544 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9545 = eq(_T_9544, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9546 = and(ic_valid_ff, _T_9545) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9547 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9548 = and(_T_9546, _T_9547) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9549 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9550 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9551 = and(_T_9549, _T_9550) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9552 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9553 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9554 = and(_T_9552, _T_9553) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9555 = or(_T_9551, _T_9554) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9556 = or(_T_9555, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9557 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9558 = and(_T_9556, _T_9557) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9559 = bits(_T_9558, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9560 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9559 : @[Reg.scala 28:19] _T_9560 <= _T_9548 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][124] <= _T_9560 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9561 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9562 = eq(_T_9561, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9563 = and(ic_valid_ff, _T_9562) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9564 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9565 = and(_T_9563, _T_9564) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9566 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9567 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9568 = and(_T_9566, _T_9567) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9569 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9570 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9571 = and(_T_9569, _T_9570) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9572 = or(_T_9568, _T_9571) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9573 = or(_T_9572, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9574 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9575 = and(_T_9573, _T_9574) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9576 = bits(_T_9575, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][124] <= _T_9560 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9561 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9562 = eq(_T_9561, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9563 = and(ic_valid_ff, _T_9562) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9564 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9565 = and(_T_9563, _T_9564) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9566 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9567 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9568 = and(_T_9566, _T_9567) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9569 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9570 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9571 = and(_T_9569, _T_9570) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9572 = or(_T_9568, _T_9571) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9573 = or(_T_9572, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9574 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9575 = and(_T_9573, _T_9574) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9576 = bits(_T_9575, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9577 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9576 : @[Reg.scala 28:19] _T_9577 <= _T_9565 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][125] <= _T_9577 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9578 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9579 = eq(_T_9578, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9580 = and(ic_valid_ff, _T_9579) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9581 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9582 = and(_T_9580, _T_9581) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9583 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9584 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9585 = and(_T_9583, _T_9584) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9586 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9587 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9588 = and(_T_9586, _T_9587) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9589 = or(_T_9585, _T_9588) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9590 = or(_T_9589, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9591 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9592 = and(_T_9590, _T_9591) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9593 = bits(_T_9592, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][125] <= _T_9577 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9578 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9579 = eq(_T_9578, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9580 = and(ic_valid_ff, _T_9579) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9581 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9582 = and(_T_9580, _T_9581) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9583 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9584 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9585 = and(_T_9583, _T_9584) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9586 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9587 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9588 = and(_T_9586, _T_9587) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9589 = or(_T_9585, _T_9588) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9590 = or(_T_9589, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9591 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9592 = and(_T_9590, _T_9591) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9593 = bits(_T_9592, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9594 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9593 : @[Reg.scala 28:19] _T_9594 <= _T_9582 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][126] <= _T_9594 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9595 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 760:84] - node _T_9596 = eq(_T_9595, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:68] - node _T_9597 = and(ic_valid_ff, _T_9596) @[el2_ifu_mem_ctl.scala 760:66] - node _T_9598 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 760:93] - node _T_9599 = and(_T_9597, _T_9598) @[el2_ifu_mem_ctl.scala 760:91] - node _T_9600 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 761:37] - node _T_9601 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 761:76] - node _T_9602 = and(_T_9600, _T_9601) @[el2_ifu_mem_ctl.scala 761:59] - node _T_9603 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 761:102] - node _T_9604 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 761:142] - node _T_9605 = and(_T_9603, _T_9604) @[el2_ifu_mem_ctl.scala 761:124] - node _T_9606 = or(_T_9602, _T_9605) @[el2_ifu_mem_ctl.scala 761:81] - node _T_9607 = or(_T_9606, reset_all_tags) @[el2_ifu_mem_ctl.scala 761:147] - node _T_9608 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 761:185] - node _T_9609 = and(_T_9607, _T_9608) @[el2_ifu_mem_ctl.scala 761:165] - node _T_9610 = bits(_T_9609, 0, 0) @[el2_ifu_mem_ctl.scala 761:190] + ic_tag_valid_out[1][126] <= _T_9594 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9595 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 755:84] + node _T_9596 = eq(_T_9595, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:68] + node _T_9597 = and(ic_valid_ff, _T_9596) @[el2_ifu_mem_ctl.scala 755:66] + node _T_9598 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 755:93] + node _T_9599 = and(_T_9597, _T_9598) @[el2_ifu_mem_ctl.scala 755:91] + node _T_9600 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 756:37] + node _T_9601 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 756:76] + node _T_9602 = and(_T_9600, _T_9601) @[el2_ifu_mem_ctl.scala 756:59] + node _T_9603 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 756:102] + node _T_9604 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 756:142] + node _T_9605 = and(_T_9603, _T_9604) @[el2_ifu_mem_ctl.scala 756:124] + node _T_9606 = or(_T_9602, _T_9605) @[el2_ifu_mem_ctl.scala 756:81] + node _T_9607 = or(_T_9606, reset_all_tags) @[el2_ifu_mem_ctl.scala 756:147] + node _T_9608 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 756:185] + node _T_9609 = and(_T_9607, _T_9608) @[el2_ifu_mem_ctl.scala 756:165] + node _T_9610 = bits(_T_9609, 0, 0) @[el2_ifu_mem_ctl.scala 756:190] reg _T_9611 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9610 : @[Reg.scala 28:19] _T_9611 <= _T_9599 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][127] <= _T_9611 @[el2_ifu_mem_ctl.scala 760:41] - node _T_9612 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9613 = mux(_T_9612, ic_tag_valid_out[0][0], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9614 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9615 = mux(_T_9614, ic_tag_valid_out[0][1], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9616 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9617 = mux(_T_9616, ic_tag_valid_out[0][2], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9618 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9619 = mux(_T_9618, ic_tag_valid_out[0][3], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9620 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9621 = mux(_T_9620, ic_tag_valid_out[0][4], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9622 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9623 = mux(_T_9622, ic_tag_valid_out[0][5], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9624 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9625 = mux(_T_9624, ic_tag_valid_out[0][6], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9626 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9627 = mux(_T_9626, ic_tag_valid_out[0][7], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9628 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9629 = mux(_T_9628, ic_tag_valid_out[0][8], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9630 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9631 = mux(_T_9630, ic_tag_valid_out[0][9], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9632 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9633 = mux(_T_9632, ic_tag_valid_out[0][10], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9634 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9635 = mux(_T_9634, ic_tag_valid_out[0][11], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9636 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9637 = mux(_T_9636, ic_tag_valid_out[0][12], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9638 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9639 = mux(_T_9638, ic_tag_valid_out[0][13], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9640 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9641 = mux(_T_9640, ic_tag_valid_out[0][14], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9642 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9643 = mux(_T_9642, ic_tag_valid_out[0][15], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9644 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9645 = mux(_T_9644, ic_tag_valid_out[0][16], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9646 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9647 = mux(_T_9646, ic_tag_valid_out[0][17], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9648 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9649 = mux(_T_9648, ic_tag_valid_out[0][18], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9650 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9651 = mux(_T_9650, ic_tag_valid_out[0][19], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9652 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9653 = mux(_T_9652, ic_tag_valid_out[0][20], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9654 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9655 = mux(_T_9654, ic_tag_valid_out[0][21], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9656 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9657 = mux(_T_9656, ic_tag_valid_out[0][22], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9658 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9659 = mux(_T_9658, ic_tag_valid_out[0][23], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9660 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9661 = mux(_T_9660, ic_tag_valid_out[0][24], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9662 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9663 = mux(_T_9662, ic_tag_valid_out[0][25], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9664 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9665 = mux(_T_9664, ic_tag_valid_out[0][26], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9666 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9667 = mux(_T_9666, ic_tag_valid_out[0][27], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9668 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9669 = mux(_T_9668, ic_tag_valid_out[0][28], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9670 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9671 = mux(_T_9670, ic_tag_valid_out[0][29], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9672 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9673 = mux(_T_9672, ic_tag_valid_out[0][30], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9674 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9675 = mux(_T_9674, ic_tag_valid_out[0][31], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9676 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9677 = mux(_T_9676, ic_tag_valid_out[0][32], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9678 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9679 = mux(_T_9678, ic_tag_valid_out[0][33], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9680 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9681 = mux(_T_9680, ic_tag_valid_out[0][34], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9682 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9683 = mux(_T_9682, ic_tag_valid_out[0][35], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9684 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9685 = mux(_T_9684, ic_tag_valid_out[0][36], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9686 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9687 = mux(_T_9686, ic_tag_valid_out[0][37], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9688 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9689 = mux(_T_9688, ic_tag_valid_out[0][38], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9690 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9691 = mux(_T_9690, ic_tag_valid_out[0][39], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9692 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9693 = mux(_T_9692, ic_tag_valid_out[0][40], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9694 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9695 = mux(_T_9694, ic_tag_valid_out[0][41], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9696 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9697 = mux(_T_9696, ic_tag_valid_out[0][42], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9698 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9699 = mux(_T_9698, ic_tag_valid_out[0][43], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9700 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9701 = mux(_T_9700, ic_tag_valid_out[0][44], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9702 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9703 = mux(_T_9702, ic_tag_valid_out[0][45], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9704 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9705 = mux(_T_9704, ic_tag_valid_out[0][46], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9706 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9707 = mux(_T_9706, ic_tag_valid_out[0][47], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9708 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9709 = mux(_T_9708, ic_tag_valid_out[0][48], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9710 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9711 = mux(_T_9710, ic_tag_valid_out[0][49], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9712 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9713 = mux(_T_9712, ic_tag_valid_out[0][50], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9714 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9715 = mux(_T_9714, ic_tag_valid_out[0][51], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9716 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9717 = mux(_T_9716, ic_tag_valid_out[0][52], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9718 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9719 = mux(_T_9718, ic_tag_valid_out[0][53], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9720 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9721 = mux(_T_9720, ic_tag_valid_out[0][54], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9722 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9723 = mux(_T_9722, ic_tag_valid_out[0][55], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9724 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9725 = mux(_T_9724, ic_tag_valid_out[0][56], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9726 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9727 = mux(_T_9726, ic_tag_valid_out[0][57], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9728 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9729 = mux(_T_9728, ic_tag_valid_out[0][58], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9730 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9731 = mux(_T_9730, ic_tag_valid_out[0][59], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9732 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9733 = mux(_T_9732, ic_tag_valid_out[0][60], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9734 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9735 = mux(_T_9734, ic_tag_valid_out[0][61], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9736 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9737 = mux(_T_9736, ic_tag_valid_out[0][62], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9738 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9739 = mux(_T_9738, ic_tag_valid_out[0][63], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9740 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9741 = mux(_T_9740, ic_tag_valid_out[0][64], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9742 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9743 = mux(_T_9742, ic_tag_valid_out[0][65], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9744 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9745 = mux(_T_9744, ic_tag_valid_out[0][66], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9746 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9747 = mux(_T_9746, ic_tag_valid_out[0][67], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9748 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9749 = mux(_T_9748, ic_tag_valid_out[0][68], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9750 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9751 = mux(_T_9750, ic_tag_valid_out[0][69], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9752 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9753 = mux(_T_9752, ic_tag_valid_out[0][70], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9754 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9755 = mux(_T_9754, ic_tag_valid_out[0][71], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9756 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9757 = mux(_T_9756, ic_tag_valid_out[0][72], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9758 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9759 = mux(_T_9758, ic_tag_valid_out[0][73], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9760 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9761 = mux(_T_9760, ic_tag_valid_out[0][74], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9762 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9763 = mux(_T_9762, ic_tag_valid_out[0][75], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9764 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9765 = mux(_T_9764, ic_tag_valid_out[0][76], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9766 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9767 = mux(_T_9766, ic_tag_valid_out[0][77], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9768 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9769 = mux(_T_9768, ic_tag_valid_out[0][78], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9770 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9771 = mux(_T_9770, ic_tag_valid_out[0][79], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9772 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9773 = mux(_T_9772, ic_tag_valid_out[0][80], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9774 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9775 = mux(_T_9774, ic_tag_valid_out[0][81], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9776 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9777 = mux(_T_9776, ic_tag_valid_out[0][82], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9778 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9779 = mux(_T_9778, ic_tag_valid_out[0][83], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9780 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9781 = mux(_T_9780, ic_tag_valid_out[0][84], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9782 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9783 = mux(_T_9782, ic_tag_valid_out[0][85], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9784 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9785 = mux(_T_9784, ic_tag_valid_out[0][86], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9786 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9787 = mux(_T_9786, ic_tag_valid_out[0][87], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9788 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9789 = mux(_T_9788, ic_tag_valid_out[0][88], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9790 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9791 = mux(_T_9790, ic_tag_valid_out[0][89], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9792 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9793 = mux(_T_9792, ic_tag_valid_out[0][90], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9794 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9795 = mux(_T_9794, ic_tag_valid_out[0][91], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9796 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9797 = mux(_T_9796, ic_tag_valid_out[0][92], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9798 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9799 = mux(_T_9798, ic_tag_valid_out[0][93], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9800 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9801 = mux(_T_9800, ic_tag_valid_out[0][94], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9802 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9803 = mux(_T_9802, ic_tag_valid_out[0][95], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9804 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9805 = mux(_T_9804, ic_tag_valid_out[0][96], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9806 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9807 = mux(_T_9806, ic_tag_valid_out[0][97], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9808 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9809 = mux(_T_9808, ic_tag_valid_out[0][98], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9810 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9811 = mux(_T_9810, ic_tag_valid_out[0][99], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9812 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9813 = mux(_T_9812, ic_tag_valid_out[0][100], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9814 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9815 = mux(_T_9814, ic_tag_valid_out[0][101], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9816 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9817 = mux(_T_9816, ic_tag_valid_out[0][102], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9818 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9819 = mux(_T_9818, ic_tag_valid_out[0][103], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9820 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9821 = mux(_T_9820, ic_tag_valid_out[0][104], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9822 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9823 = mux(_T_9822, ic_tag_valid_out[0][105], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9824 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9825 = mux(_T_9824, ic_tag_valid_out[0][106], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9826 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9827 = mux(_T_9826, ic_tag_valid_out[0][107], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9828 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9829 = mux(_T_9828, ic_tag_valid_out[0][108], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9830 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9831 = mux(_T_9830, ic_tag_valid_out[0][109], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9832 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9833 = mux(_T_9832, ic_tag_valid_out[0][110], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9834 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9835 = mux(_T_9834, ic_tag_valid_out[0][111], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9836 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9837 = mux(_T_9836, ic_tag_valid_out[0][112], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9838 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9839 = mux(_T_9838, ic_tag_valid_out[0][113], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9840 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9841 = mux(_T_9840, ic_tag_valid_out[0][114], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9842 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9843 = mux(_T_9842, ic_tag_valid_out[0][115], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9844 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9845 = mux(_T_9844, ic_tag_valid_out[0][116], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9846 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9847 = mux(_T_9846, ic_tag_valid_out[0][117], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9848 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9849 = mux(_T_9848, ic_tag_valid_out[0][118], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9850 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9851 = mux(_T_9850, ic_tag_valid_out[0][119], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9852 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9853 = mux(_T_9852, ic_tag_valid_out[0][120], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9854 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9855 = mux(_T_9854, ic_tag_valid_out[0][121], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9856 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9857 = mux(_T_9856, ic_tag_valid_out[0][122], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9858 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9859 = mux(_T_9858, ic_tag_valid_out[0][123], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9860 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9861 = mux(_T_9860, ic_tag_valid_out[0][124], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9862 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9863 = mux(_T_9862, ic_tag_valid_out[0][125], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9864 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9865 = mux(_T_9864, ic_tag_valid_out[0][126], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9866 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9867 = mux(_T_9866, ic_tag_valid_out[0][127], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9868 = or(_T_9613, _T_9615) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9869 = or(_T_9868, _T_9617) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9870 = or(_T_9869, _T_9619) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9871 = or(_T_9870, _T_9621) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9872 = or(_T_9871, _T_9623) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9873 = or(_T_9872, _T_9625) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9874 = or(_T_9873, _T_9627) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9875 = or(_T_9874, _T_9629) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9876 = or(_T_9875, _T_9631) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9877 = or(_T_9876, _T_9633) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9878 = or(_T_9877, _T_9635) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9879 = or(_T_9878, _T_9637) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9880 = or(_T_9879, _T_9639) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9881 = or(_T_9880, _T_9641) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9882 = or(_T_9881, _T_9643) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9883 = or(_T_9882, _T_9645) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9884 = or(_T_9883, _T_9647) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9885 = or(_T_9884, _T_9649) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9886 = or(_T_9885, _T_9651) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9887 = or(_T_9886, _T_9653) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9888 = or(_T_9887, _T_9655) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9889 = or(_T_9888, _T_9657) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9890 = or(_T_9889, _T_9659) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9891 = or(_T_9890, _T_9661) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9892 = or(_T_9891, _T_9663) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9893 = or(_T_9892, _T_9665) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9894 = or(_T_9893, _T_9667) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9895 = or(_T_9894, _T_9669) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9896 = or(_T_9895, _T_9671) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9897 = or(_T_9896, _T_9673) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9898 = or(_T_9897, _T_9675) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9899 = or(_T_9898, _T_9677) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9900 = or(_T_9899, _T_9679) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9901 = or(_T_9900, _T_9681) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9902 = or(_T_9901, _T_9683) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9903 = or(_T_9902, _T_9685) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9904 = or(_T_9903, _T_9687) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9905 = or(_T_9904, _T_9689) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9906 = or(_T_9905, _T_9691) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9907 = or(_T_9906, _T_9693) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9908 = or(_T_9907, _T_9695) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9909 = or(_T_9908, _T_9697) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9910 = or(_T_9909, _T_9699) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9911 = or(_T_9910, _T_9701) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9912 = or(_T_9911, _T_9703) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9913 = or(_T_9912, _T_9705) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9914 = or(_T_9913, _T_9707) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9915 = or(_T_9914, _T_9709) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9916 = or(_T_9915, _T_9711) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9917 = or(_T_9916, _T_9713) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9918 = or(_T_9917, _T_9715) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9919 = or(_T_9918, _T_9717) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9920 = or(_T_9919, _T_9719) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9921 = or(_T_9920, _T_9721) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9922 = or(_T_9921, _T_9723) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9923 = or(_T_9922, _T_9725) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9924 = or(_T_9923, _T_9727) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9925 = or(_T_9924, _T_9729) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9926 = or(_T_9925, _T_9731) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9927 = or(_T_9926, _T_9733) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9928 = or(_T_9927, _T_9735) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9929 = or(_T_9928, _T_9737) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9930 = or(_T_9929, _T_9739) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9931 = or(_T_9930, _T_9741) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9932 = or(_T_9931, _T_9743) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9933 = or(_T_9932, _T_9745) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9934 = or(_T_9933, _T_9747) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9935 = or(_T_9934, _T_9749) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9936 = or(_T_9935, _T_9751) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9937 = or(_T_9936, _T_9753) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9938 = or(_T_9937, _T_9755) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9939 = or(_T_9938, _T_9757) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9940 = or(_T_9939, _T_9759) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9941 = or(_T_9940, _T_9761) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9942 = or(_T_9941, _T_9763) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9943 = or(_T_9942, _T_9765) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9944 = or(_T_9943, _T_9767) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9945 = or(_T_9944, _T_9769) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9946 = or(_T_9945, _T_9771) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9947 = or(_T_9946, _T_9773) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9948 = or(_T_9947, _T_9775) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9949 = or(_T_9948, _T_9777) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9950 = or(_T_9949, _T_9779) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9951 = or(_T_9950, _T_9781) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9952 = or(_T_9951, _T_9783) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9953 = or(_T_9952, _T_9785) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9954 = or(_T_9953, _T_9787) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9955 = or(_T_9954, _T_9789) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9956 = or(_T_9955, _T_9791) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9957 = or(_T_9956, _T_9793) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9958 = or(_T_9957, _T_9795) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9959 = or(_T_9958, _T_9797) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9960 = or(_T_9959, _T_9799) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9961 = or(_T_9960, _T_9801) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9962 = or(_T_9961, _T_9803) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9963 = or(_T_9962, _T_9805) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9964 = or(_T_9963, _T_9807) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9965 = or(_T_9964, _T_9809) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9966 = or(_T_9965, _T_9811) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9967 = or(_T_9966, _T_9813) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9968 = or(_T_9967, _T_9815) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9969 = or(_T_9968, _T_9817) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9970 = or(_T_9969, _T_9819) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9971 = or(_T_9970, _T_9821) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9972 = or(_T_9971, _T_9823) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9973 = or(_T_9972, _T_9825) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9974 = or(_T_9973, _T_9827) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9975 = or(_T_9974, _T_9829) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9976 = or(_T_9975, _T_9831) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9977 = or(_T_9976, _T_9833) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9978 = or(_T_9977, _T_9835) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9979 = or(_T_9978, _T_9837) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9980 = or(_T_9979, _T_9839) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9981 = or(_T_9980, _T_9841) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9982 = or(_T_9981, _T_9843) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9983 = or(_T_9982, _T_9845) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9984 = or(_T_9983, _T_9847) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9985 = or(_T_9984, _T_9849) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9986 = or(_T_9985, _T_9851) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9987 = or(_T_9986, _T_9853) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9988 = or(_T_9987, _T_9855) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9989 = or(_T_9988, _T_9857) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9990 = or(_T_9989, _T_9859) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9991 = or(_T_9990, _T_9861) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9992 = or(_T_9991, _T_9863) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9993 = or(_T_9992, _T_9865) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9994 = or(_T_9993, _T_9867) @[el2_ifu_mem_ctl.scala 764:91] - node _T_9995 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9996 = mux(_T_9995, ic_tag_valid_out[1][0], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9997 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_9998 = mux(_T_9997, ic_tag_valid_out[1][1], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_9999 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10000 = mux(_T_9999, ic_tag_valid_out[1][2], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10001 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10002 = mux(_T_10001, ic_tag_valid_out[1][3], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10003 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10004 = mux(_T_10003, ic_tag_valid_out[1][4], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10005 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10006 = mux(_T_10005, ic_tag_valid_out[1][5], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10007 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10008 = mux(_T_10007, ic_tag_valid_out[1][6], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10009 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10010 = mux(_T_10009, ic_tag_valid_out[1][7], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10011 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10012 = mux(_T_10011, ic_tag_valid_out[1][8], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10013 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10014 = mux(_T_10013, ic_tag_valid_out[1][9], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10015 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10016 = mux(_T_10015, ic_tag_valid_out[1][10], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10017 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10018 = mux(_T_10017, ic_tag_valid_out[1][11], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10019 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10020 = mux(_T_10019, ic_tag_valid_out[1][12], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10021 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10022 = mux(_T_10021, ic_tag_valid_out[1][13], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10023 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10024 = mux(_T_10023, ic_tag_valid_out[1][14], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10025 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10026 = mux(_T_10025, ic_tag_valid_out[1][15], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10027 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10028 = mux(_T_10027, ic_tag_valid_out[1][16], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10029 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10030 = mux(_T_10029, ic_tag_valid_out[1][17], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10031 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10032 = mux(_T_10031, ic_tag_valid_out[1][18], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10033 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10034 = mux(_T_10033, ic_tag_valid_out[1][19], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10035 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10036 = mux(_T_10035, ic_tag_valid_out[1][20], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10037 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10038 = mux(_T_10037, ic_tag_valid_out[1][21], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10039 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10040 = mux(_T_10039, ic_tag_valid_out[1][22], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10041 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10042 = mux(_T_10041, ic_tag_valid_out[1][23], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10043 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10044 = mux(_T_10043, ic_tag_valid_out[1][24], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10045 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10046 = mux(_T_10045, ic_tag_valid_out[1][25], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10047 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10048 = mux(_T_10047, ic_tag_valid_out[1][26], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10049 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10050 = mux(_T_10049, ic_tag_valid_out[1][27], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10051 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10052 = mux(_T_10051, ic_tag_valid_out[1][28], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10053 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10054 = mux(_T_10053, ic_tag_valid_out[1][29], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10055 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10056 = mux(_T_10055, ic_tag_valid_out[1][30], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10057 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10058 = mux(_T_10057, ic_tag_valid_out[1][31], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10059 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10060 = mux(_T_10059, ic_tag_valid_out[1][32], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10061 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10062 = mux(_T_10061, ic_tag_valid_out[1][33], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10063 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10064 = mux(_T_10063, ic_tag_valid_out[1][34], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10065 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10066 = mux(_T_10065, ic_tag_valid_out[1][35], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10067 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10068 = mux(_T_10067, ic_tag_valid_out[1][36], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10069 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10070 = mux(_T_10069, ic_tag_valid_out[1][37], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10071 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10072 = mux(_T_10071, ic_tag_valid_out[1][38], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10073 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10074 = mux(_T_10073, ic_tag_valid_out[1][39], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10075 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10076 = mux(_T_10075, ic_tag_valid_out[1][40], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10077 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10078 = mux(_T_10077, ic_tag_valid_out[1][41], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10079 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10080 = mux(_T_10079, ic_tag_valid_out[1][42], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10081 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10082 = mux(_T_10081, ic_tag_valid_out[1][43], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10083 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10084 = mux(_T_10083, ic_tag_valid_out[1][44], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10085 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10086 = mux(_T_10085, ic_tag_valid_out[1][45], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10087 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10088 = mux(_T_10087, ic_tag_valid_out[1][46], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10089 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10090 = mux(_T_10089, ic_tag_valid_out[1][47], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10091 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10092 = mux(_T_10091, ic_tag_valid_out[1][48], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10093 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10094 = mux(_T_10093, ic_tag_valid_out[1][49], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10095 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10096 = mux(_T_10095, ic_tag_valid_out[1][50], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10097 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10098 = mux(_T_10097, ic_tag_valid_out[1][51], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10099 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10100 = mux(_T_10099, ic_tag_valid_out[1][52], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10101 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10102 = mux(_T_10101, ic_tag_valid_out[1][53], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10103 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10104 = mux(_T_10103, ic_tag_valid_out[1][54], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10105 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10106 = mux(_T_10105, ic_tag_valid_out[1][55], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10107 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10108 = mux(_T_10107, ic_tag_valid_out[1][56], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10109 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10110 = mux(_T_10109, ic_tag_valid_out[1][57], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10111 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10112 = mux(_T_10111, ic_tag_valid_out[1][58], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10113 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10114 = mux(_T_10113, ic_tag_valid_out[1][59], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10115 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10116 = mux(_T_10115, ic_tag_valid_out[1][60], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10117 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10118 = mux(_T_10117, ic_tag_valid_out[1][61], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10119 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10120 = mux(_T_10119, ic_tag_valid_out[1][62], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10121 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10122 = mux(_T_10121, ic_tag_valid_out[1][63], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10123 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10124 = mux(_T_10123, ic_tag_valid_out[1][64], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10125 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10126 = mux(_T_10125, ic_tag_valid_out[1][65], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10127 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10128 = mux(_T_10127, ic_tag_valid_out[1][66], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10129 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10130 = mux(_T_10129, ic_tag_valid_out[1][67], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10131 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10132 = mux(_T_10131, ic_tag_valid_out[1][68], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10133 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10134 = mux(_T_10133, ic_tag_valid_out[1][69], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10135 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10136 = mux(_T_10135, ic_tag_valid_out[1][70], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10137 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10138 = mux(_T_10137, ic_tag_valid_out[1][71], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10139 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10140 = mux(_T_10139, ic_tag_valid_out[1][72], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10141 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10142 = mux(_T_10141, ic_tag_valid_out[1][73], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10143 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10144 = mux(_T_10143, ic_tag_valid_out[1][74], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10145 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10146 = mux(_T_10145, ic_tag_valid_out[1][75], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10147 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10148 = mux(_T_10147, ic_tag_valid_out[1][76], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10149 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10150 = mux(_T_10149, ic_tag_valid_out[1][77], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10151 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10152 = mux(_T_10151, ic_tag_valid_out[1][78], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10153 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10154 = mux(_T_10153, ic_tag_valid_out[1][79], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10155 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10156 = mux(_T_10155, ic_tag_valid_out[1][80], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10157 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10158 = mux(_T_10157, ic_tag_valid_out[1][81], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10159 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10160 = mux(_T_10159, ic_tag_valid_out[1][82], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10161 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10162 = mux(_T_10161, ic_tag_valid_out[1][83], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10163 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10164 = mux(_T_10163, ic_tag_valid_out[1][84], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10165 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10166 = mux(_T_10165, ic_tag_valid_out[1][85], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10167 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10168 = mux(_T_10167, ic_tag_valid_out[1][86], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10169 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10170 = mux(_T_10169, ic_tag_valid_out[1][87], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10171 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10172 = mux(_T_10171, ic_tag_valid_out[1][88], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10173 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10174 = mux(_T_10173, ic_tag_valid_out[1][89], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10175 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10176 = mux(_T_10175, ic_tag_valid_out[1][90], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10177 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10178 = mux(_T_10177, ic_tag_valid_out[1][91], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10179 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10180 = mux(_T_10179, ic_tag_valid_out[1][92], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10181 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10182 = mux(_T_10181, ic_tag_valid_out[1][93], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10183 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10184 = mux(_T_10183, ic_tag_valid_out[1][94], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10185 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10186 = mux(_T_10185, ic_tag_valid_out[1][95], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10187 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10188 = mux(_T_10187, ic_tag_valid_out[1][96], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10189 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10190 = mux(_T_10189, ic_tag_valid_out[1][97], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10191 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10192 = mux(_T_10191, ic_tag_valid_out[1][98], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10193 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10194 = mux(_T_10193, ic_tag_valid_out[1][99], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10195 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10196 = mux(_T_10195, ic_tag_valid_out[1][100], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10197 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10198 = mux(_T_10197, ic_tag_valid_out[1][101], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10199 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10200 = mux(_T_10199, ic_tag_valid_out[1][102], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10201 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10202 = mux(_T_10201, ic_tag_valid_out[1][103], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10203 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10204 = mux(_T_10203, ic_tag_valid_out[1][104], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10205 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10206 = mux(_T_10205, ic_tag_valid_out[1][105], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10207 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10208 = mux(_T_10207, ic_tag_valid_out[1][106], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10209 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10210 = mux(_T_10209, ic_tag_valid_out[1][107], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10211 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10212 = mux(_T_10211, ic_tag_valid_out[1][108], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10213 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10214 = mux(_T_10213, ic_tag_valid_out[1][109], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10215 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10216 = mux(_T_10215, ic_tag_valid_out[1][110], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10217 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10218 = mux(_T_10217, ic_tag_valid_out[1][111], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10219 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10220 = mux(_T_10219, ic_tag_valid_out[1][112], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10221 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10222 = mux(_T_10221, ic_tag_valid_out[1][113], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10223 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10224 = mux(_T_10223, ic_tag_valid_out[1][114], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10225 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10226 = mux(_T_10225, ic_tag_valid_out[1][115], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10227 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10228 = mux(_T_10227, ic_tag_valid_out[1][116], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10229 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10230 = mux(_T_10229, ic_tag_valid_out[1][117], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10231 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10232 = mux(_T_10231, ic_tag_valid_out[1][118], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10233 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10234 = mux(_T_10233, ic_tag_valid_out[1][119], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10235 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10236 = mux(_T_10235, ic_tag_valid_out[1][120], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10237 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10238 = mux(_T_10237, ic_tag_valid_out[1][121], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10239 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10240 = mux(_T_10239, ic_tag_valid_out[1][122], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10241 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10242 = mux(_T_10241, ic_tag_valid_out[1][123], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10243 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10244 = mux(_T_10243, ic_tag_valid_out[1][124], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10245 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10246 = mux(_T_10245, ic_tag_valid_out[1][125], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10247 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10248 = mux(_T_10247, ic_tag_valid_out[1][126], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10249 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 764:33] - node _T_10250 = mux(_T_10249, ic_tag_valid_out[1][127], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 764:10] - node _T_10251 = or(_T_9996, _T_9998) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10252 = or(_T_10251, _T_10000) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10253 = or(_T_10252, _T_10002) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10254 = or(_T_10253, _T_10004) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10255 = or(_T_10254, _T_10006) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10256 = or(_T_10255, _T_10008) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10257 = or(_T_10256, _T_10010) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10258 = or(_T_10257, _T_10012) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10259 = or(_T_10258, _T_10014) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10260 = or(_T_10259, _T_10016) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10261 = or(_T_10260, _T_10018) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10262 = or(_T_10261, _T_10020) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10263 = or(_T_10262, _T_10022) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10264 = or(_T_10263, _T_10024) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10265 = or(_T_10264, _T_10026) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10266 = or(_T_10265, _T_10028) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10267 = or(_T_10266, _T_10030) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10268 = or(_T_10267, _T_10032) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10269 = or(_T_10268, _T_10034) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10270 = or(_T_10269, _T_10036) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10271 = or(_T_10270, _T_10038) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10272 = or(_T_10271, _T_10040) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10273 = or(_T_10272, _T_10042) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10274 = or(_T_10273, _T_10044) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10275 = or(_T_10274, _T_10046) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10276 = or(_T_10275, _T_10048) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10277 = or(_T_10276, _T_10050) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10278 = or(_T_10277, _T_10052) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10279 = or(_T_10278, _T_10054) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10280 = or(_T_10279, _T_10056) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10281 = or(_T_10280, _T_10058) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10282 = or(_T_10281, _T_10060) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10283 = or(_T_10282, _T_10062) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10284 = or(_T_10283, _T_10064) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10285 = or(_T_10284, _T_10066) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10286 = or(_T_10285, _T_10068) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10287 = or(_T_10286, _T_10070) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10288 = or(_T_10287, _T_10072) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10289 = or(_T_10288, _T_10074) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10290 = or(_T_10289, _T_10076) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10291 = or(_T_10290, _T_10078) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10292 = or(_T_10291, _T_10080) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10293 = or(_T_10292, _T_10082) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10294 = or(_T_10293, _T_10084) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10295 = or(_T_10294, _T_10086) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10296 = or(_T_10295, _T_10088) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10297 = or(_T_10296, _T_10090) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10298 = or(_T_10297, _T_10092) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10299 = or(_T_10298, _T_10094) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10300 = or(_T_10299, _T_10096) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10301 = or(_T_10300, _T_10098) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10302 = or(_T_10301, _T_10100) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10303 = or(_T_10302, _T_10102) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10304 = or(_T_10303, _T_10104) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10305 = or(_T_10304, _T_10106) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10306 = or(_T_10305, _T_10108) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10307 = or(_T_10306, _T_10110) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10308 = or(_T_10307, _T_10112) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10309 = or(_T_10308, _T_10114) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10310 = or(_T_10309, _T_10116) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10311 = or(_T_10310, _T_10118) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10312 = or(_T_10311, _T_10120) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10313 = or(_T_10312, _T_10122) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10314 = or(_T_10313, _T_10124) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10315 = or(_T_10314, _T_10126) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10316 = or(_T_10315, _T_10128) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10317 = or(_T_10316, _T_10130) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10318 = or(_T_10317, _T_10132) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10319 = or(_T_10318, _T_10134) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10320 = or(_T_10319, _T_10136) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10321 = or(_T_10320, _T_10138) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10322 = or(_T_10321, _T_10140) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10323 = or(_T_10322, _T_10142) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10324 = or(_T_10323, _T_10144) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10325 = or(_T_10324, _T_10146) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10326 = or(_T_10325, _T_10148) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10327 = or(_T_10326, _T_10150) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10328 = or(_T_10327, _T_10152) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10329 = or(_T_10328, _T_10154) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10330 = or(_T_10329, _T_10156) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10331 = or(_T_10330, _T_10158) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10332 = or(_T_10331, _T_10160) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10333 = or(_T_10332, _T_10162) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10334 = or(_T_10333, _T_10164) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10335 = or(_T_10334, _T_10166) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10336 = or(_T_10335, _T_10168) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10337 = or(_T_10336, _T_10170) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10338 = or(_T_10337, _T_10172) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10339 = or(_T_10338, _T_10174) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10340 = or(_T_10339, _T_10176) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10341 = or(_T_10340, _T_10178) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10342 = or(_T_10341, _T_10180) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10343 = or(_T_10342, _T_10182) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10344 = or(_T_10343, _T_10184) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10345 = or(_T_10344, _T_10186) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10346 = or(_T_10345, _T_10188) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10347 = or(_T_10346, _T_10190) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10348 = or(_T_10347, _T_10192) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10349 = or(_T_10348, _T_10194) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10350 = or(_T_10349, _T_10196) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10351 = or(_T_10350, _T_10198) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10352 = or(_T_10351, _T_10200) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10353 = or(_T_10352, _T_10202) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10354 = or(_T_10353, _T_10204) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10355 = or(_T_10354, _T_10206) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10356 = or(_T_10355, _T_10208) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10357 = or(_T_10356, _T_10210) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10358 = or(_T_10357, _T_10212) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10359 = or(_T_10358, _T_10214) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10360 = or(_T_10359, _T_10216) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10361 = or(_T_10360, _T_10218) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10362 = or(_T_10361, _T_10220) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10363 = or(_T_10362, _T_10222) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10364 = or(_T_10363, _T_10224) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10365 = or(_T_10364, _T_10226) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10366 = or(_T_10365, _T_10228) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10367 = or(_T_10366, _T_10230) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10368 = or(_T_10367, _T_10232) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10369 = or(_T_10368, _T_10234) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10370 = or(_T_10369, _T_10236) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10371 = or(_T_10370, _T_10238) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10372 = or(_T_10371, _T_10240) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10373 = or(_T_10372, _T_10242) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10374 = or(_T_10373, _T_10244) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10375 = or(_T_10374, _T_10246) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10376 = or(_T_10375, _T_10248) @[el2_ifu_mem_ctl.scala 764:91] - node _T_10377 = or(_T_10376, _T_10250) @[el2_ifu_mem_ctl.scala 764:91] + ic_tag_valid_out[1][127] <= _T_9611 @[el2_ifu_mem_ctl.scala 755:41] + node _T_9612 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9613 = mux(_T_9612, ic_tag_valid_out[0][0], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9614 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9615 = mux(_T_9614, ic_tag_valid_out[0][1], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9616 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9617 = mux(_T_9616, ic_tag_valid_out[0][2], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9618 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9619 = mux(_T_9618, ic_tag_valid_out[0][3], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9620 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9621 = mux(_T_9620, ic_tag_valid_out[0][4], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9622 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9623 = mux(_T_9622, ic_tag_valid_out[0][5], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9624 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9625 = mux(_T_9624, ic_tag_valid_out[0][6], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9626 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9627 = mux(_T_9626, ic_tag_valid_out[0][7], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9628 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9629 = mux(_T_9628, ic_tag_valid_out[0][8], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9630 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9631 = mux(_T_9630, ic_tag_valid_out[0][9], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9632 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9633 = mux(_T_9632, ic_tag_valid_out[0][10], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9634 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9635 = mux(_T_9634, ic_tag_valid_out[0][11], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9636 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9637 = mux(_T_9636, ic_tag_valid_out[0][12], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9638 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9639 = mux(_T_9638, ic_tag_valid_out[0][13], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9640 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9641 = mux(_T_9640, ic_tag_valid_out[0][14], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9642 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9643 = mux(_T_9642, ic_tag_valid_out[0][15], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9644 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9645 = mux(_T_9644, ic_tag_valid_out[0][16], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9646 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9647 = mux(_T_9646, ic_tag_valid_out[0][17], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9648 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9649 = mux(_T_9648, ic_tag_valid_out[0][18], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9650 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9651 = mux(_T_9650, ic_tag_valid_out[0][19], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9652 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9653 = mux(_T_9652, ic_tag_valid_out[0][20], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9654 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9655 = mux(_T_9654, ic_tag_valid_out[0][21], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9656 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9657 = mux(_T_9656, ic_tag_valid_out[0][22], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9658 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9659 = mux(_T_9658, ic_tag_valid_out[0][23], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9660 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9661 = mux(_T_9660, ic_tag_valid_out[0][24], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9662 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9663 = mux(_T_9662, ic_tag_valid_out[0][25], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9664 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9665 = mux(_T_9664, ic_tag_valid_out[0][26], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9666 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9667 = mux(_T_9666, ic_tag_valid_out[0][27], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9668 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9669 = mux(_T_9668, ic_tag_valid_out[0][28], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9670 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9671 = mux(_T_9670, ic_tag_valid_out[0][29], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9672 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9673 = mux(_T_9672, ic_tag_valid_out[0][30], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9674 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9675 = mux(_T_9674, ic_tag_valid_out[0][31], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9676 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9677 = mux(_T_9676, ic_tag_valid_out[0][32], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9678 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9679 = mux(_T_9678, ic_tag_valid_out[0][33], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9680 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9681 = mux(_T_9680, ic_tag_valid_out[0][34], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9682 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9683 = mux(_T_9682, ic_tag_valid_out[0][35], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9684 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9685 = mux(_T_9684, ic_tag_valid_out[0][36], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9686 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9687 = mux(_T_9686, ic_tag_valid_out[0][37], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9688 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9689 = mux(_T_9688, ic_tag_valid_out[0][38], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9690 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9691 = mux(_T_9690, ic_tag_valid_out[0][39], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9692 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9693 = mux(_T_9692, ic_tag_valid_out[0][40], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9694 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9695 = mux(_T_9694, ic_tag_valid_out[0][41], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9696 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9697 = mux(_T_9696, ic_tag_valid_out[0][42], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9698 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9699 = mux(_T_9698, ic_tag_valid_out[0][43], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9700 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9701 = mux(_T_9700, ic_tag_valid_out[0][44], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9702 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9703 = mux(_T_9702, ic_tag_valid_out[0][45], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9704 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9705 = mux(_T_9704, ic_tag_valid_out[0][46], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9706 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9707 = mux(_T_9706, ic_tag_valid_out[0][47], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9708 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9709 = mux(_T_9708, ic_tag_valid_out[0][48], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9710 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9711 = mux(_T_9710, ic_tag_valid_out[0][49], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9712 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9713 = mux(_T_9712, ic_tag_valid_out[0][50], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9714 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9715 = mux(_T_9714, ic_tag_valid_out[0][51], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9716 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9717 = mux(_T_9716, ic_tag_valid_out[0][52], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9718 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9719 = mux(_T_9718, ic_tag_valid_out[0][53], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9720 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9721 = mux(_T_9720, ic_tag_valid_out[0][54], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9722 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9723 = mux(_T_9722, ic_tag_valid_out[0][55], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9724 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9725 = mux(_T_9724, ic_tag_valid_out[0][56], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9726 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9727 = mux(_T_9726, ic_tag_valid_out[0][57], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9728 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9729 = mux(_T_9728, ic_tag_valid_out[0][58], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9730 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9731 = mux(_T_9730, ic_tag_valid_out[0][59], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9732 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9733 = mux(_T_9732, ic_tag_valid_out[0][60], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9734 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9735 = mux(_T_9734, ic_tag_valid_out[0][61], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9736 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9737 = mux(_T_9736, ic_tag_valid_out[0][62], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9738 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9739 = mux(_T_9738, ic_tag_valid_out[0][63], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9740 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9741 = mux(_T_9740, ic_tag_valid_out[0][64], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9742 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9743 = mux(_T_9742, ic_tag_valid_out[0][65], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9744 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9745 = mux(_T_9744, ic_tag_valid_out[0][66], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9746 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9747 = mux(_T_9746, ic_tag_valid_out[0][67], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9748 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9749 = mux(_T_9748, ic_tag_valid_out[0][68], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9750 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9751 = mux(_T_9750, ic_tag_valid_out[0][69], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9752 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9753 = mux(_T_9752, ic_tag_valid_out[0][70], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9754 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9755 = mux(_T_9754, ic_tag_valid_out[0][71], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9756 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9757 = mux(_T_9756, ic_tag_valid_out[0][72], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9758 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9759 = mux(_T_9758, ic_tag_valid_out[0][73], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9760 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9761 = mux(_T_9760, ic_tag_valid_out[0][74], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9762 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9763 = mux(_T_9762, ic_tag_valid_out[0][75], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9764 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9765 = mux(_T_9764, ic_tag_valid_out[0][76], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9766 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9767 = mux(_T_9766, ic_tag_valid_out[0][77], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9768 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9769 = mux(_T_9768, ic_tag_valid_out[0][78], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9770 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9771 = mux(_T_9770, ic_tag_valid_out[0][79], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9772 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9773 = mux(_T_9772, ic_tag_valid_out[0][80], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9774 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9775 = mux(_T_9774, ic_tag_valid_out[0][81], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9776 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9777 = mux(_T_9776, ic_tag_valid_out[0][82], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9778 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9779 = mux(_T_9778, ic_tag_valid_out[0][83], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9780 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9781 = mux(_T_9780, ic_tag_valid_out[0][84], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9782 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9783 = mux(_T_9782, ic_tag_valid_out[0][85], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9784 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9785 = mux(_T_9784, ic_tag_valid_out[0][86], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9786 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9787 = mux(_T_9786, ic_tag_valid_out[0][87], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9788 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9789 = mux(_T_9788, ic_tag_valid_out[0][88], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9790 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9791 = mux(_T_9790, ic_tag_valid_out[0][89], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9792 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9793 = mux(_T_9792, ic_tag_valid_out[0][90], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9794 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9795 = mux(_T_9794, ic_tag_valid_out[0][91], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9796 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9797 = mux(_T_9796, ic_tag_valid_out[0][92], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9798 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9799 = mux(_T_9798, ic_tag_valid_out[0][93], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9800 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9801 = mux(_T_9800, ic_tag_valid_out[0][94], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9802 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9803 = mux(_T_9802, ic_tag_valid_out[0][95], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9804 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9805 = mux(_T_9804, ic_tag_valid_out[0][96], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9806 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9807 = mux(_T_9806, ic_tag_valid_out[0][97], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9808 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9809 = mux(_T_9808, ic_tag_valid_out[0][98], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9810 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9811 = mux(_T_9810, ic_tag_valid_out[0][99], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9812 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9813 = mux(_T_9812, ic_tag_valid_out[0][100], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9814 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9815 = mux(_T_9814, ic_tag_valid_out[0][101], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9816 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9817 = mux(_T_9816, ic_tag_valid_out[0][102], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9818 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9819 = mux(_T_9818, ic_tag_valid_out[0][103], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9820 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9821 = mux(_T_9820, ic_tag_valid_out[0][104], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9822 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9823 = mux(_T_9822, ic_tag_valid_out[0][105], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9824 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9825 = mux(_T_9824, ic_tag_valid_out[0][106], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9826 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9827 = mux(_T_9826, ic_tag_valid_out[0][107], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9828 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9829 = mux(_T_9828, ic_tag_valid_out[0][108], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9830 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9831 = mux(_T_9830, ic_tag_valid_out[0][109], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9832 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9833 = mux(_T_9832, ic_tag_valid_out[0][110], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9834 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9835 = mux(_T_9834, ic_tag_valid_out[0][111], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9836 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9837 = mux(_T_9836, ic_tag_valid_out[0][112], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9838 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9839 = mux(_T_9838, ic_tag_valid_out[0][113], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9840 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9841 = mux(_T_9840, ic_tag_valid_out[0][114], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9842 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9843 = mux(_T_9842, ic_tag_valid_out[0][115], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9844 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9845 = mux(_T_9844, ic_tag_valid_out[0][116], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9846 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9847 = mux(_T_9846, ic_tag_valid_out[0][117], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9848 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9849 = mux(_T_9848, ic_tag_valid_out[0][118], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9850 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9851 = mux(_T_9850, ic_tag_valid_out[0][119], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9852 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9853 = mux(_T_9852, ic_tag_valid_out[0][120], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9854 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9855 = mux(_T_9854, ic_tag_valid_out[0][121], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9856 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9857 = mux(_T_9856, ic_tag_valid_out[0][122], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9858 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9859 = mux(_T_9858, ic_tag_valid_out[0][123], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9860 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9861 = mux(_T_9860, ic_tag_valid_out[0][124], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9862 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9863 = mux(_T_9862, ic_tag_valid_out[0][125], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9864 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9865 = mux(_T_9864, ic_tag_valid_out[0][126], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9866 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9867 = mux(_T_9866, ic_tag_valid_out[0][127], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9868 = or(_T_9613, _T_9615) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9869 = or(_T_9868, _T_9617) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9870 = or(_T_9869, _T_9619) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9871 = or(_T_9870, _T_9621) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9872 = or(_T_9871, _T_9623) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9873 = or(_T_9872, _T_9625) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9874 = or(_T_9873, _T_9627) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9875 = or(_T_9874, _T_9629) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9876 = or(_T_9875, _T_9631) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9877 = or(_T_9876, _T_9633) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9878 = or(_T_9877, _T_9635) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9879 = or(_T_9878, _T_9637) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9880 = or(_T_9879, _T_9639) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9881 = or(_T_9880, _T_9641) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9882 = or(_T_9881, _T_9643) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9883 = or(_T_9882, _T_9645) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9884 = or(_T_9883, _T_9647) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9885 = or(_T_9884, _T_9649) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9886 = or(_T_9885, _T_9651) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9887 = or(_T_9886, _T_9653) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9888 = or(_T_9887, _T_9655) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9889 = or(_T_9888, _T_9657) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9890 = or(_T_9889, _T_9659) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9891 = or(_T_9890, _T_9661) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9892 = or(_T_9891, _T_9663) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9893 = or(_T_9892, _T_9665) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9894 = or(_T_9893, _T_9667) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9895 = or(_T_9894, _T_9669) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9896 = or(_T_9895, _T_9671) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9897 = or(_T_9896, _T_9673) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9898 = or(_T_9897, _T_9675) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9899 = or(_T_9898, _T_9677) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9900 = or(_T_9899, _T_9679) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9901 = or(_T_9900, _T_9681) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9902 = or(_T_9901, _T_9683) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9903 = or(_T_9902, _T_9685) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9904 = or(_T_9903, _T_9687) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9905 = or(_T_9904, _T_9689) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9906 = or(_T_9905, _T_9691) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9907 = or(_T_9906, _T_9693) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9908 = or(_T_9907, _T_9695) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9909 = or(_T_9908, _T_9697) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9910 = or(_T_9909, _T_9699) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9911 = or(_T_9910, _T_9701) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9912 = or(_T_9911, _T_9703) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9913 = or(_T_9912, _T_9705) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9914 = or(_T_9913, _T_9707) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9915 = or(_T_9914, _T_9709) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9916 = or(_T_9915, _T_9711) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9917 = or(_T_9916, _T_9713) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9918 = or(_T_9917, _T_9715) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9919 = or(_T_9918, _T_9717) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9920 = or(_T_9919, _T_9719) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9921 = or(_T_9920, _T_9721) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9922 = or(_T_9921, _T_9723) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9923 = or(_T_9922, _T_9725) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9924 = or(_T_9923, _T_9727) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9925 = or(_T_9924, _T_9729) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9926 = or(_T_9925, _T_9731) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9927 = or(_T_9926, _T_9733) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9928 = or(_T_9927, _T_9735) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9929 = or(_T_9928, _T_9737) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9930 = or(_T_9929, _T_9739) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9931 = or(_T_9930, _T_9741) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9932 = or(_T_9931, _T_9743) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9933 = or(_T_9932, _T_9745) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9934 = or(_T_9933, _T_9747) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9935 = or(_T_9934, _T_9749) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9936 = or(_T_9935, _T_9751) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9937 = or(_T_9936, _T_9753) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9938 = or(_T_9937, _T_9755) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9939 = or(_T_9938, _T_9757) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9940 = or(_T_9939, _T_9759) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9941 = or(_T_9940, _T_9761) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9942 = or(_T_9941, _T_9763) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9943 = or(_T_9942, _T_9765) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9944 = or(_T_9943, _T_9767) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9945 = or(_T_9944, _T_9769) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9946 = or(_T_9945, _T_9771) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9947 = or(_T_9946, _T_9773) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9948 = or(_T_9947, _T_9775) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9949 = or(_T_9948, _T_9777) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9950 = or(_T_9949, _T_9779) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9951 = or(_T_9950, _T_9781) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9952 = or(_T_9951, _T_9783) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9953 = or(_T_9952, _T_9785) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9954 = or(_T_9953, _T_9787) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9955 = or(_T_9954, _T_9789) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9956 = or(_T_9955, _T_9791) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9957 = or(_T_9956, _T_9793) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9958 = or(_T_9957, _T_9795) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9959 = or(_T_9958, _T_9797) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9960 = or(_T_9959, _T_9799) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9961 = or(_T_9960, _T_9801) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9962 = or(_T_9961, _T_9803) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9963 = or(_T_9962, _T_9805) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9964 = or(_T_9963, _T_9807) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9965 = or(_T_9964, _T_9809) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9966 = or(_T_9965, _T_9811) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9967 = or(_T_9966, _T_9813) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9968 = or(_T_9967, _T_9815) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9969 = or(_T_9968, _T_9817) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9970 = or(_T_9969, _T_9819) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9971 = or(_T_9970, _T_9821) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9972 = or(_T_9971, _T_9823) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9973 = or(_T_9972, _T_9825) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9974 = or(_T_9973, _T_9827) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9975 = or(_T_9974, _T_9829) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9976 = or(_T_9975, _T_9831) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9977 = or(_T_9976, _T_9833) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9978 = or(_T_9977, _T_9835) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9979 = or(_T_9978, _T_9837) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9980 = or(_T_9979, _T_9839) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9981 = or(_T_9980, _T_9841) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9982 = or(_T_9981, _T_9843) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9983 = or(_T_9982, _T_9845) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9984 = or(_T_9983, _T_9847) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9985 = or(_T_9984, _T_9849) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9986 = or(_T_9985, _T_9851) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9987 = or(_T_9986, _T_9853) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9988 = or(_T_9987, _T_9855) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9989 = or(_T_9988, _T_9857) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9990 = or(_T_9989, _T_9859) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9991 = or(_T_9990, _T_9861) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9992 = or(_T_9991, _T_9863) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9993 = or(_T_9992, _T_9865) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9994 = or(_T_9993, _T_9867) @[el2_ifu_mem_ctl.scala 759:91] + node _T_9995 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9996 = mux(_T_9995, ic_tag_valid_out[1][0], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9997 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_9998 = mux(_T_9997, ic_tag_valid_out[1][1], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_9999 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10000 = mux(_T_9999, ic_tag_valid_out[1][2], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10001 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10002 = mux(_T_10001, ic_tag_valid_out[1][3], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10003 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10004 = mux(_T_10003, ic_tag_valid_out[1][4], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10005 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10006 = mux(_T_10005, ic_tag_valid_out[1][5], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10007 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10008 = mux(_T_10007, ic_tag_valid_out[1][6], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10009 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10010 = mux(_T_10009, ic_tag_valid_out[1][7], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10011 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10012 = mux(_T_10011, ic_tag_valid_out[1][8], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10013 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10014 = mux(_T_10013, ic_tag_valid_out[1][9], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10015 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10016 = mux(_T_10015, ic_tag_valid_out[1][10], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10017 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10018 = mux(_T_10017, ic_tag_valid_out[1][11], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10019 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10020 = mux(_T_10019, ic_tag_valid_out[1][12], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10021 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10022 = mux(_T_10021, ic_tag_valid_out[1][13], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10023 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10024 = mux(_T_10023, ic_tag_valid_out[1][14], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10025 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10026 = mux(_T_10025, ic_tag_valid_out[1][15], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10027 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10028 = mux(_T_10027, ic_tag_valid_out[1][16], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10029 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10030 = mux(_T_10029, ic_tag_valid_out[1][17], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10031 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10032 = mux(_T_10031, ic_tag_valid_out[1][18], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10033 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10034 = mux(_T_10033, ic_tag_valid_out[1][19], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10035 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10036 = mux(_T_10035, ic_tag_valid_out[1][20], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10037 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10038 = mux(_T_10037, ic_tag_valid_out[1][21], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10039 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10040 = mux(_T_10039, ic_tag_valid_out[1][22], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10041 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10042 = mux(_T_10041, ic_tag_valid_out[1][23], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10043 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10044 = mux(_T_10043, ic_tag_valid_out[1][24], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10045 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10046 = mux(_T_10045, ic_tag_valid_out[1][25], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10047 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10048 = mux(_T_10047, ic_tag_valid_out[1][26], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10049 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10050 = mux(_T_10049, ic_tag_valid_out[1][27], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10051 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10052 = mux(_T_10051, ic_tag_valid_out[1][28], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10053 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10054 = mux(_T_10053, ic_tag_valid_out[1][29], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10055 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10056 = mux(_T_10055, ic_tag_valid_out[1][30], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10057 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10058 = mux(_T_10057, ic_tag_valid_out[1][31], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10059 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10060 = mux(_T_10059, ic_tag_valid_out[1][32], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10061 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10062 = mux(_T_10061, ic_tag_valid_out[1][33], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10063 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10064 = mux(_T_10063, ic_tag_valid_out[1][34], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10065 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10066 = mux(_T_10065, ic_tag_valid_out[1][35], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10067 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10068 = mux(_T_10067, ic_tag_valid_out[1][36], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10069 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10070 = mux(_T_10069, ic_tag_valid_out[1][37], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10071 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10072 = mux(_T_10071, ic_tag_valid_out[1][38], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10073 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10074 = mux(_T_10073, ic_tag_valid_out[1][39], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10075 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10076 = mux(_T_10075, ic_tag_valid_out[1][40], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10077 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10078 = mux(_T_10077, ic_tag_valid_out[1][41], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10079 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10080 = mux(_T_10079, ic_tag_valid_out[1][42], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10081 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10082 = mux(_T_10081, ic_tag_valid_out[1][43], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10083 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10084 = mux(_T_10083, ic_tag_valid_out[1][44], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10085 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10086 = mux(_T_10085, ic_tag_valid_out[1][45], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10087 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10088 = mux(_T_10087, ic_tag_valid_out[1][46], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10089 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10090 = mux(_T_10089, ic_tag_valid_out[1][47], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10091 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10092 = mux(_T_10091, ic_tag_valid_out[1][48], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10093 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10094 = mux(_T_10093, ic_tag_valid_out[1][49], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10095 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10096 = mux(_T_10095, ic_tag_valid_out[1][50], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10097 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10098 = mux(_T_10097, ic_tag_valid_out[1][51], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10099 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10100 = mux(_T_10099, ic_tag_valid_out[1][52], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10101 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10102 = mux(_T_10101, ic_tag_valid_out[1][53], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10103 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10104 = mux(_T_10103, ic_tag_valid_out[1][54], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10105 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10106 = mux(_T_10105, ic_tag_valid_out[1][55], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10107 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10108 = mux(_T_10107, ic_tag_valid_out[1][56], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10109 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10110 = mux(_T_10109, ic_tag_valid_out[1][57], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10111 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10112 = mux(_T_10111, ic_tag_valid_out[1][58], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10113 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10114 = mux(_T_10113, ic_tag_valid_out[1][59], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10115 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10116 = mux(_T_10115, ic_tag_valid_out[1][60], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10117 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10118 = mux(_T_10117, ic_tag_valid_out[1][61], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10119 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10120 = mux(_T_10119, ic_tag_valid_out[1][62], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10121 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10122 = mux(_T_10121, ic_tag_valid_out[1][63], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10123 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10124 = mux(_T_10123, ic_tag_valid_out[1][64], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10125 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10126 = mux(_T_10125, ic_tag_valid_out[1][65], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10127 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10128 = mux(_T_10127, ic_tag_valid_out[1][66], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10129 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10130 = mux(_T_10129, ic_tag_valid_out[1][67], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10131 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10132 = mux(_T_10131, ic_tag_valid_out[1][68], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10133 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10134 = mux(_T_10133, ic_tag_valid_out[1][69], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10135 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10136 = mux(_T_10135, ic_tag_valid_out[1][70], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10137 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10138 = mux(_T_10137, ic_tag_valid_out[1][71], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10139 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10140 = mux(_T_10139, ic_tag_valid_out[1][72], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10141 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10142 = mux(_T_10141, ic_tag_valid_out[1][73], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10143 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10144 = mux(_T_10143, ic_tag_valid_out[1][74], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10145 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10146 = mux(_T_10145, ic_tag_valid_out[1][75], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10147 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10148 = mux(_T_10147, ic_tag_valid_out[1][76], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10149 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10150 = mux(_T_10149, ic_tag_valid_out[1][77], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10151 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10152 = mux(_T_10151, ic_tag_valid_out[1][78], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10153 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10154 = mux(_T_10153, ic_tag_valid_out[1][79], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10155 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10156 = mux(_T_10155, ic_tag_valid_out[1][80], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10157 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10158 = mux(_T_10157, ic_tag_valid_out[1][81], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10159 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10160 = mux(_T_10159, ic_tag_valid_out[1][82], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10161 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10162 = mux(_T_10161, ic_tag_valid_out[1][83], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10163 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10164 = mux(_T_10163, ic_tag_valid_out[1][84], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10165 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10166 = mux(_T_10165, ic_tag_valid_out[1][85], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10167 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10168 = mux(_T_10167, ic_tag_valid_out[1][86], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10169 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10170 = mux(_T_10169, ic_tag_valid_out[1][87], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10171 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10172 = mux(_T_10171, ic_tag_valid_out[1][88], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10173 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10174 = mux(_T_10173, ic_tag_valid_out[1][89], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10175 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10176 = mux(_T_10175, ic_tag_valid_out[1][90], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10177 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10178 = mux(_T_10177, ic_tag_valid_out[1][91], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10179 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10180 = mux(_T_10179, ic_tag_valid_out[1][92], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10181 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10182 = mux(_T_10181, ic_tag_valid_out[1][93], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10183 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10184 = mux(_T_10183, ic_tag_valid_out[1][94], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10185 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10186 = mux(_T_10185, ic_tag_valid_out[1][95], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10187 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10188 = mux(_T_10187, ic_tag_valid_out[1][96], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10189 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10190 = mux(_T_10189, ic_tag_valid_out[1][97], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10191 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10192 = mux(_T_10191, ic_tag_valid_out[1][98], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10193 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10194 = mux(_T_10193, ic_tag_valid_out[1][99], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10195 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10196 = mux(_T_10195, ic_tag_valid_out[1][100], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10197 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10198 = mux(_T_10197, ic_tag_valid_out[1][101], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10199 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10200 = mux(_T_10199, ic_tag_valid_out[1][102], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10201 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10202 = mux(_T_10201, ic_tag_valid_out[1][103], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10203 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10204 = mux(_T_10203, ic_tag_valid_out[1][104], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10205 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10206 = mux(_T_10205, ic_tag_valid_out[1][105], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10207 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10208 = mux(_T_10207, ic_tag_valid_out[1][106], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10209 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10210 = mux(_T_10209, ic_tag_valid_out[1][107], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10211 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10212 = mux(_T_10211, ic_tag_valid_out[1][108], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10213 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10214 = mux(_T_10213, ic_tag_valid_out[1][109], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10215 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10216 = mux(_T_10215, ic_tag_valid_out[1][110], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10217 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10218 = mux(_T_10217, ic_tag_valid_out[1][111], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10219 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10220 = mux(_T_10219, ic_tag_valid_out[1][112], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10221 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10222 = mux(_T_10221, ic_tag_valid_out[1][113], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10223 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10224 = mux(_T_10223, ic_tag_valid_out[1][114], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10225 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10226 = mux(_T_10225, ic_tag_valid_out[1][115], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10227 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10228 = mux(_T_10227, ic_tag_valid_out[1][116], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10229 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10230 = mux(_T_10229, ic_tag_valid_out[1][117], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10231 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10232 = mux(_T_10231, ic_tag_valid_out[1][118], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10233 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10234 = mux(_T_10233, ic_tag_valid_out[1][119], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10235 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10236 = mux(_T_10235, ic_tag_valid_out[1][120], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10237 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10238 = mux(_T_10237, ic_tag_valid_out[1][121], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10239 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10240 = mux(_T_10239, ic_tag_valid_out[1][122], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10241 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10242 = mux(_T_10241, ic_tag_valid_out[1][123], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10243 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10244 = mux(_T_10243, ic_tag_valid_out[1][124], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10245 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10246 = mux(_T_10245, ic_tag_valid_out[1][125], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10247 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10248 = mux(_T_10247, ic_tag_valid_out[1][126], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10249 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 759:33] + node _T_10250 = mux(_T_10249, ic_tag_valid_out[1][127], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 759:10] + node _T_10251 = or(_T_9996, _T_9998) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10252 = or(_T_10251, _T_10000) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10253 = or(_T_10252, _T_10002) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10254 = or(_T_10253, _T_10004) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10255 = or(_T_10254, _T_10006) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10256 = or(_T_10255, _T_10008) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10257 = or(_T_10256, _T_10010) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10258 = or(_T_10257, _T_10012) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10259 = or(_T_10258, _T_10014) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10260 = or(_T_10259, _T_10016) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10261 = or(_T_10260, _T_10018) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10262 = or(_T_10261, _T_10020) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10263 = or(_T_10262, _T_10022) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10264 = or(_T_10263, _T_10024) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10265 = or(_T_10264, _T_10026) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10266 = or(_T_10265, _T_10028) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10267 = or(_T_10266, _T_10030) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10268 = or(_T_10267, _T_10032) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10269 = or(_T_10268, _T_10034) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10270 = or(_T_10269, _T_10036) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10271 = or(_T_10270, _T_10038) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10272 = or(_T_10271, _T_10040) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10273 = or(_T_10272, _T_10042) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10274 = or(_T_10273, _T_10044) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10275 = or(_T_10274, _T_10046) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10276 = or(_T_10275, _T_10048) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10277 = or(_T_10276, _T_10050) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10278 = or(_T_10277, _T_10052) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10279 = or(_T_10278, _T_10054) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10280 = or(_T_10279, _T_10056) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10281 = or(_T_10280, _T_10058) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10282 = or(_T_10281, _T_10060) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10283 = or(_T_10282, _T_10062) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10284 = or(_T_10283, _T_10064) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10285 = or(_T_10284, _T_10066) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10286 = or(_T_10285, _T_10068) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10287 = or(_T_10286, _T_10070) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10288 = or(_T_10287, _T_10072) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10289 = or(_T_10288, _T_10074) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10290 = or(_T_10289, _T_10076) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10291 = or(_T_10290, _T_10078) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10292 = or(_T_10291, _T_10080) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10293 = or(_T_10292, _T_10082) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10294 = or(_T_10293, _T_10084) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10295 = or(_T_10294, _T_10086) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10296 = or(_T_10295, _T_10088) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10297 = or(_T_10296, _T_10090) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10298 = or(_T_10297, _T_10092) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10299 = or(_T_10298, _T_10094) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10300 = or(_T_10299, _T_10096) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10301 = or(_T_10300, _T_10098) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10302 = or(_T_10301, _T_10100) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10303 = or(_T_10302, _T_10102) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10304 = or(_T_10303, _T_10104) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10305 = or(_T_10304, _T_10106) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10306 = or(_T_10305, _T_10108) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10307 = or(_T_10306, _T_10110) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10308 = or(_T_10307, _T_10112) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10309 = or(_T_10308, _T_10114) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10310 = or(_T_10309, _T_10116) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10311 = or(_T_10310, _T_10118) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10312 = or(_T_10311, _T_10120) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10313 = or(_T_10312, _T_10122) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10314 = or(_T_10313, _T_10124) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10315 = or(_T_10314, _T_10126) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10316 = or(_T_10315, _T_10128) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10317 = or(_T_10316, _T_10130) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10318 = or(_T_10317, _T_10132) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10319 = or(_T_10318, _T_10134) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10320 = or(_T_10319, _T_10136) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10321 = or(_T_10320, _T_10138) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10322 = or(_T_10321, _T_10140) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10323 = or(_T_10322, _T_10142) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10324 = or(_T_10323, _T_10144) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10325 = or(_T_10324, _T_10146) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10326 = or(_T_10325, _T_10148) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10327 = or(_T_10326, _T_10150) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10328 = or(_T_10327, _T_10152) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10329 = or(_T_10328, _T_10154) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10330 = or(_T_10329, _T_10156) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10331 = or(_T_10330, _T_10158) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10332 = or(_T_10331, _T_10160) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10333 = or(_T_10332, _T_10162) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10334 = or(_T_10333, _T_10164) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10335 = or(_T_10334, _T_10166) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10336 = or(_T_10335, _T_10168) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10337 = or(_T_10336, _T_10170) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10338 = or(_T_10337, _T_10172) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10339 = or(_T_10338, _T_10174) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10340 = or(_T_10339, _T_10176) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10341 = or(_T_10340, _T_10178) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10342 = or(_T_10341, _T_10180) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10343 = or(_T_10342, _T_10182) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10344 = or(_T_10343, _T_10184) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10345 = or(_T_10344, _T_10186) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10346 = or(_T_10345, _T_10188) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10347 = or(_T_10346, _T_10190) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10348 = or(_T_10347, _T_10192) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10349 = or(_T_10348, _T_10194) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10350 = or(_T_10349, _T_10196) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10351 = or(_T_10350, _T_10198) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10352 = or(_T_10351, _T_10200) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10353 = or(_T_10352, _T_10202) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10354 = or(_T_10353, _T_10204) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10355 = or(_T_10354, _T_10206) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10356 = or(_T_10355, _T_10208) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10357 = or(_T_10356, _T_10210) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10358 = or(_T_10357, _T_10212) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10359 = or(_T_10358, _T_10214) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10360 = or(_T_10359, _T_10216) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10361 = or(_T_10360, _T_10218) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10362 = or(_T_10361, _T_10220) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10363 = or(_T_10362, _T_10222) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10364 = or(_T_10363, _T_10224) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10365 = or(_T_10364, _T_10226) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10366 = or(_T_10365, _T_10228) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10367 = or(_T_10366, _T_10230) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10368 = or(_T_10367, _T_10232) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10369 = or(_T_10368, _T_10234) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10370 = or(_T_10369, _T_10236) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10371 = or(_T_10370, _T_10238) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10372 = or(_T_10371, _T_10240) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10373 = or(_T_10372, _T_10242) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10374 = or(_T_10373, _T_10244) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10375 = or(_T_10374, _T_10246) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10376 = or(_T_10375, _T_10248) @[el2_ifu_mem_ctl.scala 759:91] + node _T_10377 = or(_T_10376, _T_10250) @[el2_ifu_mem_ctl.scala 759:91] node ic_tag_valid_unq = cat(_T_10377, _T_9994) @[Cat.scala 29:58] wire way_status_hit_new : UInt<1> way_status_hit_new <= UInt<1>("h00") - node _T_10378 = eq(way_status_mb_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 789:33] - node _T_10379 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 789:63] - node _T_10380 = and(_T_10378, _T_10379) @[el2_ifu_mem_ctl.scala 789:51] - node _T_10381 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 789:79] - node _T_10382 = and(_T_10380, _T_10381) @[el2_ifu_mem_ctl.scala 789:67] - node _T_10383 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 789:97] - node _T_10384 = eq(_T_10383, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 789:86] - node _T_10385 = or(_T_10382, _T_10384) @[el2_ifu_mem_ctl.scala 789:84] - replace_way_mb_any[0] <= _T_10385 @[el2_ifu_mem_ctl.scala 789:29] - node _T_10386 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 790:62] - node _T_10387 = and(way_status_mb_ff, _T_10386) @[el2_ifu_mem_ctl.scala 790:50] - node _T_10388 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 790:78] - node _T_10389 = and(_T_10387, _T_10388) @[el2_ifu_mem_ctl.scala 790:66] - node _T_10390 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 790:96] - node _T_10391 = eq(_T_10390, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 790:85] - node _T_10392 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 790:112] - node _T_10393 = and(_T_10391, _T_10392) @[el2_ifu_mem_ctl.scala 790:100] - node _T_10394 = or(_T_10389, _T_10393) @[el2_ifu_mem_ctl.scala 790:83] - replace_way_mb_any[1] <= _T_10394 @[el2_ifu_mem_ctl.scala 790:29] - node _T_10395 = bits(io.ic_rd_hit, 0, 0) @[el2_ifu_mem_ctl.scala 791:41] - way_status_hit_new <= _T_10395 @[el2_ifu_mem_ctl.scala 791:26] - way_status_rep_new <= replace_way_mb_any[0] @[el2_ifu_mem_ctl.scala 792:26] - node _T_10396 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 794:47] - node _T_10397 = bits(_T_10396, 0, 0) @[el2_ifu_mem_ctl.scala 794:60] - node _T_10398 = mux(_T_10397, way_status_rep_new, way_status_hit_new) @[el2_ifu_mem_ctl.scala 794:26] - way_status_new <= _T_10398 @[el2_ifu_mem_ctl.scala 794:20] - node _T_10399 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 795:45] - node _T_10400 = or(_T_10399, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 795:58] - way_status_wr_en <= _T_10400 @[el2_ifu_mem_ctl.scala 795:22] - node _T_10401 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 796:74] - node bus_wren_0 = and(_T_10401, miss_pending) @[el2_ifu_mem_ctl.scala 796:98] - node _T_10402 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 796:74] - node bus_wren_1 = and(_T_10402, miss_pending) @[el2_ifu_mem_ctl.scala 796:98] - node _T_10403 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 798:84] - node _T_10404 = and(_T_10403, miss_pending) @[el2_ifu_mem_ctl.scala 798:108] - node bus_wren_last_0 = and(_T_10404, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 798:123] - node _T_10405 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 798:84] - node _T_10406 = and(_T_10405, miss_pending) @[el2_ifu_mem_ctl.scala 798:108] - node bus_wren_last_1 = and(_T_10406, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 798:123] - node wren_reset_miss_0 = and(replace_way_mb_any[0], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 799:84] - node wren_reset_miss_1 = and(replace_way_mb_any[1], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 799:84] - node _T_10407 = or(bus_wren_last_0, wren_reset_miss_0) @[el2_ifu_mem_ctl.scala 800:73] - node _T_10408 = or(bus_wren_last_1, wren_reset_miss_1) @[el2_ifu_mem_ctl.scala 800:73] + node _T_10378 = eq(way_status_mb_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 784:33] + node _T_10379 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 784:63] + node _T_10380 = and(_T_10378, _T_10379) @[el2_ifu_mem_ctl.scala 784:51] + node _T_10381 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 784:79] + node _T_10382 = and(_T_10380, _T_10381) @[el2_ifu_mem_ctl.scala 784:67] + node _T_10383 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 784:97] + node _T_10384 = eq(_T_10383, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 784:86] + node _T_10385 = or(_T_10382, _T_10384) @[el2_ifu_mem_ctl.scala 784:84] + replace_way_mb_any[0] <= _T_10385 @[el2_ifu_mem_ctl.scala 784:29] + node _T_10386 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 785:62] + node _T_10387 = and(way_status_mb_ff, _T_10386) @[el2_ifu_mem_ctl.scala 785:50] + node _T_10388 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 785:78] + node _T_10389 = and(_T_10387, _T_10388) @[el2_ifu_mem_ctl.scala 785:66] + node _T_10390 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 785:96] + node _T_10391 = eq(_T_10390, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 785:85] + node _T_10392 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 785:112] + node _T_10393 = and(_T_10391, _T_10392) @[el2_ifu_mem_ctl.scala 785:100] + node _T_10394 = or(_T_10389, _T_10393) @[el2_ifu_mem_ctl.scala 785:83] + replace_way_mb_any[1] <= _T_10394 @[el2_ifu_mem_ctl.scala 785:29] + node _T_10395 = bits(io.ic_rd_hit, 0, 0) @[el2_ifu_mem_ctl.scala 786:41] + way_status_hit_new <= _T_10395 @[el2_ifu_mem_ctl.scala 786:26] + way_status_rep_new <= replace_way_mb_any[0] @[el2_ifu_mem_ctl.scala 787:26] + node _T_10396 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 789:47] + node _T_10397 = bits(_T_10396, 0, 0) @[el2_ifu_mem_ctl.scala 789:60] + node _T_10398 = mux(_T_10397, way_status_rep_new, way_status_hit_new) @[el2_ifu_mem_ctl.scala 789:26] + way_status_new <= _T_10398 @[el2_ifu_mem_ctl.scala 789:20] + node _T_10399 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 790:45] + node _T_10400 = or(_T_10399, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 790:58] + way_status_wr_en <= _T_10400 @[el2_ifu_mem_ctl.scala 790:22] + node _T_10401 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 791:74] + node bus_wren_0 = and(_T_10401, miss_pending) @[el2_ifu_mem_ctl.scala 791:98] + node _T_10402 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 791:74] + node bus_wren_1 = and(_T_10402, miss_pending) @[el2_ifu_mem_ctl.scala 791:98] + node _T_10403 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 793:84] + node _T_10404 = and(_T_10403, miss_pending) @[el2_ifu_mem_ctl.scala 793:108] + node bus_wren_last_0 = and(_T_10404, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 793:123] + node _T_10405 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 793:84] + node _T_10406 = and(_T_10405, miss_pending) @[el2_ifu_mem_ctl.scala 793:108] + node bus_wren_last_1 = and(_T_10406, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 793:123] + node wren_reset_miss_0 = and(replace_way_mb_any[0], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 794:84] + node wren_reset_miss_1 = and(replace_way_mb_any[1], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 794:84] + node _T_10407 = or(bus_wren_last_0, wren_reset_miss_0) @[el2_ifu_mem_ctl.scala 795:73] + node _T_10408 = or(bus_wren_last_1, wren_reset_miss_1) @[el2_ifu_mem_ctl.scala 795:73] node _T_10409 = cat(_T_10408, _T_10407) @[Cat.scala 29:58] - ifu_tag_wren <= _T_10409 @[el2_ifu_mem_ctl.scala 800:18] + ifu_tag_wren <= _T_10409 @[el2_ifu_mem_ctl.scala 795:18] node _T_10410 = cat(bus_wren_1, bus_wren_0) @[Cat.scala 29:58] - bus_ic_wr_en <= _T_10410 @[el2_ifu_mem_ctl.scala 802:16] - node _T_10411 = eq(fetch_uncacheable_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 816:63] - node _T_10412 = and(_T_10411, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 816:85] + bus_ic_wr_en <= _T_10410 @[el2_ifu_mem_ctl.scala 797:16] + node _T_10411 = eq(fetch_uncacheable_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 811:63] + node _T_10412 = and(_T_10411, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 811:85] node _T_10413 = bits(_T_10412, 0, 0) @[Bitwise.scala 72:15] node _T_10414 = mux(_T_10413, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_10415 = and(ic_tag_valid_unq, _T_10414) @[el2_ifu_mem_ctl.scala 816:39] - io.ic_tag_valid <= _T_10415 @[el2_ifu_mem_ctl.scala 816:19] + node _T_10415 = and(ic_tag_valid_unq, _T_10414) @[el2_ifu_mem_ctl.scala 811:39] + io.ic_tag_valid <= _T_10415 @[el2_ifu_mem_ctl.scala 811:19] wire ic_debug_way_ff : UInt<2> ic_debug_way_ff <= UInt<1>("h00") node _T_10416 = bits(ic_debug_rd_en_ff, 0, 0) @[Bitwise.scala 72:15] node _T_10417 = mux(_T_10416, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_10418 = and(ic_debug_way_ff, _T_10417) @[el2_ifu_mem_ctl.scala 819:67] - node _T_10419 = and(ic_tag_valid_unq, _T_10418) @[el2_ifu_mem_ctl.scala 819:48] - node _T_10420 = orr(_T_10419) @[el2_ifu_mem_ctl.scala 819:115] - ic_debug_tag_val_rd_out <= _T_10420 @[el2_ifu_mem_ctl.scala 819:27] - reg _T_10421 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 821:57] - _T_10421 <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 821:57] - io.ifu_pmu_ic_miss <= _T_10421 @[el2_ifu_mem_ctl.scala 821:22] - reg _T_10422 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 822:56] - _T_10422 <= ic_act_hit_f @[el2_ifu_mem_ctl.scala 822:56] - io.ifu_pmu_ic_hit <= _T_10422 @[el2_ifu_mem_ctl.scala 822:21] - reg _T_10423 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 823:59] - _T_10423 <= ifc_bus_acc_fault_f @[el2_ifu_mem_ctl.scala 823:59] - io.ifu_pmu_bus_error <= _T_10423 @[el2_ifu_mem_ctl.scala 823:24] - node _T_10424 = eq(ifu_bus_arready_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 824:80] - node _T_10425 = and(ifu_bus_arvalid_ff, _T_10424) @[el2_ifu_mem_ctl.scala 824:78] - node _T_10426 = and(_T_10425, miss_pending) @[el2_ifu_mem_ctl.scala 824:100] - reg _T_10427 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 824:58] - _T_10427 <= _T_10426 @[el2_ifu_mem_ctl.scala 824:58] - io.ifu_pmu_bus_busy <= _T_10427 @[el2_ifu_mem_ctl.scala 824:23] - reg _T_10428 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 825:58] - _T_10428 <= bus_cmd_sent @[el2_ifu_mem_ctl.scala 825:58] - io.ifu_pmu_bus_trxn <= _T_10428 @[el2_ifu_mem_ctl.scala 825:23] - io.ic_debug_addr <= io.dec_tlu_ic_diag_pkt.icache_dicawics @[el2_ifu_mem_ctl.scala 828:20] - node _T_10429 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 16, 16) @[el2_ifu_mem_ctl.scala 829:66] - io.ic_debug_tag_array <= _T_10429 @[el2_ifu_mem_ctl.scala 829:25] - io.ic_debug_rd_en <= io.dec_tlu_ic_diag_pkt.icache_rd_valid @[el2_ifu_mem_ctl.scala 830:21] - io.ic_debug_wr_en <= io.dec_tlu_ic_diag_pkt.icache_wr_valid @[el2_ifu_mem_ctl.scala 831:21] - node _T_10430 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 832:64] - node _T_10431 = eq(_T_10430, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 832:71] - node _T_10432 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 832:117] - node _T_10433 = eq(_T_10432, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 832:124] - node _T_10434 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 833:43] - node _T_10435 = eq(_T_10434, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 833:50] - node _T_10436 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 833:96] - node _T_10437 = eq(_T_10436, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 833:103] + node _T_10418 = and(ic_debug_way_ff, _T_10417) @[el2_ifu_mem_ctl.scala 814:67] + node _T_10419 = and(ic_tag_valid_unq, _T_10418) @[el2_ifu_mem_ctl.scala 814:48] + node _T_10420 = orr(_T_10419) @[el2_ifu_mem_ctl.scala 814:115] + ic_debug_tag_val_rd_out <= _T_10420 @[el2_ifu_mem_ctl.scala 814:27] + reg _T_10421 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 816:57] + _T_10421 <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 816:57] + io.ifu_pmu_ic_miss <= _T_10421 @[el2_ifu_mem_ctl.scala 816:22] + reg _T_10422 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 817:56] + _T_10422 <= ic_act_hit_f @[el2_ifu_mem_ctl.scala 817:56] + io.ifu_pmu_ic_hit <= _T_10422 @[el2_ifu_mem_ctl.scala 817:21] + reg _T_10423 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 818:59] + _T_10423 <= ifc_bus_acc_fault_f @[el2_ifu_mem_ctl.scala 818:59] + io.ifu_pmu_bus_error <= _T_10423 @[el2_ifu_mem_ctl.scala 818:24] + node _T_10424 = eq(ifu_bus_arready_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 819:80] + node _T_10425 = and(ifu_bus_arvalid_ff, _T_10424) @[el2_ifu_mem_ctl.scala 819:78] + node _T_10426 = and(_T_10425, miss_pending) @[el2_ifu_mem_ctl.scala 819:100] + reg _T_10427 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 819:58] + _T_10427 <= _T_10426 @[el2_ifu_mem_ctl.scala 819:58] + io.ifu_pmu_bus_busy <= _T_10427 @[el2_ifu_mem_ctl.scala 819:23] + reg _T_10428 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 820:58] + _T_10428 <= bus_cmd_sent @[el2_ifu_mem_ctl.scala 820:58] + io.ifu_pmu_bus_trxn <= _T_10428 @[el2_ifu_mem_ctl.scala 820:23] + io.ic_debug_addr <= io.dec_tlu_ic_diag_pkt.icache_dicawics @[el2_ifu_mem_ctl.scala 823:20] + node _T_10429 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 16, 16) @[el2_ifu_mem_ctl.scala 824:66] + io.ic_debug_tag_array <= _T_10429 @[el2_ifu_mem_ctl.scala 824:25] + io.ic_debug_rd_en <= io.dec_tlu_ic_diag_pkt.icache_rd_valid @[el2_ifu_mem_ctl.scala 825:21] + io.ic_debug_wr_en <= io.dec_tlu_ic_diag_pkt.icache_wr_valid @[el2_ifu_mem_ctl.scala 826:21] + node _T_10430 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 827:64] + node _T_10431 = eq(_T_10430, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 827:71] + node _T_10432 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 827:117] + node _T_10433 = eq(_T_10432, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 827:124] + node _T_10434 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 828:43] + node _T_10435 = eq(_T_10434, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 828:50] + node _T_10436 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 828:96] + node _T_10437 = eq(_T_10436, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 828:103] node _T_10438 = cat(_T_10435, _T_10437) @[Cat.scala 29:58] node _T_10439 = cat(_T_10431, _T_10433) @[Cat.scala 29:58] node _T_10440 = cat(_T_10439, _T_10438) @[Cat.scala 29:58] - io.ic_debug_way <= _T_10440 @[el2_ifu_mem_ctl.scala 832:19] - node _T_10441 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 834:65] + io.ic_debug_way <= _T_10440 @[el2_ifu_mem_ctl.scala 827:19] + node _T_10441 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 829:65] node _T_10442 = bits(_T_10441, 0, 0) @[Bitwise.scala 72:15] node _T_10443 = mux(_T_10442, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_10444 = and(_T_10443, io.ic_debug_way) @[el2_ifu_mem_ctl.scala 834:90] - ic_debug_tag_wr_en <= _T_10444 @[el2_ifu_mem_ctl.scala 834:22] - node ic_debug_ict_array_sel_in = and(io.ic_debug_rd_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 835:53] - node _T_10445 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 836:72] + node _T_10444 = and(_T_10443, io.ic_debug_way) @[el2_ifu_mem_ctl.scala 829:90] + ic_debug_tag_wr_en <= _T_10444 @[el2_ifu_mem_ctl.scala 829:22] + node ic_debug_ict_array_sel_in = and(io.ic_debug_rd_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 830:53] + node _T_10445 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 831:72] reg _T_10446 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_10445 : @[Reg.scala 28:19] _T_10446 <= io.ic_debug_way @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_debug_way_ff <= _T_10446 @[el2_ifu_mem_ctl.scala 836:19] - node _T_10447 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 837:92] + ic_debug_way_ff <= _T_10446 @[el2_ifu_mem_ctl.scala 831:19] + node _T_10447 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 832:92] reg _T_10448 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_10447 : @[Reg.scala 28:19] _T_10448 <= ic_debug_ict_array_sel_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_debug_ict_array_sel_ff <= _T_10448 @[el2_ifu_mem_ctl.scala 837:29] - reg _T_10449 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 838:54] - _T_10449 <= io.ic_debug_rd_en @[el2_ifu_mem_ctl.scala 838:54] - ic_debug_rd_en_ff <= _T_10449 @[el2_ifu_mem_ctl.scala 838:21] - node _T_10450 = bits(ic_debug_rd_en_ff, 0, 0) @[el2_ifu_mem_ctl.scala 839:111] + ic_debug_ict_array_sel_ff <= _T_10448 @[el2_ifu_mem_ctl.scala 832:29] + reg _T_10449 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 833:54] + _T_10449 <= io.ic_debug_rd_en @[el2_ifu_mem_ctl.scala 833:54] + ic_debug_rd_en_ff <= _T_10449 @[el2_ifu_mem_ctl.scala 833:21] + node _T_10450 = bits(ic_debug_rd_en_ff, 0, 0) @[el2_ifu_mem_ctl.scala 834:111] reg _T_10451 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_10450 : @[Reg.scala 28:19] _T_10451 <= ic_debug_rd_en_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.ifu_ic_debug_rd_data_valid <= _T_10451 @[el2_ifu_mem_ctl.scala 839:33] + io.ifu_ic_debug_rd_data_valid <= _T_10451 @[el2_ifu_mem_ctl.scala 834:33] node _T_10452 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] node _T_10453 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] node _T_10454 = cat(_T_10453, _T_10452) @[Cat.scala 29:58] @@ -13709,62 +13709,62 @@ circuit el2_ifu_mem_ctl : node _T_10456 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] node _T_10457 = cat(_T_10456, _T_10455) @[Cat.scala 29:58] node _T_10458 = cat(_T_10457, _T_10454) @[Cat.scala 29:58] - node _T_10459 = orr(_T_10458) @[el2_ifu_mem_ctl.scala 840:213] + node _T_10459 = orr(_T_10458) @[el2_ifu_mem_ctl.scala 835:213] node _T_10460 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10461 = or(_T_10460, UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 841:62] - node _T_10462 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 841:110] - node _T_10463 = eq(_T_10461, _T_10462) @[el2_ifu_mem_ctl.scala 841:85] - node _T_10464 = and(UInt<1>("h01"), _T_10463) @[el2_ifu_mem_ctl.scala 841:27] - node _T_10465 = or(_T_10459, _T_10464) @[el2_ifu_mem_ctl.scala 840:216] + node _T_10461 = or(_T_10460, UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 836:62] + node _T_10462 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 836:110] + node _T_10463 = eq(_T_10461, _T_10462) @[el2_ifu_mem_ctl.scala 836:85] + node _T_10464 = and(UInt<1>("h01"), _T_10463) @[el2_ifu_mem_ctl.scala 836:27] + node _T_10465 = or(_T_10459, _T_10464) @[el2_ifu_mem_ctl.scala 835:216] node _T_10466 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10467 = or(_T_10466, UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 842:62] - node _T_10468 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 842:110] - node _T_10469 = eq(_T_10467, _T_10468) @[el2_ifu_mem_ctl.scala 842:85] - node _T_10470 = and(UInt<1>("h01"), _T_10469) @[el2_ifu_mem_ctl.scala 842:27] - node _T_10471 = or(_T_10465, _T_10470) @[el2_ifu_mem_ctl.scala 841:134] + node _T_10467 = or(_T_10466, UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 837:62] + node _T_10468 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 837:110] + node _T_10469 = eq(_T_10467, _T_10468) @[el2_ifu_mem_ctl.scala 837:85] + node _T_10470 = and(UInt<1>("h01"), _T_10469) @[el2_ifu_mem_ctl.scala 837:27] + node _T_10471 = or(_T_10465, _T_10470) @[el2_ifu_mem_ctl.scala 836:134] node _T_10472 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10473 = or(_T_10472, UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 843:62] - node _T_10474 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 843:110] - node _T_10475 = eq(_T_10473, _T_10474) @[el2_ifu_mem_ctl.scala 843:85] - node _T_10476 = and(UInt<1>("h01"), _T_10475) @[el2_ifu_mem_ctl.scala 843:27] - node _T_10477 = or(_T_10471, _T_10476) @[el2_ifu_mem_ctl.scala 842:134] + node _T_10473 = or(_T_10472, UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 838:62] + node _T_10474 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 838:110] + node _T_10475 = eq(_T_10473, _T_10474) @[el2_ifu_mem_ctl.scala 838:85] + node _T_10476 = and(UInt<1>("h01"), _T_10475) @[el2_ifu_mem_ctl.scala 838:27] + node _T_10477 = or(_T_10471, _T_10476) @[el2_ifu_mem_ctl.scala 837:134] node _T_10478 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10479 = or(_T_10478, UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 844:62] - node _T_10480 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 844:110] - node _T_10481 = eq(_T_10479, _T_10480) @[el2_ifu_mem_ctl.scala 844:85] - node _T_10482 = and(UInt<1>("h01"), _T_10481) @[el2_ifu_mem_ctl.scala 844:27] - node _T_10483 = or(_T_10477, _T_10482) @[el2_ifu_mem_ctl.scala 843:134] + node _T_10479 = or(_T_10478, UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 839:62] + node _T_10480 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 839:110] + node _T_10481 = eq(_T_10479, _T_10480) @[el2_ifu_mem_ctl.scala 839:85] + node _T_10482 = and(UInt<1>("h01"), _T_10481) @[el2_ifu_mem_ctl.scala 839:27] + node _T_10483 = or(_T_10477, _T_10482) @[el2_ifu_mem_ctl.scala 838:134] node _T_10484 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10485 = or(_T_10484, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 845:62] - node _T_10486 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 845:110] - node _T_10487 = eq(_T_10485, _T_10486) @[el2_ifu_mem_ctl.scala 845:85] - node _T_10488 = and(UInt<1>("h00"), _T_10487) @[el2_ifu_mem_ctl.scala 845:27] - node _T_10489 = or(_T_10483, _T_10488) @[el2_ifu_mem_ctl.scala 844:134] + node _T_10485 = or(_T_10484, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 840:62] + node _T_10486 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 840:110] + node _T_10487 = eq(_T_10485, _T_10486) @[el2_ifu_mem_ctl.scala 840:85] + node _T_10488 = and(UInt<1>("h00"), _T_10487) @[el2_ifu_mem_ctl.scala 840:27] + node _T_10489 = or(_T_10483, _T_10488) @[el2_ifu_mem_ctl.scala 839:134] node _T_10490 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10491 = or(_T_10490, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 846:62] - node _T_10492 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 846:110] - node _T_10493 = eq(_T_10491, _T_10492) @[el2_ifu_mem_ctl.scala 846:85] - node _T_10494 = and(UInt<1>("h00"), _T_10493) @[el2_ifu_mem_ctl.scala 846:27] - node _T_10495 = or(_T_10489, _T_10494) @[el2_ifu_mem_ctl.scala 845:134] + node _T_10491 = or(_T_10490, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 841:62] + node _T_10492 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 841:110] + node _T_10493 = eq(_T_10491, _T_10492) @[el2_ifu_mem_ctl.scala 841:85] + node _T_10494 = and(UInt<1>("h00"), _T_10493) @[el2_ifu_mem_ctl.scala 841:27] + node _T_10495 = or(_T_10489, _T_10494) @[el2_ifu_mem_ctl.scala 840:134] node _T_10496 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10497 = or(_T_10496, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 847:62] - node _T_10498 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 847:110] - node _T_10499 = eq(_T_10497, _T_10498) @[el2_ifu_mem_ctl.scala 847:85] - node _T_10500 = and(UInt<1>("h00"), _T_10499) @[el2_ifu_mem_ctl.scala 847:27] - node _T_10501 = or(_T_10495, _T_10500) @[el2_ifu_mem_ctl.scala 846:134] + node _T_10497 = or(_T_10496, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 842:62] + node _T_10498 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 842:110] + node _T_10499 = eq(_T_10497, _T_10498) @[el2_ifu_mem_ctl.scala 842:85] + node _T_10500 = and(UInt<1>("h00"), _T_10499) @[el2_ifu_mem_ctl.scala 842:27] + node _T_10501 = or(_T_10495, _T_10500) @[el2_ifu_mem_ctl.scala 841:134] node _T_10502 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10503 = or(_T_10502, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 848:62] - node _T_10504 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 848:110] - node _T_10505 = eq(_T_10503, _T_10504) @[el2_ifu_mem_ctl.scala 848:85] - node _T_10506 = and(UInt<1>("h00"), _T_10505) @[el2_ifu_mem_ctl.scala 848:27] - node ifc_region_acc_okay = or(_T_10501, _T_10506) @[el2_ifu_mem_ctl.scala 847:134] - node _T_10507 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 849:40] - node _T_10508 = eq(ifc_region_acc_okay, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 849:65] - node _T_10509 = and(_T_10507, _T_10508) @[el2_ifu_mem_ctl.scala 849:63] - node ifc_region_acc_fault_memory_bf = and(_T_10509, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 849:86] - node _T_10510 = or(io.ifc_region_acc_fault_bf, ifc_region_acc_fault_memory_bf) @[el2_ifu_mem_ctl.scala 850:63] - ifc_region_acc_fault_final_bf <= _T_10510 @[el2_ifu_mem_ctl.scala 850:33] - reg _T_10511 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 851:66] - _T_10511 <= ifc_region_acc_fault_memory_bf @[el2_ifu_mem_ctl.scala 851:66] - ifc_region_acc_fault_memory_f <= _T_10511 @[el2_ifu_mem_ctl.scala 851:33] + node _T_10503 = or(_T_10502, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 843:62] + node _T_10504 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 843:110] + node _T_10505 = eq(_T_10503, _T_10504) @[el2_ifu_mem_ctl.scala 843:85] + node _T_10506 = and(UInt<1>("h00"), _T_10505) @[el2_ifu_mem_ctl.scala 843:27] + node ifc_region_acc_okay = or(_T_10501, _T_10506) @[el2_ifu_mem_ctl.scala 842:134] + node _T_10507 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 844:40] + node _T_10508 = eq(ifc_region_acc_okay, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 844:65] + node _T_10509 = and(_T_10507, _T_10508) @[el2_ifu_mem_ctl.scala 844:63] + node ifc_region_acc_fault_memory_bf = and(_T_10509, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 844:86] + node _T_10510 = or(io.ifc_region_acc_fault_bf, ifc_region_acc_fault_memory_bf) @[el2_ifu_mem_ctl.scala 845:63] + ifc_region_acc_fault_final_bf <= _T_10510 @[el2_ifu_mem_ctl.scala 845:33] + reg _T_10511 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 846:66] + _T_10511 <= ifc_region_acc_fault_memory_bf @[el2_ifu_mem_ctl.scala 846:66] + ifc_region_acc_fault_memory_f <= _T_10511 @[el2_ifu_mem_ctl.scala 846:33] diff --git a/el2_ifu_mem_ctl.v b/el2_ifu_mem_ctl.v index c602a928..d3ac534e 100644 --- a/el2_ifu_mem_ctl.v +++ b/el2_ifu_mem_ctl.v @@ -594,329 +594,329 @@ module el2_ifu_mem_ctl( reg [31:0] _RAND_468; reg [31:0] _RAND_469; `endif // RANDOMIZE_REG_INIT - reg flush_final_f; // @[el2_ifu_mem_ctl.scala 189:30] - reg ifc_fetch_req_f_raw; // @[el2_ifu_mem_ctl.scala 324:36] - wire _T_317 = ~io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 325:44] - wire ifc_fetch_req_f = ifc_fetch_req_f_raw & _T_317; // @[el2_ifu_mem_ctl.scala 325:42] + reg flush_final_f; // @[el2_ifu_mem_ctl.scala 184:30] + reg ifc_fetch_req_f_raw; // @[el2_ifu_mem_ctl.scala 319:36] + wire _T_317 = ~io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 320:44] + wire ifc_fetch_req_f = ifc_fetch_req_f_raw & _T_317; // @[el2_ifu_mem_ctl.scala 320:42] reg [2:0] miss_state; // @[Reg.scala 27:20] - wire miss_pending = miss_state != 3'h0; // @[el2_ifu_mem_ctl.scala 257:30] - reg scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 551:52] - wire scnd_miss_req = scnd_miss_req_q & _T_317; // @[el2_ifu_mem_ctl.scala 553:36] - wire debug_c1_clken = io_ic_debug_rd_en | io_ic_debug_wr_en; // @[el2_ifu_mem_ctl.scala 191:42] + wire miss_pending = miss_state != 3'h0; // @[el2_ifu_mem_ctl.scala 252:30] + reg scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 546:52] + wire scnd_miss_req = scnd_miss_req_q & _T_317; // @[el2_ifu_mem_ctl.scala 548:36] + wire debug_c1_clken = io_ic_debug_rd_en | io_ic_debug_wr_en; // @[el2_ifu_mem_ctl.scala 186:42] wire [3:0] ic_fetch_val_int_f = {2'h0,io_ic_fetch_val_f}; // @[Cat.scala 29:58] - reg [30:0] ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 312:34] - wire [4:0] _GEN_464 = {{1'd0}, ic_fetch_val_int_f}; // @[el2_ifu_mem_ctl.scala 668:53] - wire [4:0] ic_fetch_val_shift_right = _GEN_464 << ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 668:53] - wire [1:0] _GEN_465 = {{1'd0}, _T_317}; // @[el2_ifu_mem_ctl.scala 671:91] - wire [1:0] _T_3121 = ic_fetch_val_shift_right[3:2] & _GEN_465; // @[el2_ifu_mem_ctl.scala 671:91] - reg ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 326:31] - wire fetch_req_iccm_f = ifc_fetch_req_f & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 279:46] - wire [1:0] _GEN_466 = {{1'd0}, fetch_req_iccm_f}; // @[el2_ifu_mem_ctl.scala 671:113] - wire [1:0] _T_3122 = _T_3121 & _GEN_466; // @[el2_ifu_mem_ctl.scala 671:113] - reg iccm_dma_rvalid_in; // @[el2_ifu_mem_ctl.scala 657:59] - wire [1:0] _GEN_467 = {{1'd0}, iccm_dma_rvalid_in}; // @[el2_ifu_mem_ctl.scala 671:130] - wire [1:0] _T_3123 = _T_3122 | _GEN_467; // @[el2_ifu_mem_ctl.scala 671:130] - wire _T_3124 = ~io_dec_tlu_core_ecc_disable; // @[el2_ifu_mem_ctl.scala 671:154] - wire [1:0] _GEN_468 = {{1'd0}, _T_3124}; // @[el2_ifu_mem_ctl.scala 671:152] - wire [1:0] _T_3125 = _T_3123 & _GEN_468; // @[el2_ifu_mem_ctl.scala 671:152] - wire [1:0] _T_3114 = ic_fetch_val_shift_right[1:0] & _GEN_465; // @[el2_ifu_mem_ctl.scala 671:91] - wire [1:0] _T_3115 = _T_3114 & _GEN_466; // @[el2_ifu_mem_ctl.scala 671:113] - wire [1:0] _T_3116 = _T_3115 | _GEN_467; // @[el2_ifu_mem_ctl.scala 671:130] - wire [1:0] _T_3118 = _T_3116 & _GEN_468; // @[el2_ifu_mem_ctl.scala 671:152] + reg [30:0] ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 307:34] + wire [4:0] _GEN_464 = {{1'd0}, ic_fetch_val_int_f}; // @[el2_ifu_mem_ctl.scala 663:53] + wire [4:0] ic_fetch_val_shift_right = _GEN_464 << ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 663:53] + wire [1:0] _GEN_465 = {{1'd0}, _T_317}; // @[el2_ifu_mem_ctl.scala 666:91] + wire [1:0] _T_3121 = ic_fetch_val_shift_right[3:2] & _GEN_465; // @[el2_ifu_mem_ctl.scala 666:91] + reg ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 321:31] + wire fetch_req_iccm_f = ifc_fetch_req_f & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 274:46] + wire [1:0] _GEN_466 = {{1'd0}, fetch_req_iccm_f}; // @[el2_ifu_mem_ctl.scala 666:113] + wire [1:0] _T_3122 = _T_3121 & _GEN_466; // @[el2_ifu_mem_ctl.scala 666:113] + reg iccm_dma_rvalid_in; // @[el2_ifu_mem_ctl.scala 652:59] + wire [1:0] _GEN_467 = {{1'd0}, iccm_dma_rvalid_in}; // @[el2_ifu_mem_ctl.scala 666:130] + wire [1:0] _T_3123 = _T_3122 | _GEN_467; // @[el2_ifu_mem_ctl.scala 666:130] + wire _T_3124 = ~io_dec_tlu_core_ecc_disable; // @[el2_ifu_mem_ctl.scala 666:154] + wire [1:0] _GEN_468 = {{1'd0}, _T_3124}; // @[el2_ifu_mem_ctl.scala 666:152] + wire [1:0] _T_3125 = _T_3123 & _GEN_468; // @[el2_ifu_mem_ctl.scala 666:152] + wire [1:0] _T_3114 = ic_fetch_val_shift_right[1:0] & _GEN_465; // @[el2_ifu_mem_ctl.scala 666:91] + wire [1:0] _T_3115 = _T_3114 & _GEN_466; // @[el2_ifu_mem_ctl.scala 666:113] + wire [1:0] _T_3116 = _T_3115 | _GEN_467; // @[el2_ifu_mem_ctl.scala 666:130] + wire [1:0] _T_3118 = _T_3116 & _GEN_468; // @[el2_ifu_mem_ctl.scala 666:152] wire [3:0] iccm_ecc_word_enable = {_T_3125,_T_3118}; // @[Cat.scala 29:58] - wire _T_3225 = ^io_iccm_rd_data_ecc[31:0]; // @[el2_lib.scala 301:30] - wire _T_3226 = ^io_iccm_rd_data_ecc[38:32]; // @[el2_lib.scala 301:44] - wire _T_3227 = _T_3225 ^ _T_3226; // @[el2_lib.scala 301:35] - wire [5:0] _T_3235 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[29],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[27],io_iccm_rd_data_ecc[26]}; // @[el2_lib.scala 301:76] - wire _T_3236 = ^_T_3235; // @[el2_lib.scala 301:83] - wire _T_3237 = io_iccm_rd_data_ecc[37] ^ _T_3236; // @[el2_lib.scala 301:71] - wire [6:0] _T_3244 = {io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],io_iccm_rd_data_ecc[15],io_iccm_rd_data_ecc[14],io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[12],io_iccm_rd_data_ecc[11]}; // @[el2_lib.scala 301:103] - wire [14:0] _T_3252 = {io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[18],_T_3244}; // @[el2_lib.scala 301:103] - wire _T_3253 = ^_T_3252; // @[el2_lib.scala 301:110] - wire _T_3254 = io_iccm_rd_data_ecc[36] ^ _T_3253; // @[el2_lib.scala 301:98] - wire [6:0] _T_3261 = {io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[7],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[5],io_iccm_rd_data_ecc[4]}; // @[el2_lib.scala 301:130] - wire [14:0] _T_3269 = {io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[18],_T_3261}; // @[el2_lib.scala 301:130] - wire _T_3270 = ^_T_3269; // @[el2_lib.scala 301:137] - wire _T_3271 = io_iccm_rd_data_ecc[35] ^ _T_3270; // @[el2_lib.scala 301:125] - wire [8:0] _T_3280 = {io_iccm_rd_data_ecc[15],io_iccm_rd_data_ecc[14],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[7],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[2],io_iccm_rd_data_ecc[1]}; // @[el2_lib.scala 301:157] - wire [17:0] _T_3289 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[29],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],_T_3280}; // @[el2_lib.scala 301:157] - wire _T_3290 = ^_T_3289; // @[el2_lib.scala 301:164] - wire _T_3291 = io_iccm_rd_data_ecc[34] ^ _T_3290; // @[el2_lib.scala 301:152] - wire [8:0] _T_3300 = {io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[12],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[5],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[2],io_iccm_rd_data_ecc[0]}; // @[el2_lib.scala 301:184] - wire [17:0] _T_3309 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[27],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],_T_3300}; // @[el2_lib.scala 301:184] - wire _T_3310 = ^_T_3309; // @[el2_lib.scala 301:191] - wire _T_3311 = io_iccm_rd_data_ecc[33] ^ _T_3310; // @[el2_lib.scala 301:179] - wire [8:0] _T_3320 = {io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[11],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[4],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[1],io_iccm_rd_data_ecc[0]}; // @[el2_lib.scala 301:211] - wire [17:0] _T_3329 = {io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[26],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[15],_T_3320}; // @[el2_lib.scala 301:211] - wire _T_3330 = ^_T_3329; // @[el2_lib.scala 301:218] - wire _T_3331 = io_iccm_rd_data_ecc[32] ^ _T_3330; // @[el2_lib.scala 301:206] + wire _T_3225 = ^io_iccm_rd_data_ecc[31:0]; // @[el2_lib.scala 300:30] + wire _T_3226 = ^io_iccm_rd_data_ecc[38:32]; // @[el2_lib.scala 300:44] + wire _T_3227 = _T_3225 ^ _T_3226; // @[el2_lib.scala 300:35] + wire [5:0] _T_3235 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[29],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[27],io_iccm_rd_data_ecc[26]}; // @[el2_lib.scala 300:76] + wire _T_3236 = ^_T_3235; // @[el2_lib.scala 300:83] + wire _T_3237 = io_iccm_rd_data_ecc[37] ^ _T_3236; // @[el2_lib.scala 300:71] + wire [6:0] _T_3244 = {io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],io_iccm_rd_data_ecc[15],io_iccm_rd_data_ecc[14],io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[12],io_iccm_rd_data_ecc[11]}; // @[el2_lib.scala 300:103] + wire [14:0] _T_3252 = {io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[18],_T_3244}; // @[el2_lib.scala 300:103] + wire _T_3253 = ^_T_3252; // @[el2_lib.scala 300:110] + wire _T_3254 = io_iccm_rd_data_ecc[36] ^ _T_3253; // @[el2_lib.scala 300:98] + wire [6:0] _T_3261 = {io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[7],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[5],io_iccm_rd_data_ecc[4]}; // @[el2_lib.scala 300:130] + wire [14:0] _T_3269 = {io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[18],_T_3261}; // @[el2_lib.scala 300:130] + wire _T_3270 = ^_T_3269; // @[el2_lib.scala 300:137] + wire _T_3271 = io_iccm_rd_data_ecc[35] ^ _T_3270; // @[el2_lib.scala 300:125] + wire [8:0] _T_3280 = {io_iccm_rd_data_ecc[15],io_iccm_rd_data_ecc[14],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[7],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[2],io_iccm_rd_data_ecc[1]}; // @[el2_lib.scala 300:157] + wire [17:0] _T_3289 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[29],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[22],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],_T_3280}; // @[el2_lib.scala 300:157] + wire _T_3290 = ^_T_3289; // @[el2_lib.scala 300:164] + wire _T_3291 = io_iccm_rd_data_ecc[34] ^ _T_3290; // @[el2_lib.scala 300:152] + wire [8:0] _T_3300 = {io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[12],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[9],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[5],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[2],io_iccm_rd_data_ecc[0]}; // @[el2_lib.scala 300:184] + wire [17:0] _T_3309 = {io_iccm_rd_data_ecc[31],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[27],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[24],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[20],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[16],_T_3300}; // @[el2_lib.scala 300:184] + wire _T_3310 = ^_T_3309; // @[el2_lib.scala 300:191] + wire _T_3311 = io_iccm_rd_data_ecc[33] ^ _T_3310; // @[el2_lib.scala 300:179] + wire [8:0] _T_3320 = {io_iccm_rd_data_ecc[13],io_iccm_rd_data_ecc[11],io_iccm_rd_data_ecc[10],io_iccm_rd_data_ecc[8],io_iccm_rd_data_ecc[6],io_iccm_rd_data_ecc[4],io_iccm_rd_data_ecc[3],io_iccm_rd_data_ecc[1],io_iccm_rd_data_ecc[0]}; // @[el2_lib.scala 300:211] + wire [17:0] _T_3329 = {io_iccm_rd_data_ecc[30],io_iccm_rd_data_ecc[28],io_iccm_rd_data_ecc[26],io_iccm_rd_data_ecc[25],io_iccm_rd_data_ecc[23],io_iccm_rd_data_ecc[21],io_iccm_rd_data_ecc[19],io_iccm_rd_data_ecc[17],io_iccm_rd_data_ecc[15],_T_3320}; // @[el2_lib.scala 300:211] + wire _T_3330 = ^_T_3329; // @[el2_lib.scala 300:218] + wire _T_3331 = io_iccm_rd_data_ecc[32] ^ _T_3330; // @[el2_lib.scala 300:206] wire [6:0] _T_3337 = {_T_3227,_T_3237,_T_3254,_T_3271,_T_3291,_T_3311,_T_3331}; // @[Cat.scala 29:58] - wire _T_3338 = _T_3337 != 7'h0; // @[el2_lib.scala 302:44] - wire _T_3339 = iccm_ecc_word_enable[0] & _T_3338; // @[el2_lib.scala 302:32] - wire _T_3341 = _T_3339 & _T_3337[6]; // @[el2_lib.scala 302:53] - wire _T_3610 = ^io_iccm_rd_data_ecc[70:39]; // @[el2_lib.scala 301:30] - wire _T_3611 = ^io_iccm_rd_data_ecc[77:71]; // @[el2_lib.scala 301:44] - wire _T_3612 = _T_3610 ^ _T_3611; // @[el2_lib.scala 301:35] - wire [5:0] _T_3620 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[68],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[66],io_iccm_rd_data_ecc[65]}; // @[el2_lib.scala 301:76] - wire _T_3621 = ^_T_3620; // @[el2_lib.scala 301:83] - wire _T_3622 = io_iccm_rd_data_ecc[76] ^ _T_3621; // @[el2_lib.scala 301:71] - wire [6:0] _T_3629 = {io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],io_iccm_rd_data_ecc[54],io_iccm_rd_data_ecc[53],io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[51],io_iccm_rd_data_ecc[50]}; // @[el2_lib.scala 301:103] - wire [14:0] _T_3637 = {io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[57],_T_3629}; // @[el2_lib.scala 301:103] - wire _T_3638 = ^_T_3637; // @[el2_lib.scala 301:110] - wire _T_3639 = io_iccm_rd_data_ecc[75] ^ _T_3638; // @[el2_lib.scala 301:98] - wire [6:0] _T_3646 = {io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[46],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[44],io_iccm_rd_data_ecc[43]}; // @[el2_lib.scala 301:130] - wire [14:0] _T_3654 = {io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[57],_T_3646}; // @[el2_lib.scala 301:130] - wire _T_3655 = ^_T_3654; // @[el2_lib.scala 301:137] - wire _T_3656 = io_iccm_rd_data_ecc[74] ^ _T_3655; // @[el2_lib.scala 301:125] - wire [8:0] _T_3665 = {io_iccm_rd_data_ecc[54],io_iccm_rd_data_ecc[53],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[46],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[41],io_iccm_rd_data_ecc[40]}; // @[el2_lib.scala 301:157] - wire [17:0] _T_3674 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[68],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],_T_3665}; // @[el2_lib.scala 301:157] - wire _T_3675 = ^_T_3674; // @[el2_lib.scala 301:164] - wire _T_3676 = io_iccm_rd_data_ecc[73] ^ _T_3675; // @[el2_lib.scala 301:152] - wire [8:0] _T_3685 = {io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[51],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[44],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[41],io_iccm_rd_data_ecc[39]}; // @[el2_lib.scala 301:184] - wire [17:0] _T_3694 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[66],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],_T_3685}; // @[el2_lib.scala 301:184] - wire _T_3695 = ^_T_3694; // @[el2_lib.scala 301:191] - wire _T_3696 = io_iccm_rd_data_ecc[72] ^ _T_3695; // @[el2_lib.scala 301:179] - wire [8:0] _T_3705 = {io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[50],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[43],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[40],io_iccm_rd_data_ecc[39]}; // @[el2_lib.scala 301:211] - wire [17:0] _T_3714 = {io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[65],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[54],_T_3705}; // @[el2_lib.scala 301:211] - wire _T_3715 = ^_T_3714; // @[el2_lib.scala 301:218] - wire _T_3716 = io_iccm_rd_data_ecc[71] ^ _T_3715; // @[el2_lib.scala 301:206] + wire _T_3338 = _T_3337 != 7'h0; // @[el2_lib.scala 301:44] + wire _T_3339 = iccm_ecc_word_enable[0] & _T_3338; // @[el2_lib.scala 301:32] + wire _T_3341 = _T_3339 & _T_3337[6]; // @[el2_lib.scala 301:53] + wire _T_3610 = ^io_iccm_rd_data_ecc[70:39]; // @[el2_lib.scala 300:30] + wire _T_3611 = ^io_iccm_rd_data_ecc[77:71]; // @[el2_lib.scala 300:44] + wire _T_3612 = _T_3610 ^ _T_3611; // @[el2_lib.scala 300:35] + wire [5:0] _T_3620 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[68],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[66],io_iccm_rd_data_ecc[65]}; // @[el2_lib.scala 300:76] + wire _T_3621 = ^_T_3620; // @[el2_lib.scala 300:83] + wire _T_3622 = io_iccm_rd_data_ecc[76] ^ _T_3621; // @[el2_lib.scala 300:71] + wire [6:0] _T_3629 = {io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],io_iccm_rd_data_ecc[54],io_iccm_rd_data_ecc[53],io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[51],io_iccm_rd_data_ecc[50]}; // @[el2_lib.scala 300:103] + wire [14:0] _T_3637 = {io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[57],_T_3629}; // @[el2_lib.scala 300:103] + wire _T_3638 = ^_T_3637; // @[el2_lib.scala 300:110] + wire _T_3639 = io_iccm_rd_data_ecc[75] ^ _T_3638; // @[el2_lib.scala 300:98] + wire [6:0] _T_3646 = {io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[46],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[44],io_iccm_rd_data_ecc[43]}; // @[el2_lib.scala 300:130] + wire [14:0] _T_3654 = {io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[57],_T_3646}; // @[el2_lib.scala 300:130] + wire _T_3655 = ^_T_3654; // @[el2_lib.scala 300:137] + wire _T_3656 = io_iccm_rd_data_ecc[74] ^ _T_3655; // @[el2_lib.scala 300:125] + wire [8:0] _T_3665 = {io_iccm_rd_data_ecc[54],io_iccm_rd_data_ecc[53],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[46],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[41],io_iccm_rd_data_ecc[40]}; // @[el2_lib.scala 300:157] + wire [17:0] _T_3674 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[68],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[61],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],_T_3665}; // @[el2_lib.scala 300:157] + wire _T_3675 = ^_T_3674; // @[el2_lib.scala 300:164] + wire _T_3676 = io_iccm_rd_data_ecc[73] ^ _T_3675; // @[el2_lib.scala 300:152] + wire [8:0] _T_3685 = {io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[51],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[48],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[44],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[41],io_iccm_rd_data_ecc[39]}; // @[el2_lib.scala 300:184] + wire [17:0] _T_3694 = {io_iccm_rd_data_ecc[70],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[66],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[63],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[59],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[55],_T_3685}; // @[el2_lib.scala 300:184] + wire _T_3695 = ^_T_3694; // @[el2_lib.scala 300:191] + wire _T_3696 = io_iccm_rd_data_ecc[72] ^ _T_3695; // @[el2_lib.scala 300:179] + wire [8:0] _T_3705 = {io_iccm_rd_data_ecc[52],io_iccm_rd_data_ecc[50],io_iccm_rd_data_ecc[49],io_iccm_rd_data_ecc[47],io_iccm_rd_data_ecc[45],io_iccm_rd_data_ecc[43],io_iccm_rd_data_ecc[42],io_iccm_rd_data_ecc[40],io_iccm_rd_data_ecc[39]}; // @[el2_lib.scala 300:211] + wire [17:0] _T_3714 = {io_iccm_rd_data_ecc[69],io_iccm_rd_data_ecc[67],io_iccm_rd_data_ecc[65],io_iccm_rd_data_ecc[64],io_iccm_rd_data_ecc[62],io_iccm_rd_data_ecc[60],io_iccm_rd_data_ecc[58],io_iccm_rd_data_ecc[56],io_iccm_rd_data_ecc[54],_T_3705}; // @[el2_lib.scala 300:211] + wire _T_3715 = ^_T_3714; // @[el2_lib.scala 300:218] + wire _T_3716 = io_iccm_rd_data_ecc[71] ^ _T_3715; // @[el2_lib.scala 300:206] wire [6:0] _T_3722 = {_T_3612,_T_3622,_T_3639,_T_3656,_T_3676,_T_3696,_T_3716}; // @[Cat.scala 29:58] - wire _T_3723 = _T_3722 != 7'h0; // @[el2_lib.scala 302:44] - wire _T_3724 = iccm_ecc_word_enable[1] & _T_3723; // @[el2_lib.scala 302:32] - wire _T_3726 = _T_3724 & _T_3722[6]; // @[el2_lib.scala 302:53] + wire _T_3723 = _T_3722 != 7'h0; // @[el2_lib.scala 301:44] + wire _T_3724 = iccm_ecc_word_enable[1] & _T_3723; // @[el2_lib.scala 301:32] + wire _T_3726 = _T_3724 & _T_3722[6]; // @[el2_lib.scala 301:53] wire [1:0] iccm_single_ecc_error = {_T_3341,_T_3726}; // @[Cat.scala 29:58] - wire _T_3 = |iccm_single_ecc_error; // @[el2_ifu_mem_ctl.scala 194:52] - reg dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 635:51] - wire _T_6 = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 195:57] + wire _T_3 = |iccm_single_ecc_error; // @[el2_ifu_mem_ctl.scala 189:52] + reg dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 630:51] + wire _T_6 = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 190:57] reg [2:0] perr_state; // @[Reg.scala 27:20] - wire _T_7 = perr_state == 3'h4; // @[el2_ifu_mem_ctl.scala 196:54] - wire iccm_correct_ecc = perr_state == 3'h3; // @[el2_ifu_mem_ctl.scala 480:34] - wire _T_8 = iccm_correct_ecc | _T_7; // @[el2_ifu_mem_ctl.scala 196:40] + wire _T_7 = perr_state == 3'h4; // @[el2_ifu_mem_ctl.scala 191:54] + wire iccm_correct_ecc = perr_state == 3'h3; // @[el2_ifu_mem_ctl.scala 475:34] + wire _T_8 = iccm_correct_ecc | _T_7; // @[el2_ifu_mem_ctl.scala 191:40] reg [1:0] err_stop_state; // @[Reg.scala 27:20] - wire _T_9 = err_stop_state == 2'h3; // @[el2_ifu_mem_ctl.scala 196:90] - wire _T_10 = _T_8 | _T_9; // @[el2_ifu_mem_ctl.scala 196:72] + wire _T_9 = err_stop_state == 2'h3; // @[el2_ifu_mem_ctl.scala 191:90] + wire _T_10 = _T_8 | _T_9; // @[el2_ifu_mem_ctl.scala 191:72] wire _T_2490 = 2'h0 == err_stop_state; // @[Conditional.scala 37:30] wire _T_2495 = 2'h1 == err_stop_state; // @[Conditional.scala 37:30] - wire _T_2515 = io_ifu_fetch_val == 2'h3; // @[el2_ifu_mem_ctl.scala 530:48] - wire two_byte_instr = io_ic_data_f[1:0] != 2'h3; // @[el2_ifu_mem_ctl.scala 394:42] - wire _T_2517 = io_ifu_fetch_val[0] & two_byte_instr; // @[el2_ifu_mem_ctl.scala 530:79] - wire _T_2518 = _T_2515 | _T_2517; // @[el2_ifu_mem_ctl.scala 530:56] - wire _T_2519 = io_exu_flush_final | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 530:122] - wire _T_2520 = ~_T_2519; // @[el2_ifu_mem_ctl.scala 530:101] - wire _T_2521 = _T_2518 & _T_2520; // @[el2_ifu_mem_ctl.scala 530:99] + wire _T_2515 = io_ifu_fetch_val == 2'h3; // @[el2_ifu_mem_ctl.scala 525:48] + wire two_byte_instr = io_ic_data_f[1:0] != 2'h3; // @[el2_ifu_mem_ctl.scala 389:42] + wire _T_2517 = io_ifu_fetch_val[0] & two_byte_instr; // @[el2_ifu_mem_ctl.scala 525:79] + wire _T_2518 = _T_2515 | _T_2517; // @[el2_ifu_mem_ctl.scala 525:56] + wire _T_2519 = io_exu_flush_final | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 525:122] + wire _T_2520 = ~_T_2519; // @[el2_ifu_mem_ctl.scala 525:101] + wire _T_2521 = _T_2518 & _T_2520; // @[el2_ifu_mem_ctl.scala 525:99] wire _T_2522 = 2'h2 == err_stop_state; // @[Conditional.scala 37:30] - wire _T_2536 = io_ifu_fetch_val[0] & _T_317; // @[el2_ifu_mem_ctl.scala 537:45] - wire _T_2537 = ~io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 537:69] - wire _T_2538 = _T_2536 & _T_2537; // @[el2_ifu_mem_ctl.scala 537:67] + wire _T_2536 = io_ifu_fetch_val[0] & _T_317; // @[el2_ifu_mem_ctl.scala 532:45] + wire _T_2537 = ~io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 532:69] + wire _T_2538 = _T_2536 & _T_2537; // @[el2_ifu_mem_ctl.scala 532:67] wire _T_2539 = 2'h3 == err_stop_state; // @[Conditional.scala 37:30] wire _GEN_55 = _T_2522 ? _T_2538 : _T_2539; // @[Conditional.scala 39:67] wire _GEN_59 = _T_2495 ? _T_2521 : _GEN_55; // @[Conditional.scala 39:67] wire err_stop_fetch = _T_2490 ? 1'h0 : _GEN_59; // @[Conditional.scala 40:58] - wire _T_11 = _T_10 | err_stop_fetch; // @[el2_ifu_mem_ctl.scala 196:112] - wire _T_13 = io_ifu_axi_rvalid & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 198:44] - wire _T_14 = _T_13 & io_ifu_axi_rready; // @[el2_ifu_mem_ctl.scala 198:65] - wire _T_227 = |io_ic_rd_hit; // @[el2_ifu_mem_ctl.scala 287:37] - wire _T_228 = ~_T_227; // @[el2_ifu_mem_ctl.scala 287:23] - reg reset_all_tags; // @[el2_ifu_mem_ctl.scala 703:53] - wire _T_229 = _T_228 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 287:41] - wire _T_207 = ~ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 278:48] - wire _T_208 = ifc_fetch_req_f & _T_207; // @[el2_ifu_mem_ctl.scala 278:46] - reg ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 328:42] - wire _T_209 = ~ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 278:69] - wire fetch_req_icache_f = _T_208 & _T_209; // @[el2_ifu_mem_ctl.scala 278:67] - wire _T_230 = _T_229 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 287:59] - wire _T_231 = ~miss_pending; // @[el2_ifu_mem_ctl.scala 287:82] - wire _T_232 = _T_230 & _T_231; // @[el2_ifu_mem_ctl.scala 287:80] - wire _T_233 = _T_232 | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 287:97] - wire ic_act_miss_f = _T_233 & _T_209; // @[el2_ifu_mem_ctl.scala 287:114] + wire _T_11 = _T_10 | err_stop_fetch; // @[el2_ifu_mem_ctl.scala 191:112] + wire _T_13 = io_ifu_axi_rvalid & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 193:44] + wire _T_14 = _T_13 & io_ifu_axi_rready; // @[el2_ifu_mem_ctl.scala 193:65] + wire _T_227 = |io_ic_rd_hit; // @[el2_ifu_mem_ctl.scala 282:37] + wire _T_228 = ~_T_227; // @[el2_ifu_mem_ctl.scala 282:23] + reg reset_all_tags; // @[el2_ifu_mem_ctl.scala 698:53] + wire _T_229 = _T_228 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 282:41] + wire _T_207 = ~ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 273:48] + wire _T_208 = ifc_fetch_req_f & _T_207; // @[el2_ifu_mem_ctl.scala 273:46] + reg ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 323:42] + wire _T_209 = ~ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 273:69] + wire fetch_req_icache_f = _T_208 & _T_209; // @[el2_ifu_mem_ctl.scala 273:67] + wire _T_230 = _T_229 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 282:59] + wire _T_231 = ~miss_pending; // @[el2_ifu_mem_ctl.scala 282:82] + wire _T_232 = _T_230 & _T_231; // @[el2_ifu_mem_ctl.scala 282:80] + wire _T_233 = _T_232 | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 282:97] + wire ic_act_miss_f = _T_233 & _T_209; // @[el2_ifu_mem_ctl.scala 282:114] reg ifu_bus_rvalid_unq_ff; // @[Reg.scala 27:20] - reg bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 550:61] - wire ifu_bus_rvalid_ff = ifu_bus_rvalid_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 592:49] - wire bus_ifu_wr_en_ff = ifu_bus_rvalid_ff & miss_pending; // @[el2_ifu_mem_ctl.scala 619:41] - reg uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 314:33] - reg [2:0] bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 600:56] - wire _T_2641 = bus_data_beat_count == 3'h1; // @[el2_ifu_mem_ctl.scala 617:69] - wire _T_2642 = &bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 617:101] - wire bus_last_data_beat = uncacheable_miss_ff ? _T_2641 : _T_2642; // @[el2_ifu_mem_ctl.scala 617:28] - wire _T_2588 = bus_ifu_wr_en_ff & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 596:68] - wire _T_2589 = ic_act_miss_f | _T_2588; // @[el2_ifu_mem_ctl.scala 596:48] - wire bus_reset_data_beat_cnt = _T_2589 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 596:91] - wire _T_2585 = ~bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 595:50] - wire _T_2586 = bus_ifu_wr_en_ff & _T_2585; // @[el2_ifu_mem_ctl.scala 595:48] - wire _T_2587 = ~io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 595:72] - wire bus_inc_data_beat_cnt = _T_2586 & _T_2587; // @[el2_ifu_mem_ctl.scala 595:70] - wire [2:0] _T_2593 = bus_data_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 599:115] + reg bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 545:61] + wire ifu_bus_rvalid_ff = ifu_bus_rvalid_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 587:49] + wire bus_ifu_wr_en_ff = ifu_bus_rvalid_ff & miss_pending; // @[el2_ifu_mem_ctl.scala 614:41] + reg uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 309:33] + reg [2:0] bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 595:56] + wire _T_2641 = bus_data_beat_count == 3'h1; // @[el2_ifu_mem_ctl.scala 612:69] + wire _T_2642 = &bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 612:101] + wire bus_last_data_beat = uncacheable_miss_ff ? _T_2641 : _T_2642; // @[el2_ifu_mem_ctl.scala 612:28] + wire _T_2588 = bus_ifu_wr_en_ff & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 591:68] + wire _T_2589 = ic_act_miss_f | _T_2588; // @[el2_ifu_mem_ctl.scala 591:48] + wire bus_reset_data_beat_cnt = _T_2589 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 591:91] + wire _T_2585 = ~bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 590:50] + wire _T_2586 = bus_ifu_wr_en_ff & _T_2585; // @[el2_ifu_mem_ctl.scala 590:48] + wire _T_2587 = ~io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 590:72] + wire bus_inc_data_beat_cnt = _T_2586 & _T_2587; // @[el2_ifu_mem_ctl.scala 590:70] + wire [2:0] _T_2593 = bus_data_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 594:115] wire [2:0] _T_2595 = bus_inc_data_beat_cnt ? _T_2593 : 3'h0; // @[Mux.scala 27:72] - wire _T_2590 = ~bus_inc_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 597:32] - wire _T_2591 = ~bus_reset_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 597:57] - wire bus_hold_data_beat_cnt = _T_2590 & _T_2591; // @[el2_ifu_mem_ctl.scala 597:55] + wire _T_2590 = ~bus_inc_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 592:32] + wire _T_2591 = ~bus_reset_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 592:57] + wire bus_hold_data_beat_cnt = _T_2590 & _T_2591; // @[el2_ifu_mem_ctl.scala 592:55] wire [2:0] _T_2596 = bus_hold_data_beat_cnt ? bus_data_beat_count : 3'h0; // @[Mux.scala 27:72] wire [2:0] bus_new_data_beat_count = _T_2595 | _T_2596; // @[Mux.scala 27:72] - wire _T_15 = &bus_new_data_beat_count; // @[el2_ifu_mem_ctl.scala 198:112] - wire _T_16 = _T_14 & _T_15; // @[el2_ifu_mem_ctl.scala 198:85] - wire _T_17 = ~uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 199:5] - wire _T_18 = _T_16 & _T_17; // @[el2_ifu_mem_ctl.scala 198:118] - wire _T_19 = miss_state == 3'h5; // @[el2_ifu_mem_ctl.scala 199:41] + wire _T_15 = &bus_new_data_beat_count; // @[el2_ifu_mem_ctl.scala 193:112] + wire _T_16 = _T_14 & _T_15; // @[el2_ifu_mem_ctl.scala 193:85] + wire _T_17 = ~uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 194:5] + wire _T_18 = _T_16 & _T_17; // @[el2_ifu_mem_ctl.scala 193:118] + wire _T_19 = miss_state == 3'h5; // @[el2_ifu_mem_ctl.scala 194:41] wire _T_24 = 3'h0 == miss_state; // @[Conditional.scala 37:30] - wire _T_26 = ic_act_miss_f & _T_317; // @[el2_ifu_mem_ctl.scala 205:43] - wire [2:0] _T_28 = _T_26 ? 3'h1 : 3'h2; // @[el2_ifu_mem_ctl.scala 205:27] + wire _T_26 = ic_act_miss_f & _T_317; // @[el2_ifu_mem_ctl.scala 200:43] + wire [2:0] _T_28 = _T_26 ? 3'h1 : 3'h2; // @[el2_ifu_mem_ctl.scala 200:27] wire _T_31 = 3'h1 == miss_state; // @[Conditional.scala 37:30] - wire [4:0] byp_fetch_index = ifu_fetch_addr_int_f[4:0]; // @[el2_ifu_mem_ctl.scala 430:45] - wire _T_2120 = byp_fetch_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 451:127] - reg [7:0] ic_miss_buff_data_valid; // @[el2_ifu_mem_ctl.scala 407:60] + wire [4:0] byp_fetch_index = ifu_fetch_addr_int_f[4:0]; // @[el2_ifu_mem_ctl.scala 425:45] + wire _T_2120 = byp_fetch_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 446:127] + reg [7:0] ic_miss_buff_data_valid; // @[el2_ifu_mem_ctl.scala 402:60] wire _T_2151 = _T_2120 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] - wire _T_2124 = byp_fetch_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 451:127] + wire _T_2124 = byp_fetch_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 446:127] wire _T_2152 = _T_2124 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] wire _T_2159 = _T_2151 | _T_2152; // @[Mux.scala 27:72] - wire _T_2128 = byp_fetch_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 451:127] + wire _T_2128 = byp_fetch_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 446:127] wire _T_2153 = _T_2128 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] wire _T_2160 = _T_2159 | _T_2153; // @[Mux.scala 27:72] - wire _T_2132 = byp_fetch_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 451:127] + wire _T_2132 = byp_fetch_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 446:127] wire _T_2154 = _T_2132 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] wire _T_2161 = _T_2160 | _T_2154; // @[Mux.scala 27:72] - wire _T_2136 = byp_fetch_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 451:127] + wire _T_2136 = byp_fetch_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 446:127] wire _T_2155 = _T_2136 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] wire _T_2162 = _T_2161 | _T_2155; // @[Mux.scala 27:72] - wire _T_2140 = byp_fetch_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 451:127] + wire _T_2140 = byp_fetch_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 446:127] wire _T_2156 = _T_2140 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] wire _T_2163 = _T_2162 | _T_2156; // @[Mux.scala 27:72] - wire _T_2144 = byp_fetch_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 451:127] + wire _T_2144 = byp_fetch_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 446:127] wire _T_2157 = _T_2144 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] wire _T_2164 = _T_2163 | _T_2157; // @[Mux.scala 27:72] - wire _T_2148 = byp_fetch_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 451:127] + wire _T_2148 = byp_fetch_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 446:127] wire _T_2158 = _T_2148 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] wire ic_miss_buff_data_valid_bypass_index = _T_2164 | _T_2158; // @[Mux.scala 27:72] - wire _T_2206 = ~byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 453:69] - wire _T_2207 = ic_miss_buff_data_valid_bypass_index & _T_2206; // @[el2_ifu_mem_ctl.scala 453:67] - wire _T_2209 = ~byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 453:91] - wire _T_2210 = _T_2207 & _T_2209; // @[el2_ifu_mem_ctl.scala 453:89] - wire _T_2215 = _T_2207 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 454:65] - wire _T_2216 = _T_2210 | _T_2215; // @[el2_ifu_mem_ctl.scala 453:112] - wire _T_2218 = ic_miss_buff_data_valid_bypass_index & byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 455:43] - wire _T_2221 = _T_2218 & _T_2209; // @[el2_ifu_mem_ctl.scala 455:65] - wire _T_2222 = _T_2216 | _T_2221; // @[el2_ifu_mem_ctl.scala 454:88] - wire _T_2226 = _T_2218 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 456:65] - wire [2:0] byp_fetch_index_inc = ifu_fetch_addr_int_f[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 433:75] - wire _T_2166 = byp_fetch_index_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 452:110] + wire _T_2206 = ~byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 448:69] + wire _T_2207 = ic_miss_buff_data_valid_bypass_index & _T_2206; // @[el2_ifu_mem_ctl.scala 448:67] + wire _T_2209 = ~byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 448:91] + wire _T_2210 = _T_2207 & _T_2209; // @[el2_ifu_mem_ctl.scala 448:89] + wire _T_2215 = _T_2207 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 449:65] + wire _T_2216 = _T_2210 | _T_2215; // @[el2_ifu_mem_ctl.scala 448:112] + wire _T_2218 = ic_miss_buff_data_valid_bypass_index & byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 450:43] + wire _T_2221 = _T_2218 & _T_2209; // @[el2_ifu_mem_ctl.scala 450:65] + wire _T_2222 = _T_2216 | _T_2221; // @[el2_ifu_mem_ctl.scala 449:88] + wire _T_2226 = _T_2218 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 451:65] + wire [2:0] byp_fetch_index_inc = ifu_fetch_addr_int_f[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 428:75] + wire _T_2166 = byp_fetch_index_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 447:110] wire _T_2190 = _T_2166 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] - wire _T_2169 = byp_fetch_index_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 452:110] + wire _T_2169 = byp_fetch_index_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 447:110] wire _T_2191 = _T_2169 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] wire _T_2198 = _T_2190 | _T_2191; // @[Mux.scala 27:72] - wire _T_2172 = byp_fetch_index_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 452:110] + wire _T_2172 = byp_fetch_index_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 447:110] wire _T_2192 = _T_2172 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] wire _T_2199 = _T_2198 | _T_2192; // @[Mux.scala 27:72] - wire _T_2175 = byp_fetch_index_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 452:110] + wire _T_2175 = byp_fetch_index_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 447:110] wire _T_2193 = _T_2175 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] wire _T_2200 = _T_2199 | _T_2193; // @[Mux.scala 27:72] - wire _T_2178 = byp_fetch_index_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 452:110] + wire _T_2178 = byp_fetch_index_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 447:110] wire _T_2194 = _T_2178 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] wire _T_2201 = _T_2200 | _T_2194; // @[Mux.scala 27:72] - wire _T_2181 = byp_fetch_index_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 452:110] + wire _T_2181 = byp_fetch_index_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 447:110] wire _T_2195 = _T_2181 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] wire _T_2202 = _T_2201 | _T_2195; // @[Mux.scala 27:72] - wire _T_2184 = byp_fetch_index_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 452:110] + wire _T_2184 = byp_fetch_index_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 447:110] wire _T_2196 = _T_2184 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] wire _T_2203 = _T_2202 | _T_2196; // @[Mux.scala 27:72] - wire _T_2187 = byp_fetch_index_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 452:110] + wire _T_2187 = byp_fetch_index_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 447:110] wire _T_2197 = _T_2187 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] wire ic_miss_buff_data_valid_inc_bypass_index = _T_2203 | _T_2197; // @[Mux.scala 27:72] - wire _T_2227 = _T_2226 & ic_miss_buff_data_valid_inc_bypass_index; // @[el2_ifu_mem_ctl.scala 456:87] - wire _T_2228 = _T_2222 | _T_2227; // @[el2_ifu_mem_ctl.scala 455:88] - wire _T_2232 = ic_miss_buff_data_valid_bypass_index & _T_2148; // @[el2_ifu_mem_ctl.scala 457:43] - wire miss_buff_hit_unq_f = _T_2228 | _T_2232; // @[el2_ifu_mem_ctl.scala 456:131] - wire _T_2248 = miss_state == 3'h4; // @[el2_ifu_mem_ctl.scala 462:55] - wire _T_2249 = miss_state == 3'h1; // @[el2_ifu_mem_ctl.scala 462:87] - wire _T_2250 = _T_2248 | _T_2249; // @[el2_ifu_mem_ctl.scala 462:74] - wire crit_byp_hit_f = miss_buff_hit_unq_f & _T_2250; // @[el2_ifu_mem_ctl.scala 462:41] - wire _T_2233 = miss_state == 3'h6; // @[el2_ifu_mem_ctl.scala 459:30] - reg [30:0] imb_ff; // @[el2_ifu_mem_ctl.scala 315:20] - wire miss_wrap_f = imb_ff[5] != ifu_fetch_addr_int_f[5]; // @[el2_ifu_mem_ctl.scala 450:51] - wire _T_2234 = ~miss_wrap_f; // @[el2_ifu_mem_ctl.scala 459:68] - wire _T_2235 = miss_buff_hit_unq_f & _T_2234; // @[el2_ifu_mem_ctl.scala 459:66] - wire stream_hit_f = _T_2233 & _T_2235; // @[el2_ifu_mem_ctl.scala 459:43] - wire _T_215 = crit_byp_hit_f | stream_hit_f; // @[el2_ifu_mem_ctl.scala 282:35] - wire _T_216 = _T_215 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 282:52] - wire ic_byp_hit_f = _T_216 & miss_pending; // @[el2_ifu_mem_ctl.scala 282:73] - reg last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 602:58] - wire last_beat = bus_last_data_beat & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 629:35] - wire _T_32 = bus_ifu_wr_en_ff & last_beat; // @[el2_ifu_mem_ctl.scala 209:113] - wire _T_33 = last_data_recieved_ff | _T_32; // @[el2_ifu_mem_ctl.scala 209:93] - wire _T_34 = ic_byp_hit_f & _T_33; // @[el2_ifu_mem_ctl.scala 209:67] - wire _T_35 = _T_34 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 209:127] - wire _T_36 = io_dec_tlu_force_halt | _T_35; // @[el2_ifu_mem_ctl.scala 209:51] - wire _T_38 = ~last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 210:30] - wire _T_39 = ic_byp_hit_f & _T_38; // @[el2_ifu_mem_ctl.scala 210:27] - wire _T_40 = _T_39 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 210:53] - wire _T_42 = ~ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 211:16] - wire _T_44 = _T_42 & _T_317; // @[el2_ifu_mem_ctl.scala 211:30] - wire _T_46 = _T_44 & _T_32; // @[el2_ifu_mem_ctl.scala 211:52] - wire _T_47 = _T_46 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 211:85] - wire _T_51 = _T_32 & _T_17; // @[el2_ifu_mem_ctl.scala 212:49] - wire _T_54 = ic_byp_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 213:33] - wire _T_56 = ~_T_32; // @[el2_ifu_mem_ctl.scala 213:57] - wire _T_57 = _T_54 & _T_56; // @[el2_ifu_mem_ctl.scala 213:55] - wire ifu_bp_hit_taken_q_f = io_ifu_bp_hit_taken_f & io_ic_hit_f; // @[el2_ifu_mem_ctl.scala 201:52] - wire _T_58 = ~ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 213:91] - wire _T_59 = _T_57 & _T_58; // @[el2_ifu_mem_ctl.scala 213:89] - wire _T_61 = _T_59 & _T_17; // @[el2_ifu_mem_ctl.scala 213:113] - wire _T_64 = bus_ifu_wr_en_ff & _T_317; // @[el2_ifu_mem_ctl.scala 214:39] - wire _T_67 = _T_64 & _T_56; // @[el2_ifu_mem_ctl.scala 214:61] - wire _T_69 = _T_67 & _T_58; // @[el2_ifu_mem_ctl.scala 214:95] - wire _T_71 = _T_69 & _T_17; // @[el2_ifu_mem_ctl.scala 214:119] - wire _T_79 = _T_46 & _T_17; // @[el2_ifu_mem_ctl.scala 215:100] - wire _T_81 = io_exu_flush_final | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 216:44] - wire _T_84 = _T_81 & _T_56; // @[el2_ifu_mem_ctl.scala 216:68] - wire [2:0] _T_86 = _T_84 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 216:22] - wire [2:0] _T_87 = _T_79 ? 3'h0 : _T_86; // @[el2_ifu_mem_ctl.scala 215:20] - wire [2:0] _T_88 = _T_71 ? 3'h6 : _T_87; // @[el2_ifu_mem_ctl.scala 214:20] - wire [2:0] _T_89 = _T_61 ? 3'h6 : _T_88; // @[el2_ifu_mem_ctl.scala 213:18] - wire [2:0] _T_90 = _T_51 ? 3'h0 : _T_89; // @[el2_ifu_mem_ctl.scala 212:16] - wire [2:0] _T_91 = _T_47 ? 3'h4 : _T_90; // @[el2_ifu_mem_ctl.scala 211:14] - wire [2:0] _T_92 = _T_40 ? 3'h3 : _T_91; // @[el2_ifu_mem_ctl.scala 210:12] - wire [2:0] _T_93 = _T_36 ? 3'h0 : _T_92; // @[el2_ifu_mem_ctl.scala 209:27] + wire _T_2227 = _T_2226 & ic_miss_buff_data_valid_inc_bypass_index; // @[el2_ifu_mem_ctl.scala 451:87] + wire _T_2228 = _T_2222 | _T_2227; // @[el2_ifu_mem_ctl.scala 450:88] + wire _T_2232 = ic_miss_buff_data_valid_bypass_index & _T_2148; // @[el2_ifu_mem_ctl.scala 452:43] + wire miss_buff_hit_unq_f = _T_2228 | _T_2232; // @[el2_ifu_mem_ctl.scala 451:131] + wire _T_2248 = miss_state == 3'h4; // @[el2_ifu_mem_ctl.scala 457:55] + wire _T_2249 = miss_state == 3'h1; // @[el2_ifu_mem_ctl.scala 457:87] + wire _T_2250 = _T_2248 | _T_2249; // @[el2_ifu_mem_ctl.scala 457:74] + wire crit_byp_hit_f = miss_buff_hit_unq_f & _T_2250; // @[el2_ifu_mem_ctl.scala 457:41] + wire _T_2233 = miss_state == 3'h6; // @[el2_ifu_mem_ctl.scala 454:30] + reg [30:0] imb_ff; // @[el2_ifu_mem_ctl.scala 310:20] + wire miss_wrap_f = imb_ff[5] != ifu_fetch_addr_int_f[5]; // @[el2_ifu_mem_ctl.scala 445:51] + wire _T_2234 = ~miss_wrap_f; // @[el2_ifu_mem_ctl.scala 454:68] + wire _T_2235 = miss_buff_hit_unq_f & _T_2234; // @[el2_ifu_mem_ctl.scala 454:66] + wire stream_hit_f = _T_2233 & _T_2235; // @[el2_ifu_mem_ctl.scala 454:43] + wire _T_215 = crit_byp_hit_f | stream_hit_f; // @[el2_ifu_mem_ctl.scala 277:35] + wire _T_216 = _T_215 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 277:52] + wire ic_byp_hit_f = _T_216 & miss_pending; // @[el2_ifu_mem_ctl.scala 277:73] + reg last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 597:58] + wire last_beat = bus_last_data_beat & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 624:35] + wire _T_32 = bus_ifu_wr_en_ff & last_beat; // @[el2_ifu_mem_ctl.scala 204:113] + wire _T_33 = last_data_recieved_ff | _T_32; // @[el2_ifu_mem_ctl.scala 204:93] + wire _T_34 = ic_byp_hit_f & _T_33; // @[el2_ifu_mem_ctl.scala 204:67] + wire _T_35 = _T_34 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 204:127] + wire _T_36 = io_dec_tlu_force_halt | _T_35; // @[el2_ifu_mem_ctl.scala 204:51] + wire _T_38 = ~last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 205:30] + wire _T_39 = ic_byp_hit_f & _T_38; // @[el2_ifu_mem_ctl.scala 205:27] + wire _T_40 = _T_39 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 205:53] + wire _T_42 = ~ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 206:16] + wire _T_44 = _T_42 & _T_317; // @[el2_ifu_mem_ctl.scala 206:30] + wire _T_46 = _T_44 & _T_32; // @[el2_ifu_mem_ctl.scala 206:52] + wire _T_47 = _T_46 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 206:85] + wire _T_51 = _T_32 & _T_17; // @[el2_ifu_mem_ctl.scala 207:49] + wire _T_54 = ic_byp_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 208:33] + wire _T_56 = ~_T_32; // @[el2_ifu_mem_ctl.scala 208:57] + wire _T_57 = _T_54 & _T_56; // @[el2_ifu_mem_ctl.scala 208:55] + wire ifu_bp_hit_taken_q_f = io_ifu_bp_hit_taken_f & io_ic_hit_f; // @[el2_ifu_mem_ctl.scala 196:52] + wire _T_58 = ~ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 208:91] + wire _T_59 = _T_57 & _T_58; // @[el2_ifu_mem_ctl.scala 208:89] + wire _T_61 = _T_59 & _T_17; // @[el2_ifu_mem_ctl.scala 208:113] + wire _T_64 = bus_ifu_wr_en_ff & _T_317; // @[el2_ifu_mem_ctl.scala 209:39] + wire _T_67 = _T_64 & _T_56; // @[el2_ifu_mem_ctl.scala 209:61] + wire _T_69 = _T_67 & _T_58; // @[el2_ifu_mem_ctl.scala 209:95] + wire _T_71 = _T_69 & _T_17; // @[el2_ifu_mem_ctl.scala 209:119] + wire _T_79 = _T_46 & _T_17; // @[el2_ifu_mem_ctl.scala 210:100] + wire _T_81 = io_exu_flush_final | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 211:44] + wire _T_84 = _T_81 & _T_56; // @[el2_ifu_mem_ctl.scala 211:68] + wire [2:0] _T_86 = _T_84 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 211:22] + wire [2:0] _T_87 = _T_79 ? 3'h0 : _T_86; // @[el2_ifu_mem_ctl.scala 210:20] + wire [2:0] _T_88 = _T_71 ? 3'h6 : _T_87; // @[el2_ifu_mem_ctl.scala 209:20] + wire [2:0] _T_89 = _T_61 ? 3'h6 : _T_88; // @[el2_ifu_mem_ctl.scala 208:18] + wire [2:0] _T_90 = _T_51 ? 3'h0 : _T_89; // @[el2_ifu_mem_ctl.scala 207:16] + wire [2:0] _T_91 = _T_47 ? 3'h4 : _T_90; // @[el2_ifu_mem_ctl.scala 206:14] + wire [2:0] _T_92 = _T_40 ? 3'h3 : _T_91; // @[el2_ifu_mem_ctl.scala 205:12] + wire [2:0] _T_93 = _T_36 ? 3'h0 : _T_92; // @[el2_ifu_mem_ctl.scala 204:27] wire _T_102 = 3'h4 == miss_state; // @[Conditional.scala 37:30] wire _T_106 = 3'h6 == miss_state; // @[Conditional.scala 37:30] - wire _T_2245 = byp_fetch_index[4:1] == 4'hf; // @[el2_ifu_mem_ctl.scala 461:60] - wire _T_2246 = _T_2245 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 461:94] - wire stream_eol_f = _T_2246 & stream_hit_f; // @[el2_ifu_mem_ctl.scala 461:112] - wire _T_108 = _T_81 | stream_eol_f; // @[el2_ifu_mem_ctl.scala 224:72] - wire _T_111 = _T_108 & _T_56; // @[el2_ifu_mem_ctl.scala 224:87] - wire _T_113 = _T_111 & _T_2587; // @[el2_ifu_mem_ctl.scala 224:122] - wire [2:0] _T_115 = _T_113 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 224:27] + wire _T_2245 = byp_fetch_index[4:1] == 4'hf; // @[el2_ifu_mem_ctl.scala 456:60] + wire _T_2246 = _T_2245 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 456:94] + wire stream_eol_f = _T_2246 & stream_hit_f; // @[el2_ifu_mem_ctl.scala 456:112] + wire _T_108 = _T_81 | stream_eol_f; // @[el2_ifu_mem_ctl.scala 219:72] + wire _T_111 = _T_108 & _T_56; // @[el2_ifu_mem_ctl.scala 219:87] + wire _T_113 = _T_111 & _T_2587; // @[el2_ifu_mem_ctl.scala 219:122] + wire [2:0] _T_115 = _T_113 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 219:27] wire _T_121 = 3'h3 == miss_state; // @[Conditional.scala 37:30] - wire _T_124 = io_exu_flush_final & _T_56; // @[el2_ifu_mem_ctl.scala 228:48] - wire _T_126 = _T_124 & _T_2587; // @[el2_ifu_mem_ctl.scala 228:82] - wire [2:0] _T_128 = _T_126 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 228:27] + wire _T_124 = io_exu_flush_final & _T_56; // @[el2_ifu_mem_ctl.scala 223:48] + wire _T_126 = _T_124 & _T_2587; // @[el2_ifu_mem_ctl.scala 223:82] + wire [2:0] _T_128 = _T_126 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 223:27] wire _T_132 = 3'h2 == miss_state; // @[Conditional.scala 37:30] - wire _T_236 = io_ic_rd_hit == 2'h0; // @[el2_ifu_mem_ctl.scala 288:28] - wire _T_237 = _T_236 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 288:42] - wire _T_238 = _T_237 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 288:60] - wire _T_239 = miss_state == 3'h2; // @[el2_ifu_mem_ctl.scala 288:94] - wire _T_240 = _T_238 & _T_239; // @[el2_ifu_mem_ctl.scala 288:81] - wire _T_243 = imb_ff[30:5] != ifu_fetch_addr_int_f[30:5]; // @[el2_ifu_mem_ctl.scala 289:39] - wire _T_244 = _T_240 & _T_243; // @[el2_ifu_mem_ctl.scala 288:111] - wire _T_246 = _T_244 & _T_17; // @[el2_ifu_mem_ctl.scala 289:91] - reg sel_mb_addr_ff; // @[el2_ifu_mem_ctl.scala 342:51] - wire _T_247 = ~sel_mb_addr_ff; // @[el2_ifu_mem_ctl.scala 289:116] - wire _T_248 = _T_246 & _T_247; // @[el2_ifu_mem_ctl.scala 289:114] - wire ic_miss_under_miss_f = _T_248 & _T_209; // @[el2_ifu_mem_ctl.scala 289:132] - wire _T_135 = ic_miss_under_miss_f & _T_56; // @[el2_ifu_mem_ctl.scala 232:50] - wire _T_137 = _T_135 & _T_2587; // @[el2_ifu_mem_ctl.scala 232:84] - wire _T_256 = _T_230 & _T_239; // @[el2_ifu_mem_ctl.scala 290:85] - wire _T_259 = imb_ff[30:5] == ifu_fetch_addr_int_f[30:5]; // @[el2_ifu_mem_ctl.scala 291:39] - wire _T_260 = _T_259 | uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 291:91] - wire ic_ignore_2nd_miss_f = _T_256 & _T_260; // @[el2_ifu_mem_ctl.scala 290:117] - wire _T_141 = ic_ignore_2nd_miss_f & _T_56; // @[el2_ifu_mem_ctl.scala 233:35] - wire _T_143 = _T_141 & _T_2587; // @[el2_ifu_mem_ctl.scala 233:69] - wire [2:0] _T_145 = _T_143 ? 3'h7 : 3'h0; // @[el2_ifu_mem_ctl.scala 233:12] - wire [2:0] _T_146 = _T_137 ? 3'h5 : _T_145; // @[el2_ifu_mem_ctl.scala 232:27] + wire _T_236 = io_ic_rd_hit == 2'h0; // @[el2_ifu_mem_ctl.scala 283:28] + wire _T_237 = _T_236 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 283:42] + wire _T_238 = _T_237 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 283:60] + wire _T_239 = miss_state == 3'h2; // @[el2_ifu_mem_ctl.scala 283:94] + wire _T_240 = _T_238 & _T_239; // @[el2_ifu_mem_ctl.scala 283:81] + wire _T_243 = imb_ff[30:5] != ifu_fetch_addr_int_f[30:5]; // @[el2_ifu_mem_ctl.scala 284:39] + wire _T_244 = _T_240 & _T_243; // @[el2_ifu_mem_ctl.scala 283:111] + wire _T_246 = _T_244 & _T_17; // @[el2_ifu_mem_ctl.scala 284:91] + reg sel_mb_addr_ff; // @[el2_ifu_mem_ctl.scala 337:51] + wire _T_247 = ~sel_mb_addr_ff; // @[el2_ifu_mem_ctl.scala 284:116] + wire _T_248 = _T_246 & _T_247; // @[el2_ifu_mem_ctl.scala 284:114] + wire ic_miss_under_miss_f = _T_248 & _T_209; // @[el2_ifu_mem_ctl.scala 284:132] + wire _T_135 = ic_miss_under_miss_f & _T_56; // @[el2_ifu_mem_ctl.scala 227:50] + wire _T_137 = _T_135 & _T_2587; // @[el2_ifu_mem_ctl.scala 227:84] + wire _T_256 = _T_230 & _T_239; // @[el2_ifu_mem_ctl.scala 285:85] + wire _T_259 = imb_ff[30:5] == ifu_fetch_addr_int_f[30:5]; // @[el2_ifu_mem_ctl.scala 286:39] + wire _T_260 = _T_259 | uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 286:91] + wire ic_ignore_2nd_miss_f = _T_256 & _T_260; // @[el2_ifu_mem_ctl.scala 285:117] + wire _T_141 = ic_ignore_2nd_miss_f & _T_56; // @[el2_ifu_mem_ctl.scala 228:35] + wire _T_143 = _T_141 & _T_2587; // @[el2_ifu_mem_ctl.scala 228:69] + wire [2:0] _T_145 = _T_143 ? 3'h7 : 3'h0; // @[el2_ifu_mem_ctl.scala 228:12] + wire [2:0] _T_146 = _T_137 ? 3'h5 : _T_145; // @[el2_ifu_mem_ctl.scala 227:27] wire _T_151 = 3'h5 == miss_state; // @[Conditional.scala 37:30] - wire [2:0] _T_154 = _T_32 ? 3'h0 : 3'h2; // @[el2_ifu_mem_ctl.scala 238:12] - wire [2:0] _T_155 = io_exu_flush_final ? _T_154 : 3'h1; // @[el2_ifu_mem_ctl.scala 237:62] - wire [2:0] _T_156 = io_dec_tlu_force_halt ? 3'h0 : _T_155; // @[el2_ifu_mem_ctl.scala 237:27] + wire [2:0] _T_154 = _T_32 ? 3'h0 : 3'h2; // @[el2_ifu_mem_ctl.scala 233:12] + wire [2:0] _T_155 = io_exu_flush_final ? _T_154 : 3'h1; // @[el2_ifu_mem_ctl.scala 232:62] + wire [2:0] _T_156 = io_dec_tlu_force_halt ? 3'h0 : _T_155; // @[el2_ifu_mem_ctl.scala 232:27] wire _T_160 = 3'h7 == miss_state; // @[Conditional.scala 37:30] - wire [2:0] _T_164 = io_exu_flush_final ? _T_154 : 3'h0; // @[el2_ifu_mem_ctl.scala 242:62] - wire [2:0] _T_165 = io_dec_tlu_force_halt ? 3'h0 : _T_164; // @[el2_ifu_mem_ctl.scala 242:27] + wire [2:0] _T_164 = io_exu_flush_final ? _T_154 : 3'h0; // @[el2_ifu_mem_ctl.scala 237:62] + wire [2:0] _T_165 = io_dec_tlu_force_halt ? 3'h0 : _T_164; // @[el2_ifu_mem_ctl.scala 237:27] wire [2:0] _GEN_0 = _T_160 ? _T_165 : 3'h0; // @[Conditional.scala 39:67] wire [2:0] _GEN_2 = _T_151 ? _T_156 : _GEN_0; // @[Conditional.scala 39:67] wire [2:0] _GEN_4 = _T_132 ? _T_146 : _GEN_2; // @[Conditional.scala 39:67] @@ -925,29 +925,29 @@ module el2_ifu_mem_ctl( wire [2:0] _GEN_10 = _T_102 ? 3'h0 : _GEN_8; // @[Conditional.scala 39:67] wire [2:0] _GEN_12 = _T_31 ? _T_93 : _GEN_10; // @[Conditional.scala 39:67] wire [2:0] miss_nxtstate = _T_24 ? _T_28 : _GEN_12; // @[Conditional.scala 40:58] - wire _T_20 = miss_nxtstate == 3'h5; // @[el2_ifu_mem_ctl.scala 199:73] - wire _T_21 = _T_19 | _T_20; // @[el2_ifu_mem_ctl.scala 199:57] - wire _T_22 = _T_18 & _T_21; // @[el2_ifu_mem_ctl.scala 199:26] - wire scnd_miss_req_in = _T_22 & _T_317; // @[el2_ifu_mem_ctl.scala 199:91] - wire _T_30 = ic_act_miss_f & _T_2587; // @[el2_ifu_mem_ctl.scala 206:38] - wire _T_94 = io_dec_tlu_force_halt | io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 217:46] - wire _T_95 = _T_94 | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 217:67] - wire _T_96 = _T_95 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 217:82] - wire _T_98 = _T_96 | _T_32; // @[el2_ifu_mem_ctl.scala 217:105] - wire _T_100 = bus_ifu_wr_en_ff & _T_17; // @[el2_ifu_mem_ctl.scala 217:158] - wire _T_101 = _T_98 | _T_100; // @[el2_ifu_mem_ctl.scala 217:138] - wire _T_103 = io_exu_flush_final | flush_final_f; // @[el2_ifu_mem_ctl.scala 221:43] - wire _T_104 = _T_103 | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 221:59] - wire _T_105 = _T_104 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 221:74] - wire _T_119 = _T_108 | _T_32; // @[el2_ifu_mem_ctl.scala 225:84] - wire _T_120 = _T_119 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 225:118] - wire _T_130 = io_exu_flush_final | _T_32; // @[el2_ifu_mem_ctl.scala 229:43] - wire _T_131 = _T_130 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 229:76] - wire _T_148 = _T_32 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 234:55] - wire _T_149 = _T_148 | ic_ignore_2nd_miss_f; // @[el2_ifu_mem_ctl.scala 234:78] - wire _T_150 = _T_149 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 234:101] - wire _T_158 = _T_32 | io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 239:55] - wire _T_159 = _T_158 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 239:76] + wire _T_20 = miss_nxtstate == 3'h5; // @[el2_ifu_mem_ctl.scala 194:73] + wire _T_21 = _T_19 | _T_20; // @[el2_ifu_mem_ctl.scala 194:57] + wire _T_22 = _T_18 & _T_21; // @[el2_ifu_mem_ctl.scala 194:26] + wire scnd_miss_req_in = _T_22 & _T_317; // @[el2_ifu_mem_ctl.scala 194:91] + wire _T_30 = ic_act_miss_f & _T_2587; // @[el2_ifu_mem_ctl.scala 201:38] + wire _T_94 = io_dec_tlu_force_halt | io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 212:46] + wire _T_95 = _T_94 | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 212:67] + wire _T_96 = _T_95 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 212:82] + wire _T_98 = _T_96 | _T_32; // @[el2_ifu_mem_ctl.scala 212:105] + wire _T_100 = bus_ifu_wr_en_ff & _T_17; // @[el2_ifu_mem_ctl.scala 212:158] + wire _T_101 = _T_98 | _T_100; // @[el2_ifu_mem_ctl.scala 212:138] + wire _T_103 = io_exu_flush_final | flush_final_f; // @[el2_ifu_mem_ctl.scala 216:43] + wire _T_104 = _T_103 | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 216:59] + wire _T_105 = _T_104 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 216:74] + wire _T_119 = _T_108 | _T_32; // @[el2_ifu_mem_ctl.scala 220:84] + wire _T_120 = _T_119 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 220:118] + wire _T_130 = io_exu_flush_final | _T_32; // @[el2_ifu_mem_ctl.scala 224:43] + wire _T_131 = _T_130 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 224:76] + wire _T_148 = _T_32 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 229:55] + wire _T_149 = _T_148 | ic_ignore_2nd_miss_f; // @[el2_ifu_mem_ctl.scala 229:78] + wire _T_150 = _T_149 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 229:101] + wire _T_158 = _T_32 | io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 234:55] + wire _T_159 = _T_158 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 234:76] wire _GEN_1 = _T_160 & _T_159; // @[Conditional.scala 39:67] wire _GEN_3 = _T_151 ? _T_159 : _GEN_1; // @[Conditional.scala 39:67] wire _GEN_5 = _T_132 ? _T_150 : _GEN_3; // @[Conditional.scala 39:67] @@ -956,959 +956,959 @@ module el2_ifu_mem_ctl( wire _GEN_11 = _T_102 ? _T_105 : _GEN_9; // @[Conditional.scala 39:67] wire _GEN_13 = _T_31 ? _T_101 : _GEN_11; // @[Conditional.scala 39:67] wire miss_state_en = _T_24 ? _T_30 : _GEN_13; // @[Conditional.scala 40:58] - wire _T_174 = ~flush_final_f; // @[el2_ifu_mem_ctl.scala 258:95] - wire _T_175 = _T_2248 & _T_174; // @[el2_ifu_mem_ctl.scala 258:93] - wire crit_wd_byp_ok_ff = _T_2249 | _T_175; // @[el2_ifu_mem_ctl.scala 258:58] - wire _T_178 = miss_pending & _T_56; // @[el2_ifu_mem_ctl.scala 259:36] - wire _T_180 = _T_2248 & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 259:106] - wire _T_181 = ~_T_180; // @[el2_ifu_mem_ctl.scala 259:72] - wire _T_182 = _T_178 & _T_181; // @[el2_ifu_mem_ctl.scala 259:70] - wire _T_184 = _T_2248 & crit_byp_hit_f; // @[el2_ifu_mem_ctl.scala 260:57] - wire _T_185 = ~_T_184; // @[el2_ifu_mem_ctl.scala 260:23] - wire _T_186 = _T_182 & _T_185; // @[el2_ifu_mem_ctl.scala 259:128] - wire _T_187 = _T_186 | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 260:77] - wire _T_188 = miss_nxtstate == 3'h4; // @[el2_ifu_mem_ctl.scala 261:36] - wire _T_189 = miss_pending & _T_188; // @[el2_ifu_mem_ctl.scala 261:19] - wire sel_hold_imb = _T_187 | _T_189; // @[el2_ifu_mem_ctl.scala 260:93] - wire _T_191 = _T_19 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 263:57] - wire sel_hold_imb_scnd = _T_191 & _T_174; // @[el2_ifu_mem_ctl.scala 263:81] - reg way_status_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 271:35] - reg [6:0] ifu_ic_rw_int_addr_ff; // @[el2_ifu_mem_ctl.scala 737:14] - wire _T_4789 = ifu_ic_rw_int_addr_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_174 = ~flush_final_f; // @[el2_ifu_mem_ctl.scala 253:95] + wire _T_175 = _T_2248 & _T_174; // @[el2_ifu_mem_ctl.scala 253:93] + wire crit_wd_byp_ok_ff = _T_2249 | _T_175; // @[el2_ifu_mem_ctl.scala 253:58] + wire _T_178 = miss_pending & _T_56; // @[el2_ifu_mem_ctl.scala 254:36] + wire _T_180 = _T_2248 & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 254:106] + wire _T_181 = ~_T_180; // @[el2_ifu_mem_ctl.scala 254:72] + wire _T_182 = _T_178 & _T_181; // @[el2_ifu_mem_ctl.scala 254:70] + wire _T_184 = _T_2248 & crit_byp_hit_f; // @[el2_ifu_mem_ctl.scala 255:57] + wire _T_185 = ~_T_184; // @[el2_ifu_mem_ctl.scala 255:23] + wire _T_186 = _T_182 & _T_185; // @[el2_ifu_mem_ctl.scala 254:128] + wire _T_187 = _T_186 | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 255:77] + wire _T_188 = miss_nxtstate == 3'h4; // @[el2_ifu_mem_ctl.scala 256:36] + wire _T_189 = miss_pending & _T_188; // @[el2_ifu_mem_ctl.scala 256:19] + wire sel_hold_imb = _T_187 | _T_189; // @[el2_ifu_mem_ctl.scala 255:93] + wire _T_191 = _T_19 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 258:57] + wire sel_hold_imb_scnd = _T_191 & _T_174; // @[el2_ifu_mem_ctl.scala 258:81] + reg way_status_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 266:35] + reg [6:0] ifu_ic_rw_int_addr_ff; // @[el2_ifu_mem_ctl.scala 732:14] + wire _T_4789 = ifu_ic_rw_int_addr_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_0; // @[Reg.scala 27:20] wire _T_4917 = _T_4789 & way_status_out_0; // @[Mux.scala 27:72] - wire _T_4790 = ifu_ic_rw_int_addr_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4790 = ifu_ic_rw_int_addr_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_1; // @[Reg.scala 27:20] wire _T_4918 = _T_4790 & way_status_out_1; // @[Mux.scala 27:72] wire _T_5045 = _T_4917 | _T_4918; // @[Mux.scala 27:72] - wire _T_4791 = ifu_ic_rw_int_addr_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4791 = ifu_ic_rw_int_addr_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_2; // @[Reg.scala 27:20] wire _T_4919 = _T_4791 & way_status_out_2; // @[Mux.scala 27:72] wire _T_5046 = _T_5045 | _T_4919; // @[Mux.scala 27:72] - wire _T_4792 = ifu_ic_rw_int_addr_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4792 = ifu_ic_rw_int_addr_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_3; // @[Reg.scala 27:20] wire _T_4920 = _T_4792 & way_status_out_3; // @[Mux.scala 27:72] wire _T_5047 = _T_5046 | _T_4920; // @[Mux.scala 27:72] - wire _T_4793 = ifu_ic_rw_int_addr_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4793 = ifu_ic_rw_int_addr_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_4; // @[Reg.scala 27:20] wire _T_4921 = _T_4793 & way_status_out_4; // @[Mux.scala 27:72] wire _T_5048 = _T_5047 | _T_4921; // @[Mux.scala 27:72] - wire _T_4794 = ifu_ic_rw_int_addr_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4794 = ifu_ic_rw_int_addr_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_5; // @[Reg.scala 27:20] wire _T_4922 = _T_4794 & way_status_out_5; // @[Mux.scala 27:72] wire _T_5049 = _T_5048 | _T_4922; // @[Mux.scala 27:72] - wire _T_4795 = ifu_ic_rw_int_addr_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4795 = ifu_ic_rw_int_addr_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_6; // @[Reg.scala 27:20] wire _T_4923 = _T_4795 & way_status_out_6; // @[Mux.scala 27:72] wire _T_5050 = _T_5049 | _T_4923; // @[Mux.scala 27:72] - wire _T_4796 = ifu_ic_rw_int_addr_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4796 = ifu_ic_rw_int_addr_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_7; // @[Reg.scala 27:20] wire _T_4924 = _T_4796 & way_status_out_7; // @[Mux.scala 27:72] wire _T_5051 = _T_5050 | _T_4924; // @[Mux.scala 27:72] - wire _T_4797 = ifu_ic_rw_int_addr_ff == 7'h8; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4797 = ifu_ic_rw_int_addr_ff == 7'h8; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_8; // @[Reg.scala 27:20] wire _T_4925 = _T_4797 & way_status_out_8; // @[Mux.scala 27:72] wire _T_5052 = _T_5051 | _T_4925; // @[Mux.scala 27:72] - wire _T_4798 = ifu_ic_rw_int_addr_ff == 7'h9; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4798 = ifu_ic_rw_int_addr_ff == 7'h9; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_9; // @[Reg.scala 27:20] wire _T_4926 = _T_4798 & way_status_out_9; // @[Mux.scala 27:72] wire _T_5053 = _T_5052 | _T_4926; // @[Mux.scala 27:72] - wire _T_4799 = ifu_ic_rw_int_addr_ff == 7'ha; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4799 = ifu_ic_rw_int_addr_ff == 7'ha; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_10; // @[Reg.scala 27:20] wire _T_4927 = _T_4799 & way_status_out_10; // @[Mux.scala 27:72] wire _T_5054 = _T_5053 | _T_4927; // @[Mux.scala 27:72] - wire _T_4800 = ifu_ic_rw_int_addr_ff == 7'hb; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4800 = ifu_ic_rw_int_addr_ff == 7'hb; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_11; // @[Reg.scala 27:20] wire _T_4928 = _T_4800 & way_status_out_11; // @[Mux.scala 27:72] wire _T_5055 = _T_5054 | _T_4928; // @[Mux.scala 27:72] - wire _T_4801 = ifu_ic_rw_int_addr_ff == 7'hc; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4801 = ifu_ic_rw_int_addr_ff == 7'hc; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_12; // @[Reg.scala 27:20] wire _T_4929 = _T_4801 & way_status_out_12; // @[Mux.scala 27:72] wire _T_5056 = _T_5055 | _T_4929; // @[Mux.scala 27:72] - wire _T_4802 = ifu_ic_rw_int_addr_ff == 7'hd; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4802 = ifu_ic_rw_int_addr_ff == 7'hd; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_13; // @[Reg.scala 27:20] wire _T_4930 = _T_4802 & way_status_out_13; // @[Mux.scala 27:72] wire _T_5057 = _T_5056 | _T_4930; // @[Mux.scala 27:72] - wire _T_4803 = ifu_ic_rw_int_addr_ff == 7'he; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4803 = ifu_ic_rw_int_addr_ff == 7'he; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_14; // @[Reg.scala 27:20] wire _T_4931 = _T_4803 & way_status_out_14; // @[Mux.scala 27:72] wire _T_5058 = _T_5057 | _T_4931; // @[Mux.scala 27:72] - wire _T_4804 = ifu_ic_rw_int_addr_ff == 7'hf; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4804 = ifu_ic_rw_int_addr_ff == 7'hf; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_15; // @[Reg.scala 27:20] wire _T_4932 = _T_4804 & way_status_out_15; // @[Mux.scala 27:72] wire _T_5059 = _T_5058 | _T_4932; // @[Mux.scala 27:72] - wire _T_4805 = ifu_ic_rw_int_addr_ff == 7'h10; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4805 = ifu_ic_rw_int_addr_ff == 7'h10; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_16; // @[Reg.scala 27:20] wire _T_4933 = _T_4805 & way_status_out_16; // @[Mux.scala 27:72] wire _T_5060 = _T_5059 | _T_4933; // @[Mux.scala 27:72] - wire _T_4806 = ifu_ic_rw_int_addr_ff == 7'h11; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4806 = ifu_ic_rw_int_addr_ff == 7'h11; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_17; // @[Reg.scala 27:20] wire _T_4934 = _T_4806 & way_status_out_17; // @[Mux.scala 27:72] wire _T_5061 = _T_5060 | _T_4934; // @[Mux.scala 27:72] - wire _T_4807 = ifu_ic_rw_int_addr_ff == 7'h12; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4807 = ifu_ic_rw_int_addr_ff == 7'h12; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_18; // @[Reg.scala 27:20] wire _T_4935 = _T_4807 & way_status_out_18; // @[Mux.scala 27:72] wire _T_5062 = _T_5061 | _T_4935; // @[Mux.scala 27:72] - wire _T_4808 = ifu_ic_rw_int_addr_ff == 7'h13; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4808 = ifu_ic_rw_int_addr_ff == 7'h13; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_19; // @[Reg.scala 27:20] wire _T_4936 = _T_4808 & way_status_out_19; // @[Mux.scala 27:72] wire _T_5063 = _T_5062 | _T_4936; // @[Mux.scala 27:72] - wire _T_4809 = ifu_ic_rw_int_addr_ff == 7'h14; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4809 = ifu_ic_rw_int_addr_ff == 7'h14; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_20; // @[Reg.scala 27:20] wire _T_4937 = _T_4809 & way_status_out_20; // @[Mux.scala 27:72] wire _T_5064 = _T_5063 | _T_4937; // @[Mux.scala 27:72] - wire _T_4810 = ifu_ic_rw_int_addr_ff == 7'h15; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4810 = ifu_ic_rw_int_addr_ff == 7'h15; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_21; // @[Reg.scala 27:20] wire _T_4938 = _T_4810 & way_status_out_21; // @[Mux.scala 27:72] wire _T_5065 = _T_5064 | _T_4938; // @[Mux.scala 27:72] - wire _T_4811 = ifu_ic_rw_int_addr_ff == 7'h16; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4811 = ifu_ic_rw_int_addr_ff == 7'h16; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_22; // @[Reg.scala 27:20] wire _T_4939 = _T_4811 & way_status_out_22; // @[Mux.scala 27:72] wire _T_5066 = _T_5065 | _T_4939; // @[Mux.scala 27:72] - wire _T_4812 = ifu_ic_rw_int_addr_ff == 7'h17; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4812 = ifu_ic_rw_int_addr_ff == 7'h17; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_23; // @[Reg.scala 27:20] wire _T_4940 = _T_4812 & way_status_out_23; // @[Mux.scala 27:72] wire _T_5067 = _T_5066 | _T_4940; // @[Mux.scala 27:72] - wire _T_4813 = ifu_ic_rw_int_addr_ff == 7'h18; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4813 = ifu_ic_rw_int_addr_ff == 7'h18; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_24; // @[Reg.scala 27:20] wire _T_4941 = _T_4813 & way_status_out_24; // @[Mux.scala 27:72] wire _T_5068 = _T_5067 | _T_4941; // @[Mux.scala 27:72] - wire _T_4814 = ifu_ic_rw_int_addr_ff == 7'h19; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4814 = ifu_ic_rw_int_addr_ff == 7'h19; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_25; // @[Reg.scala 27:20] wire _T_4942 = _T_4814 & way_status_out_25; // @[Mux.scala 27:72] wire _T_5069 = _T_5068 | _T_4942; // @[Mux.scala 27:72] - wire _T_4815 = ifu_ic_rw_int_addr_ff == 7'h1a; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4815 = ifu_ic_rw_int_addr_ff == 7'h1a; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_26; // @[Reg.scala 27:20] wire _T_4943 = _T_4815 & way_status_out_26; // @[Mux.scala 27:72] wire _T_5070 = _T_5069 | _T_4943; // @[Mux.scala 27:72] - wire _T_4816 = ifu_ic_rw_int_addr_ff == 7'h1b; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4816 = ifu_ic_rw_int_addr_ff == 7'h1b; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_27; // @[Reg.scala 27:20] wire _T_4944 = _T_4816 & way_status_out_27; // @[Mux.scala 27:72] wire _T_5071 = _T_5070 | _T_4944; // @[Mux.scala 27:72] - wire _T_4817 = ifu_ic_rw_int_addr_ff == 7'h1c; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4817 = ifu_ic_rw_int_addr_ff == 7'h1c; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_28; // @[Reg.scala 27:20] wire _T_4945 = _T_4817 & way_status_out_28; // @[Mux.scala 27:72] wire _T_5072 = _T_5071 | _T_4945; // @[Mux.scala 27:72] - wire _T_4818 = ifu_ic_rw_int_addr_ff == 7'h1d; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4818 = ifu_ic_rw_int_addr_ff == 7'h1d; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_29; // @[Reg.scala 27:20] wire _T_4946 = _T_4818 & way_status_out_29; // @[Mux.scala 27:72] wire _T_5073 = _T_5072 | _T_4946; // @[Mux.scala 27:72] - wire _T_4819 = ifu_ic_rw_int_addr_ff == 7'h1e; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4819 = ifu_ic_rw_int_addr_ff == 7'h1e; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_30; // @[Reg.scala 27:20] wire _T_4947 = _T_4819 & way_status_out_30; // @[Mux.scala 27:72] wire _T_5074 = _T_5073 | _T_4947; // @[Mux.scala 27:72] - wire _T_4820 = ifu_ic_rw_int_addr_ff == 7'h1f; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4820 = ifu_ic_rw_int_addr_ff == 7'h1f; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_31; // @[Reg.scala 27:20] wire _T_4948 = _T_4820 & way_status_out_31; // @[Mux.scala 27:72] wire _T_5075 = _T_5074 | _T_4948; // @[Mux.scala 27:72] - wire _T_4821 = ifu_ic_rw_int_addr_ff == 7'h20; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4821 = ifu_ic_rw_int_addr_ff == 7'h20; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_32; // @[Reg.scala 27:20] wire _T_4949 = _T_4821 & way_status_out_32; // @[Mux.scala 27:72] wire _T_5076 = _T_5075 | _T_4949; // @[Mux.scala 27:72] - wire _T_4822 = ifu_ic_rw_int_addr_ff == 7'h21; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4822 = ifu_ic_rw_int_addr_ff == 7'h21; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_33; // @[Reg.scala 27:20] wire _T_4950 = _T_4822 & way_status_out_33; // @[Mux.scala 27:72] wire _T_5077 = _T_5076 | _T_4950; // @[Mux.scala 27:72] - wire _T_4823 = ifu_ic_rw_int_addr_ff == 7'h22; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4823 = ifu_ic_rw_int_addr_ff == 7'h22; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_34; // @[Reg.scala 27:20] wire _T_4951 = _T_4823 & way_status_out_34; // @[Mux.scala 27:72] wire _T_5078 = _T_5077 | _T_4951; // @[Mux.scala 27:72] - wire _T_4824 = ifu_ic_rw_int_addr_ff == 7'h23; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4824 = ifu_ic_rw_int_addr_ff == 7'h23; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_35; // @[Reg.scala 27:20] wire _T_4952 = _T_4824 & way_status_out_35; // @[Mux.scala 27:72] wire _T_5079 = _T_5078 | _T_4952; // @[Mux.scala 27:72] - wire _T_4825 = ifu_ic_rw_int_addr_ff == 7'h24; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4825 = ifu_ic_rw_int_addr_ff == 7'h24; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_36; // @[Reg.scala 27:20] wire _T_4953 = _T_4825 & way_status_out_36; // @[Mux.scala 27:72] wire _T_5080 = _T_5079 | _T_4953; // @[Mux.scala 27:72] - wire _T_4826 = ifu_ic_rw_int_addr_ff == 7'h25; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4826 = ifu_ic_rw_int_addr_ff == 7'h25; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_37; // @[Reg.scala 27:20] wire _T_4954 = _T_4826 & way_status_out_37; // @[Mux.scala 27:72] wire _T_5081 = _T_5080 | _T_4954; // @[Mux.scala 27:72] - wire _T_4827 = ifu_ic_rw_int_addr_ff == 7'h26; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4827 = ifu_ic_rw_int_addr_ff == 7'h26; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_38; // @[Reg.scala 27:20] wire _T_4955 = _T_4827 & way_status_out_38; // @[Mux.scala 27:72] wire _T_5082 = _T_5081 | _T_4955; // @[Mux.scala 27:72] - wire _T_4828 = ifu_ic_rw_int_addr_ff == 7'h27; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4828 = ifu_ic_rw_int_addr_ff == 7'h27; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_39; // @[Reg.scala 27:20] wire _T_4956 = _T_4828 & way_status_out_39; // @[Mux.scala 27:72] wire _T_5083 = _T_5082 | _T_4956; // @[Mux.scala 27:72] - wire _T_4829 = ifu_ic_rw_int_addr_ff == 7'h28; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4829 = ifu_ic_rw_int_addr_ff == 7'h28; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_40; // @[Reg.scala 27:20] wire _T_4957 = _T_4829 & way_status_out_40; // @[Mux.scala 27:72] wire _T_5084 = _T_5083 | _T_4957; // @[Mux.scala 27:72] - wire _T_4830 = ifu_ic_rw_int_addr_ff == 7'h29; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4830 = ifu_ic_rw_int_addr_ff == 7'h29; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_41; // @[Reg.scala 27:20] wire _T_4958 = _T_4830 & way_status_out_41; // @[Mux.scala 27:72] wire _T_5085 = _T_5084 | _T_4958; // @[Mux.scala 27:72] - wire _T_4831 = ifu_ic_rw_int_addr_ff == 7'h2a; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4831 = ifu_ic_rw_int_addr_ff == 7'h2a; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_42; // @[Reg.scala 27:20] wire _T_4959 = _T_4831 & way_status_out_42; // @[Mux.scala 27:72] wire _T_5086 = _T_5085 | _T_4959; // @[Mux.scala 27:72] - wire _T_4832 = ifu_ic_rw_int_addr_ff == 7'h2b; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4832 = ifu_ic_rw_int_addr_ff == 7'h2b; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_43; // @[Reg.scala 27:20] wire _T_4960 = _T_4832 & way_status_out_43; // @[Mux.scala 27:72] wire _T_5087 = _T_5086 | _T_4960; // @[Mux.scala 27:72] - wire _T_4833 = ifu_ic_rw_int_addr_ff == 7'h2c; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4833 = ifu_ic_rw_int_addr_ff == 7'h2c; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_44; // @[Reg.scala 27:20] wire _T_4961 = _T_4833 & way_status_out_44; // @[Mux.scala 27:72] wire _T_5088 = _T_5087 | _T_4961; // @[Mux.scala 27:72] - wire _T_4834 = ifu_ic_rw_int_addr_ff == 7'h2d; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4834 = ifu_ic_rw_int_addr_ff == 7'h2d; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_45; // @[Reg.scala 27:20] wire _T_4962 = _T_4834 & way_status_out_45; // @[Mux.scala 27:72] wire _T_5089 = _T_5088 | _T_4962; // @[Mux.scala 27:72] - wire _T_4835 = ifu_ic_rw_int_addr_ff == 7'h2e; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4835 = ifu_ic_rw_int_addr_ff == 7'h2e; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_46; // @[Reg.scala 27:20] wire _T_4963 = _T_4835 & way_status_out_46; // @[Mux.scala 27:72] wire _T_5090 = _T_5089 | _T_4963; // @[Mux.scala 27:72] - wire _T_4836 = ifu_ic_rw_int_addr_ff == 7'h2f; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4836 = ifu_ic_rw_int_addr_ff == 7'h2f; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_47; // @[Reg.scala 27:20] wire _T_4964 = _T_4836 & way_status_out_47; // @[Mux.scala 27:72] wire _T_5091 = _T_5090 | _T_4964; // @[Mux.scala 27:72] - wire _T_4837 = ifu_ic_rw_int_addr_ff == 7'h30; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4837 = ifu_ic_rw_int_addr_ff == 7'h30; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_48; // @[Reg.scala 27:20] wire _T_4965 = _T_4837 & way_status_out_48; // @[Mux.scala 27:72] wire _T_5092 = _T_5091 | _T_4965; // @[Mux.scala 27:72] - wire _T_4838 = ifu_ic_rw_int_addr_ff == 7'h31; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4838 = ifu_ic_rw_int_addr_ff == 7'h31; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_49; // @[Reg.scala 27:20] wire _T_4966 = _T_4838 & way_status_out_49; // @[Mux.scala 27:72] wire _T_5093 = _T_5092 | _T_4966; // @[Mux.scala 27:72] - wire _T_4839 = ifu_ic_rw_int_addr_ff == 7'h32; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4839 = ifu_ic_rw_int_addr_ff == 7'h32; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_50; // @[Reg.scala 27:20] wire _T_4967 = _T_4839 & way_status_out_50; // @[Mux.scala 27:72] wire _T_5094 = _T_5093 | _T_4967; // @[Mux.scala 27:72] - wire _T_4840 = ifu_ic_rw_int_addr_ff == 7'h33; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4840 = ifu_ic_rw_int_addr_ff == 7'h33; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_51; // @[Reg.scala 27:20] wire _T_4968 = _T_4840 & way_status_out_51; // @[Mux.scala 27:72] wire _T_5095 = _T_5094 | _T_4968; // @[Mux.scala 27:72] - wire _T_4841 = ifu_ic_rw_int_addr_ff == 7'h34; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4841 = ifu_ic_rw_int_addr_ff == 7'h34; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_52; // @[Reg.scala 27:20] wire _T_4969 = _T_4841 & way_status_out_52; // @[Mux.scala 27:72] wire _T_5096 = _T_5095 | _T_4969; // @[Mux.scala 27:72] - wire _T_4842 = ifu_ic_rw_int_addr_ff == 7'h35; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4842 = ifu_ic_rw_int_addr_ff == 7'h35; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_53; // @[Reg.scala 27:20] wire _T_4970 = _T_4842 & way_status_out_53; // @[Mux.scala 27:72] wire _T_5097 = _T_5096 | _T_4970; // @[Mux.scala 27:72] - wire _T_4843 = ifu_ic_rw_int_addr_ff == 7'h36; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4843 = ifu_ic_rw_int_addr_ff == 7'h36; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_54; // @[Reg.scala 27:20] wire _T_4971 = _T_4843 & way_status_out_54; // @[Mux.scala 27:72] wire _T_5098 = _T_5097 | _T_4971; // @[Mux.scala 27:72] - wire _T_4844 = ifu_ic_rw_int_addr_ff == 7'h37; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4844 = ifu_ic_rw_int_addr_ff == 7'h37; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_55; // @[Reg.scala 27:20] wire _T_4972 = _T_4844 & way_status_out_55; // @[Mux.scala 27:72] wire _T_5099 = _T_5098 | _T_4972; // @[Mux.scala 27:72] - wire _T_4845 = ifu_ic_rw_int_addr_ff == 7'h38; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4845 = ifu_ic_rw_int_addr_ff == 7'h38; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_56; // @[Reg.scala 27:20] wire _T_4973 = _T_4845 & way_status_out_56; // @[Mux.scala 27:72] wire _T_5100 = _T_5099 | _T_4973; // @[Mux.scala 27:72] - wire _T_4846 = ifu_ic_rw_int_addr_ff == 7'h39; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4846 = ifu_ic_rw_int_addr_ff == 7'h39; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_57; // @[Reg.scala 27:20] wire _T_4974 = _T_4846 & way_status_out_57; // @[Mux.scala 27:72] wire _T_5101 = _T_5100 | _T_4974; // @[Mux.scala 27:72] - wire _T_4847 = ifu_ic_rw_int_addr_ff == 7'h3a; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4847 = ifu_ic_rw_int_addr_ff == 7'h3a; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_58; // @[Reg.scala 27:20] wire _T_4975 = _T_4847 & way_status_out_58; // @[Mux.scala 27:72] wire _T_5102 = _T_5101 | _T_4975; // @[Mux.scala 27:72] - wire _T_4848 = ifu_ic_rw_int_addr_ff == 7'h3b; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4848 = ifu_ic_rw_int_addr_ff == 7'h3b; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_59; // @[Reg.scala 27:20] wire _T_4976 = _T_4848 & way_status_out_59; // @[Mux.scala 27:72] wire _T_5103 = _T_5102 | _T_4976; // @[Mux.scala 27:72] - wire _T_4849 = ifu_ic_rw_int_addr_ff == 7'h3c; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4849 = ifu_ic_rw_int_addr_ff == 7'h3c; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_60; // @[Reg.scala 27:20] wire _T_4977 = _T_4849 & way_status_out_60; // @[Mux.scala 27:72] wire _T_5104 = _T_5103 | _T_4977; // @[Mux.scala 27:72] - wire _T_4850 = ifu_ic_rw_int_addr_ff == 7'h3d; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4850 = ifu_ic_rw_int_addr_ff == 7'h3d; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_61; // @[Reg.scala 27:20] wire _T_4978 = _T_4850 & way_status_out_61; // @[Mux.scala 27:72] wire _T_5105 = _T_5104 | _T_4978; // @[Mux.scala 27:72] - wire _T_4851 = ifu_ic_rw_int_addr_ff == 7'h3e; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4851 = ifu_ic_rw_int_addr_ff == 7'h3e; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_62; // @[Reg.scala 27:20] wire _T_4979 = _T_4851 & way_status_out_62; // @[Mux.scala 27:72] wire _T_5106 = _T_5105 | _T_4979; // @[Mux.scala 27:72] - wire _T_4852 = ifu_ic_rw_int_addr_ff == 7'h3f; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4852 = ifu_ic_rw_int_addr_ff == 7'h3f; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_63; // @[Reg.scala 27:20] wire _T_4980 = _T_4852 & way_status_out_63; // @[Mux.scala 27:72] wire _T_5107 = _T_5106 | _T_4980; // @[Mux.scala 27:72] - wire _T_4853 = ifu_ic_rw_int_addr_ff == 7'h40; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4853 = ifu_ic_rw_int_addr_ff == 7'h40; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_64; // @[Reg.scala 27:20] wire _T_4981 = _T_4853 & way_status_out_64; // @[Mux.scala 27:72] wire _T_5108 = _T_5107 | _T_4981; // @[Mux.scala 27:72] - wire _T_4854 = ifu_ic_rw_int_addr_ff == 7'h41; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4854 = ifu_ic_rw_int_addr_ff == 7'h41; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_65; // @[Reg.scala 27:20] wire _T_4982 = _T_4854 & way_status_out_65; // @[Mux.scala 27:72] wire _T_5109 = _T_5108 | _T_4982; // @[Mux.scala 27:72] - wire _T_4855 = ifu_ic_rw_int_addr_ff == 7'h42; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4855 = ifu_ic_rw_int_addr_ff == 7'h42; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_66; // @[Reg.scala 27:20] wire _T_4983 = _T_4855 & way_status_out_66; // @[Mux.scala 27:72] wire _T_5110 = _T_5109 | _T_4983; // @[Mux.scala 27:72] - wire _T_4856 = ifu_ic_rw_int_addr_ff == 7'h43; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4856 = ifu_ic_rw_int_addr_ff == 7'h43; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_67; // @[Reg.scala 27:20] wire _T_4984 = _T_4856 & way_status_out_67; // @[Mux.scala 27:72] wire _T_5111 = _T_5110 | _T_4984; // @[Mux.scala 27:72] - wire _T_4857 = ifu_ic_rw_int_addr_ff == 7'h44; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4857 = ifu_ic_rw_int_addr_ff == 7'h44; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_68; // @[Reg.scala 27:20] wire _T_4985 = _T_4857 & way_status_out_68; // @[Mux.scala 27:72] wire _T_5112 = _T_5111 | _T_4985; // @[Mux.scala 27:72] - wire _T_4858 = ifu_ic_rw_int_addr_ff == 7'h45; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4858 = ifu_ic_rw_int_addr_ff == 7'h45; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_69; // @[Reg.scala 27:20] wire _T_4986 = _T_4858 & way_status_out_69; // @[Mux.scala 27:72] wire _T_5113 = _T_5112 | _T_4986; // @[Mux.scala 27:72] - wire _T_4859 = ifu_ic_rw_int_addr_ff == 7'h46; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4859 = ifu_ic_rw_int_addr_ff == 7'h46; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_70; // @[Reg.scala 27:20] wire _T_4987 = _T_4859 & way_status_out_70; // @[Mux.scala 27:72] wire _T_5114 = _T_5113 | _T_4987; // @[Mux.scala 27:72] - wire _T_4860 = ifu_ic_rw_int_addr_ff == 7'h47; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4860 = ifu_ic_rw_int_addr_ff == 7'h47; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_71; // @[Reg.scala 27:20] wire _T_4988 = _T_4860 & way_status_out_71; // @[Mux.scala 27:72] wire _T_5115 = _T_5114 | _T_4988; // @[Mux.scala 27:72] - wire _T_4861 = ifu_ic_rw_int_addr_ff == 7'h48; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4861 = ifu_ic_rw_int_addr_ff == 7'h48; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_72; // @[Reg.scala 27:20] wire _T_4989 = _T_4861 & way_status_out_72; // @[Mux.scala 27:72] wire _T_5116 = _T_5115 | _T_4989; // @[Mux.scala 27:72] - wire _T_4862 = ifu_ic_rw_int_addr_ff == 7'h49; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4862 = ifu_ic_rw_int_addr_ff == 7'h49; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_73; // @[Reg.scala 27:20] wire _T_4990 = _T_4862 & way_status_out_73; // @[Mux.scala 27:72] wire _T_5117 = _T_5116 | _T_4990; // @[Mux.scala 27:72] - wire _T_4863 = ifu_ic_rw_int_addr_ff == 7'h4a; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4863 = ifu_ic_rw_int_addr_ff == 7'h4a; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_74; // @[Reg.scala 27:20] wire _T_4991 = _T_4863 & way_status_out_74; // @[Mux.scala 27:72] wire _T_5118 = _T_5117 | _T_4991; // @[Mux.scala 27:72] - wire _T_4864 = ifu_ic_rw_int_addr_ff == 7'h4b; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4864 = ifu_ic_rw_int_addr_ff == 7'h4b; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_75; // @[Reg.scala 27:20] wire _T_4992 = _T_4864 & way_status_out_75; // @[Mux.scala 27:72] wire _T_5119 = _T_5118 | _T_4992; // @[Mux.scala 27:72] - wire _T_4865 = ifu_ic_rw_int_addr_ff == 7'h4c; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4865 = ifu_ic_rw_int_addr_ff == 7'h4c; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_76; // @[Reg.scala 27:20] wire _T_4993 = _T_4865 & way_status_out_76; // @[Mux.scala 27:72] wire _T_5120 = _T_5119 | _T_4993; // @[Mux.scala 27:72] - wire _T_4866 = ifu_ic_rw_int_addr_ff == 7'h4d; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4866 = ifu_ic_rw_int_addr_ff == 7'h4d; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_77; // @[Reg.scala 27:20] wire _T_4994 = _T_4866 & way_status_out_77; // @[Mux.scala 27:72] wire _T_5121 = _T_5120 | _T_4994; // @[Mux.scala 27:72] - wire _T_4867 = ifu_ic_rw_int_addr_ff == 7'h4e; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4867 = ifu_ic_rw_int_addr_ff == 7'h4e; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_78; // @[Reg.scala 27:20] wire _T_4995 = _T_4867 & way_status_out_78; // @[Mux.scala 27:72] wire _T_5122 = _T_5121 | _T_4995; // @[Mux.scala 27:72] - wire _T_4868 = ifu_ic_rw_int_addr_ff == 7'h4f; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4868 = ifu_ic_rw_int_addr_ff == 7'h4f; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_79; // @[Reg.scala 27:20] wire _T_4996 = _T_4868 & way_status_out_79; // @[Mux.scala 27:72] wire _T_5123 = _T_5122 | _T_4996; // @[Mux.scala 27:72] - wire _T_4869 = ifu_ic_rw_int_addr_ff == 7'h50; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4869 = ifu_ic_rw_int_addr_ff == 7'h50; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_80; // @[Reg.scala 27:20] wire _T_4997 = _T_4869 & way_status_out_80; // @[Mux.scala 27:72] wire _T_5124 = _T_5123 | _T_4997; // @[Mux.scala 27:72] - wire _T_4870 = ifu_ic_rw_int_addr_ff == 7'h51; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4870 = ifu_ic_rw_int_addr_ff == 7'h51; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_81; // @[Reg.scala 27:20] wire _T_4998 = _T_4870 & way_status_out_81; // @[Mux.scala 27:72] wire _T_5125 = _T_5124 | _T_4998; // @[Mux.scala 27:72] - wire _T_4871 = ifu_ic_rw_int_addr_ff == 7'h52; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4871 = ifu_ic_rw_int_addr_ff == 7'h52; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_82; // @[Reg.scala 27:20] wire _T_4999 = _T_4871 & way_status_out_82; // @[Mux.scala 27:72] wire _T_5126 = _T_5125 | _T_4999; // @[Mux.scala 27:72] - wire _T_4872 = ifu_ic_rw_int_addr_ff == 7'h53; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4872 = ifu_ic_rw_int_addr_ff == 7'h53; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_83; // @[Reg.scala 27:20] wire _T_5000 = _T_4872 & way_status_out_83; // @[Mux.scala 27:72] wire _T_5127 = _T_5126 | _T_5000; // @[Mux.scala 27:72] - wire _T_4873 = ifu_ic_rw_int_addr_ff == 7'h54; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4873 = ifu_ic_rw_int_addr_ff == 7'h54; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_84; // @[Reg.scala 27:20] wire _T_5001 = _T_4873 & way_status_out_84; // @[Mux.scala 27:72] wire _T_5128 = _T_5127 | _T_5001; // @[Mux.scala 27:72] - wire _T_4874 = ifu_ic_rw_int_addr_ff == 7'h55; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4874 = ifu_ic_rw_int_addr_ff == 7'h55; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_85; // @[Reg.scala 27:20] wire _T_5002 = _T_4874 & way_status_out_85; // @[Mux.scala 27:72] wire _T_5129 = _T_5128 | _T_5002; // @[Mux.scala 27:72] - wire _T_4875 = ifu_ic_rw_int_addr_ff == 7'h56; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4875 = ifu_ic_rw_int_addr_ff == 7'h56; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_86; // @[Reg.scala 27:20] wire _T_5003 = _T_4875 & way_status_out_86; // @[Mux.scala 27:72] wire _T_5130 = _T_5129 | _T_5003; // @[Mux.scala 27:72] - wire _T_4876 = ifu_ic_rw_int_addr_ff == 7'h57; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4876 = ifu_ic_rw_int_addr_ff == 7'h57; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_87; // @[Reg.scala 27:20] wire _T_5004 = _T_4876 & way_status_out_87; // @[Mux.scala 27:72] wire _T_5131 = _T_5130 | _T_5004; // @[Mux.scala 27:72] - wire _T_4877 = ifu_ic_rw_int_addr_ff == 7'h58; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4877 = ifu_ic_rw_int_addr_ff == 7'h58; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_88; // @[Reg.scala 27:20] wire _T_5005 = _T_4877 & way_status_out_88; // @[Mux.scala 27:72] wire _T_5132 = _T_5131 | _T_5005; // @[Mux.scala 27:72] - wire _T_4878 = ifu_ic_rw_int_addr_ff == 7'h59; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4878 = ifu_ic_rw_int_addr_ff == 7'h59; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_89; // @[Reg.scala 27:20] wire _T_5006 = _T_4878 & way_status_out_89; // @[Mux.scala 27:72] wire _T_5133 = _T_5132 | _T_5006; // @[Mux.scala 27:72] - wire _T_4879 = ifu_ic_rw_int_addr_ff == 7'h5a; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4879 = ifu_ic_rw_int_addr_ff == 7'h5a; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_90; // @[Reg.scala 27:20] wire _T_5007 = _T_4879 & way_status_out_90; // @[Mux.scala 27:72] wire _T_5134 = _T_5133 | _T_5007; // @[Mux.scala 27:72] - wire _T_4880 = ifu_ic_rw_int_addr_ff == 7'h5b; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4880 = ifu_ic_rw_int_addr_ff == 7'h5b; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_91; // @[Reg.scala 27:20] wire _T_5008 = _T_4880 & way_status_out_91; // @[Mux.scala 27:72] wire _T_5135 = _T_5134 | _T_5008; // @[Mux.scala 27:72] - wire _T_4881 = ifu_ic_rw_int_addr_ff == 7'h5c; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4881 = ifu_ic_rw_int_addr_ff == 7'h5c; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_92; // @[Reg.scala 27:20] wire _T_5009 = _T_4881 & way_status_out_92; // @[Mux.scala 27:72] wire _T_5136 = _T_5135 | _T_5009; // @[Mux.scala 27:72] - wire _T_4882 = ifu_ic_rw_int_addr_ff == 7'h5d; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4882 = ifu_ic_rw_int_addr_ff == 7'h5d; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_93; // @[Reg.scala 27:20] wire _T_5010 = _T_4882 & way_status_out_93; // @[Mux.scala 27:72] wire _T_5137 = _T_5136 | _T_5010; // @[Mux.scala 27:72] - wire _T_4883 = ifu_ic_rw_int_addr_ff == 7'h5e; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4883 = ifu_ic_rw_int_addr_ff == 7'h5e; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_94; // @[Reg.scala 27:20] wire _T_5011 = _T_4883 & way_status_out_94; // @[Mux.scala 27:72] wire _T_5138 = _T_5137 | _T_5011; // @[Mux.scala 27:72] - wire _T_4884 = ifu_ic_rw_int_addr_ff == 7'h5f; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4884 = ifu_ic_rw_int_addr_ff == 7'h5f; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_95; // @[Reg.scala 27:20] wire _T_5012 = _T_4884 & way_status_out_95; // @[Mux.scala 27:72] wire _T_5139 = _T_5138 | _T_5012; // @[Mux.scala 27:72] - wire _T_4885 = ifu_ic_rw_int_addr_ff == 7'h60; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4885 = ifu_ic_rw_int_addr_ff == 7'h60; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_96; // @[Reg.scala 27:20] wire _T_5013 = _T_4885 & way_status_out_96; // @[Mux.scala 27:72] wire _T_5140 = _T_5139 | _T_5013; // @[Mux.scala 27:72] - wire _T_4886 = ifu_ic_rw_int_addr_ff == 7'h61; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4886 = ifu_ic_rw_int_addr_ff == 7'h61; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_97; // @[Reg.scala 27:20] wire _T_5014 = _T_4886 & way_status_out_97; // @[Mux.scala 27:72] wire _T_5141 = _T_5140 | _T_5014; // @[Mux.scala 27:72] - wire _T_4887 = ifu_ic_rw_int_addr_ff == 7'h62; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4887 = ifu_ic_rw_int_addr_ff == 7'h62; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_98; // @[Reg.scala 27:20] wire _T_5015 = _T_4887 & way_status_out_98; // @[Mux.scala 27:72] wire _T_5142 = _T_5141 | _T_5015; // @[Mux.scala 27:72] - wire _T_4888 = ifu_ic_rw_int_addr_ff == 7'h63; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4888 = ifu_ic_rw_int_addr_ff == 7'h63; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_99; // @[Reg.scala 27:20] wire _T_5016 = _T_4888 & way_status_out_99; // @[Mux.scala 27:72] wire _T_5143 = _T_5142 | _T_5016; // @[Mux.scala 27:72] - wire _T_4889 = ifu_ic_rw_int_addr_ff == 7'h64; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4889 = ifu_ic_rw_int_addr_ff == 7'h64; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_100; // @[Reg.scala 27:20] wire _T_5017 = _T_4889 & way_status_out_100; // @[Mux.scala 27:72] wire _T_5144 = _T_5143 | _T_5017; // @[Mux.scala 27:72] - wire _T_4890 = ifu_ic_rw_int_addr_ff == 7'h65; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4890 = ifu_ic_rw_int_addr_ff == 7'h65; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_101; // @[Reg.scala 27:20] wire _T_5018 = _T_4890 & way_status_out_101; // @[Mux.scala 27:72] wire _T_5145 = _T_5144 | _T_5018; // @[Mux.scala 27:72] - wire _T_4891 = ifu_ic_rw_int_addr_ff == 7'h66; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4891 = ifu_ic_rw_int_addr_ff == 7'h66; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_102; // @[Reg.scala 27:20] wire _T_5019 = _T_4891 & way_status_out_102; // @[Mux.scala 27:72] wire _T_5146 = _T_5145 | _T_5019; // @[Mux.scala 27:72] - wire _T_4892 = ifu_ic_rw_int_addr_ff == 7'h67; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4892 = ifu_ic_rw_int_addr_ff == 7'h67; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_103; // @[Reg.scala 27:20] wire _T_5020 = _T_4892 & way_status_out_103; // @[Mux.scala 27:72] wire _T_5147 = _T_5146 | _T_5020; // @[Mux.scala 27:72] - wire _T_4893 = ifu_ic_rw_int_addr_ff == 7'h68; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4893 = ifu_ic_rw_int_addr_ff == 7'h68; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_104; // @[Reg.scala 27:20] wire _T_5021 = _T_4893 & way_status_out_104; // @[Mux.scala 27:72] wire _T_5148 = _T_5147 | _T_5021; // @[Mux.scala 27:72] - wire _T_4894 = ifu_ic_rw_int_addr_ff == 7'h69; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4894 = ifu_ic_rw_int_addr_ff == 7'h69; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_105; // @[Reg.scala 27:20] wire _T_5022 = _T_4894 & way_status_out_105; // @[Mux.scala 27:72] wire _T_5149 = _T_5148 | _T_5022; // @[Mux.scala 27:72] - wire _T_4895 = ifu_ic_rw_int_addr_ff == 7'h6a; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4895 = ifu_ic_rw_int_addr_ff == 7'h6a; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_106; // @[Reg.scala 27:20] wire _T_5023 = _T_4895 & way_status_out_106; // @[Mux.scala 27:72] wire _T_5150 = _T_5149 | _T_5023; // @[Mux.scala 27:72] - wire _T_4896 = ifu_ic_rw_int_addr_ff == 7'h6b; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4896 = ifu_ic_rw_int_addr_ff == 7'h6b; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_107; // @[Reg.scala 27:20] wire _T_5024 = _T_4896 & way_status_out_107; // @[Mux.scala 27:72] wire _T_5151 = _T_5150 | _T_5024; // @[Mux.scala 27:72] - wire _T_4897 = ifu_ic_rw_int_addr_ff == 7'h6c; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4897 = ifu_ic_rw_int_addr_ff == 7'h6c; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_108; // @[Reg.scala 27:20] wire _T_5025 = _T_4897 & way_status_out_108; // @[Mux.scala 27:72] wire _T_5152 = _T_5151 | _T_5025; // @[Mux.scala 27:72] - wire _T_4898 = ifu_ic_rw_int_addr_ff == 7'h6d; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4898 = ifu_ic_rw_int_addr_ff == 7'h6d; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_109; // @[Reg.scala 27:20] wire _T_5026 = _T_4898 & way_status_out_109; // @[Mux.scala 27:72] wire _T_5153 = _T_5152 | _T_5026; // @[Mux.scala 27:72] - wire _T_4899 = ifu_ic_rw_int_addr_ff == 7'h6e; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4899 = ifu_ic_rw_int_addr_ff == 7'h6e; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_110; // @[Reg.scala 27:20] wire _T_5027 = _T_4899 & way_status_out_110; // @[Mux.scala 27:72] wire _T_5154 = _T_5153 | _T_5027; // @[Mux.scala 27:72] - wire _T_4900 = ifu_ic_rw_int_addr_ff == 7'h6f; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4900 = ifu_ic_rw_int_addr_ff == 7'h6f; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_111; // @[Reg.scala 27:20] wire _T_5028 = _T_4900 & way_status_out_111; // @[Mux.scala 27:72] wire _T_5155 = _T_5154 | _T_5028; // @[Mux.scala 27:72] - wire _T_4901 = ifu_ic_rw_int_addr_ff == 7'h70; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4901 = ifu_ic_rw_int_addr_ff == 7'h70; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_112; // @[Reg.scala 27:20] wire _T_5029 = _T_4901 & way_status_out_112; // @[Mux.scala 27:72] wire _T_5156 = _T_5155 | _T_5029; // @[Mux.scala 27:72] - wire _T_4902 = ifu_ic_rw_int_addr_ff == 7'h71; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4902 = ifu_ic_rw_int_addr_ff == 7'h71; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_113; // @[Reg.scala 27:20] wire _T_5030 = _T_4902 & way_status_out_113; // @[Mux.scala 27:72] wire _T_5157 = _T_5156 | _T_5030; // @[Mux.scala 27:72] - wire _T_4903 = ifu_ic_rw_int_addr_ff == 7'h72; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4903 = ifu_ic_rw_int_addr_ff == 7'h72; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_114; // @[Reg.scala 27:20] wire _T_5031 = _T_4903 & way_status_out_114; // @[Mux.scala 27:72] wire _T_5158 = _T_5157 | _T_5031; // @[Mux.scala 27:72] - wire _T_4904 = ifu_ic_rw_int_addr_ff == 7'h73; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4904 = ifu_ic_rw_int_addr_ff == 7'h73; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_115; // @[Reg.scala 27:20] wire _T_5032 = _T_4904 & way_status_out_115; // @[Mux.scala 27:72] wire _T_5159 = _T_5158 | _T_5032; // @[Mux.scala 27:72] - wire _T_4905 = ifu_ic_rw_int_addr_ff == 7'h74; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4905 = ifu_ic_rw_int_addr_ff == 7'h74; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_116; // @[Reg.scala 27:20] wire _T_5033 = _T_4905 & way_status_out_116; // @[Mux.scala 27:72] wire _T_5160 = _T_5159 | _T_5033; // @[Mux.scala 27:72] - wire _T_4906 = ifu_ic_rw_int_addr_ff == 7'h75; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4906 = ifu_ic_rw_int_addr_ff == 7'h75; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_117; // @[Reg.scala 27:20] wire _T_5034 = _T_4906 & way_status_out_117; // @[Mux.scala 27:72] wire _T_5161 = _T_5160 | _T_5034; // @[Mux.scala 27:72] - wire _T_4907 = ifu_ic_rw_int_addr_ff == 7'h76; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4907 = ifu_ic_rw_int_addr_ff == 7'h76; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_118; // @[Reg.scala 27:20] wire _T_5035 = _T_4907 & way_status_out_118; // @[Mux.scala 27:72] wire _T_5162 = _T_5161 | _T_5035; // @[Mux.scala 27:72] - wire _T_4908 = ifu_ic_rw_int_addr_ff == 7'h77; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4908 = ifu_ic_rw_int_addr_ff == 7'h77; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_119; // @[Reg.scala 27:20] wire _T_5036 = _T_4908 & way_status_out_119; // @[Mux.scala 27:72] wire _T_5163 = _T_5162 | _T_5036; // @[Mux.scala 27:72] - wire _T_4909 = ifu_ic_rw_int_addr_ff == 7'h78; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4909 = ifu_ic_rw_int_addr_ff == 7'h78; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_120; // @[Reg.scala 27:20] wire _T_5037 = _T_4909 & way_status_out_120; // @[Mux.scala 27:72] wire _T_5164 = _T_5163 | _T_5037; // @[Mux.scala 27:72] - wire _T_4910 = ifu_ic_rw_int_addr_ff == 7'h79; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4910 = ifu_ic_rw_int_addr_ff == 7'h79; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_121; // @[Reg.scala 27:20] wire _T_5038 = _T_4910 & way_status_out_121; // @[Mux.scala 27:72] wire _T_5165 = _T_5164 | _T_5038; // @[Mux.scala 27:72] - wire _T_4911 = ifu_ic_rw_int_addr_ff == 7'h7a; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4911 = ifu_ic_rw_int_addr_ff == 7'h7a; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_122; // @[Reg.scala 27:20] wire _T_5039 = _T_4911 & way_status_out_122; // @[Mux.scala 27:72] wire _T_5166 = _T_5165 | _T_5039; // @[Mux.scala 27:72] - wire _T_4912 = ifu_ic_rw_int_addr_ff == 7'h7b; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4912 = ifu_ic_rw_int_addr_ff == 7'h7b; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_123; // @[Reg.scala 27:20] wire _T_5040 = _T_4912 & way_status_out_123; // @[Mux.scala 27:72] wire _T_5167 = _T_5166 | _T_5040; // @[Mux.scala 27:72] - wire _T_4913 = ifu_ic_rw_int_addr_ff == 7'h7c; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4913 = ifu_ic_rw_int_addr_ff == 7'h7c; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_124; // @[Reg.scala 27:20] wire _T_5041 = _T_4913 & way_status_out_124; // @[Mux.scala 27:72] wire _T_5168 = _T_5167 | _T_5041; // @[Mux.scala 27:72] - wire _T_4914 = ifu_ic_rw_int_addr_ff == 7'h7d; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4914 = ifu_ic_rw_int_addr_ff == 7'h7d; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_125; // @[Reg.scala 27:20] wire _T_5042 = _T_4914 & way_status_out_125; // @[Mux.scala 27:72] wire _T_5169 = _T_5168 | _T_5042; // @[Mux.scala 27:72] - wire _T_4915 = ifu_ic_rw_int_addr_ff == 7'h7e; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4915 = ifu_ic_rw_int_addr_ff == 7'h7e; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_126; // @[Reg.scala 27:20] wire _T_5043 = _T_4915 & way_status_out_126; // @[Mux.scala 27:72] wire _T_5170 = _T_5169 | _T_5043; // @[Mux.scala 27:72] - wire _T_4916 = ifu_ic_rw_int_addr_ff == 7'h7f; // @[el2_ifu_mem_ctl.scala 733:80] + wire _T_4916 = ifu_ic_rw_int_addr_ff == 7'h7f; // @[el2_ifu_mem_ctl.scala 728:80] reg way_status_out_127; // @[Reg.scala 27:20] wire _T_5044 = _T_4916 & way_status_out_127; // @[Mux.scala 27:72] wire way_status = _T_5170 | _T_5044; // @[Mux.scala 27:72] - wire _T_195 = ~reset_all_tags; // @[el2_ifu_mem_ctl.scala 266:96] + wire _T_195 = ~reset_all_tags; // @[el2_ifu_mem_ctl.scala 261:96] wire [1:0] _T_197 = _T_195 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_198 = _T_197 & io_ic_tag_valid; // @[el2_ifu_mem_ctl.scala 266:113] - reg [1:0] tagv_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 272:29] - reg uncacheable_miss_scnd_ff; // @[el2_ifu_mem_ctl.scala 268:38] - reg [30:0] imb_scnd_ff; // @[el2_ifu_mem_ctl.scala 270:25] + wire [1:0] _T_198 = _T_197 & io_ic_tag_valid; // @[el2_ifu_mem_ctl.scala 261:113] + reg [1:0] tagv_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 267:29] + reg uncacheable_miss_scnd_ff; // @[el2_ifu_mem_ctl.scala 263:38] + reg [30:0] imb_scnd_ff; // @[el2_ifu_mem_ctl.scala 265:25] wire [2:0] _T_206 = bus_ifu_wr_en_ff ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] reg [2:0] ifu_bus_rid_ff; // @[Reg.scala 27:20] - wire [2:0] ic_wr_addr_bits_hi_3 = ifu_bus_rid_ff & _T_206; // @[el2_ifu_mem_ctl.scala 275:45] - wire _T_212 = _T_231 | _T_239; // @[el2_ifu_mem_ctl.scala 280:59] - wire _T_214 = _T_212 | _T_2233; // @[el2_ifu_mem_ctl.scala 280:91] - wire ic_iccm_hit_f = fetch_req_iccm_f & _T_214; // @[el2_ifu_mem_ctl.scala 280:41] - wire _T_219 = _T_227 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 286:39] - wire _T_221 = _T_219 & _T_195; // @[el2_ifu_mem_ctl.scala 286:60] - wire _T_225 = _T_221 & _T_212; // @[el2_ifu_mem_ctl.scala 286:78] - wire ic_act_hit_f = _T_225 & _T_247; // @[el2_ifu_mem_ctl.scala 286:126] - wire _T_262 = ic_act_hit_f | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 293:31] - wire _T_263 = _T_262 | ic_iccm_hit_f; // @[el2_ifu_mem_ctl.scala 293:46] - wire _T_264 = ifc_region_acc_fault_final_f & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 293:94] - wire _T_268 = sel_hold_imb ? uncacheable_miss_ff : io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 294:84] - wire uncacheable_miss_in = scnd_miss_req ? uncacheable_miss_scnd_ff : _T_268; // @[el2_ifu_mem_ctl.scala 294:32] - wire _T_274 = imb_ff[11:5] == imb_scnd_ff[11:5]; // @[el2_ifu_mem_ctl.scala 297:79] - wire _T_275 = _T_274 & scnd_miss_req; // @[el2_ifu_mem_ctl.scala 297:135] + wire [2:0] ic_wr_addr_bits_hi_3 = ifu_bus_rid_ff & _T_206; // @[el2_ifu_mem_ctl.scala 270:45] + wire _T_212 = _T_231 | _T_239; // @[el2_ifu_mem_ctl.scala 275:59] + wire _T_214 = _T_212 | _T_2233; // @[el2_ifu_mem_ctl.scala 275:91] + wire ic_iccm_hit_f = fetch_req_iccm_f & _T_214; // @[el2_ifu_mem_ctl.scala 275:41] + wire _T_219 = _T_227 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 281:39] + wire _T_221 = _T_219 & _T_195; // @[el2_ifu_mem_ctl.scala 281:60] + wire _T_225 = _T_221 & _T_212; // @[el2_ifu_mem_ctl.scala 281:78] + wire ic_act_hit_f = _T_225 & _T_247; // @[el2_ifu_mem_ctl.scala 281:126] + wire _T_262 = ic_act_hit_f | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 288:31] + wire _T_263 = _T_262 | ic_iccm_hit_f; // @[el2_ifu_mem_ctl.scala 288:46] + wire _T_264 = ifc_region_acc_fault_final_f & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 288:94] + wire _T_268 = sel_hold_imb ? uncacheable_miss_ff : io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 289:84] + wire uncacheable_miss_in = scnd_miss_req ? uncacheable_miss_scnd_ff : _T_268; // @[el2_ifu_mem_ctl.scala 289:32] + wire _T_274 = imb_ff[11:5] == imb_scnd_ff[11:5]; // @[el2_ifu_mem_ctl.scala 292:79] + wire _T_275 = _T_274 & scnd_miss_req; // @[el2_ifu_mem_ctl.scala 292:135] reg [1:0] ifu_bus_rresp_ff; // @[Reg.scala 27:20] - wire _T_2662 = |ifu_bus_rresp_ff; // @[el2_ifu_mem_ctl.scala 625:48] - wire _T_2663 = _T_2662 & ifu_bus_rvalid_ff; // @[el2_ifu_mem_ctl.scala 625:52] - wire bus_ifu_wr_data_error_ff = _T_2663 & miss_pending; // @[el2_ifu_mem_ctl.scala 625:73] - reg ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 370:61] - wire ifu_wr_cumulative_err_data = bus_ifu_wr_data_error_ff | ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 369:55] - wire _T_276 = ~ifu_wr_cumulative_err_data; // @[el2_ifu_mem_ctl.scala 297:153] - wire scnd_miss_index_match = _T_275 & _T_276; // @[el2_ifu_mem_ctl.scala 297:151] - wire _T_277 = ~scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 300:47] - wire _T_278 = scnd_miss_req & _T_277; // @[el2_ifu_mem_ctl.scala 300:45] - wire _T_280 = scnd_miss_req & scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 301:26] - reg way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 320:30] - wire _T_10378 = ~way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 789:33] - reg [1:0] tagv_mb_ff; // @[el2_ifu_mem_ctl.scala 321:24] - wire _T_10380 = _T_10378 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 789:51] - wire _T_10382 = _T_10380 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 789:67] - wire _T_10384 = ~tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 789:86] - wire replace_way_mb_any_0 = _T_10382 | _T_10384; // @[el2_ifu_mem_ctl.scala 789:84] + wire _T_2662 = |ifu_bus_rresp_ff; // @[el2_ifu_mem_ctl.scala 620:48] + wire _T_2663 = _T_2662 & ifu_bus_rvalid_ff; // @[el2_ifu_mem_ctl.scala 620:52] + wire bus_ifu_wr_data_error_ff = _T_2663 & miss_pending; // @[el2_ifu_mem_ctl.scala 620:73] + reg ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 365:61] + wire ifu_wr_cumulative_err_data = bus_ifu_wr_data_error_ff | ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 364:55] + wire _T_276 = ~ifu_wr_cumulative_err_data; // @[el2_ifu_mem_ctl.scala 292:153] + wire scnd_miss_index_match = _T_275 & _T_276; // @[el2_ifu_mem_ctl.scala 292:151] + wire _T_277 = ~scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 295:47] + wire _T_278 = scnd_miss_req & _T_277; // @[el2_ifu_mem_ctl.scala 295:45] + wire _T_280 = scnd_miss_req & scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 296:26] + reg way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 315:30] + wire _T_10378 = ~way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 784:33] + reg [1:0] tagv_mb_ff; // @[el2_ifu_mem_ctl.scala 316:24] + wire _T_10380 = _T_10378 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 784:51] + wire _T_10382 = _T_10380 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 784:67] + wire _T_10384 = ~tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 784:86] + wire replace_way_mb_any_0 = _T_10382 | _T_10384; // @[el2_ifu_mem_ctl.scala 784:84] wire [1:0] _T_287 = scnd_miss_index_match ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_10387 = way_status_mb_ff & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 790:50] - wire _T_10389 = _T_10387 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 790:66] - wire _T_10391 = ~tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 790:85] - wire _T_10393 = _T_10391 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 790:100] - wire replace_way_mb_any_1 = _T_10389 | _T_10393; // @[el2_ifu_mem_ctl.scala 790:83] + wire _T_10387 = way_status_mb_ff & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 785:50] + wire _T_10389 = _T_10387 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 785:66] + wire _T_10391 = ~tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 785:85] + wire _T_10393 = _T_10391 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 785:100] + wire replace_way_mb_any_1 = _T_10389 | _T_10393; // @[el2_ifu_mem_ctl.scala 785:83] wire [1:0] _T_288 = {replace_way_mb_any_1,replace_way_mb_any_0}; // @[Cat.scala 29:58] - wire [1:0] _T_289 = _T_287 & _T_288; // @[el2_ifu_mem_ctl.scala 305:110] - wire [1:0] _T_290 = tagv_mb_scnd_ff | _T_289; // @[el2_ifu_mem_ctl.scala 305:62] - wire [1:0] _T_295 = io_ic_tag_valid & _T_197; // @[el2_ifu_mem_ctl.scala 306:56] - wire _T_297 = ~scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 309:36] - wire _T_298 = miss_pending & _T_297; // @[el2_ifu_mem_ctl.scala 309:34] - reg reset_ic_ff; // @[el2_ifu_mem_ctl.scala 310:25] - wire _T_299 = reset_all_tags | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 309:72] - wire reset_ic_in = _T_298 & _T_299; // @[el2_ifu_mem_ctl.scala 309:53] - reg fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 311:37] - reg [25:0] miss_addr; // @[el2_ifu_mem_ctl.scala 319:23] - wire _T_313 = _T_2248 & flush_final_f; // @[el2_ifu_mem_ctl.scala 323:87] - wire _T_314 = ~_T_313; // @[el2_ifu_mem_ctl.scala 323:55] - wire _T_315 = io_ifc_fetch_req_bf & _T_314; // @[el2_ifu_mem_ctl.scala 323:53] - wire _T_2240 = ~_T_2235; // @[el2_ifu_mem_ctl.scala 460:46] - wire _T_2241 = _T_2233 & _T_2240; // @[el2_ifu_mem_ctl.scala 460:44] - wire stream_miss_f = _T_2241 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 460:84] - wire _T_316 = ~stream_miss_f; // @[el2_ifu_mem_ctl.scala 323:106] - wire ifc_fetch_req_qual_bf = _T_315 & _T_316; // @[el2_ifu_mem_ctl.scala 323:104] - reg ifc_region_acc_fault_f; // @[el2_ifu_mem_ctl.scala 329:39] + wire [1:0] _T_289 = _T_287 & _T_288; // @[el2_ifu_mem_ctl.scala 300:110] + wire [1:0] _T_290 = tagv_mb_scnd_ff | _T_289; // @[el2_ifu_mem_ctl.scala 300:62] + wire [1:0] _T_295 = io_ic_tag_valid & _T_197; // @[el2_ifu_mem_ctl.scala 301:56] + wire _T_297 = ~scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 304:36] + wire _T_298 = miss_pending & _T_297; // @[el2_ifu_mem_ctl.scala 304:34] + reg reset_ic_ff; // @[el2_ifu_mem_ctl.scala 305:25] + wire _T_299 = reset_all_tags | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 304:72] + wire reset_ic_in = _T_298 & _T_299; // @[el2_ifu_mem_ctl.scala 304:53] + reg fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 306:37] + reg [25:0] miss_addr; // @[el2_ifu_mem_ctl.scala 314:23] + wire _T_313 = _T_2248 & flush_final_f; // @[el2_ifu_mem_ctl.scala 318:87] + wire _T_314 = ~_T_313; // @[el2_ifu_mem_ctl.scala 318:55] + wire _T_315 = io_ifc_fetch_req_bf & _T_314; // @[el2_ifu_mem_ctl.scala 318:53] + wire _T_2240 = ~_T_2235; // @[el2_ifu_mem_ctl.scala 455:46] + wire _T_2241 = _T_2233 & _T_2240; // @[el2_ifu_mem_ctl.scala 455:44] + wire stream_miss_f = _T_2241 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 455:84] + wire _T_316 = ~stream_miss_f; // @[el2_ifu_mem_ctl.scala 318:106] + wire ifc_fetch_req_qual_bf = _T_315 & _T_316; // @[el2_ifu_mem_ctl.scala 318:104] + reg ifc_region_acc_fault_f; // @[el2_ifu_mem_ctl.scala 324:39] reg [2:0] bus_rd_addr_count; // @[Reg.scala 27:20] wire [28:0] ifu_ic_req_addr_f = {miss_addr,bus_rd_addr_count}; // @[Cat.scala 29:58] - wire _T_323 = _T_239 | _T_2233; // @[el2_ifu_mem_ctl.scala 331:55] - wire _T_326 = _T_323 & _T_56; // @[el2_ifu_mem_ctl.scala 331:82] - wire _T_2254 = ~ifu_bus_rid_ff[0]; // @[el2_ifu_mem_ctl.scala 465:55] + wire _T_323 = _T_239 | _T_2233; // @[el2_ifu_mem_ctl.scala 326:55] + wire _T_326 = _T_323 & _T_56; // @[el2_ifu_mem_ctl.scala 326:82] + wire _T_2254 = ~ifu_bus_rid_ff[0]; // @[el2_ifu_mem_ctl.scala 460:55] wire [2:0] other_tag = {ifu_bus_rid_ff[2:1],_T_2254}; // @[Cat.scala 29:58] - wire _T_2255 = other_tag == 3'h0; // @[el2_ifu_mem_ctl.scala 466:81] + wire _T_2255 = other_tag == 3'h0; // @[el2_ifu_mem_ctl.scala 461:81] wire _T_2279 = _T_2255 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] - wire _T_2258 = other_tag == 3'h1; // @[el2_ifu_mem_ctl.scala 466:81] + wire _T_2258 = other_tag == 3'h1; // @[el2_ifu_mem_ctl.scala 461:81] wire _T_2280 = _T_2258 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] wire _T_2287 = _T_2279 | _T_2280; // @[Mux.scala 27:72] - wire _T_2261 = other_tag == 3'h2; // @[el2_ifu_mem_ctl.scala 466:81] + wire _T_2261 = other_tag == 3'h2; // @[el2_ifu_mem_ctl.scala 461:81] wire _T_2281 = _T_2261 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] wire _T_2288 = _T_2287 | _T_2281; // @[Mux.scala 27:72] - wire _T_2264 = other_tag == 3'h3; // @[el2_ifu_mem_ctl.scala 466:81] + wire _T_2264 = other_tag == 3'h3; // @[el2_ifu_mem_ctl.scala 461:81] wire _T_2282 = _T_2264 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] wire _T_2289 = _T_2288 | _T_2282; // @[Mux.scala 27:72] - wire _T_2267 = other_tag == 3'h4; // @[el2_ifu_mem_ctl.scala 466:81] + wire _T_2267 = other_tag == 3'h4; // @[el2_ifu_mem_ctl.scala 461:81] wire _T_2283 = _T_2267 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] wire _T_2290 = _T_2289 | _T_2283; // @[Mux.scala 27:72] - wire _T_2270 = other_tag == 3'h5; // @[el2_ifu_mem_ctl.scala 466:81] + wire _T_2270 = other_tag == 3'h5; // @[el2_ifu_mem_ctl.scala 461:81] wire _T_2284 = _T_2270 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] wire _T_2291 = _T_2290 | _T_2284; // @[Mux.scala 27:72] - wire _T_2273 = other_tag == 3'h6; // @[el2_ifu_mem_ctl.scala 466:81] + wire _T_2273 = other_tag == 3'h6; // @[el2_ifu_mem_ctl.scala 461:81] wire _T_2285 = _T_2273 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] wire _T_2292 = _T_2291 | _T_2285; // @[Mux.scala 27:72] - wire _T_2276 = other_tag == 3'h7; // @[el2_ifu_mem_ctl.scala 466:81] + wire _T_2276 = other_tag == 3'h7; // @[el2_ifu_mem_ctl.scala 461:81] wire _T_2286 = _T_2276 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] wire second_half_available = _T_2292 | _T_2286; // @[Mux.scala 27:72] - wire write_ic_16_bytes = second_half_available & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 467:46] - wire _T_330 = miss_pending & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 335:35] - wire _T_332 = _T_330 & _T_17; // @[el2_ifu_mem_ctl.scala 335:55] - reg ic_act_miss_f_delayed; // @[el2_ifu_mem_ctl.scala 622:61] - wire _T_2656 = ic_act_miss_f_delayed & _T_2249; // @[el2_ifu_mem_ctl.scala 623:53] - wire reset_tag_valid_for_miss = _T_2656 & _T_17; // @[el2_ifu_mem_ctl.scala 623:84] - wire sel_mb_addr = _T_332 | reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 335:79] + wire write_ic_16_bytes = second_half_available & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 462:46] + wire _T_330 = miss_pending & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 330:35] + wire _T_332 = _T_330 & _T_17; // @[el2_ifu_mem_ctl.scala 330:55] + reg ic_act_miss_f_delayed; // @[el2_ifu_mem_ctl.scala 617:61] + wire _T_2656 = ic_act_miss_f_delayed & _T_2249; // @[el2_ifu_mem_ctl.scala 618:53] + wire reset_tag_valid_for_miss = _T_2656 & _T_17; // @[el2_ifu_mem_ctl.scala 618:84] + wire sel_mb_addr = _T_332 | reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 330:79] wire [30:0] _T_336 = {imb_ff[30:5],ic_wr_addr_bits_hi_3,imb_ff[1:0]}; // @[Cat.scala 29:58] - wire _T_337 = ~sel_mb_addr; // @[el2_ifu_mem_ctl.scala 337:37] + wire _T_337 = ~sel_mb_addr; // @[el2_ifu_mem_ctl.scala 332:37] wire [30:0] _T_338 = sel_mb_addr ? _T_336 : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_339 = _T_337 ? io_ifc_fetch_addr_bf : 31'h0; // @[Mux.scala 27:72] wire [30:0] ifu_ic_rw_int_addr = _T_338 | _T_339; // @[Mux.scala 27:72] - wire _T_344 = _T_332 & last_beat; // @[el2_ifu_mem_ctl.scala 339:84] - wire _T_2650 = ~_T_2662; // @[el2_ifu_mem_ctl.scala 620:84] - wire _T_2651 = _T_100 & _T_2650; // @[el2_ifu_mem_ctl.scala 620:82] - wire bus_ifu_wr_en_ff_q = _T_2651 & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 620:108] - wire sel_mb_status_addr = _T_344 & bus_ifu_wr_en_ff_q; // @[el2_ifu_mem_ctl.scala 339:96] - wire [30:0] ifu_status_wr_addr = sel_mb_status_addr ? _T_336 : ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 340:31] + wire _T_344 = _T_332 & last_beat; // @[el2_ifu_mem_ctl.scala 334:84] + wire _T_2650 = ~_T_2662; // @[el2_ifu_mem_ctl.scala 615:84] + wire _T_2651 = _T_100 & _T_2650; // @[el2_ifu_mem_ctl.scala 615:82] + wire bus_ifu_wr_en_ff_q = _T_2651 & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 615:108] + wire sel_mb_status_addr = _T_344 & bus_ifu_wr_en_ff_q; // @[el2_ifu_mem_ctl.scala 334:96] + wire [30:0] ifu_status_wr_addr = sel_mb_status_addr ? _T_336 : ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 335:31] reg [63:0] ifu_bus_rdata_ff; // @[Reg.scala 27:20] - wire [6:0] _T_567 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[57]}; // @[el2_lib.scala 384:13] - wire _T_568 = ^_T_567; // @[el2_lib.scala 384:20] - wire [6:0] _T_574 = {ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31],ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[26]}; // @[el2_lib.scala 384:30] - wire [7:0] _T_581 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33]}; // @[el2_lib.scala 384:30] - wire [14:0] _T_582 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33],_T_574}; // @[el2_lib.scala 384:30] - wire [7:0] _T_589 = {ifu_bus_rdata_ff[48],ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[41]}; // @[el2_lib.scala 384:30] - wire [30:0] _T_598 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_589,_T_582}; // @[el2_lib.scala 384:30] - wire _T_599 = ^_T_598; // @[el2_lib.scala 384:37] - wire [6:0] _T_605 = {ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[13],ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[11]}; // @[el2_lib.scala 384:47] - wire [14:0] _T_613 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_605}; // @[el2_lib.scala 384:47] - wire [30:0] _T_629 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_589,_T_613}; // @[el2_lib.scala 384:47] - wire _T_630 = ^_T_629; // @[el2_lib.scala 384:54] - wire [6:0] _T_636 = {ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[4]}; // @[el2_lib.scala 384:64] - wire [14:0] _T_644 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_636}; // @[el2_lib.scala 384:64] - wire [30:0] _T_660 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_581,_T_644}; // @[el2_lib.scala 384:64] - wire _T_661 = ^_T_660; // @[el2_lib.scala 384:71] - wire [7:0] _T_668 = {ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[1]}; // @[el2_lib.scala 384:81] - wire [16:0] _T_677 = {ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],_T_668}; // @[el2_lib.scala 384:81] - wire [8:0] _T_685 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[el2_lib.scala 384:81] - wire [17:0] _T_694 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[48],_T_685}; // @[el2_lib.scala 384:81] - wire [34:0] _T_695 = {_T_694,_T_677}; // @[el2_lib.scala 384:81] - wire _T_696 = ^_T_695; // @[el2_lib.scala 384:88] - wire [7:0] _T_703 = {ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[0]}; // @[el2_lib.scala 384:98] - wire [16:0] _T_712 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[13],_T_703}; // @[el2_lib.scala 384:98] - wire [8:0] _T_720 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[el2_lib.scala 384:98] - wire [17:0] _T_729 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[48],_T_720}; // @[el2_lib.scala 384:98] - wire [34:0] _T_730 = {_T_729,_T_712}; // @[el2_lib.scala 384:98] - wire _T_731 = ^_T_730; // @[el2_lib.scala 384:105] - wire [7:0] _T_738 = {ifu_bus_rdata_ff[11],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[4],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[1],ifu_bus_rdata_ff[0]}; // @[el2_lib.scala 384:115] - wire [16:0] _T_747 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[26],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[13],_T_738}; // @[el2_lib.scala 384:115] - wire [8:0] _T_755 = {ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[30]}; // @[el2_lib.scala 384:115] - wire [17:0] _T_764 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[57],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[48],_T_755}; // @[el2_lib.scala 384:115] - wire [34:0] _T_765 = {_T_764,_T_747}; // @[el2_lib.scala 384:115] - wire _T_766 = ^_T_765; // @[el2_lib.scala 384:122] + wire [6:0] _T_567 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[57]}; // @[el2_lib.scala 383:13] + wire _T_568 = ^_T_567; // @[el2_lib.scala 383:20] + wire [6:0] _T_574 = {ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31],ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[26]}; // @[el2_lib.scala 383:30] + wire [7:0] _T_581 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33]}; // @[el2_lib.scala 383:30] + wire [14:0] _T_582 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33],_T_574}; // @[el2_lib.scala 383:30] + wire [7:0] _T_589 = {ifu_bus_rdata_ff[48],ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[41]}; // @[el2_lib.scala 383:30] + wire [30:0] _T_598 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_589,_T_582}; // @[el2_lib.scala 383:30] + wire _T_599 = ^_T_598; // @[el2_lib.scala 383:37] + wire [6:0] _T_605 = {ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[13],ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[11]}; // @[el2_lib.scala 383:47] + wire [14:0] _T_613 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_605}; // @[el2_lib.scala 383:47] + wire [30:0] _T_629 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_589,_T_613}; // @[el2_lib.scala 383:47] + wire _T_630 = ^_T_629; // @[el2_lib.scala 383:54] + wire [6:0] _T_636 = {ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[4]}; // @[el2_lib.scala 383:64] + wire [14:0] _T_644 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_636}; // @[el2_lib.scala 383:64] + wire [30:0] _T_660 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_581,_T_644}; // @[el2_lib.scala 383:64] + wire _T_661 = ^_T_660; // @[el2_lib.scala 383:71] + wire [7:0] _T_668 = {ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[1]}; // @[el2_lib.scala 383:81] + wire [16:0] _T_677 = {ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],_T_668}; // @[el2_lib.scala 383:81] + wire [8:0] _T_685 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[el2_lib.scala 383:81] + wire [17:0] _T_694 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[48],_T_685}; // @[el2_lib.scala 383:81] + wire [34:0] _T_695 = {_T_694,_T_677}; // @[el2_lib.scala 383:81] + wire _T_696 = ^_T_695; // @[el2_lib.scala 383:88] + wire [7:0] _T_703 = {ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[0]}; // @[el2_lib.scala 383:98] + wire [16:0] _T_712 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[13],_T_703}; // @[el2_lib.scala 383:98] + wire [8:0] _T_720 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[el2_lib.scala 383:98] + wire [17:0] _T_729 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[48],_T_720}; // @[el2_lib.scala 383:98] + wire [34:0] _T_730 = {_T_729,_T_712}; // @[el2_lib.scala 383:98] + wire _T_731 = ^_T_730; // @[el2_lib.scala 383:105] + wire [7:0] _T_738 = {ifu_bus_rdata_ff[11],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[4],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[1],ifu_bus_rdata_ff[0]}; // @[el2_lib.scala 383:115] + wire [16:0] _T_747 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[26],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[13],_T_738}; // @[el2_lib.scala 383:115] + wire [8:0] _T_755 = {ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[30]}; // @[el2_lib.scala 383:115] + wire [17:0] _T_764 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[57],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[48],_T_755}; // @[el2_lib.scala 383:115] + wire [34:0] _T_765 = {_T_764,_T_747}; // @[el2_lib.scala 383:115] + wire _T_766 = ^_T_765; // @[el2_lib.scala 383:122] wire [3:0] _T_2295 = {ifu_bus_rid_ff[2:1],_T_2254,1'h1}; // @[Cat.scala 29:58] - wire _T_2296 = _T_2295 == 4'h0; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2296 = _T_2295 == 4'h0; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_0; // @[Reg.scala 27:20] wire [31:0] _T_2343 = _T_2296 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_2299 = _T_2295 == 4'h1; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2299 = _T_2295 == 4'h1; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_1; // @[Reg.scala 27:20] wire [31:0] _T_2344 = _T_2299 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2359 = _T_2343 | _T_2344; // @[Mux.scala 27:72] - wire _T_2302 = _T_2295 == 4'h2; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2302 = _T_2295 == 4'h2; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_2; // @[Reg.scala 27:20] wire [31:0] _T_2345 = _T_2302 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2360 = _T_2359 | _T_2345; // @[Mux.scala 27:72] - wire _T_2305 = _T_2295 == 4'h3; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2305 = _T_2295 == 4'h3; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_3; // @[Reg.scala 27:20] wire [31:0] _T_2346 = _T_2305 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2361 = _T_2360 | _T_2346; // @[Mux.scala 27:72] - wire _T_2308 = _T_2295 == 4'h4; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2308 = _T_2295 == 4'h4; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_4; // @[Reg.scala 27:20] wire [31:0] _T_2347 = _T_2308 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2362 = _T_2361 | _T_2347; // @[Mux.scala 27:72] - wire _T_2311 = _T_2295 == 4'h5; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2311 = _T_2295 == 4'h5; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_5; // @[Reg.scala 27:20] wire [31:0] _T_2348 = _T_2311 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2363 = _T_2362 | _T_2348; // @[Mux.scala 27:72] - wire _T_2314 = _T_2295 == 4'h6; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2314 = _T_2295 == 4'h6; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_6; // @[Reg.scala 27:20] wire [31:0] _T_2349 = _T_2314 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2364 = _T_2363 | _T_2349; // @[Mux.scala 27:72] - wire _T_2317 = _T_2295 == 4'h7; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2317 = _T_2295 == 4'h7; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_7; // @[Reg.scala 27:20] wire [31:0] _T_2350 = _T_2317 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2365 = _T_2364 | _T_2350; // @[Mux.scala 27:72] - wire _T_2320 = _T_2295 == 4'h8; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2320 = _T_2295 == 4'h8; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_8; // @[Reg.scala 27:20] wire [31:0] _T_2351 = _T_2320 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2366 = _T_2365 | _T_2351; // @[Mux.scala 27:72] - wire _T_2323 = _T_2295 == 4'h9; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2323 = _T_2295 == 4'h9; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_9; // @[Reg.scala 27:20] wire [31:0] _T_2352 = _T_2323 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2367 = _T_2366 | _T_2352; // @[Mux.scala 27:72] - wire _T_2326 = _T_2295 == 4'ha; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2326 = _T_2295 == 4'ha; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_10; // @[Reg.scala 27:20] wire [31:0] _T_2353 = _T_2326 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2368 = _T_2367 | _T_2353; // @[Mux.scala 27:72] - wire _T_2329 = _T_2295 == 4'hb; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2329 = _T_2295 == 4'hb; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_11; // @[Reg.scala 27:20] wire [31:0] _T_2354 = _T_2329 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2369 = _T_2368 | _T_2354; // @[Mux.scala 27:72] - wire _T_2332 = _T_2295 == 4'hc; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2332 = _T_2295 == 4'hc; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_12; // @[Reg.scala 27:20] wire [31:0] _T_2355 = _T_2332 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2370 = _T_2369 | _T_2355; // @[Mux.scala 27:72] - wire _T_2335 = _T_2295 == 4'hd; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2335 = _T_2295 == 4'hd; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_13; // @[Reg.scala 27:20] wire [31:0] _T_2356 = _T_2335 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2371 = _T_2370 | _T_2356; // @[Mux.scala 27:72] - wire _T_2338 = _T_2295 == 4'he; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2338 = _T_2295 == 4'he; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_14; // @[Reg.scala 27:20] wire [31:0] _T_2357 = _T_2338 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2372 = _T_2371 | _T_2357; // @[Mux.scala 27:72] - wire _T_2341 = _T_2295 == 4'hf; // @[el2_ifu_mem_ctl.scala 468:89] + wire _T_2341 = _T_2295 == 4'hf; // @[el2_ifu_mem_ctl.scala 463:89] reg [31:0] ic_miss_buff_data_15; // @[Reg.scala 27:20] wire [31:0] _T_2358 = _T_2341 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2373 = _T_2372 | _T_2358; // @[Mux.scala 27:72] wire [3:0] _T_2375 = {ifu_bus_rid_ff[2:1],_T_2254,1'h0}; // @[Cat.scala 29:58] - wire _T_2376 = _T_2375 == 4'h0; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2376 = _T_2375 == 4'h0; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2423 = _T_2376 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_2379 = _T_2375 == 4'h1; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2379 = _T_2375 == 4'h1; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2424 = _T_2379 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2439 = _T_2423 | _T_2424; // @[Mux.scala 27:72] - wire _T_2382 = _T_2375 == 4'h2; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2382 = _T_2375 == 4'h2; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2425 = _T_2382 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2440 = _T_2439 | _T_2425; // @[Mux.scala 27:72] - wire _T_2385 = _T_2375 == 4'h3; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2385 = _T_2375 == 4'h3; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2426 = _T_2385 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2441 = _T_2440 | _T_2426; // @[Mux.scala 27:72] - wire _T_2388 = _T_2375 == 4'h4; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2388 = _T_2375 == 4'h4; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2427 = _T_2388 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2442 = _T_2441 | _T_2427; // @[Mux.scala 27:72] - wire _T_2391 = _T_2375 == 4'h5; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2391 = _T_2375 == 4'h5; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2428 = _T_2391 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2443 = _T_2442 | _T_2428; // @[Mux.scala 27:72] - wire _T_2394 = _T_2375 == 4'h6; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2394 = _T_2375 == 4'h6; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2429 = _T_2394 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2444 = _T_2443 | _T_2429; // @[Mux.scala 27:72] - wire _T_2397 = _T_2375 == 4'h7; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2397 = _T_2375 == 4'h7; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2430 = _T_2397 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2445 = _T_2444 | _T_2430; // @[Mux.scala 27:72] - wire _T_2400 = _T_2375 == 4'h8; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2400 = _T_2375 == 4'h8; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2431 = _T_2400 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2446 = _T_2445 | _T_2431; // @[Mux.scala 27:72] - wire _T_2403 = _T_2375 == 4'h9; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2403 = _T_2375 == 4'h9; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2432 = _T_2403 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2447 = _T_2446 | _T_2432; // @[Mux.scala 27:72] - wire _T_2406 = _T_2375 == 4'ha; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2406 = _T_2375 == 4'ha; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2433 = _T_2406 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2448 = _T_2447 | _T_2433; // @[Mux.scala 27:72] - wire _T_2409 = _T_2375 == 4'hb; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2409 = _T_2375 == 4'hb; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2434 = _T_2409 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2449 = _T_2448 | _T_2434; // @[Mux.scala 27:72] - wire _T_2412 = _T_2375 == 4'hc; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2412 = _T_2375 == 4'hc; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2435 = _T_2412 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2450 = _T_2449 | _T_2435; // @[Mux.scala 27:72] - wire _T_2415 = _T_2375 == 4'hd; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2415 = _T_2375 == 4'hd; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2436 = _T_2415 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2451 = _T_2450 | _T_2436; // @[Mux.scala 27:72] - wire _T_2418 = _T_2375 == 4'he; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2418 = _T_2375 == 4'he; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2437 = _T_2418 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2452 = _T_2451 | _T_2437; // @[Mux.scala 27:72] - wire _T_2421 = _T_2375 == 4'hf; // @[el2_ifu_mem_ctl.scala 469:66] + wire _T_2421 = _T_2375 == 4'hf; // @[el2_ifu_mem_ctl.scala 464:66] wire [31:0] _T_2438 = _T_2421 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2453 = _T_2452 | _T_2438; // @[Mux.scala 27:72] wire [63:0] ic_miss_buff_half = {_T_2373,_T_2453}; // @[Cat.scala 29:58] - wire [6:0] _T_989 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[57]}; // @[el2_lib.scala 384:13] - wire _T_990 = ^_T_989; // @[el2_lib.scala 384:20] - wire [6:0] _T_996 = {ic_miss_buff_half[32],ic_miss_buff_half[31],ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[26]}; // @[el2_lib.scala 384:30] - wire [7:0] _T_1003 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33]}; // @[el2_lib.scala 384:30] - wire [14:0] _T_1004 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33],_T_996}; // @[el2_lib.scala 384:30] - wire [7:0] _T_1011 = {ic_miss_buff_half[48],ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[42],ic_miss_buff_half[41]}; // @[el2_lib.scala 384:30] - wire [30:0] _T_1020 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1011,_T_1004}; // @[el2_lib.scala 384:30] - wire _T_1021 = ^_T_1020; // @[el2_lib.scala 384:37] - wire [6:0] _T_1027 = {ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],ic_miss_buff_half[14],ic_miss_buff_half[13],ic_miss_buff_half[12],ic_miss_buff_half[11]}; // @[el2_lib.scala 384:47] - wire [14:0] _T_1035 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1027}; // @[el2_lib.scala 384:47] - wire [30:0] _T_1051 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1011,_T_1035}; // @[el2_lib.scala 384:47] - wire _T_1052 = ^_T_1051; // @[el2_lib.scala 384:54] - wire [6:0] _T_1058 = {ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[4]}; // @[el2_lib.scala 384:64] - wire [14:0] _T_1066 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1058}; // @[el2_lib.scala 384:64] - wire [30:0] _T_1082 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1003,_T_1066}; // @[el2_lib.scala 384:64] - wire _T_1083 = ^_T_1082; // @[el2_lib.scala 384:71] - wire [7:0] _T_1090 = {ic_miss_buff_half[14],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[1]}; // @[el2_lib.scala 384:81] - wire [16:0] _T_1099 = {ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],_T_1090}; // @[el2_lib.scala 384:81] - wire [8:0] _T_1107 = {ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[el2_lib.scala 384:81] - wire [17:0] _T_1116 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[48],_T_1107}; // @[el2_lib.scala 384:81] - wire [34:0] _T_1117 = {_T_1116,_T_1099}; // @[el2_lib.scala 384:81] - wire _T_1118 = ^_T_1117; // @[el2_lib.scala 384:88] - wire [7:0] _T_1125 = {ic_miss_buff_half[12],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[0]}; // @[el2_lib.scala 384:98] - wire [16:0] _T_1134 = {ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[13],_T_1125}; // @[el2_lib.scala 384:98] - wire [8:0] _T_1142 = {ic_miss_buff_half[47],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[el2_lib.scala 384:98] - wire [17:0] _T_1151 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[48],_T_1142}; // @[el2_lib.scala 384:98] - wire [34:0] _T_1152 = {_T_1151,_T_1134}; // @[el2_lib.scala 384:98] - wire _T_1153 = ^_T_1152; // @[el2_lib.scala 384:105] - wire [7:0] _T_1160 = {ic_miss_buff_half[11],ic_miss_buff_half[10],ic_miss_buff_half[8],ic_miss_buff_half[6],ic_miss_buff_half[4],ic_miss_buff_half[3],ic_miss_buff_half[1],ic_miss_buff_half[0]}; // @[el2_lib.scala 384:115] - wire [16:0] _T_1169 = {ic_miss_buff_half[28],ic_miss_buff_half[26],ic_miss_buff_half[25],ic_miss_buff_half[23],ic_miss_buff_half[21],ic_miss_buff_half[19],ic_miss_buff_half[17],ic_miss_buff_half[15],ic_miss_buff_half[13],_T_1160}; // @[el2_lib.scala 384:115] - wire [8:0] _T_1177 = {ic_miss_buff_half[46],ic_miss_buff_half[44],ic_miss_buff_half[42],ic_miss_buff_half[40],ic_miss_buff_half[38],ic_miss_buff_half[36],ic_miss_buff_half[34],ic_miss_buff_half[32],ic_miss_buff_half[30]}; // @[el2_lib.scala 384:115] - wire [17:0] _T_1186 = {ic_miss_buff_half[63],ic_miss_buff_half[61],ic_miss_buff_half[59],ic_miss_buff_half[57],ic_miss_buff_half[56],ic_miss_buff_half[54],ic_miss_buff_half[52],ic_miss_buff_half[50],ic_miss_buff_half[48],_T_1177}; // @[el2_lib.scala 384:115] - wire [34:0] _T_1187 = {_T_1186,_T_1169}; // @[el2_lib.scala 384:115] - wire _T_1188 = ^_T_1187; // @[el2_lib.scala 384:122] + wire [6:0] _T_989 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[57]}; // @[el2_lib.scala 383:13] + wire _T_990 = ^_T_989; // @[el2_lib.scala 383:20] + wire [6:0] _T_996 = {ic_miss_buff_half[32],ic_miss_buff_half[31],ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[26]}; // @[el2_lib.scala 383:30] + wire [7:0] _T_1003 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33]}; // @[el2_lib.scala 383:30] + wire [14:0] _T_1004 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33],_T_996}; // @[el2_lib.scala 383:30] + wire [7:0] _T_1011 = {ic_miss_buff_half[48],ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[42],ic_miss_buff_half[41]}; // @[el2_lib.scala 383:30] + wire [30:0] _T_1020 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1011,_T_1004}; // @[el2_lib.scala 383:30] + wire _T_1021 = ^_T_1020; // @[el2_lib.scala 383:37] + wire [6:0] _T_1027 = {ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],ic_miss_buff_half[14],ic_miss_buff_half[13],ic_miss_buff_half[12],ic_miss_buff_half[11]}; // @[el2_lib.scala 383:47] + wire [14:0] _T_1035 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1027}; // @[el2_lib.scala 383:47] + wire [30:0] _T_1051 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1011,_T_1035}; // @[el2_lib.scala 383:47] + wire _T_1052 = ^_T_1051; // @[el2_lib.scala 383:54] + wire [6:0] _T_1058 = {ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[4]}; // @[el2_lib.scala 383:64] + wire [14:0] _T_1066 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1058}; // @[el2_lib.scala 383:64] + wire [30:0] _T_1082 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1003,_T_1066}; // @[el2_lib.scala 383:64] + wire _T_1083 = ^_T_1082; // @[el2_lib.scala 383:71] + wire [7:0] _T_1090 = {ic_miss_buff_half[14],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[1]}; // @[el2_lib.scala 383:81] + wire [16:0] _T_1099 = {ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],_T_1090}; // @[el2_lib.scala 383:81] + wire [8:0] _T_1107 = {ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[el2_lib.scala 383:81] + wire [17:0] _T_1116 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[48],_T_1107}; // @[el2_lib.scala 383:81] + wire [34:0] _T_1117 = {_T_1116,_T_1099}; // @[el2_lib.scala 383:81] + wire _T_1118 = ^_T_1117; // @[el2_lib.scala 383:88] + wire [7:0] _T_1125 = {ic_miss_buff_half[12],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[0]}; // @[el2_lib.scala 383:98] + wire [16:0] _T_1134 = {ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[13],_T_1125}; // @[el2_lib.scala 383:98] + wire [8:0] _T_1142 = {ic_miss_buff_half[47],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[el2_lib.scala 383:98] + wire [17:0] _T_1151 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[48],_T_1142}; // @[el2_lib.scala 383:98] + wire [34:0] _T_1152 = {_T_1151,_T_1134}; // @[el2_lib.scala 383:98] + wire _T_1153 = ^_T_1152; // @[el2_lib.scala 383:105] + wire [7:0] _T_1160 = {ic_miss_buff_half[11],ic_miss_buff_half[10],ic_miss_buff_half[8],ic_miss_buff_half[6],ic_miss_buff_half[4],ic_miss_buff_half[3],ic_miss_buff_half[1],ic_miss_buff_half[0]}; // @[el2_lib.scala 383:115] + wire [16:0] _T_1169 = {ic_miss_buff_half[28],ic_miss_buff_half[26],ic_miss_buff_half[25],ic_miss_buff_half[23],ic_miss_buff_half[21],ic_miss_buff_half[19],ic_miss_buff_half[17],ic_miss_buff_half[15],ic_miss_buff_half[13],_T_1160}; // @[el2_lib.scala 383:115] + wire [8:0] _T_1177 = {ic_miss_buff_half[46],ic_miss_buff_half[44],ic_miss_buff_half[42],ic_miss_buff_half[40],ic_miss_buff_half[38],ic_miss_buff_half[36],ic_miss_buff_half[34],ic_miss_buff_half[32],ic_miss_buff_half[30]}; // @[el2_lib.scala 383:115] + wire [17:0] _T_1186 = {ic_miss_buff_half[63],ic_miss_buff_half[61],ic_miss_buff_half[59],ic_miss_buff_half[57],ic_miss_buff_half[56],ic_miss_buff_half[54],ic_miss_buff_half[52],ic_miss_buff_half[50],ic_miss_buff_half[48],_T_1177}; // @[el2_lib.scala 383:115] + wire [34:0] _T_1187 = {_T_1186,_T_1169}; // @[el2_lib.scala 383:115] + wire _T_1188 = ^_T_1187; // @[el2_lib.scala 383:122] wire [70:0] _T_1233 = {_T_568,_T_599,_T_630,_T_661,_T_696,_T_731,_T_766,ifu_bus_rdata_ff}; // @[Cat.scala 29:58] wire [70:0] _T_1232 = {_T_990,_T_1021,_T_1052,_T_1083,_T_1118,_T_1153,_T_1188,_T_2373,_T_2453}; // @[Cat.scala 29:58] wire [141:0] _T_1234 = {_T_568,_T_599,_T_630,_T_661,_T_696,_T_731,_T_766,ifu_bus_rdata_ff,_T_1232}; // @[Cat.scala 29:58] wire [141:0] _T_1237 = {_T_990,_T_1021,_T_1052,_T_1083,_T_1118,_T_1153,_T_1188,_T_2373,_T_2453,_T_1233}; // @[Cat.scala 29:58] - wire [141:0] ic_wr_16bytes_data = ifu_bus_rid_ff[0] ? _T_1234 : _T_1237; // @[el2_ifu_mem_ctl.scala 361:28] - wire _T_1196 = |io_ic_eccerr; // @[el2_ifu_mem_ctl.scala 351:56] - wire _T_1197 = _T_1196 & ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 351:83] - wire [4:0] bypass_index = imb_ff[4:0]; // @[el2_ifu_mem_ctl.scala 415:28] - wire _T_1413 = bypass_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 417:114] - wire bus_ifu_wr_en = _T_13 & miss_pending; // @[el2_ifu_mem_ctl.scala 618:35] - wire _T_1282 = io_ifu_axi_rid == 3'h0; // @[el2_ifu_mem_ctl.scala 400:91] - wire write_fill_data_0 = bus_ifu_wr_en & _T_1282; // @[el2_ifu_mem_ctl.scala 400:73] - wire _T_1339 = ~ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 406:118] - wire _T_1340 = ic_miss_buff_data_valid[0] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:116] - wire ic_miss_buff_data_valid_in_0 = write_fill_data_0 | _T_1340; // @[el2_ifu_mem_ctl.scala 406:88] + wire [141:0] ic_wr_16bytes_data = ifu_bus_rid_ff[0] ? _T_1234 : _T_1237; // @[el2_ifu_mem_ctl.scala 356:28] + wire _T_1196 = |io_ic_eccerr; // @[el2_ifu_mem_ctl.scala 346:56] + wire _T_1197 = _T_1196 & ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 346:83] + wire [4:0] bypass_index = imb_ff[4:0]; // @[el2_ifu_mem_ctl.scala 410:28] + wire _T_1413 = bypass_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 412:114] + wire bus_ifu_wr_en = _T_13 & miss_pending; // @[el2_ifu_mem_ctl.scala 613:35] + wire _T_1282 = io_ifu_axi_rid == 3'h0; // @[el2_ifu_mem_ctl.scala 395:91] + wire write_fill_data_0 = bus_ifu_wr_en & _T_1282; // @[el2_ifu_mem_ctl.scala 395:73] + wire _T_1339 = ~ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 401:118] + wire _T_1340 = ic_miss_buff_data_valid[0] & _T_1339; // @[el2_ifu_mem_ctl.scala 401:116] + wire ic_miss_buff_data_valid_in_0 = write_fill_data_0 | _T_1340; // @[el2_ifu_mem_ctl.scala 401:88] wire _T_1436 = _T_1413 & ic_miss_buff_data_valid_in_0; // @[Mux.scala 27:72] - wire _T_1416 = bypass_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 417:114] - wire _T_1283 = io_ifu_axi_rid == 3'h1; // @[el2_ifu_mem_ctl.scala 400:91] - wire write_fill_data_1 = bus_ifu_wr_en & _T_1283; // @[el2_ifu_mem_ctl.scala 400:73] - wire _T_1343 = ic_miss_buff_data_valid[1] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:116] - wire ic_miss_buff_data_valid_in_1 = write_fill_data_1 | _T_1343; // @[el2_ifu_mem_ctl.scala 406:88] + wire _T_1416 = bypass_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 412:114] + wire _T_1283 = io_ifu_axi_rid == 3'h1; // @[el2_ifu_mem_ctl.scala 395:91] + wire write_fill_data_1 = bus_ifu_wr_en & _T_1283; // @[el2_ifu_mem_ctl.scala 395:73] + wire _T_1343 = ic_miss_buff_data_valid[1] & _T_1339; // @[el2_ifu_mem_ctl.scala 401:116] + wire ic_miss_buff_data_valid_in_1 = write_fill_data_1 | _T_1343; // @[el2_ifu_mem_ctl.scala 401:88] wire _T_1437 = _T_1416 & ic_miss_buff_data_valid_in_1; // @[Mux.scala 27:72] wire _T_1444 = _T_1436 | _T_1437; // @[Mux.scala 27:72] - wire _T_1419 = bypass_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 417:114] - wire _T_1284 = io_ifu_axi_rid == 3'h2; // @[el2_ifu_mem_ctl.scala 400:91] - wire write_fill_data_2 = bus_ifu_wr_en & _T_1284; // @[el2_ifu_mem_ctl.scala 400:73] - wire _T_1346 = ic_miss_buff_data_valid[2] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:116] - wire ic_miss_buff_data_valid_in_2 = write_fill_data_2 | _T_1346; // @[el2_ifu_mem_ctl.scala 406:88] + wire _T_1419 = bypass_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 412:114] + wire _T_1284 = io_ifu_axi_rid == 3'h2; // @[el2_ifu_mem_ctl.scala 395:91] + wire write_fill_data_2 = bus_ifu_wr_en & _T_1284; // @[el2_ifu_mem_ctl.scala 395:73] + wire _T_1346 = ic_miss_buff_data_valid[2] & _T_1339; // @[el2_ifu_mem_ctl.scala 401:116] + wire ic_miss_buff_data_valid_in_2 = write_fill_data_2 | _T_1346; // @[el2_ifu_mem_ctl.scala 401:88] wire _T_1438 = _T_1419 & ic_miss_buff_data_valid_in_2; // @[Mux.scala 27:72] wire _T_1445 = _T_1444 | _T_1438; // @[Mux.scala 27:72] - wire _T_1422 = bypass_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 417:114] - wire _T_1285 = io_ifu_axi_rid == 3'h3; // @[el2_ifu_mem_ctl.scala 400:91] - wire write_fill_data_3 = bus_ifu_wr_en & _T_1285; // @[el2_ifu_mem_ctl.scala 400:73] - wire _T_1349 = ic_miss_buff_data_valid[3] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:116] - wire ic_miss_buff_data_valid_in_3 = write_fill_data_3 | _T_1349; // @[el2_ifu_mem_ctl.scala 406:88] + wire _T_1422 = bypass_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 412:114] + wire _T_1285 = io_ifu_axi_rid == 3'h3; // @[el2_ifu_mem_ctl.scala 395:91] + wire write_fill_data_3 = bus_ifu_wr_en & _T_1285; // @[el2_ifu_mem_ctl.scala 395:73] + wire _T_1349 = ic_miss_buff_data_valid[3] & _T_1339; // @[el2_ifu_mem_ctl.scala 401:116] + wire ic_miss_buff_data_valid_in_3 = write_fill_data_3 | _T_1349; // @[el2_ifu_mem_ctl.scala 401:88] wire _T_1439 = _T_1422 & ic_miss_buff_data_valid_in_3; // @[Mux.scala 27:72] wire _T_1446 = _T_1445 | _T_1439; // @[Mux.scala 27:72] - wire _T_1425 = bypass_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 417:114] - wire _T_1286 = io_ifu_axi_rid == 3'h4; // @[el2_ifu_mem_ctl.scala 400:91] - wire write_fill_data_4 = bus_ifu_wr_en & _T_1286; // @[el2_ifu_mem_ctl.scala 400:73] - wire _T_1352 = ic_miss_buff_data_valid[4] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:116] - wire ic_miss_buff_data_valid_in_4 = write_fill_data_4 | _T_1352; // @[el2_ifu_mem_ctl.scala 406:88] + wire _T_1425 = bypass_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 412:114] + wire _T_1286 = io_ifu_axi_rid == 3'h4; // @[el2_ifu_mem_ctl.scala 395:91] + wire write_fill_data_4 = bus_ifu_wr_en & _T_1286; // @[el2_ifu_mem_ctl.scala 395:73] + wire _T_1352 = ic_miss_buff_data_valid[4] & _T_1339; // @[el2_ifu_mem_ctl.scala 401:116] + wire ic_miss_buff_data_valid_in_4 = write_fill_data_4 | _T_1352; // @[el2_ifu_mem_ctl.scala 401:88] wire _T_1440 = _T_1425 & ic_miss_buff_data_valid_in_4; // @[Mux.scala 27:72] wire _T_1447 = _T_1446 | _T_1440; // @[Mux.scala 27:72] - wire _T_1428 = bypass_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 417:114] - wire _T_1287 = io_ifu_axi_rid == 3'h5; // @[el2_ifu_mem_ctl.scala 400:91] - wire write_fill_data_5 = bus_ifu_wr_en & _T_1287; // @[el2_ifu_mem_ctl.scala 400:73] - wire _T_1355 = ic_miss_buff_data_valid[5] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:116] - wire ic_miss_buff_data_valid_in_5 = write_fill_data_5 | _T_1355; // @[el2_ifu_mem_ctl.scala 406:88] + wire _T_1428 = bypass_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 412:114] + wire _T_1287 = io_ifu_axi_rid == 3'h5; // @[el2_ifu_mem_ctl.scala 395:91] + wire write_fill_data_5 = bus_ifu_wr_en & _T_1287; // @[el2_ifu_mem_ctl.scala 395:73] + wire _T_1355 = ic_miss_buff_data_valid[5] & _T_1339; // @[el2_ifu_mem_ctl.scala 401:116] + wire ic_miss_buff_data_valid_in_5 = write_fill_data_5 | _T_1355; // @[el2_ifu_mem_ctl.scala 401:88] wire _T_1441 = _T_1428 & ic_miss_buff_data_valid_in_5; // @[Mux.scala 27:72] wire _T_1448 = _T_1447 | _T_1441; // @[Mux.scala 27:72] - wire _T_1431 = bypass_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 417:114] - wire _T_1288 = io_ifu_axi_rid == 3'h6; // @[el2_ifu_mem_ctl.scala 400:91] - wire write_fill_data_6 = bus_ifu_wr_en & _T_1288; // @[el2_ifu_mem_ctl.scala 400:73] - wire _T_1358 = ic_miss_buff_data_valid[6] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:116] - wire ic_miss_buff_data_valid_in_6 = write_fill_data_6 | _T_1358; // @[el2_ifu_mem_ctl.scala 406:88] + wire _T_1431 = bypass_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 412:114] + wire _T_1288 = io_ifu_axi_rid == 3'h6; // @[el2_ifu_mem_ctl.scala 395:91] + wire write_fill_data_6 = bus_ifu_wr_en & _T_1288; // @[el2_ifu_mem_ctl.scala 395:73] + wire _T_1358 = ic_miss_buff_data_valid[6] & _T_1339; // @[el2_ifu_mem_ctl.scala 401:116] + wire ic_miss_buff_data_valid_in_6 = write_fill_data_6 | _T_1358; // @[el2_ifu_mem_ctl.scala 401:88] wire _T_1442 = _T_1431 & ic_miss_buff_data_valid_in_6; // @[Mux.scala 27:72] wire _T_1449 = _T_1448 | _T_1442; // @[Mux.scala 27:72] - wire _T_1434 = bypass_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 417:114] - wire _T_1289 = io_ifu_axi_rid == 3'h7; // @[el2_ifu_mem_ctl.scala 400:91] - wire write_fill_data_7 = bus_ifu_wr_en & _T_1289; // @[el2_ifu_mem_ctl.scala 400:73] - wire _T_1361 = ic_miss_buff_data_valid[7] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:116] - wire ic_miss_buff_data_valid_in_7 = write_fill_data_7 | _T_1361; // @[el2_ifu_mem_ctl.scala 406:88] + wire _T_1434 = bypass_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 412:114] + wire _T_1289 = io_ifu_axi_rid == 3'h7; // @[el2_ifu_mem_ctl.scala 395:91] + wire write_fill_data_7 = bus_ifu_wr_en & _T_1289; // @[el2_ifu_mem_ctl.scala 395:73] + wire _T_1361 = ic_miss_buff_data_valid[7] & _T_1339; // @[el2_ifu_mem_ctl.scala 401:116] + wire ic_miss_buff_data_valid_in_7 = write_fill_data_7 | _T_1361; // @[el2_ifu_mem_ctl.scala 401:88] wire _T_1443 = _T_1434 & ic_miss_buff_data_valid_in_7; // @[Mux.scala 27:72] wire bypass_valid_value_check = _T_1449 | _T_1443; // @[Mux.scala 27:72] - wire _T_1452 = ~bypass_index[1]; // @[el2_ifu_mem_ctl.scala 418:58] - wire _T_1453 = bypass_valid_value_check & _T_1452; // @[el2_ifu_mem_ctl.scala 418:56] - wire _T_1455 = ~bypass_index[0]; // @[el2_ifu_mem_ctl.scala 418:77] - wire _T_1456 = _T_1453 & _T_1455; // @[el2_ifu_mem_ctl.scala 418:75] - wire _T_1461 = _T_1453 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 419:75] - wire _T_1462 = _T_1456 | _T_1461; // @[el2_ifu_mem_ctl.scala 418:95] - wire _T_1464 = bypass_valid_value_check & bypass_index[1]; // @[el2_ifu_mem_ctl.scala 420:56] - wire _T_1467 = _T_1464 & _T_1455; // @[el2_ifu_mem_ctl.scala 420:74] - wire _T_1468 = _T_1462 | _T_1467; // @[el2_ifu_mem_ctl.scala 419:94] - wire _T_1472 = _T_1464 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 421:51] - wire [2:0] bypass_index_5_3_inc = bypass_index[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 416:70] - wire _T_1473 = bypass_index_5_3_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 421:132] + wire _T_1452 = ~bypass_index[1]; // @[el2_ifu_mem_ctl.scala 413:58] + wire _T_1453 = bypass_valid_value_check & _T_1452; // @[el2_ifu_mem_ctl.scala 413:56] + wire _T_1455 = ~bypass_index[0]; // @[el2_ifu_mem_ctl.scala 413:77] + wire _T_1456 = _T_1453 & _T_1455; // @[el2_ifu_mem_ctl.scala 413:75] + wire _T_1461 = _T_1453 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 414:75] + wire _T_1462 = _T_1456 | _T_1461; // @[el2_ifu_mem_ctl.scala 413:95] + wire _T_1464 = bypass_valid_value_check & bypass_index[1]; // @[el2_ifu_mem_ctl.scala 415:56] + wire _T_1467 = _T_1464 & _T_1455; // @[el2_ifu_mem_ctl.scala 415:74] + wire _T_1468 = _T_1462 | _T_1467; // @[el2_ifu_mem_ctl.scala 414:94] + wire _T_1472 = _T_1464 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 416:51] + wire [2:0] bypass_index_5_3_inc = bypass_index[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 411:70] + wire _T_1473 = bypass_index_5_3_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 416:132] wire _T_1489 = _T_1473 & ic_miss_buff_data_valid_in_0; // @[Mux.scala 27:72] - wire _T_1475 = bypass_index_5_3_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 421:132] + wire _T_1475 = bypass_index_5_3_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 416:132] wire _T_1490 = _T_1475 & ic_miss_buff_data_valid_in_1; // @[Mux.scala 27:72] wire _T_1497 = _T_1489 | _T_1490; // @[Mux.scala 27:72] - wire _T_1477 = bypass_index_5_3_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 421:132] + wire _T_1477 = bypass_index_5_3_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 416:132] wire _T_1491 = _T_1477 & ic_miss_buff_data_valid_in_2; // @[Mux.scala 27:72] wire _T_1498 = _T_1497 | _T_1491; // @[Mux.scala 27:72] - wire _T_1479 = bypass_index_5_3_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 421:132] + wire _T_1479 = bypass_index_5_3_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 416:132] wire _T_1492 = _T_1479 & ic_miss_buff_data_valid_in_3; // @[Mux.scala 27:72] wire _T_1499 = _T_1498 | _T_1492; // @[Mux.scala 27:72] - wire _T_1481 = bypass_index_5_3_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 421:132] + wire _T_1481 = bypass_index_5_3_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 416:132] wire _T_1493 = _T_1481 & ic_miss_buff_data_valid_in_4; // @[Mux.scala 27:72] wire _T_1500 = _T_1499 | _T_1493; // @[Mux.scala 27:72] - wire _T_1483 = bypass_index_5_3_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 421:132] + wire _T_1483 = bypass_index_5_3_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 416:132] wire _T_1494 = _T_1483 & ic_miss_buff_data_valid_in_5; // @[Mux.scala 27:72] wire _T_1501 = _T_1500 | _T_1494; // @[Mux.scala 27:72] - wire _T_1485 = bypass_index_5_3_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 421:132] + wire _T_1485 = bypass_index_5_3_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 416:132] wire _T_1495 = _T_1485 & ic_miss_buff_data_valid_in_6; // @[Mux.scala 27:72] wire _T_1502 = _T_1501 | _T_1495; // @[Mux.scala 27:72] - wire _T_1487 = bypass_index_5_3_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 421:132] + wire _T_1487 = bypass_index_5_3_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 416:132] wire _T_1496 = _T_1487 & ic_miss_buff_data_valid_in_7; // @[Mux.scala 27:72] wire _T_1503 = _T_1502 | _T_1496; // @[Mux.scala 27:72] - wire _T_1505 = _T_1472 & _T_1503; // @[el2_ifu_mem_ctl.scala 421:69] - wire _T_1506 = _T_1468 | _T_1505; // @[el2_ifu_mem_ctl.scala 420:94] - wire [4:0] _GEN_473 = {{2'd0}, bypass_index[4:2]}; // @[el2_ifu_mem_ctl.scala 422:95] - wire _T_1509 = _GEN_473 == 5'h1f; // @[el2_ifu_mem_ctl.scala 422:95] - wire _T_1510 = bypass_valid_value_check & _T_1509; // @[el2_ifu_mem_ctl.scala 422:56] - wire bypass_data_ready_in = _T_1506 | _T_1510; // @[el2_ifu_mem_ctl.scala 421:181] - wire _T_1511 = bypass_data_ready_in & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 426:53] - wire _T_1512 = _T_1511 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 426:73] - wire _T_1514 = _T_1512 & _T_317; // @[el2_ifu_mem_ctl.scala 426:96] - wire _T_1516 = _T_1514 & _T_58; // @[el2_ifu_mem_ctl.scala 426:118] - wire _T_1518 = crit_wd_byp_ok_ff & _T_17; // @[el2_ifu_mem_ctl.scala 427:73] - wire _T_1520 = _T_1518 & _T_317; // @[el2_ifu_mem_ctl.scala 427:96] - wire _T_1522 = _T_1520 & _T_58; // @[el2_ifu_mem_ctl.scala 427:118] - wire _T_1523 = _T_1516 | _T_1522; // @[el2_ifu_mem_ctl.scala 426:143] - reg ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 429:58] - wire _T_1524 = ic_crit_wd_rdy_new_ff & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 428:54] - wire _T_1525 = ~fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 428:76] - wire _T_1526 = _T_1524 & _T_1525; // @[el2_ifu_mem_ctl.scala 428:74] - wire _T_1528 = _T_1526 & _T_317; // @[el2_ifu_mem_ctl.scala 428:96] - wire ic_crit_wd_rdy_new_in = _T_1523 | _T_1528; // @[el2_ifu_mem_ctl.scala 427:143] - wire ic_crit_wd_rdy = ic_crit_wd_rdy_new_in | ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 628:43] - wire _T_1249 = ic_crit_wd_rdy | _T_2233; // @[el2_ifu_mem_ctl.scala 374:38] - wire _T_1251 = _T_1249 | _T_2249; // @[el2_ifu_mem_ctl.scala 374:64] - wire _T_1252 = ~_T_1251; // @[el2_ifu_mem_ctl.scala 374:21] - wire _T_1253 = ~fetch_req_iccm_f; // @[el2_ifu_mem_ctl.scala 374:98] - wire sel_ic_data = _T_1252 & _T_1253; // @[el2_ifu_mem_ctl.scala 374:96] - wire _T_2456 = io_ic_tag_perr & sel_ic_data; // @[el2_ifu_mem_ctl.scala 473:44] - wire _T_1622 = ifu_fetch_addr_int_f[1] & ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 440:31] - reg [7:0] ic_miss_buff_data_error; // @[el2_ifu_mem_ctl.scala 412:60] + wire _T_1505 = _T_1472 & _T_1503; // @[el2_ifu_mem_ctl.scala 416:69] + wire _T_1506 = _T_1468 | _T_1505; // @[el2_ifu_mem_ctl.scala 415:94] + wire [4:0] _GEN_473 = {{2'd0}, bypass_index[4:2]}; // @[el2_ifu_mem_ctl.scala 417:95] + wire _T_1509 = _GEN_473 == 5'h1f; // @[el2_ifu_mem_ctl.scala 417:95] + wire _T_1510 = bypass_valid_value_check & _T_1509; // @[el2_ifu_mem_ctl.scala 417:56] + wire bypass_data_ready_in = _T_1506 | _T_1510; // @[el2_ifu_mem_ctl.scala 416:181] + wire _T_1511 = bypass_data_ready_in & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 421:53] + wire _T_1512 = _T_1511 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 421:73] + wire _T_1514 = _T_1512 & _T_317; // @[el2_ifu_mem_ctl.scala 421:96] + wire _T_1516 = _T_1514 & _T_58; // @[el2_ifu_mem_ctl.scala 421:118] + wire _T_1518 = crit_wd_byp_ok_ff & _T_17; // @[el2_ifu_mem_ctl.scala 422:73] + wire _T_1520 = _T_1518 & _T_317; // @[el2_ifu_mem_ctl.scala 422:96] + wire _T_1522 = _T_1520 & _T_58; // @[el2_ifu_mem_ctl.scala 422:118] + wire _T_1523 = _T_1516 | _T_1522; // @[el2_ifu_mem_ctl.scala 421:143] + reg ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 424:58] + wire _T_1524 = ic_crit_wd_rdy_new_ff & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 423:54] + wire _T_1525 = ~fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 423:76] + wire _T_1526 = _T_1524 & _T_1525; // @[el2_ifu_mem_ctl.scala 423:74] + wire _T_1528 = _T_1526 & _T_317; // @[el2_ifu_mem_ctl.scala 423:96] + wire ic_crit_wd_rdy_new_in = _T_1523 | _T_1528; // @[el2_ifu_mem_ctl.scala 422:143] + wire ic_crit_wd_rdy = ic_crit_wd_rdy_new_in | ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 623:43] + wire _T_1249 = ic_crit_wd_rdy | _T_2233; // @[el2_ifu_mem_ctl.scala 369:38] + wire _T_1251 = _T_1249 | _T_2249; // @[el2_ifu_mem_ctl.scala 369:64] + wire _T_1252 = ~_T_1251; // @[el2_ifu_mem_ctl.scala 369:21] + wire _T_1253 = ~fetch_req_iccm_f; // @[el2_ifu_mem_ctl.scala 369:98] + wire sel_ic_data = _T_1252 & _T_1253; // @[el2_ifu_mem_ctl.scala 369:96] + wire _T_2456 = io_ic_tag_perr & sel_ic_data; // @[el2_ifu_mem_ctl.scala 468:44] + wire _T_1622 = ifu_fetch_addr_int_f[1] & ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 435:31] + reg [7:0] ic_miss_buff_data_error; // @[el2_ifu_mem_ctl.scala 407:60] wire _T_1566 = _T_1413 & ic_miss_buff_data_error[0]; // @[Mux.scala 27:72] wire _T_1567 = _T_1416 & ic_miss_buff_data_error[1]; // @[Mux.scala 27:72] wire _T_1574 = _T_1566 | _T_1567; // @[Mux.scala 27:72] @@ -1939,987 +1939,987 @@ module el2_ifu_mem_ctl( wire _T_1618 = _T_1617 | _T_1611; // @[Mux.scala 27:72] wire _T_1612 = _T_2187 & ic_miss_buff_data_error[7]; // @[Mux.scala 27:72] wire ic_miss_buff_data_error_bypass_inc = _T_1618 | _T_1612; // @[Mux.scala 27:72] - wire _T_1623 = ic_miss_buff_data_error_bypass | ic_miss_buff_data_error_bypass_inc; // @[el2_ifu_mem_ctl.scala 442:70] - wire ifu_byp_data_err_new = _T_1622 ? ic_miss_buff_data_error_bypass : _T_1623; // @[el2_ifu_mem_ctl.scala 440:56] - wire ifc_bus_acc_fault_f = ic_byp_hit_f & ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 385:42] - wire _T_2457 = ifc_region_acc_fault_final_f | ifc_bus_acc_fault_f; // @[el2_ifu_mem_ctl.scala 473:91] - wire _T_2458 = ~_T_2457; // @[el2_ifu_mem_ctl.scala 473:60] - wire ic_rd_parity_final_err = _T_2456 & _T_2458; // @[el2_ifu_mem_ctl.scala 473:58] + wire _T_1623 = ic_miss_buff_data_error_bypass | ic_miss_buff_data_error_bypass_inc; // @[el2_ifu_mem_ctl.scala 437:70] + wire ifu_byp_data_err_new = _T_1622 ? ic_miss_buff_data_error_bypass : _T_1623; // @[el2_ifu_mem_ctl.scala 435:56] + wire ifc_bus_acc_fault_f = ic_byp_hit_f & ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 380:42] + wire _T_2457 = ifc_region_acc_fault_final_f | ifc_bus_acc_fault_f; // @[el2_ifu_mem_ctl.scala 468:91] + wire _T_2458 = ~_T_2457; // @[el2_ifu_mem_ctl.scala 468:60] + wire ic_rd_parity_final_err = _T_2456 & _T_2458; // @[el2_ifu_mem_ctl.scala 468:58] reg ic_debug_ict_array_sel_ff; // @[Reg.scala 27:20] reg ic_tag_valid_out_1_0; // @[Reg.scala 27:20] - wire _T_9996 = _T_4789 & ic_tag_valid_out_1_0; // @[el2_ifu_mem_ctl.scala 764:10] + wire _T_9996 = _T_4789 & ic_tag_valid_out_1_0; // @[el2_ifu_mem_ctl.scala 759:10] reg ic_tag_valid_out_1_1; // @[Reg.scala 27:20] - wire _T_9998 = _T_4790 & ic_tag_valid_out_1_1; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10251 = _T_9996 | _T_9998; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9998 = _T_4790 & ic_tag_valid_out_1_1; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10251 = _T_9996 | _T_9998; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_2; // @[Reg.scala 27:20] - wire _T_10000 = _T_4791 & ic_tag_valid_out_1_2; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10252 = _T_10251 | _T_10000; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10000 = _T_4791 & ic_tag_valid_out_1_2; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10252 = _T_10251 | _T_10000; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_3; // @[Reg.scala 27:20] - wire _T_10002 = _T_4792 & ic_tag_valid_out_1_3; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10253 = _T_10252 | _T_10002; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10002 = _T_4792 & ic_tag_valid_out_1_3; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10253 = _T_10252 | _T_10002; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_4; // @[Reg.scala 27:20] - wire _T_10004 = _T_4793 & ic_tag_valid_out_1_4; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10254 = _T_10253 | _T_10004; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10004 = _T_4793 & ic_tag_valid_out_1_4; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10254 = _T_10253 | _T_10004; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_5; // @[Reg.scala 27:20] - wire _T_10006 = _T_4794 & ic_tag_valid_out_1_5; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10255 = _T_10254 | _T_10006; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10006 = _T_4794 & ic_tag_valid_out_1_5; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10255 = _T_10254 | _T_10006; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_6; // @[Reg.scala 27:20] - wire _T_10008 = _T_4795 & ic_tag_valid_out_1_6; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10256 = _T_10255 | _T_10008; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10008 = _T_4795 & ic_tag_valid_out_1_6; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10256 = _T_10255 | _T_10008; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_7; // @[Reg.scala 27:20] - wire _T_10010 = _T_4796 & ic_tag_valid_out_1_7; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10257 = _T_10256 | _T_10010; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10010 = _T_4796 & ic_tag_valid_out_1_7; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10257 = _T_10256 | _T_10010; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_8; // @[Reg.scala 27:20] - wire _T_10012 = _T_4797 & ic_tag_valid_out_1_8; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10258 = _T_10257 | _T_10012; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10012 = _T_4797 & ic_tag_valid_out_1_8; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10258 = _T_10257 | _T_10012; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_9; // @[Reg.scala 27:20] - wire _T_10014 = _T_4798 & ic_tag_valid_out_1_9; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10259 = _T_10258 | _T_10014; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10014 = _T_4798 & ic_tag_valid_out_1_9; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10259 = _T_10258 | _T_10014; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_10; // @[Reg.scala 27:20] - wire _T_10016 = _T_4799 & ic_tag_valid_out_1_10; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10260 = _T_10259 | _T_10016; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10016 = _T_4799 & ic_tag_valid_out_1_10; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10260 = _T_10259 | _T_10016; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_11; // @[Reg.scala 27:20] - wire _T_10018 = _T_4800 & ic_tag_valid_out_1_11; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10261 = _T_10260 | _T_10018; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10018 = _T_4800 & ic_tag_valid_out_1_11; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10261 = _T_10260 | _T_10018; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_12; // @[Reg.scala 27:20] - wire _T_10020 = _T_4801 & ic_tag_valid_out_1_12; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10262 = _T_10261 | _T_10020; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10020 = _T_4801 & ic_tag_valid_out_1_12; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10262 = _T_10261 | _T_10020; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_13; // @[Reg.scala 27:20] - wire _T_10022 = _T_4802 & ic_tag_valid_out_1_13; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10263 = _T_10262 | _T_10022; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10022 = _T_4802 & ic_tag_valid_out_1_13; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10263 = _T_10262 | _T_10022; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_14; // @[Reg.scala 27:20] - wire _T_10024 = _T_4803 & ic_tag_valid_out_1_14; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10264 = _T_10263 | _T_10024; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10024 = _T_4803 & ic_tag_valid_out_1_14; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10264 = _T_10263 | _T_10024; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_15; // @[Reg.scala 27:20] - wire _T_10026 = _T_4804 & ic_tag_valid_out_1_15; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10265 = _T_10264 | _T_10026; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10026 = _T_4804 & ic_tag_valid_out_1_15; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10265 = _T_10264 | _T_10026; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_16; // @[Reg.scala 27:20] - wire _T_10028 = _T_4805 & ic_tag_valid_out_1_16; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10266 = _T_10265 | _T_10028; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10028 = _T_4805 & ic_tag_valid_out_1_16; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10266 = _T_10265 | _T_10028; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_17; // @[Reg.scala 27:20] - wire _T_10030 = _T_4806 & ic_tag_valid_out_1_17; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10267 = _T_10266 | _T_10030; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10030 = _T_4806 & ic_tag_valid_out_1_17; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10267 = _T_10266 | _T_10030; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_18; // @[Reg.scala 27:20] - wire _T_10032 = _T_4807 & ic_tag_valid_out_1_18; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10268 = _T_10267 | _T_10032; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10032 = _T_4807 & ic_tag_valid_out_1_18; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10268 = _T_10267 | _T_10032; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_19; // @[Reg.scala 27:20] - wire _T_10034 = _T_4808 & ic_tag_valid_out_1_19; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10269 = _T_10268 | _T_10034; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10034 = _T_4808 & ic_tag_valid_out_1_19; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10269 = _T_10268 | _T_10034; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_20; // @[Reg.scala 27:20] - wire _T_10036 = _T_4809 & ic_tag_valid_out_1_20; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10270 = _T_10269 | _T_10036; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10036 = _T_4809 & ic_tag_valid_out_1_20; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10270 = _T_10269 | _T_10036; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_21; // @[Reg.scala 27:20] - wire _T_10038 = _T_4810 & ic_tag_valid_out_1_21; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10271 = _T_10270 | _T_10038; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10038 = _T_4810 & ic_tag_valid_out_1_21; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10271 = _T_10270 | _T_10038; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_22; // @[Reg.scala 27:20] - wire _T_10040 = _T_4811 & ic_tag_valid_out_1_22; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10272 = _T_10271 | _T_10040; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10040 = _T_4811 & ic_tag_valid_out_1_22; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10272 = _T_10271 | _T_10040; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_23; // @[Reg.scala 27:20] - wire _T_10042 = _T_4812 & ic_tag_valid_out_1_23; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10273 = _T_10272 | _T_10042; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10042 = _T_4812 & ic_tag_valid_out_1_23; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10273 = _T_10272 | _T_10042; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_24; // @[Reg.scala 27:20] - wire _T_10044 = _T_4813 & ic_tag_valid_out_1_24; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10274 = _T_10273 | _T_10044; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10044 = _T_4813 & ic_tag_valid_out_1_24; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10274 = _T_10273 | _T_10044; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_25; // @[Reg.scala 27:20] - wire _T_10046 = _T_4814 & ic_tag_valid_out_1_25; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10275 = _T_10274 | _T_10046; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10046 = _T_4814 & ic_tag_valid_out_1_25; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10275 = _T_10274 | _T_10046; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_26; // @[Reg.scala 27:20] - wire _T_10048 = _T_4815 & ic_tag_valid_out_1_26; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10276 = _T_10275 | _T_10048; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10048 = _T_4815 & ic_tag_valid_out_1_26; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10276 = _T_10275 | _T_10048; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_27; // @[Reg.scala 27:20] - wire _T_10050 = _T_4816 & ic_tag_valid_out_1_27; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10277 = _T_10276 | _T_10050; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10050 = _T_4816 & ic_tag_valid_out_1_27; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10277 = _T_10276 | _T_10050; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_28; // @[Reg.scala 27:20] - wire _T_10052 = _T_4817 & ic_tag_valid_out_1_28; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10278 = _T_10277 | _T_10052; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10052 = _T_4817 & ic_tag_valid_out_1_28; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10278 = _T_10277 | _T_10052; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_29; // @[Reg.scala 27:20] - wire _T_10054 = _T_4818 & ic_tag_valid_out_1_29; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10279 = _T_10278 | _T_10054; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10054 = _T_4818 & ic_tag_valid_out_1_29; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10279 = _T_10278 | _T_10054; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_30; // @[Reg.scala 27:20] - wire _T_10056 = _T_4819 & ic_tag_valid_out_1_30; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10280 = _T_10279 | _T_10056; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10056 = _T_4819 & ic_tag_valid_out_1_30; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10280 = _T_10279 | _T_10056; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_31; // @[Reg.scala 27:20] - wire _T_10058 = _T_4820 & ic_tag_valid_out_1_31; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10281 = _T_10280 | _T_10058; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10058 = _T_4820 & ic_tag_valid_out_1_31; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10281 = _T_10280 | _T_10058; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_32; // @[Reg.scala 27:20] - wire _T_10060 = _T_4821 & ic_tag_valid_out_1_32; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10282 = _T_10281 | _T_10060; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10060 = _T_4821 & ic_tag_valid_out_1_32; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10282 = _T_10281 | _T_10060; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_33; // @[Reg.scala 27:20] - wire _T_10062 = _T_4822 & ic_tag_valid_out_1_33; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10283 = _T_10282 | _T_10062; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10062 = _T_4822 & ic_tag_valid_out_1_33; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10283 = _T_10282 | _T_10062; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_34; // @[Reg.scala 27:20] - wire _T_10064 = _T_4823 & ic_tag_valid_out_1_34; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10284 = _T_10283 | _T_10064; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10064 = _T_4823 & ic_tag_valid_out_1_34; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10284 = _T_10283 | _T_10064; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_35; // @[Reg.scala 27:20] - wire _T_10066 = _T_4824 & ic_tag_valid_out_1_35; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10285 = _T_10284 | _T_10066; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10066 = _T_4824 & ic_tag_valid_out_1_35; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10285 = _T_10284 | _T_10066; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_36; // @[Reg.scala 27:20] - wire _T_10068 = _T_4825 & ic_tag_valid_out_1_36; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10286 = _T_10285 | _T_10068; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10068 = _T_4825 & ic_tag_valid_out_1_36; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10286 = _T_10285 | _T_10068; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_37; // @[Reg.scala 27:20] - wire _T_10070 = _T_4826 & ic_tag_valid_out_1_37; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10287 = _T_10286 | _T_10070; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10070 = _T_4826 & ic_tag_valid_out_1_37; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10287 = _T_10286 | _T_10070; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_38; // @[Reg.scala 27:20] - wire _T_10072 = _T_4827 & ic_tag_valid_out_1_38; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10288 = _T_10287 | _T_10072; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10072 = _T_4827 & ic_tag_valid_out_1_38; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10288 = _T_10287 | _T_10072; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_39; // @[Reg.scala 27:20] - wire _T_10074 = _T_4828 & ic_tag_valid_out_1_39; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10289 = _T_10288 | _T_10074; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10074 = _T_4828 & ic_tag_valid_out_1_39; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10289 = _T_10288 | _T_10074; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_40; // @[Reg.scala 27:20] - wire _T_10076 = _T_4829 & ic_tag_valid_out_1_40; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10290 = _T_10289 | _T_10076; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10076 = _T_4829 & ic_tag_valid_out_1_40; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10290 = _T_10289 | _T_10076; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_41; // @[Reg.scala 27:20] - wire _T_10078 = _T_4830 & ic_tag_valid_out_1_41; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10291 = _T_10290 | _T_10078; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10078 = _T_4830 & ic_tag_valid_out_1_41; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10291 = _T_10290 | _T_10078; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_42; // @[Reg.scala 27:20] - wire _T_10080 = _T_4831 & ic_tag_valid_out_1_42; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10292 = _T_10291 | _T_10080; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10080 = _T_4831 & ic_tag_valid_out_1_42; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10292 = _T_10291 | _T_10080; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_43; // @[Reg.scala 27:20] - wire _T_10082 = _T_4832 & ic_tag_valid_out_1_43; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10293 = _T_10292 | _T_10082; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10082 = _T_4832 & ic_tag_valid_out_1_43; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10293 = _T_10292 | _T_10082; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_44; // @[Reg.scala 27:20] - wire _T_10084 = _T_4833 & ic_tag_valid_out_1_44; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10294 = _T_10293 | _T_10084; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10084 = _T_4833 & ic_tag_valid_out_1_44; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10294 = _T_10293 | _T_10084; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_45; // @[Reg.scala 27:20] - wire _T_10086 = _T_4834 & ic_tag_valid_out_1_45; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10295 = _T_10294 | _T_10086; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10086 = _T_4834 & ic_tag_valid_out_1_45; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10295 = _T_10294 | _T_10086; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_46; // @[Reg.scala 27:20] - wire _T_10088 = _T_4835 & ic_tag_valid_out_1_46; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10296 = _T_10295 | _T_10088; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10088 = _T_4835 & ic_tag_valid_out_1_46; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10296 = _T_10295 | _T_10088; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_47; // @[Reg.scala 27:20] - wire _T_10090 = _T_4836 & ic_tag_valid_out_1_47; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10297 = _T_10296 | _T_10090; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10090 = _T_4836 & ic_tag_valid_out_1_47; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10297 = _T_10296 | _T_10090; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_48; // @[Reg.scala 27:20] - wire _T_10092 = _T_4837 & ic_tag_valid_out_1_48; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10298 = _T_10297 | _T_10092; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10092 = _T_4837 & ic_tag_valid_out_1_48; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10298 = _T_10297 | _T_10092; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_49; // @[Reg.scala 27:20] - wire _T_10094 = _T_4838 & ic_tag_valid_out_1_49; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10299 = _T_10298 | _T_10094; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10094 = _T_4838 & ic_tag_valid_out_1_49; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10299 = _T_10298 | _T_10094; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_50; // @[Reg.scala 27:20] - wire _T_10096 = _T_4839 & ic_tag_valid_out_1_50; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10300 = _T_10299 | _T_10096; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10096 = _T_4839 & ic_tag_valid_out_1_50; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10300 = _T_10299 | _T_10096; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_51; // @[Reg.scala 27:20] - wire _T_10098 = _T_4840 & ic_tag_valid_out_1_51; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10301 = _T_10300 | _T_10098; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10098 = _T_4840 & ic_tag_valid_out_1_51; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10301 = _T_10300 | _T_10098; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_52; // @[Reg.scala 27:20] - wire _T_10100 = _T_4841 & ic_tag_valid_out_1_52; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10302 = _T_10301 | _T_10100; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10100 = _T_4841 & ic_tag_valid_out_1_52; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10302 = _T_10301 | _T_10100; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_53; // @[Reg.scala 27:20] - wire _T_10102 = _T_4842 & ic_tag_valid_out_1_53; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10303 = _T_10302 | _T_10102; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10102 = _T_4842 & ic_tag_valid_out_1_53; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10303 = _T_10302 | _T_10102; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_54; // @[Reg.scala 27:20] - wire _T_10104 = _T_4843 & ic_tag_valid_out_1_54; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10304 = _T_10303 | _T_10104; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10104 = _T_4843 & ic_tag_valid_out_1_54; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10304 = _T_10303 | _T_10104; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_55; // @[Reg.scala 27:20] - wire _T_10106 = _T_4844 & ic_tag_valid_out_1_55; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10305 = _T_10304 | _T_10106; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10106 = _T_4844 & ic_tag_valid_out_1_55; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10305 = _T_10304 | _T_10106; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_56; // @[Reg.scala 27:20] - wire _T_10108 = _T_4845 & ic_tag_valid_out_1_56; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10306 = _T_10305 | _T_10108; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10108 = _T_4845 & ic_tag_valid_out_1_56; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10306 = _T_10305 | _T_10108; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_57; // @[Reg.scala 27:20] - wire _T_10110 = _T_4846 & ic_tag_valid_out_1_57; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10307 = _T_10306 | _T_10110; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10110 = _T_4846 & ic_tag_valid_out_1_57; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10307 = _T_10306 | _T_10110; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_58; // @[Reg.scala 27:20] - wire _T_10112 = _T_4847 & ic_tag_valid_out_1_58; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10308 = _T_10307 | _T_10112; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10112 = _T_4847 & ic_tag_valid_out_1_58; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10308 = _T_10307 | _T_10112; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_59; // @[Reg.scala 27:20] - wire _T_10114 = _T_4848 & ic_tag_valid_out_1_59; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10309 = _T_10308 | _T_10114; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10114 = _T_4848 & ic_tag_valid_out_1_59; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10309 = _T_10308 | _T_10114; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_60; // @[Reg.scala 27:20] - wire _T_10116 = _T_4849 & ic_tag_valid_out_1_60; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10310 = _T_10309 | _T_10116; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10116 = _T_4849 & ic_tag_valid_out_1_60; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10310 = _T_10309 | _T_10116; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_61; // @[Reg.scala 27:20] - wire _T_10118 = _T_4850 & ic_tag_valid_out_1_61; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10311 = _T_10310 | _T_10118; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10118 = _T_4850 & ic_tag_valid_out_1_61; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10311 = _T_10310 | _T_10118; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_62; // @[Reg.scala 27:20] - wire _T_10120 = _T_4851 & ic_tag_valid_out_1_62; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10312 = _T_10311 | _T_10120; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10120 = _T_4851 & ic_tag_valid_out_1_62; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10312 = _T_10311 | _T_10120; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_63; // @[Reg.scala 27:20] - wire _T_10122 = _T_4852 & ic_tag_valid_out_1_63; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10313 = _T_10312 | _T_10122; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10122 = _T_4852 & ic_tag_valid_out_1_63; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10313 = _T_10312 | _T_10122; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_64; // @[Reg.scala 27:20] - wire _T_10124 = _T_4853 & ic_tag_valid_out_1_64; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10314 = _T_10313 | _T_10124; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10124 = _T_4853 & ic_tag_valid_out_1_64; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10314 = _T_10313 | _T_10124; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_65; // @[Reg.scala 27:20] - wire _T_10126 = _T_4854 & ic_tag_valid_out_1_65; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10315 = _T_10314 | _T_10126; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10126 = _T_4854 & ic_tag_valid_out_1_65; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10315 = _T_10314 | _T_10126; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_66; // @[Reg.scala 27:20] - wire _T_10128 = _T_4855 & ic_tag_valid_out_1_66; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10316 = _T_10315 | _T_10128; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10128 = _T_4855 & ic_tag_valid_out_1_66; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10316 = _T_10315 | _T_10128; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_67; // @[Reg.scala 27:20] - wire _T_10130 = _T_4856 & ic_tag_valid_out_1_67; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10317 = _T_10316 | _T_10130; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10130 = _T_4856 & ic_tag_valid_out_1_67; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10317 = _T_10316 | _T_10130; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_68; // @[Reg.scala 27:20] - wire _T_10132 = _T_4857 & ic_tag_valid_out_1_68; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10318 = _T_10317 | _T_10132; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10132 = _T_4857 & ic_tag_valid_out_1_68; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10318 = _T_10317 | _T_10132; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_69; // @[Reg.scala 27:20] - wire _T_10134 = _T_4858 & ic_tag_valid_out_1_69; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10319 = _T_10318 | _T_10134; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10134 = _T_4858 & ic_tag_valid_out_1_69; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10319 = _T_10318 | _T_10134; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_70; // @[Reg.scala 27:20] - wire _T_10136 = _T_4859 & ic_tag_valid_out_1_70; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10320 = _T_10319 | _T_10136; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10136 = _T_4859 & ic_tag_valid_out_1_70; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10320 = _T_10319 | _T_10136; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_71; // @[Reg.scala 27:20] - wire _T_10138 = _T_4860 & ic_tag_valid_out_1_71; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10321 = _T_10320 | _T_10138; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10138 = _T_4860 & ic_tag_valid_out_1_71; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10321 = _T_10320 | _T_10138; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_72; // @[Reg.scala 27:20] - wire _T_10140 = _T_4861 & ic_tag_valid_out_1_72; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10322 = _T_10321 | _T_10140; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10140 = _T_4861 & ic_tag_valid_out_1_72; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10322 = _T_10321 | _T_10140; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_73; // @[Reg.scala 27:20] - wire _T_10142 = _T_4862 & ic_tag_valid_out_1_73; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10323 = _T_10322 | _T_10142; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10142 = _T_4862 & ic_tag_valid_out_1_73; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10323 = _T_10322 | _T_10142; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_74; // @[Reg.scala 27:20] - wire _T_10144 = _T_4863 & ic_tag_valid_out_1_74; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10324 = _T_10323 | _T_10144; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10144 = _T_4863 & ic_tag_valid_out_1_74; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10324 = _T_10323 | _T_10144; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_75; // @[Reg.scala 27:20] - wire _T_10146 = _T_4864 & ic_tag_valid_out_1_75; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10325 = _T_10324 | _T_10146; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10146 = _T_4864 & ic_tag_valid_out_1_75; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10325 = _T_10324 | _T_10146; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_76; // @[Reg.scala 27:20] - wire _T_10148 = _T_4865 & ic_tag_valid_out_1_76; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10326 = _T_10325 | _T_10148; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10148 = _T_4865 & ic_tag_valid_out_1_76; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10326 = _T_10325 | _T_10148; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_77; // @[Reg.scala 27:20] - wire _T_10150 = _T_4866 & ic_tag_valid_out_1_77; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10327 = _T_10326 | _T_10150; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10150 = _T_4866 & ic_tag_valid_out_1_77; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10327 = _T_10326 | _T_10150; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_78; // @[Reg.scala 27:20] - wire _T_10152 = _T_4867 & ic_tag_valid_out_1_78; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10328 = _T_10327 | _T_10152; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10152 = _T_4867 & ic_tag_valid_out_1_78; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10328 = _T_10327 | _T_10152; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_79; // @[Reg.scala 27:20] - wire _T_10154 = _T_4868 & ic_tag_valid_out_1_79; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10329 = _T_10328 | _T_10154; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10154 = _T_4868 & ic_tag_valid_out_1_79; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10329 = _T_10328 | _T_10154; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_80; // @[Reg.scala 27:20] - wire _T_10156 = _T_4869 & ic_tag_valid_out_1_80; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10330 = _T_10329 | _T_10156; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10156 = _T_4869 & ic_tag_valid_out_1_80; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10330 = _T_10329 | _T_10156; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_81; // @[Reg.scala 27:20] - wire _T_10158 = _T_4870 & ic_tag_valid_out_1_81; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10331 = _T_10330 | _T_10158; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10158 = _T_4870 & ic_tag_valid_out_1_81; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10331 = _T_10330 | _T_10158; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_82; // @[Reg.scala 27:20] - wire _T_10160 = _T_4871 & ic_tag_valid_out_1_82; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10332 = _T_10331 | _T_10160; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10160 = _T_4871 & ic_tag_valid_out_1_82; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10332 = _T_10331 | _T_10160; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_83; // @[Reg.scala 27:20] - wire _T_10162 = _T_4872 & ic_tag_valid_out_1_83; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10333 = _T_10332 | _T_10162; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10162 = _T_4872 & ic_tag_valid_out_1_83; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10333 = _T_10332 | _T_10162; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_84; // @[Reg.scala 27:20] - wire _T_10164 = _T_4873 & ic_tag_valid_out_1_84; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10334 = _T_10333 | _T_10164; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10164 = _T_4873 & ic_tag_valid_out_1_84; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10334 = _T_10333 | _T_10164; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_85; // @[Reg.scala 27:20] - wire _T_10166 = _T_4874 & ic_tag_valid_out_1_85; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10335 = _T_10334 | _T_10166; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10166 = _T_4874 & ic_tag_valid_out_1_85; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10335 = _T_10334 | _T_10166; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_86; // @[Reg.scala 27:20] - wire _T_10168 = _T_4875 & ic_tag_valid_out_1_86; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10336 = _T_10335 | _T_10168; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10168 = _T_4875 & ic_tag_valid_out_1_86; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10336 = _T_10335 | _T_10168; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_87; // @[Reg.scala 27:20] - wire _T_10170 = _T_4876 & ic_tag_valid_out_1_87; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10337 = _T_10336 | _T_10170; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10170 = _T_4876 & ic_tag_valid_out_1_87; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10337 = _T_10336 | _T_10170; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_88; // @[Reg.scala 27:20] - wire _T_10172 = _T_4877 & ic_tag_valid_out_1_88; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10338 = _T_10337 | _T_10172; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10172 = _T_4877 & ic_tag_valid_out_1_88; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10338 = _T_10337 | _T_10172; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_89; // @[Reg.scala 27:20] - wire _T_10174 = _T_4878 & ic_tag_valid_out_1_89; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10339 = _T_10338 | _T_10174; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10174 = _T_4878 & ic_tag_valid_out_1_89; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10339 = _T_10338 | _T_10174; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_90; // @[Reg.scala 27:20] - wire _T_10176 = _T_4879 & ic_tag_valid_out_1_90; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10340 = _T_10339 | _T_10176; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10176 = _T_4879 & ic_tag_valid_out_1_90; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10340 = _T_10339 | _T_10176; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_91; // @[Reg.scala 27:20] - wire _T_10178 = _T_4880 & ic_tag_valid_out_1_91; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10341 = _T_10340 | _T_10178; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10178 = _T_4880 & ic_tag_valid_out_1_91; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10341 = _T_10340 | _T_10178; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_92; // @[Reg.scala 27:20] - wire _T_10180 = _T_4881 & ic_tag_valid_out_1_92; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10342 = _T_10341 | _T_10180; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10180 = _T_4881 & ic_tag_valid_out_1_92; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10342 = _T_10341 | _T_10180; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_93; // @[Reg.scala 27:20] - wire _T_10182 = _T_4882 & ic_tag_valid_out_1_93; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10343 = _T_10342 | _T_10182; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10182 = _T_4882 & ic_tag_valid_out_1_93; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10343 = _T_10342 | _T_10182; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_94; // @[Reg.scala 27:20] - wire _T_10184 = _T_4883 & ic_tag_valid_out_1_94; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10344 = _T_10343 | _T_10184; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10184 = _T_4883 & ic_tag_valid_out_1_94; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10344 = _T_10343 | _T_10184; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_95; // @[Reg.scala 27:20] - wire _T_10186 = _T_4884 & ic_tag_valid_out_1_95; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10345 = _T_10344 | _T_10186; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10186 = _T_4884 & ic_tag_valid_out_1_95; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10345 = _T_10344 | _T_10186; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_96; // @[Reg.scala 27:20] - wire _T_10188 = _T_4885 & ic_tag_valid_out_1_96; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10346 = _T_10345 | _T_10188; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10188 = _T_4885 & ic_tag_valid_out_1_96; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10346 = _T_10345 | _T_10188; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_97; // @[Reg.scala 27:20] - wire _T_10190 = _T_4886 & ic_tag_valid_out_1_97; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10347 = _T_10346 | _T_10190; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10190 = _T_4886 & ic_tag_valid_out_1_97; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10347 = _T_10346 | _T_10190; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_98; // @[Reg.scala 27:20] - wire _T_10192 = _T_4887 & ic_tag_valid_out_1_98; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10348 = _T_10347 | _T_10192; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10192 = _T_4887 & ic_tag_valid_out_1_98; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10348 = _T_10347 | _T_10192; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_99; // @[Reg.scala 27:20] - wire _T_10194 = _T_4888 & ic_tag_valid_out_1_99; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10349 = _T_10348 | _T_10194; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10194 = _T_4888 & ic_tag_valid_out_1_99; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10349 = _T_10348 | _T_10194; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_100; // @[Reg.scala 27:20] - wire _T_10196 = _T_4889 & ic_tag_valid_out_1_100; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10350 = _T_10349 | _T_10196; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10196 = _T_4889 & ic_tag_valid_out_1_100; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10350 = _T_10349 | _T_10196; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_101; // @[Reg.scala 27:20] - wire _T_10198 = _T_4890 & ic_tag_valid_out_1_101; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10351 = _T_10350 | _T_10198; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10198 = _T_4890 & ic_tag_valid_out_1_101; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10351 = _T_10350 | _T_10198; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_102; // @[Reg.scala 27:20] - wire _T_10200 = _T_4891 & ic_tag_valid_out_1_102; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10352 = _T_10351 | _T_10200; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10200 = _T_4891 & ic_tag_valid_out_1_102; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10352 = _T_10351 | _T_10200; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_103; // @[Reg.scala 27:20] - wire _T_10202 = _T_4892 & ic_tag_valid_out_1_103; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10353 = _T_10352 | _T_10202; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10202 = _T_4892 & ic_tag_valid_out_1_103; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10353 = _T_10352 | _T_10202; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_104; // @[Reg.scala 27:20] - wire _T_10204 = _T_4893 & ic_tag_valid_out_1_104; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10354 = _T_10353 | _T_10204; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10204 = _T_4893 & ic_tag_valid_out_1_104; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10354 = _T_10353 | _T_10204; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_105; // @[Reg.scala 27:20] - wire _T_10206 = _T_4894 & ic_tag_valid_out_1_105; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10355 = _T_10354 | _T_10206; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10206 = _T_4894 & ic_tag_valid_out_1_105; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10355 = _T_10354 | _T_10206; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_106; // @[Reg.scala 27:20] - wire _T_10208 = _T_4895 & ic_tag_valid_out_1_106; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10356 = _T_10355 | _T_10208; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10208 = _T_4895 & ic_tag_valid_out_1_106; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10356 = _T_10355 | _T_10208; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_107; // @[Reg.scala 27:20] - wire _T_10210 = _T_4896 & ic_tag_valid_out_1_107; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10357 = _T_10356 | _T_10210; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10210 = _T_4896 & ic_tag_valid_out_1_107; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10357 = _T_10356 | _T_10210; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_108; // @[Reg.scala 27:20] - wire _T_10212 = _T_4897 & ic_tag_valid_out_1_108; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10358 = _T_10357 | _T_10212; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10212 = _T_4897 & ic_tag_valid_out_1_108; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10358 = _T_10357 | _T_10212; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_109; // @[Reg.scala 27:20] - wire _T_10214 = _T_4898 & ic_tag_valid_out_1_109; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10359 = _T_10358 | _T_10214; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10214 = _T_4898 & ic_tag_valid_out_1_109; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10359 = _T_10358 | _T_10214; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_110; // @[Reg.scala 27:20] - wire _T_10216 = _T_4899 & ic_tag_valid_out_1_110; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10360 = _T_10359 | _T_10216; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10216 = _T_4899 & ic_tag_valid_out_1_110; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10360 = _T_10359 | _T_10216; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_111; // @[Reg.scala 27:20] - wire _T_10218 = _T_4900 & ic_tag_valid_out_1_111; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10361 = _T_10360 | _T_10218; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10218 = _T_4900 & ic_tag_valid_out_1_111; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10361 = _T_10360 | _T_10218; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_112; // @[Reg.scala 27:20] - wire _T_10220 = _T_4901 & ic_tag_valid_out_1_112; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10362 = _T_10361 | _T_10220; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10220 = _T_4901 & ic_tag_valid_out_1_112; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10362 = _T_10361 | _T_10220; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_113; // @[Reg.scala 27:20] - wire _T_10222 = _T_4902 & ic_tag_valid_out_1_113; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10363 = _T_10362 | _T_10222; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10222 = _T_4902 & ic_tag_valid_out_1_113; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10363 = _T_10362 | _T_10222; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_114; // @[Reg.scala 27:20] - wire _T_10224 = _T_4903 & ic_tag_valid_out_1_114; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10364 = _T_10363 | _T_10224; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10224 = _T_4903 & ic_tag_valid_out_1_114; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10364 = _T_10363 | _T_10224; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_115; // @[Reg.scala 27:20] - wire _T_10226 = _T_4904 & ic_tag_valid_out_1_115; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10365 = _T_10364 | _T_10226; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10226 = _T_4904 & ic_tag_valid_out_1_115; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10365 = _T_10364 | _T_10226; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_116; // @[Reg.scala 27:20] - wire _T_10228 = _T_4905 & ic_tag_valid_out_1_116; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10366 = _T_10365 | _T_10228; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10228 = _T_4905 & ic_tag_valid_out_1_116; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10366 = _T_10365 | _T_10228; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_117; // @[Reg.scala 27:20] - wire _T_10230 = _T_4906 & ic_tag_valid_out_1_117; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10367 = _T_10366 | _T_10230; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10230 = _T_4906 & ic_tag_valid_out_1_117; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10367 = _T_10366 | _T_10230; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_118; // @[Reg.scala 27:20] - wire _T_10232 = _T_4907 & ic_tag_valid_out_1_118; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10368 = _T_10367 | _T_10232; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10232 = _T_4907 & ic_tag_valid_out_1_118; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10368 = _T_10367 | _T_10232; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_119; // @[Reg.scala 27:20] - wire _T_10234 = _T_4908 & ic_tag_valid_out_1_119; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10369 = _T_10368 | _T_10234; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10234 = _T_4908 & ic_tag_valid_out_1_119; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10369 = _T_10368 | _T_10234; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_120; // @[Reg.scala 27:20] - wire _T_10236 = _T_4909 & ic_tag_valid_out_1_120; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10370 = _T_10369 | _T_10236; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10236 = _T_4909 & ic_tag_valid_out_1_120; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10370 = _T_10369 | _T_10236; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_121; // @[Reg.scala 27:20] - wire _T_10238 = _T_4910 & ic_tag_valid_out_1_121; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10371 = _T_10370 | _T_10238; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10238 = _T_4910 & ic_tag_valid_out_1_121; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10371 = _T_10370 | _T_10238; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_122; // @[Reg.scala 27:20] - wire _T_10240 = _T_4911 & ic_tag_valid_out_1_122; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10372 = _T_10371 | _T_10240; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10240 = _T_4911 & ic_tag_valid_out_1_122; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10372 = _T_10371 | _T_10240; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_123; // @[Reg.scala 27:20] - wire _T_10242 = _T_4912 & ic_tag_valid_out_1_123; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10373 = _T_10372 | _T_10242; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10242 = _T_4912 & ic_tag_valid_out_1_123; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10373 = _T_10372 | _T_10242; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_124; // @[Reg.scala 27:20] - wire _T_10244 = _T_4913 & ic_tag_valid_out_1_124; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10374 = _T_10373 | _T_10244; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10244 = _T_4913 & ic_tag_valid_out_1_124; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10374 = _T_10373 | _T_10244; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_125; // @[Reg.scala 27:20] - wire _T_10246 = _T_4914 & ic_tag_valid_out_1_125; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10375 = _T_10374 | _T_10246; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10246 = _T_4914 & ic_tag_valid_out_1_125; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10375 = _T_10374 | _T_10246; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_126; // @[Reg.scala 27:20] - wire _T_10248 = _T_4915 & ic_tag_valid_out_1_126; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10376 = _T_10375 | _T_10248; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10248 = _T_4915 & ic_tag_valid_out_1_126; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10376 = _T_10375 | _T_10248; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_1_127; // @[Reg.scala 27:20] - wire _T_10250 = _T_4916 & ic_tag_valid_out_1_127; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_10377 = _T_10376 | _T_10250; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_10250 = _T_4916 & ic_tag_valid_out_1_127; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_10377 = _T_10376 | _T_10250; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_0; // @[Reg.scala 27:20] - wire _T_9613 = _T_4789 & ic_tag_valid_out_0_0; // @[el2_ifu_mem_ctl.scala 764:10] + wire _T_9613 = _T_4789 & ic_tag_valid_out_0_0; // @[el2_ifu_mem_ctl.scala 759:10] reg ic_tag_valid_out_0_1; // @[Reg.scala 27:20] - wire _T_9615 = _T_4790 & ic_tag_valid_out_0_1; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9868 = _T_9613 | _T_9615; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9615 = _T_4790 & ic_tag_valid_out_0_1; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9868 = _T_9613 | _T_9615; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_2; // @[Reg.scala 27:20] - wire _T_9617 = _T_4791 & ic_tag_valid_out_0_2; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9869 = _T_9868 | _T_9617; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9617 = _T_4791 & ic_tag_valid_out_0_2; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9869 = _T_9868 | _T_9617; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_3; // @[Reg.scala 27:20] - wire _T_9619 = _T_4792 & ic_tag_valid_out_0_3; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9870 = _T_9869 | _T_9619; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9619 = _T_4792 & ic_tag_valid_out_0_3; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9870 = _T_9869 | _T_9619; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_4; // @[Reg.scala 27:20] - wire _T_9621 = _T_4793 & ic_tag_valid_out_0_4; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9871 = _T_9870 | _T_9621; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9621 = _T_4793 & ic_tag_valid_out_0_4; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9871 = _T_9870 | _T_9621; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_5; // @[Reg.scala 27:20] - wire _T_9623 = _T_4794 & ic_tag_valid_out_0_5; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9872 = _T_9871 | _T_9623; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9623 = _T_4794 & ic_tag_valid_out_0_5; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9872 = _T_9871 | _T_9623; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_6; // @[Reg.scala 27:20] - wire _T_9625 = _T_4795 & ic_tag_valid_out_0_6; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9873 = _T_9872 | _T_9625; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9625 = _T_4795 & ic_tag_valid_out_0_6; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9873 = _T_9872 | _T_9625; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_7; // @[Reg.scala 27:20] - wire _T_9627 = _T_4796 & ic_tag_valid_out_0_7; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9874 = _T_9873 | _T_9627; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9627 = _T_4796 & ic_tag_valid_out_0_7; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9874 = _T_9873 | _T_9627; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_8; // @[Reg.scala 27:20] - wire _T_9629 = _T_4797 & ic_tag_valid_out_0_8; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9875 = _T_9874 | _T_9629; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9629 = _T_4797 & ic_tag_valid_out_0_8; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9875 = _T_9874 | _T_9629; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_9; // @[Reg.scala 27:20] - wire _T_9631 = _T_4798 & ic_tag_valid_out_0_9; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9876 = _T_9875 | _T_9631; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9631 = _T_4798 & ic_tag_valid_out_0_9; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9876 = _T_9875 | _T_9631; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_10; // @[Reg.scala 27:20] - wire _T_9633 = _T_4799 & ic_tag_valid_out_0_10; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9877 = _T_9876 | _T_9633; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9633 = _T_4799 & ic_tag_valid_out_0_10; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9877 = _T_9876 | _T_9633; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_11; // @[Reg.scala 27:20] - wire _T_9635 = _T_4800 & ic_tag_valid_out_0_11; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9878 = _T_9877 | _T_9635; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9635 = _T_4800 & ic_tag_valid_out_0_11; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9878 = _T_9877 | _T_9635; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_12; // @[Reg.scala 27:20] - wire _T_9637 = _T_4801 & ic_tag_valid_out_0_12; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9879 = _T_9878 | _T_9637; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9637 = _T_4801 & ic_tag_valid_out_0_12; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9879 = _T_9878 | _T_9637; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_13; // @[Reg.scala 27:20] - wire _T_9639 = _T_4802 & ic_tag_valid_out_0_13; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9880 = _T_9879 | _T_9639; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9639 = _T_4802 & ic_tag_valid_out_0_13; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9880 = _T_9879 | _T_9639; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_14; // @[Reg.scala 27:20] - wire _T_9641 = _T_4803 & ic_tag_valid_out_0_14; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9881 = _T_9880 | _T_9641; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9641 = _T_4803 & ic_tag_valid_out_0_14; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9881 = _T_9880 | _T_9641; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_15; // @[Reg.scala 27:20] - wire _T_9643 = _T_4804 & ic_tag_valid_out_0_15; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9882 = _T_9881 | _T_9643; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9643 = _T_4804 & ic_tag_valid_out_0_15; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9882 = _T_9881 | _T_9643; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_16; // @[Reg.scala 27:20] - wire _T_9645 = _T_4805 & ic_tag_valid_out_0_16; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9883 = _T_9882 | _T_9645; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9645 = _T_4805 & ic_tag_valid_out_0_16; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9883 = _T_9882 | _T_9645; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_17; // @[Reg.scala 27:20] - wire _T_9647 = _T_4806 & ic_tag_valid_out_0_17; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9884 = _T_9883 | _T_9647; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9647 = _T_4806 & ic_tag_valid_out_0_17; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9884 = _T_9883 | _T_9647; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_18; // @[Reg.scala 27:20] - wire _T_9649 = _T_4807 & ic_tag_valid_out_0_18; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9885 = _T_9884 | _T_9649; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9649 = _T_4807 & ic_tag_valid_out_0_18; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9885 = _T_9884 | _T_9649; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_19; // @[Reg.scala 27:20] - wire _T_9651 = _T_4808 & ic_tag_valid_out_0_19; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9886 = _T_9885 | _T_9651; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9651 = _T_4808 & ic_tag_valid_out_0_19; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9886 = _T_9885 | _T_9651; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_20; // @[Reg.scala 27:20] - wire _T_9653 = _T_4809 & ic_tag_valid_out_0_20; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9887 = _T_9886 | _T_9653; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9653 = _T_4809 & ic_tag_valid_out_0_20; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9887 = _T_9886 | _T_9653; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_21; // @[Reg.scala 27:20] - wire _T_9655 = _T_4810 & ic_tag_valid_out_0_21; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9888 = _T_9887 | _T_9655; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9655 = _T_4810 & ic_tag_valid_out_0_21; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9888 = _T_9887 | _T_9655; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_22; // @[Reg.scala 27:20] - wire _T_9657 = _T_4811 & ic_tag_valid_out_0_22; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9889 = _T_9888 | _T_9657; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9657 = _T_4811 & ic_tag_valid_out_0_22; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9889 = _T_9888 | _T_9657; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_23; // @[Reg.scala 27:20] - wire _T_9659 = _T_4812 & ic_tag_valid_out_0_23; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9890 = _T_9889 | _T_9659; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9659 = _T_4812 & ic_tag_valid_out_0_23; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9890 = _T_9889 | _T_9659; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_24; // @[Reg.scala 27:20] - wire _T_9661 = _T_4813 & ic_tag_valid_out_0_24; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9891 = _T_9890 | _T_9661; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9661 = _T_4813 & ic_tag_valid_out_0_24; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9891 = _T_9890 | _T_9661; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_25; // @[Reg.scala 27:20] - wire _T_9663 = _T_4814 & ic_tag_valid_out_0_25; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9892 = _T_9891 | _T_9663; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9663 = _T_4814 & ic_tag_valid_out_0_25; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9892 = _T_9891 | _T_9663; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_26; // @[Reg.scala 27:20] - wire _T_9665 = _T_4815 & ic_tag_valid_out_0_26; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9893 = _T_9892 | _T_9665; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9665 = _T_4815 & ic_tag_valid_out_0_26; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9893 = _T_9892 | _T_9665; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_27; // @[Reg.scala 27:20] - wire _T_9667 = _T_4816 & ic_tag_valid_out_0_27; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9894 = _T_9893 | _T_9667; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9667 = _T_4816 & ic_tag_valid_out_0_27; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9894 = _T_9893 | _T_9667; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_28; // @[Reg.scala 27:20] - wire _T_9669 = _T_4817 & ic_tag_valid_out_0_28; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9895 = _T_9894 | _T_9669; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9669 = _T_4817 & ic_tag_valid_out_0_28; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9895 = _T_9894 | _T_9669; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_29; // @[Reg.scala 27:20] - wire _T_9671 = _T_4818 & ic_tag_valid_out_0_29; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9896 = _T_9895 | _T_9671; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9671 = _T_4818 & ic_tag_valid_out_0_29; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9896 = _T_9895 | _T_9671; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_30; // @[Reg.scala 27:20] - wire _T_9673 = _T_4819 & ic_tag_valid_out_0_30; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9897 = _T_9896 | _T_9673; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9673 = _T_4819 & ic_tag_valid_out_0_30; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9897 = _T_9896 | _T_9673; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_31; // @[Reg.scala 27:20] - wire _T_9675 = _T_4820 & ic_tag_valid_out_0_31; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9898 = _T_9897 | _T_9675; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9675 = _T_4820 & ic_tag_valid_out_0_31; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9898 = _T_9897 | _T_9675; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_32; // @[Reg.scala 27:20] - wire _T_9677 = _T_4821 & ic_tag_valid_out_0_32; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9899 = _T_9898 | _T_9677; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9677 = _T_4821 & ic_tag_valid_out_0_32; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9899 = _T_9898 | _T_9677; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_33; // @[Reg.scala 27:20] - wire _T_9679 = _T_4822 & ic_tag_valid_out_0_33; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9900 = _T_9899 | _T_9679; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9679 = _T_4822 & ic_tag_valid_out_0_33; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9900 = _T_9899 | _T_9679; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_34; // @[Reg.scala 27:20] - wire _T_9681 = _T_4823 & ic_tag_valid_out_0_34; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9901 = _T_9900 | _T_9681; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9681 = _T_4823 & ic_tag_valid_out_0_34; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9901 = _T_9900 | _T_9681; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_35; // @[Reg.scala 27:20] - wire _T_9683 = _T_4824 & ic_tag_valid_out_0_35; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9902 = _T_9901 | _T_9683; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9683 = _T_4824 & ic_tag_valid_out_0_35; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9902 = _T_9901 | _T_9683; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_36; // @[Reg.scala 27:20] - wire _T_9685 = _T_4825 & ic_tag_valid_out_0_36; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9903 = _T_9902 | _T_9685; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9685 = _T_4825 & ic_tag_valid_out_0_36; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9903 = _T_9902 | _T_9685; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_37; // @[Reg.scala 27:20] - wire _T_9687 = _T_4826 & ic_tag_valid_out_0_37; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9904 = _T_9903 | _T_9687; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9687 = _T_4826 & ic_tag_valid_out_0_37; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9904 = _T_9903 | _T_9687; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_38; // @[Reg.scala 27:20] - wire _T_9689 = _T_4827 & ic_tag_valid_out_0_38; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9905 = _T_9904 | _T_9689; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9689 = _T_4827 & ic_tag_valid_out_0_38; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9905 = _T_9904 | _T_9689; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_39; // @[Reg.scala 27:20] - wire _T_9691 = _T_4828 & ic_tag_valid_out_0_39; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9906 = _T_9905 | _T_9691; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9691 = _T_4828 & ic_tag_valid_out_0_39; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9906 = _T_9905 | _T_9691; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_40; // @[Reg.scala 27:20] - wire _T_9693 = _T_4829 & ic_tag_valid_out_0_40; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9907 = _T_9906 | _T_9693; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9693 = _T_4829 & ic_tag_valid_out_0_40; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9907 = _T_9906 | _T_9693; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_41; // @[Reg.scala 27:20] - wire _T_9695 = _T_4830 & ic_tag_valid_out_0_41; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9908 = _T_9907 | _T_9695; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9695 = _T_4830 & ic_tag_valid_out_0_41; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9908 = _T_9907 | _T_9695; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_42; // @[Reg.scala 27:20] - wire _T_9697 = _T_4831 & ic_tag_valid_out_0_42; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9909 = _T_9908 | _T_9697; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9697 = _T_4831 & ic_tag_valid_out_0_42; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9909 = _T_9908 | _T_9697; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_43; // @[Reg.scala 27:20] - wire _T_9699 = _T_4832 & ic_tag_valid_out_0_43; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9910 = _T_9909 | _T_9699; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9699 = _T_4832 & ic_tag_valid_out_0_43; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9910 = _T_9909 | _T_9699; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_44; // @[Reg.scala 27:20] - wire _T_9701 = _T_4833 & ic_tag_valid_out_0_44; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9911 = _T_9910 | _T_9701; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9701 = _T_4833 & ic_tag_valid_out_0_44; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9911 = _T_9910 | _T_9701; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_45; // @[Reg.scala 27:20] - wire _T_9703 = _T_4834 & ic_tag_valid_out_0_45; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9912 = _T_9911 | _T_9703; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9703 = _T_4834 & ic_tag_valid_out_0_45; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9912 = _T_9911 | _T_9703; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_46; // @[Reg.scala 27:20] - wire _T_9705 = _T_4835 & ic_tag_valid_out_0_46; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9913 = _T_9912 | _T_9705; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9705 = _T_4835 & ic_tag_valid_out_0_46; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9913 = _T_9912 | _T_9705; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_47; // @[Reg.scala 27:20] - wire _T_9707 = _T_4836 & ic_tag_valid_out_0_47; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9914 = _T_9913 | _T_9707; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9707 = _T_4836 & ic_tag_valid_out_0_47; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9914 = _T_9913 | _T_9707; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_48; // @[Reg.scala 27:20] - wire _T_9709 = _T_4837 & ic_tag_valid_out_0_48; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9915 = _T_9914 | _T_9709; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9709 = _T_4837 & ic_tag_valid_out_0_48; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9915 = _T_9914 | _T_9709; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_49; // @[Reg.scala 27:20] - wire _T_9711 = _T_4838 & ic_tag_valid_out_0_49; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9916 = _T_9915 | _T_9711; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9711 = _T_4838 & ic_tag_valid_out_0_49; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9916 = _T_9915 | _T_9711; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_50; // @[Reg.scala 27:20] - wire _T_9713 = _T_4839 & ic_tag_valid_out_0_50; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9917 = _T_9916 | _T_9713; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9713 = _T_4839 & ic_tag_valid_out_0_50; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9917 = _T_9916 | _T_9713; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_51; // @[Reg.scala 27:20] - wire _T_9715 = _T_4840 & ic_tag_valid_out_0_51; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9918 = _T_9917 | _T_9715; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9715 = _T_4840 & ic_tag_valid_out_0_51; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9918 = _T_9917 | _T_9715; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_52; // @[Reg.scala 27:20] - wire _T_9717 = _T_4841 & ic_tag_valid_out_0_52; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9919 = _T_9918 | _T_9717; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9717 = _T_4841 & ic_tag_valid_out_0_52; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9919 = _T_9918 | _T_9717; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_53; // @[Reg.scala 27:20] - wire _T_9719 = _T_4842 & ic_tag_valid_out_0_53; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9920 = _T_9919 | _T_9719; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9719 = _T_4842 & ic_tag_valid_out_0_53; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9920 = _T_9919 | _T_9719; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_54; // @[Reg.scala 27:20] - wire _T_9721 = _T_4843 & ic_tag_valid_out_0_54; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9921 = _T_9920 | _T_9721; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9721 = _T_4843 & ic_tag_valid_out_0_54; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9921 = _T_9920 | _T_9721; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_55; // @[Reg.scala 27:20] - wire _T_9723 = _T_4844 & ic_tag_valid_out_0_55; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9922 = _T_9921 | _T_9723; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9723 = _T_4844 & ic_tag_valid_out_0_55; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9922 = _T_9921 | _T_9723; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_56; // @[Reg.scala 27:20] - wire _T_9725 = _T_4845 & ic_tag_valid_out_0_56; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9923 = _T_9922 | _T_9725; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9725 = _T_4845 & ic_tag_valid_out_0_56; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9923 = _T_9922 | _T_9725; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_57; // @[Reg.scala 27:20] - wire _T_9727 = _T_4846 & ic_tag_valid_out_0_57; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9924 = _T_9923 | _T_9727; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9727 = _T_4846 & ic_tag_valid_out_0_57; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9924 = _T_9923 | _T_9727; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_58; // @[Reg.scala 27:20] - wire _T_9729 = _T_4847 & ic_tag_valid_out_0_58; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9925 = _T_9924 | _T_9729; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9729 = _T_4847 & ic_tag_valid_out_0_58; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9925 = _T_9924 | _T_9729; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_59; // @[Reg.scala 27:20] - wire _T_9731 = _T_4848 & ic_tag_valid_out_0_59; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9926 = _T_9925 | _T_9731; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9731 = _T_4848 & ic_tag_valid_out_0_59; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9926 = _T_9925 | _T_9731; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_60; // @[Reg.scala 27:20] - wire _T_9733 = _T_4849 & ic_tag_valid_out_0_60; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9927 = _T_9926 | _T_9733; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9733 = _T_4849 & ic_tag_valid_out_0_60; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9927 = _T_9926 | _T_9733; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_61; // @[Reg.scala 27:20] - wire _T_9735 = _T_4850 & ic_tag_valid_out_0_61; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9928 = _T_9927 | _T_9735; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9735 = _T_4850 & ic_tag_valid_out_0_61; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9928 = _T_9927 | _T_9735; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_62; // @[Reg.scala 27:20] - wire _T_9737 = _T_4851 & ic_tag_valid_out_0_62; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9929 = _T_9928 | _T_9737; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9737 = _T_4851 & ic_tag_valid_out_0_62; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9929 = _T_9928 | _T_9737; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_63; // @[Reg.scala 27:20] - wire _T_9739 = _T_4852 & ic_tag_valid_out_0_63; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9930 = _T_9929 | _T_9739; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9739 = _T_4852 & ic_tag_valid_out_0_63; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9930 = _T_9929 | _T_9739; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_64; // @[Reg.scala 27:20] - wire _T_9741 = _T_4853 & ic_tag_valid_out_0_64; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9931 = _T_9930 | _T_9741; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9741 = _T_4853 & ic_tag_valid_out_0_64; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9931 = _T_9930 | _T_9741; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_65; // @[Reg.scala 27:20] - wire _T_9743 = _T_4854 & ic_tag_valid_out_0_65; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9932 = _T_9931 | _T_9743; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9743 = _T_4854 & ic_tag_valid_out_0_65; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9932 = _T_9931 | _T_9743; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_66; // @[Reg.scala 27:20] - wire _T_9745 = _T_4855 & ic_tag_valid_out_0_66; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9933 = _T_9932 | _T_9745; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9745 = _T_4855 & ic_tag_valid_out_0_66; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9933 = _T_9932 | _T_9745; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_67; // @[Reg.scala 27:20] - wire _T_9747 = _T_4856 & ic_tag_valid_out_0_67; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9934 = _T_9933 | _T_9747; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9747 = _T_4856 & ic_tag_valid_out_0_67; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9934 = _T_9933 | _T_9747; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_68; // @[Reg.scala 27:20] - wire _T_9749 = _T_4857 & ic_tag_valid_out_0_68; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9935 = _T_9934 | _T_9749; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9749 = _T_4857 & ic_tag_valid_out_0_68; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9935 = _T_9934 | _T_9749; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_69; // @[Reg.scala 27:20] - wire _T_9751 = _T_4858 & ic_tag_valid_out_0_69; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9936 = _T_9935 | _T_9751; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9751 = _T_4858 & ic_tag_valid_out_0_69; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9936 = _T_9935 | _T_9751; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_70; // @[Reg.scala 27:20] - wire _T_9753 = _T_4859 & ic_tag_valid_out_0_70; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9937 = _T_9936 | _T_9753; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9753 = _T_4859 & ic_tag_valid_out_0_70; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9937 = _T_9936 | _T_9753; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_71; // @[Reg.scala 27:20] - wire _T_9755 = _T_4860 & ic_tag_valid_out_0_71; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9938 = _T_9937 | _T_9755; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9755 = _T_4860 & ic_tag_valid_out_0_71; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9938 = _T_9937 | _T_9755; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_72; // @[Reg.scala 27:20] - wire _T_9757 = _T_4861 & ic_tag_valid_out_0_72; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9939 = _T_9938 | _T_9757; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9757 = _T_4861 & ic_tag_valid_out_0_72; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9939 = _T_9938 | _T_9757; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_73; // @[Reg.scala 27:20] - wire _T_9759 = _T_4862 & ic_tag_valid_out_0_73; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9940 = _T_9939 | _T_9759; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9759 = _T_4862 & ic_tag_valid_out_0_73; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9940 = _T_9939 | _T_9759; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_74; // @[Reg.scala 27:20] - wire _T_9761 = _T_4863 & ic_tag_valid_out_0_74; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9941 = _T_9940 | _T_9761; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9761 = _T_4863 & ic_tag_valid_out_0_74; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9941 = _T_9940 | _T_9761; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_75; // @[Reg.scala 27:20] - wire _T_9763 = _T_4864 & ic_tag_valid_out_0_75; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9942 = _T_9941 | _T_9763; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9763 = _T_4864 & ic_tag_valid_out_0_75; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9942 = _T_9941 | _T_9763; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_76; // @[Reg.scala 27:20] - wire _T_9765 = _T_4865 & ic_tag_valid_out_0_76; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9943 = _T_9942 | _T_9765; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9765 = _T_4865 & ic_tag_valid_out_0_76; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9943 = _T_9942 | _T_9765; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_77; // @[Reg.scala 27:20] - wire _T_9767 = _T_4866 & ic_tag_valid_out_0_77; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9944 = _T_9943 | _T_9767; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9767 = _T_4866 & ic_tag_valid_out_0_77; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9944 = _T_9943 | _T_9767; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_78; // @[Reg.scala 27:20] - wire _T_9769 = _T_4867 & ic_tag_valid_out_0_78; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9945 = _T_9944 | _T_9769; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9769 = _T_4867 & ic_tag_valid_out_0_78; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9945 = _T_9944 | _T_9769; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_79; // @[Reg.scala 27:20] - wire _T_9771 = _T_4868 & ic_tag_valid_out_0_79; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9946 = _T_9945 | _T_9771; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9771 = _T_4868 & ic_tag_valid_out_0_79; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9946 = _T_9945 | _T_9771; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_80; // @[Reg.scala 27:20] - wire _T_9773 = _T_4869 & ic_tag_valid_out_0_80; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9947 = _T_9946 | _T_9773; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9773 = _T_4869 & ic_tag_valid_out_0_80; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9947 = _T_9946 | _T_9773; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_81; // @[Reg.scala 27:20] - wire _T_9775 = _T_4870 & ic_tag_valid_out_0_81; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9948 = _T_9947 | _T_9775; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9775 = _T_4870 & ic_tag_valid_out_0_81; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9948 = _T_9947 | _T_9775; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_82; // @[Reg.scala 27:20] - wire _T_9777 = _T_4871 & ic_tag_valid_out_0_82; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9949 = _T_9948 | _T_9777; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9777 = _T_4871 & ic_tag_valid_out_0_82; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9949 = _T_9948 | _T_9777; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_83; // @[Reg.scala 27:20] - wire _T_9779 = _T_4872 & ic_tag_valid_out_0_83; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9950 = _T_9949 | _T_9779; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9779 = _T_4872 & ic_tag_valid_out_0_83; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9950 = _T_9949 | _T_9779; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_84; // @[Reg.scala 27:20] - wire _T_9781 = _T_4873 & ic_tag_valid_out_0_84; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9951 = _T_9950 | _T_9781; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9781 = _T_4873 & ic_tag_valid_out_0_84; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9951 = _T_9950 | _T_9781; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_85; // @[Reg.scala 27:20] - wire _T_9783 = _T_4874 & ic_tag_valid_out_0_85; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9952 = _T_9951 | _T_9783; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9783 = _T_4874 & ic_tag_valid_out_0_85; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9952 = _T_9951 | _T_9783; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_86; // @[Reg.scala 27:20] - wire _T_9785 = _T_4875 & ic_tag_valid_out_0_86; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9953 = _T_9952 | _T_9785; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9785 = _T_4875 & ic_tag_valid_out_0_86; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9953 = _T_9952 | _T_9785; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_87; // @[Reg.scala 27:20] - wire _T_9787 = _T_4876 & ic_tag_valid_out_0_87; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9954 = _T_9953 | _T_9787; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9787 = _T_4876 & ic_tag_valid_out_0_87; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9954 = _T_9953 | _T_9787; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_88; // @[Reg.scala 27:20] - wire _T_9789 = _T_4877 & ic_tag_valid_out_0_88; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9955 = _T_9954 | _T_9789; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9789 = _T_4877 & ic_tag_valid_out_0_88; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9955 = _T_9954 | _T_9789; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_89; // @[Reg.scala 27:20] - wire _T_9791 = _T_4878 & ic_tag_valid_out_0_89; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9956 = _T_9955 | _T_9791; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9791 = _T_4878 & ic_tag_valid_out_0_89; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9956 = _T_9955 | _T_9791; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_90; // @[Reg.scala 27:20] - wire _T_9793 = _T_4879 & ic_tag_valid_out_0_90; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9957 = _T_9956 | _T_9793; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9793 = _T_4879 & ic_tag_valid_out_0_90; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9957 = _T_9956 | _T_9793; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_91; // @[Reg.scala 27:20] - wire _T_9795 = _T_4880 & ic_tag_valid_out_0_91; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9958 = _T_9957 | _T_9795; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9795 = _T_4880 & ic_tag_valid_out_0_91; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9958 = _T_9957 | _T_9795; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_92; // @[Reg.scala 27:20] - wire _T_9797 = _T_4881 & ic_tag_valid_out_0_92; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9959 = _T_9958 | _T_9797; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9797 = _T_4881 & ic_tag_valid_out_0_92; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9959 = _T_9958 | _T_9797; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_93; // @[Reg.scala 27:20] - wire _T_9799 = _T_4882 & ic_tag_valid_out_0_93; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9960 = _T_9959 | _T_9799; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9799 = _T_4882 & ic_tag_valid_out_0_93; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9960 = _T_9959 | _T_9799; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_94; // @[Reg.scala 27:20] - wire _T_9801 = _T_4883 & ic_tag_valid_out_0_94; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9961 = _T_9960 | _T_9801; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9801 = _T_4883 & ic_tag_valid_out_0_94; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9961 = _T_9960 | _T_9801; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_95; // @[Reg.scala 27:20] - wire _T_9803 = _T_4884 & ic_tag_valid_out_0_95; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9962 = _T_9961 | _T_9803; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9803 = _T_4884 & ic_tag_valid_out_0_95; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9962 = _T_9961 | _T_9803; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_96; // @[Reg.scala 27:20] - wire _T_9805 = _T_4885 & ic_tag_valid_out_0_96; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9963 = _T_9962 | _T_9805; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9805 = _T_4885 & ic_tag_valid_out_0_96; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9963 = _T_9962 | _T_9805; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_97; // @[Reg.scala 27:20] - wire _T_9807 = _T_4886 & ic_tag_valid_out_0_97; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9964 = _T_9963 | _T_9807; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9807 = _T_4886 & ic_tag_valid_out_0_97; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9964 = _T_9963 | _T_9807; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_98; // @[Reg.scala 27:20] - wire _T_9809 = _T_4887 & ic_tag_valid_out_0_98; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9965 = _T_9964 | _T_9809; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9809 = _T_4887 & ic_tag_valid_out_0_98; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9965 = _T_9964 | _T_9809; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_99; // @[Reg.scala 27:20] - wire _T_9811 = _T_4888 & ic_tag_valid_out_0_99; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9966 = _T_9965 | _T_9811; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9811 = _T_4888 & ic_tag_valid_out_0_99; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9966 = _T_9965 | _T_9811; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_100; // @[Reg.scala 27:20] - wire _T_9813 = _T_4889 & ic_tag_valid_out_0_100; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9967 = _T_9966 | _T_9813; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9813 = _T_4889 & ic_tag_valid_out_0_100; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9967 = _T_9966 | _T_9813; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_101; // @[Reg.scala 27:20] - wire _T_9815 = _T_4890 & ic_tag_valid_out_0_101; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9968 = _T_9967 | _T_9815; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9815 = _T_4890 & ic_tag_valid_out_0_101; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9968 = _T_9967 | _T_9815; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_102; // @[Reg.scala 27:20] - wire _T_9817 = _T_4891 & ic_tag_valid_out_0_102; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9969 = _T_9968 | _T_9817; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9817 = _T_4891 & ic_tag_valid_out_0_102; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9969 = _T_9968 | _T_9817; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_103; // @[Reg.scala 27:20] - wire _T_9819 = _T_4892 & ic_tag_valid_out_0_103; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9970 = _T_9969 | _T_9819; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9819 = _T_4892 & ic_tag_valid_out_0_103; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9970 = _T_9969 | _T_9819; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_104; // @[Reg.scala 27:20] - wire _T_9821 = _T_4893 & ic_tag_valid_out_0_104; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9971 = _T_9970 | _T_9821; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9821 = _T_4893 & ic_tag_valid_out_0_104; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9971 = _T_9970 | _T_9821; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_105; // @[Reg.scala 27:20] - wire _T_9823 = _T_4894 & ic_tag_valid_out_0_105; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9972 = _T_9971 | _T_9823; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9823 = _T_4894 & ic_tag_valid_out_0_105; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9972 = _T_9971 | _T_9823; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_106; // @[Reg.scala 27:20] - wire _T_9825 = _T_4895 & ic_tag_valid_out_0_106; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9973 = _T_9972 | _T_9825; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9825 = _T_4895 & ic_tag_valid_out_0_106; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9973 = _T_9972 | _T_9825; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_107; // @[Reg.scala 27:20] - wire _T_9827 = _T_4896 & ic_tag_valid_out_0_107; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9974 = _T_9973 | _T_9827; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9827 = _T_4896 & ic_tag_valid_out_0_107; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9974 = _T_9973 | _T_9827; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_108; // @[Reg.scala 27:20] - wire _T_9829 = _T_4897 & ic_tag_valid_out_0_108; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9975 = _T_9974 | _T_9829; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9829 = _T_4897 & ic_tag_valid_out_0_108; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9975 = _T_9974 | _T_9829; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_109; // @[Reg.scala 27:20] - wire _T_9831 = _T_4898 & ic_tag_valid_out_0_109; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9976 = _T_9975 | _T_9831; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9831 = _T_4898 & ic_tag_valid_out_0_109; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9976 = _T_9975 | _T_9831; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_110; // @[Reg.scala 27:20] - wire _T_9833 = _T_4899 & ic_tag_valid_out_0_110; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9977 = _T_9976 | _T_9833; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9833 = _T_4899 & ic_tag_valid_out_0_110; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9977 = _T_9976 | _T_9833; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_111; // @[Reg.scala 27:20] - wire _T_9835 = _T_4900 & ic_tag_valid_out_0_111; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9978 = _T_9977 | _T_9835; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9835 = _T_4900 & ic_tag_valid_out_0_111; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9978 = _T_9977 | _T_9835; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_112; // @[Reg.scala 27:20] - wire _T_9837 = _T_4901 & ic_tag_valid_out_0_112; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9979 = _T_9978 | _T_9837; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9837 = _T_4901 & ic_tag_valid_out_0_112; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9979 = _T_9978 | _T_9837; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_113; // @[Reg.scala 27:20] - wire _T_9839 = _T_4902 & ic_tag_valid_out_0_113; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9980 = _T_9979 | _T_9839; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9839 = _T_4902 & ic_tag_valid_out_0_113; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9980 = _T_9979 | _T_9839; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_114; // @[Reg.scala 27:20] - wire _T_9841 = _T_4903 & ic_tag_valid_out_0_114; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9981 = _T_9980 | _T_9841; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9841 = _T_4903 & ic_tag_valid_out_0_114; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9981 = _T_9980 | _T_9841; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_115; // @[Reg.scala 27:20] - wire _T_9843 = _T_4904 & ic_tag_valid_out_0_115; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9982 = _T_9981 | _T_9843; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9843 = _T_4904 & ic_tag_valid_out_0_115; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9982 = _T_9981 | _T_9843; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_116; // @[Reg.scala 27:20] - wire _T_9845 = _T_4905 & ic_tag_valid_out_0_116; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9983 = _T_9982 | _T_9845; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9845 = _T_4905 & ic_tag_valid_out_0_116; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9983 = _T_9982 | _T_9845; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_117; // @[Reg.scala 27:20] - wire _T_9847 = _T_4906 & ic_tag_valid_out_0_117; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9984 = _T_9983 | _T_9847; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9847 = _T_4906 & ic_tag_valid_out_0_117; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9984 = _T_9983 | _T_9847; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_118; // @[Reg.scala 27:20] - wire _T_9849 = _T_4907 & ic_tag_valid_out_0_118; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9985 = _T_9984 | _T_9849; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9849 = _T_4907 & ic_tag_valid_out_0_118; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9985 = _T_9984 | _T_9849; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_119; // @[Reg.scala 27:20] - wire _T_9851 = _T_4908 & ic_tag_valid_out_0_119; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9986 = _T_9985 | _T_9851; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9851 = _T_4908 & ic_tag_valid_out_0_119; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9986 = _T_9985 | _T_9851; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_120; // @[Reg.scala 27:20] - wire _T_9853 = _T_4909 & ic_tag_valid_out_0_120; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9987 = _T_9986 | _T_9853; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9853 = _T_4909 & ic_tag_valid_out_0_120; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9987 = _T_9986 | _T_9853; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_121; // @[Reg.scala 27:20] - wire _T_9855 = _T_4910 & ic_tag_valid_out_0_121; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9988 = _T_9987 | _T_9855; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9855 = _T_4910 & ic_tag_valid_out_0_121; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9988 = _T_9987 | _T_9855; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_122; // @[Reg.scala 27:20] - wire _T_9857 = _T_4911 & ic_tag_valid_out_0_122; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9989 = _T_9988 | _T_9857; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9857 = _T_4911 & ic_tag_valid_out_0_122; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9989 = _T_9988 | _T_9857; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_123; // @[Reg.scala 27:20] - wire _T_9859 = _T_4912 & ic_tag_valid_out_0_123; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9990 = _T_9989 | _T_9859; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9859 = _T_4912 & ic_tag_valid_out_0_123; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9990 = _T_9989 | _T_9859; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_124; // @[Reg.scala 27:20] - wire _T_9861 = _T_4913 & ic_tag_valid_out_0_124; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9991 = _T_9990 | _T_9861; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9861 = _T_4913 & ic_tag_valid_out_0_124; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9991 = _T_9990 | _T_9861; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_125; // @[Reg.scala 27:20] - wire _T_9863 = _T_4914 & ic_tag_valid_out_0_125; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9992 = _T_9991 | _T_9863; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9863 = _T_4914 & ic_tag_valid_out_0_125; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9992 = _T_9991 | _T_9863; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_126; // @[Reg.scala 27:20] - wire _T_9865 = _T_4915 & ic_tag_valid_out_0_126; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9993 = _T_9992 | _T_9865; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9865 = _T_4915 & ic_tag_valid_out_0_126; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9993 = _T_9992 | _T_9865; // @[el2_ifu_mem_ctl.scala 759:91] reg ic_tag_valid_out_0_127; // @[Reg.scala 27:20] - wire _T_9867 = _T_4916 & ic_tag_valid_out_0_127; // @[el2_ifu_mem_ctl.scala 764:10] - wire _T_9994 = _T_9993 | _T_9867; // @[el2_ifu_mem_ctl.scala 764:91] + wire _T_9867 = _T_4916 & ic_tag_valid_out_0_127; // @[el2_ifu_mem_ctl.scala 759:10] + wire _T_9994 = _T_9993 | _T_9867; // @[el2_ifu_mem_ctl.scala 759:91] wire [1:0] ic_tag_valid_unq = {_T_10377,_T_9994}; // @[Cat.scala 29:58] reg [1:0] ic_debug_way_ff; // @[Reg.scala 27:20] - reg ic_debug_rd_en_ff; // @[el2_ifu_mem_ctl.scala 838:54] + reg ic_debug_rd_en_ff; // @[el2_ifu_mem_ctl.scala 833:54] wire [1:0] _T_10417 = ic_debug_rd_en_ff ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_10418 = ic_debug_way_ff & _T_10417; // @[el2_ifu_mem_ctl.scala 819:67] - wire [1:0] _T_10419 = ic_tag_valid_unq & _T_10418; // @[el2_ifu_mem_ctl.scala 819:48] - wire ic_debug_tag_val_rd_out = |_T_10419; // @[el2_ifu_mem_ctl.scala 819:115] + wire [1:0] _T_10418 = ic_debug_way_ff & _T_10417; // @[el2_ifu_mem_ctl.scala 814:67] + wire [1:0] _T_10419 = ic_tag_valid_unq & _T_10418; // @[el2_ifu_mem_ctl.scala 814:48] + wire ic_debug_tag_val_rd_out = |_T_10419; // @[el2_ifu_mem_ctl.scala 814:115] wire [65:0] _T_1208 = {2'h0,io_ictag_debug_rd_data[25:21],32'h0,io_ictag_debug_rd_data[20:0],1'h0,way_status,3'h0,ic_debug_tag_val_rd_out}; // @[Cat.scala 29:58] reg [70:0] _T_1209; // @[Reg.scala 27:20] - wire ifu_wr_cumulative_err = ifu_wr_cumulative_err_data & _T_2591; // @[el2_ifu_mem_ctl.scala 368:80] - wire _T_1247 = ~ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 373:98] - wire sel_byp_data = _T_1251 & _T_1247; // @[el2_ifu_mem_ctl.scala 373:96] + wire ifu_wr_cumulative_err = ifu_wr_cumulative_err_data & _T_2591; // @[el2_ifu_mem_ctl.scala 363:80] + wire _T_1247 = ~ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 368:98] + wire sel_byp_data = _T_1251 & _T_1247; // @[el2_ifu_mem_ctl.scala 368:96] wire [63:0] _T_1258 = fetch_req_iccm_f ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_1259 = _T_1258 & io_iccm_rd_data; // @[el2_ifu_mem_ctl.scala 380:69] + wire [63:0] _T_1259 = _T_1258 & io_iccm_rd_data; // @[el2_ifu_mem_ctl.scala 375:69] wire [63:0] _T_1261 = sel_byp_data ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire _T_2113 = ~ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 448:31] - wire _T_1626 = ~ifu_fetch_addr_int_f[1]; // @[el2_ifu_mem_ctl.scala 444:38] + wire _T_2113 = ~ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 443:31] + wire _T_1626 = ~ifu_fetch_addr_int_f[1]; // @[el2_ifu_mem_ctl.scala 439:38] wire [3:0] byp_fetch_index_inc_0 = {byp_fetch_index_inc,1'h0}; // @[Cat.scala 29:58] - wire _T_1627 = byp_fetch_index_inc_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1627 = byp_fetch_index_inc_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1675 = _T_1627 ? ic_miss_buff_data_0[15:0] : 16'h0; // @[Mux.scala 27:72] - wire _T_1630 = byp_fetch_index_inc_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1630 = byp_fetch_index_inc_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1676 = _T_1630 ? ic_miss_buff_data_1[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1691 = _T_1675 | _T_1676; // @[Mux.scala 27:72] - wire _T_1633 = byp_fetch_index_inc_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1633 = byp_fetch_index_inc_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1677 = _T_1633 ? ic_miss_buff_data_2[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1692 = _T_1691 | _T_1677; // @[Mux.scala 27:72] - wire _T_1636 = byp_fetch_index_inc_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1636 = byp_fetch_index_inc_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1678 = _T_1636 ? ic_miss_buff_data_3[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1693 = _T_1692 | _T_1678; // @[Mux.scala 27:72] - wire _T_1639 = byp_fetch_index_inc_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1639 = byp_fetch_index_inc_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1679 = _T_1639 ? ic_miss_buff_data_4[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1694 = _T_1693 | _T_1679; // @[Mux.scala 27:72] - wire _T_1642 = byp_fetch_index_inc_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1642 = byp_fetch_index_inc_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1680 = _T_1642 ? ic_miss_buff_data_5[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1695 = _T_1694 | _T_1680; // @[Mux.scala 27:72] - wire _T_1645 = byp_fetch_index_inc_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1645 = byp_fetch_index_inc_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1681 = _T_1645 ? ic_miss_buff_data_6[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1696 = _T_1695 | _T_1681; // @[Mux.scala 27:72] - wire _T_1648 = byp_fetch_index_inc_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1648 = byp_fetch_index_inc_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1682 = _T_1648 ? ic_miss_buff_data_7[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1697 = _T_1696 | _T_1682; // @[Mux.scala 27:72] - wire _T_1651 = byp_fetch_index_inc_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1651 = byp_fetch_index_inc_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1683 = _T_1651 ? ic_miss_buff_data_8[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1698 = _T_1697 | _T_1683; // @[Mux.scala 27:72] - wire _T_1654 = byp_fetch_index_inc_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1654 = byp_fetch_index_inc_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1684 = _T_1654 ? ic_miss_buff_data_9[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1699 = _T_1698 | _T_1684; // @[Mux.scala 27:72] - wire _T_1657 = byp_fetch_index_inc_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1657 = byp_fetch_index_inc_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1685 = _T_1657 ? ic_miss_buff_data_10[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1700 = _T_1699 | _T_1685; // @[Mux.scala 27:72] - wire _T_1660 = byp_fetch_index_inc_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1660 = byp_fetch_index_inc_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1686 = _T_1660 ? ic_miss_buff_data_11[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1701 = _T_1700 | _T_1686; // @[Mux.scala 27:72] - wire _T_1663 = byp_fetch_index_inc_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1663 = byp_fetch_index_inc_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1687 = _T_1663 ? ic_miss_buff_data_12[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1702 = _T_1701 | _T_1687; // @[Mux.scala 27:72] - wire _T_1666 = byp_fetch_index_inc_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1666 = byp_fetch_index_inc_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1688 = _T_1666 ? ic_miss_buff_data_13[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1703 = _T_1702 | _T_1688; // @[Mux.scala 27:72] - wire _T_1669 = byp_fetch_index_inc_0 == 4'he; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1669 = byp_fetch_index_inc_0 == 4'he; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1689 = _T_1669 ? ic_miss_buff_data_14[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1704 = _T_1703 | _T_1689; // @[Mux.scala 27:72] - wire _T_1672 = byp_fetch_index_inc_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 445:73] + wire _T_1672 = byp_fetch_index_inc_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 440:73] wire [15:0] _T_1690 = _T_1672 ? ic_miss_buff_data_15[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1705 = _T_1704 | _T_1690; // @[Mux.scala 27:72] wire [3:0] byp_fetch_index_1 = {ifu_fetch_addr_int_f[4:2],1'h1}; // @[Cat.scala 29:58] - wire _T_1707 = byp_fetch_index_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1707 = byp_fetch_index_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1755 = _T_1707 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_1710 = byp_fetch_index_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1710 = byp_fetch_index_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1756 = _T_1710 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1771 = _T_1755 | _T_1756; // @[Mux.scala 27:72] - wire _T_1713 = byp_fetch_index_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1713 = byp_fetch_index_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1757 = _T_1713 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1772 = _T_1771 | _T_1757; // @[Mux.scala 27:72] - wire _T_1716 = byp_fetch_index_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1716 = byp_fetch_index_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1758 = _T_1716 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1773 = _T_1772 | _T_1758; // @[Mux.scala 27:72] - wire _T_1719 = byp_fetch_index_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1719 = byp_fetch_index_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1759 = _T_1719 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1774 = _T_1773 | _T_1759; // @[Mux.scala 27:72] - wire _T_1722 = byp_fetch_index_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1722 = byp_fetch_index_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1760 = _T_1722 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1775 = _T_1774 | _T_1760; // @[Mux.scala 27:72] - wire _T_1725 = byp_fetch_index_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1725 = byp_fetch_index_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1761 = _T_1725 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1776 = _T_1775 | _T_1761; // @[Mux.scala 27:72] - wire _T_1728 = byp_fetch_index_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1728 = byp_fetch_index_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1762 = _T_1728 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1777 = _T_1776 | _T_1762; // @[Mux.scala 27:72] - wire _T_1731 = byp_fetch_index_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1731 = byp_fetch_index_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1763 = _T_1731 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1778 = _T_1777 | _T_1763; // @[Mux.scala 27:72] - wire _T_1734 = byp_fetch_index_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1734 = byp_fetch_index_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1764 = _T_1734 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1779 = _T_1778 | _T_1764; // @[Mux.scala 27:72] - wire _T_1737 = byp_fetch_index_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1737 = byp_fetch_index_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1765 = _T_1737 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1780 = _T_1779 | _T_1765; // @[Mux.scala 27:72] - wire _T_1740 = byp_fetch_index_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1740 = byp_fetch_index_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1766 = _T_1740 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1781 = _T_1780 | _T_1766; // @[Mux.scala 27:72] - wire _T_1743 = byp_fetch_index_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1743 = byp_fetch_index_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1767 = _T_1743 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1782 = _T_1781 | _T_1767; // @[Mux.scala 27:72] - wire _T_1746 = byp_fetch_index_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1746 = byp_fetch_index_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1768 = _T_1746 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1783 = _T_1782 | _T_1768; // @[Mux.scala 27:72] - wire _T_1749 = byp_fetch_index_1 == 4'he; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1749 = byp_fetch_index_1 == 4'he; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1769 = _T_1749 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1784 = _T_1783 | _T_1769; // @[Mux.scala 27:72] - wire _T_1752 = byp_fetch_index_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 445:179] + wire _T_1752 = byp_fetch_index_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 440:179] wire [31:0] _T_1770 = _T_1752 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1785 = _T_1784 | _T_1770; // @[Mux.scala 27:72] wire [3:0] byp_fetch_index_0 = {ifu_fetch_addr_int_f[4:2],1'h0}; // @[Cat.scala 29:58] - wire _T_1787 = byp_fetch_index_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1787 = byp_fetch_index_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1835 = _T_1787 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_1790 = byp_fetch_index_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1790 = byp_fetch_index_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1836 = _T_1790 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1851 = _T_1835 | _T_1836; // @[Mux.scala 27:72] - wire _T_1793 = byp_fetch_index_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1793 = byp_fetch_index_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1837 = _T_1793 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1852 = _T_1851 | _T_1837; // @[Mux.scala 27:72] - wire _T_1796 = byp_fetch_index_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1796 = byp_fetch_index_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1838 = _T_1796 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1853 = _T_1852 | _T_1838; // @[Mux.scala 27:72] - wire _T_1799 = byp_fetch_index_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1799 = byp_fetch_index_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1839 = _T_1799 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1854 = _T_1853 | _T_1839; // @[Mux.scala 27:72] - wire _T_1802 = byp_fetch_index_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1802 = byp_fetch_index_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1840 = _T_1802 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1855 = _T_1854 | _T_1840; // @[Mux.scala 27:72] - wire _T_1805 = byp_fetch_index_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1805 = byp_fetch_index_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1841 = _T_1805 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1856 = _T_1855 | _T_1841; // @[Mux.scala 27:72] - wire _T_1808 = byp_fetch_index_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1808 = byp_fetch_index_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1842 = _T_1808 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1857 = _T_1856 | _T_1842; // @[Mux.scala 27:72] - wire _T_1811 = byp_fetch_index_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1811 = byp_fetch_index_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1843 = _T_1811 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1858 = _T_1857 | _T_1843; // @[Mux.scala 27:72] - wire _T_1814 = byp_fetch_index_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1814 = byp_fetch_index_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1844 = _T_1814 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1859 = _T_1858 | _T_1844; // @[Mux.scala 27:72] - wire _T_1817 = byp_fetch_index_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1817 = byp_fetch_index_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1845 = _T_1817 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1860 = _T_1859 | _T_1845; // @[Mux.scala 27:72] - wire _T_1820 = byp_fetch_index_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1820 = byp_fetch_index_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1846 = _T_1820 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1861 = _T_1860 | _T_1846; // @[Mux.scala 27:72] - wire _T_1823 = byp_fetch_index_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1823 = byp_fetch_index_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1847 = _T_1823 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1862 = _T_1861 | _T_1847; // @[Mux.scala 27:72] - wire _T_1826 = byp_fetch_index_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1826 = byp_fetch_index_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1848 = _T_1826 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1863 = _T_1862 | _T_1848; // @[Mux.scala 27:72] - wire _T_1829 = byp_fetch_index_0 == 4'he; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1829 = byp_fetch_index_0 == 4'he; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1849 = _T_1829 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1864 = _T_1863 | _T_1849; // @[Mux.scala 27:72] - wire _T_1832 = byp_fetch_index_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 445:285] + wire _T_1832 = byp_fetch_index_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 440:285] wire [31:0] _T_1850 = _T_1832 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1865 = _T_1864 | _T_1850; // @[Mux.scala 27:72] wire [79:0] _T_1868 = {_T_1705,_T_1785,_T_1865}; // @[Cat.scala 29:58] wire [3:0] byp_fetch_index_inc_1 = {byp_fetch_index_inc,1'h1}; // @[Cat.scala 29:58] - wire _T_1869 = byp_fetch_index_inc_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1869 = byp_fetch_index_inc_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1917 = _T_1869 ? ic_miss_buff_data_0[15:0] : 16'h0; // @[Mux.scala 27:72] - wire _T_1872 = byp_fetch_index_inc_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1872 = byp_fetch_index_inc_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1918 = _T_1872 ? ic_miss_buff_data_1[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1933 = _T_1917 | _T_1918; // @[Mux.scala 27:72] - wire _T_1875 = byp_fetch_index_inc_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1875 = byp_fetch_index_inc_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1919 = _T_1875 ? ic_miss_buff_data_2[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1934 = _T_1933 | _T_1919; // @[Mux.scala 27:72] - wire _T_1878 = byp_fetch_index_inc_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1878 = byp_fetch_index_inc_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1920 = _T_1878 ? ic_miss_buff_data_3[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1935 = _T_1934 | _T_1920; // @[Mux.scala 27:72] - wire _T_1881 = byp_fetch_index_inc_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1881 = byp_fetch_index_inc_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1921 = _T_1881 ? ic_miss_buff_data_4[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1936 = _T_1935 | _T_1921; // @[Mux.scala 27:72] - wire _T_1884 = byp_fetch_index_inc_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1884 = byp_fetch_index_inc_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1922 = _T_1884 ? ic_miss_buff_data_5[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1937 = _T_1936 | _T_1922; // @[Mux.scala 27:72] - wire _T_1887 = byp_fetch_index_inc_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1887 = byp_fetch_index_inc_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1923 = _T_1887 ? ic_miss_buff_data_6[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1938 = _T_1937 | _T_1923; // @[Mux.scala 27:72] - wire _T_1890 = byp_fetch_index_inc_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1890 = byp_fetch_index_inc_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1924 = _T_1890 ? ic_miss_buff_data_7[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1939 = _T_1938 | _T_1924; // @[Mux.scala 27:72] - wire _T_1893 = byp_fetch_index_inc_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1893 = byp_fetch_index_inc_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1925 = _T_1893 ? ic_miss_buff_data_8[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1940 = _T_1939 | _T_1925; // @[Mux.scala 27:72] - wire _T_1896 = byp_fetch_index_inc_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1896 = byp_fetch_index_inc_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1926 = _T_1896 ? ic_miss_buff_data_9[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1941 = _T_1940 | _T_1926; // @[Mux.scala 27:72] - wire _T_1899 = byp_fetch_index_inc_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1899 = byp_fetch_index_inc_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1927 = _T_1899 ? ic_miss_buff_data_10[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1942 = _T_1941 | _T_1927; // @[Mux.scala 27:72] - wire _T_1902 = byp_fetch_index_inc_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1902 = byp_fetch_index_inc_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1928 = _T_1902 ? ic_miss_buff_data_11[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1943 = _T_1942 | _T_1928; // @[Mux.scala 27:72] - wire _T_1905 = byp_fetch_index_inc_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1905 = byp_fetch_index_inc_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1929 = _T_1905 ? ic_miss_buff_data_12[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1944 = _T_1943 | _T_1929; // @[Mux.scala 27:72] - wire _T_1908 = byp_fetch_index_inc_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1908 = byp_fetch_index_inc_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1930 = _T_1908 ? ic_miss_buff_data_13[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1945 = _T_1944 | _T_1930; // @[Mux.scala 27:72] - wire _T_1911 = byp_fetch_index_inc_1 == 4'he; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1911 = byp_fetch_index_inc_1 == 4'he; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1931 = _T_1911 ? ic_miss_buff_data_14[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1946 = _T_1945 | _T_1931; // @[Mux.scala 27:72] - wire _T_1914 = byp_fetch_index_inc_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1914 = byp_fetch_index_inc_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 441:73] wire [15:0] _T_1932 = _T_1914 ? ic_miss_buff_data_15[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1947 = _T_1946 | _T_1932; // @[Mux.scala 27:72] wire [31:0] _T_1997 = _T_1627 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] @@ -2954,49 +2954,49 @@ module el2_ifu_mem_ctl( wire [31:0] _T_2012 = _T_1672 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2027 = _T_2026 | _T_2012; // @[Mux.scala 27:72] wire [79:0] _T_2110 = {_T_1947,_T_2027,_T_1785}; // @[Cat.scala 29:58] - wire [79:0] ic_byp_data_only_pre_new = _T_1626 ? _T_1868 : _T_2110; // @[el2_ifu_mem_ctl.scala 444:37] + wire [79:0] ic_byp_data_only_pre_new = _T_1626 ? _T_1868 : _T_2110; // @[el2_ifu_mem_ctl.scala 439:37] wire [79:0] _T_2115 = {16'h0,ic_byp_data_only_pre_new[79:16]}; // @[Cat.scala 29:58] - wire [79:0] ic_byp_data_only_new = _T_2113 ? ic_byp_data_only_pre_new : _T_2115; // @[el2_ifu_mem_ctl.scala 448:30] - wire [79:0] _GEN_474 = {{16'd0}, _T_1261}; // @[el2_ifu_mem_ctl.scala 380:114] - wire [79:0] _T_1262 = _GEN_474 & ic_byp_data_only_new; // @[el2_ifu_mem_ctl.scala 380:114] - wire [79:0] _GEN_475 = {{16'd0}, _T_1259}; // @[el2_ifu_mem_ctl.scala 380:88] - wire [79:0] ic_premux_data_temp = _GEN_475 | _T_1262; // @[el2_ifu_mem_ctl.scala 380:88] - wire fetch_req_f_qual = io_ic_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 387:38] - wire [1:0] _T_1271 = ifc_region_acc_fault_f ? 2'h2 : 2'h0; // @[el2_ifu_mem_ctl.scala 391:8] - wire _T_1273 = fetch_req_f_qual & io_ifu_bp_inst_mask_f; // @[el2_ifu_mem_ctl.scala 393:45] - wire _T_1275 = byp_fetch_index == 5'h1f; // @[el2_ifu_mem_ctl.scala 393:80] - wire _T_1276 = ~_T_1275; // @[el2_ifu_mem_ctl.scala 393:71] - wire _T_1277 = _T_1273 & _T_1276; // @[el2_ifu_mem_ctl.scala 393:69] - wire _T_1278 = err_stop_state != 2'h2; // @[el2_ifu_mem_ctl.scala 393:131] - wire _T_1279 = _T_1277 & _T_1278; // @[el2_ifu_mem_ctl.scala 393:114] + wire [79:0] ic_byp_data_only_new = _T_2113 ? ic_byp_data_only_pre_new : _T_2115; // @[el2_ifu_mem_ctl.scala 443:30] + wire [79:0] _GEN_474 = {{16'd0}, _T_1261}; // @[el2_ifu_mem_ctl.scala 375:114] + wire [79:0] _T_1262 = _GEN_474 & ic_byp_data_only_new; // @[el2_ifu_mem_ctl.scala 375:114] + wire [79:0] _GEN_475 = {{16'd0}, _T_1259}; // @[el2_ifu_mem_ctl.scala 375:88] + wire [79:0] ic_premux_data_temp = _GEN_475 | _T_1262; // @[el2_ifu_mem_ctl.scala 375:88] + wire fetch_req_f_qual = io_ic_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 382:38] + wire [1:0] _T_1271 = ifc_region_acc_fault_f ? 2'h2 : 2'h0; // @[el2_ifu_mem_ctl.scala 386:8] + wire _T_1273 = fetch_req_f_qual & io_ifu_bp_inst_mask_f; // @[el2_ifu_mem_ctl.scala 388:45] + wire _T_1275 = byp_fetch_index == 5'h1f; // @[el2_ifu_mem_ctl.scala 388:80] + wire _T_1276 = ~_T_1275; // @[el2_ifu_mem_ctl.scala 388:71] + wire _T_1277 = _T_1273 & _T_1276; // @[el2_ifu_mem_ctl.scala 388:69] + wire _T_1278 = err_stop_state != 2'h2; // @[el2_ifu_mem_ctl.scala 388:131] + wire _T_1279 = _T_1277 & _T_1278; // @[el2_ifu_mem_ctl.scala 388:114] wire [7:0] _T_1368 = {ic_miss_buff_data_valid_in_7,ic_miss_buff_data_valid_in_6,ic_miss_buff_data_valid_in_5,ic_miss_buff_data_valid_in_4,ic_miss_buff_data_valid_in_3,ic_miss_buff_data_valid_in_2,ic_miss_buff_data_valid_in_1,ic_miss_buff_data_valid_in_0}; // @[Cat.scala 29:58] - wire _T_1373 = ic_miss_buff_data_error[0] & _T_1339; // @[el2_ifu_mem_ctl.scala 411:32] - wire _T_2659 = |io_ifu_axi_rresp; // @[el2_ifu_mem_ctl.scala 624:47] - wire _T_2660 = _T_2659 & _T_13; // @[el2_ifu_mem_ctl.scala 624:50] - wire bus_ifu_wr_data_error = _T_2660 & miss_pending; // @[el2_ifu_mem_ctl.scala 624:68] - wire ic_miss_buff_data_error_in_0 = write_fill_data_0 ? bus_ifu_wr_data_error : _T_1373; // @[el2_ifu_mem_ctl.scala 410:72] - wire _T_1377 = ic_miss_buff_data_error[1] & _T_1339; // @[el2_ifu_mem_ctl.scala 411:32] - wire ic_miss_buff_data_error_in_1 = write_fill_data_1 ? bus_ifu_wr_data_error : _T_1377; // @[el2_ifu_mem_ctl.scala 410:72] - wire _T_1381 = ic_miss_buff_data_error[2] & _T_1339; // @[el2_ifu_mem_ctl.scala 411:32] - wire ic_miss_buff_data_error_in_2 = write_fill_data_2 ? bus_ifu_wr_data_error : _T_1381; // @[el2_ifu_mem_ctl.scala 410:72] - wire _T_1385 = ic_miss_buff_data_error[3] & _T_1339; // @[el2_ifu_mem_ctl.scala 411:32] - wire ic_miss_buff_data_error_in_3 = write_fill_data_3 ? bus_ifu_wr_data_error : _T_1385; // @[el2_ifu_mem_ctl.scala 410:72] - wire _T_1389 = ic_miss_buff_data_error[4] & _T_1339; // @[el2_ifu_mem_ctl.scala 411:32] - wire ic_miss_buff_data_error_in_4 = write_fill_data_4 ? bus_ifu_wr_data_error : _T_1389; // @[el2_ifu_mem_ctl.scala 410:72] - wire _T_1393 = ic_miss_buff_data_error[5] & _T_1339; // @[el2_ifu_mem_ctl.scala 411:32] - wire ic_miss_buff_data_error_in_5 = write_fill_data_5 ? bus_ifu_wr_data_error : _T_1393; // @[el2_ifu_mem_ctl.scala 410:72] - wire _T_1397 = ic_miss_buff_data_error[6] & _T_1339; // @[el2_ifu_mem_ctl.scala 411:32] - wire ic_miss_buff_data_error_in_6 = write_fill_data_6 ? bus_ifu_wr_data_error : _T_1397; // @[el2_ifu_mem_ctl.scala 410:72] - wire _T_1401 = ic_miss_buff_data_error[7] & _T_1339; // @[el2_ifu_mem_ctl.scala 411:32] - wire ic_miss_buff_data_error_in_7 = write_fill_data_7 ? bus_ifu_wr_data_error : _T_1401; // @[el2_ifu_mem_ctl.scala 410:72] + wire _T_1373 = ic_miss_buff_data_error[0] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:32] + wire _T_2659 = |io_ifu_axi_rresp; // @[el2_ifu_mem_ctl.scala 619:47] + wire _T_2660 = _T_2659 & _T_13; // @[el2_ifu_mem_ctl.scala 619:50] + wire bus_ifu_wr_data_error = _T_2660 & miss_pending; // @[el2_ifu_mem_ctl.scala 619:68] + wire ic_miss_buff_data_error_in_0 = write_fill_data_0 ? bus_ifu_wr_data_error : _T_1373; // @[el2_ifu_mem_ctl.scala 405:72] + wire _T_1377 = ic_miss_buff_data_error[1] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:32] + wire ic_miss_buff_data_error_in_1 = write_fill_data_1 ? bus_ifu_wr_data_error : _T_1377; // @[el2_ifu_mem_ctl.scala 405:72] + wire _T_1381 = ic_miss_buff_data_error[2] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:32] + wire ic_miss_buff_data_error_in_2 = write_fill_data_2 ? bus_ifu_wr_data_error : _T_1381; // @[el2_ifu_mem_ctl.scala 405:72] + wire _T_1385 = ic_miss_buff_data_error[3] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:32] + wire ic_miss_buff_data_error_in_3 = write_fill_data_3 ? bus_ifu_wr_data_error : _T_1385; // @[el2_ifu_mem_ctl.scala 405:72] + wire _T_1389 = ic_miss_buff_data_error[4] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:32] + wire ic_miss_buff_data_error_in_4 = write_fill_data_4 ? bus_ifu_wr_data_error : _T_1389; // @[el2_ifu_mem_ctl.scala 405:72] + wire _T_1393 = ic_miss_buff_data_error[5] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:32] + wire ic_miss_buff_data_error_in_5 = write_fill_data_5 ? bus_ifu_wr_data_error : _T_1393; // @[el2_ifu_mem_ctl.scala 405:72] + wire _T_1397 = ic_miss_buff_data_error[6] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:32] + wire ic_miss_buff_data_error_in_6 = write_fill_data_6 ? bus_ifu_wr_data_error : _T_1397; // @[el2_ifu_mem_ctl.scala 405:72] + wire _T_1401 = ic_miss_buff_data_error[7] & _T_1339; // @[el2_ifu_mem_ctl.scala 406:32] + wire ic_miss_buff_data_error_in_7 = write_fill_data_7 ? bus_ifu_wr_data_error : _T_1401; // @[el2_ifu_mem_ctl.scala 405:72] wire [7:0] _T_1408 = {ic_miss_buff_data_error_in_7,ic_miss_buff_data_error_in_6,ic_miss_buff_data_error_in_5,ic_miss_buff_data_error_in_4,ic_miss_buff_data_error_in_3,ic_miss_buff_data_error_in_2,ic_miss_buff_data_error_in_1,ic_miss_buff_data_error_in_0}; // @[Cat.scala 29:58] reg [6:0] perr_ic_index_ff; // @[Reg.scala 27:20] wire _T_2465 = 3'h0 == perr_state; // @[Conditional.scala 37:30] - wire _T_2473 = _T_6 & _T_317; // @[el2_ifu_mem_ctl.scala 493:65] - wire _T_2474 = _T_2473 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 493:88] - wire _T_2476 = _T_2474 & _T_2587; // @[el2_ifu_mem_ctl.scala 493:112] + wire _T_2473 = _T_6 & _T_317; // @[el2_ifu_mem_ctl.scala 488:65] + wire _T_2474 = _T_2473 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 488:88] + wire _T_2476 = _T_2474 & _T_2587; // @[el2_ifu_mem_ctl.scala 488:112] wire _T_2477 = 3'h1 == perr_state; // @[Conditional.scala 37:30] - wire _T_2478 = io_dec_tlu_flush_lower_wb | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 498:50] + wire _T_2478 = io_dec_tlu_flush_lower_wb | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 493:50] wire _T_2480 = 3'h2 == perr_state; // @[Conditional.scala 37:30] wire _T_2486 = 3'h4 == perr_state; // @[Conditional.scala 37:30] wire _T_2488 = 3'h3 == perr_state; // @[Conditional.scala 37:30] @@ -3005,28 +3005,28 @@ module el2_ifu_mem_ctl( wire _GEN_43 = _T_2477 ? _T_2478 : _GEN_41; // @[Conditional.scala 39:67] wire perr_state_en = _T_2465 ? _T_2476 : _GEN_43; // @[Conditional.scala 40:58] wire perr_sb_write_status = _T_2465 & perr_state_en; // @[Conditional.scala 40:58] - wire _T_2479 = io_dec_tlu_flush_lower_wb & io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 499:56] + wire _T_2479 = io_dec_tlu_flush_lower_wb & io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 494:56] wire _GEN_44 = _T_2477 & _T_2479; // @[Conditional.scala 39:67] wire perr_sel_invalidate = _T_2465 ? 1'h0 : _GEN_44; // @[Conditional.scala 40:58] wire [1:0] perr_err_inv_way = perr_sel_invalidate ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 484:58] - wire _T_2462 = ~dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 483:49] - wire _T_2467 = io_ic_error_start & _T_317; // @[el2_ifu_mem_ctl.scala 492:87] - wire _T_2481 = io_dec_tlu_flush_err_wb & io_dec_tlu_flush_lower_wb; // @[el2_ifu_mem_ctl.scala 502:54] - wire _T_2482 = _T_2481 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 502:84] - wire _T_2491 = perr_state == 3'h2; // @[el2_ifu_mem_ctl.scala 523:66] - wire _T_2492 = io_dec_tlu_flush_err_wb & _T_2491; // @[el2_ifu_mem_ctl.scala 523:52] - wire _T_2494 = _T_2492 & _T_2587; // @[el2_ifu_mem_ctl.scala 523:81] - wire _T_2496 = io_dec_tlu_flush_lower_wb | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 526:59] - wire _T_2497 = _T_2496 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 526:86] - wire _T_2511 = _T_2496 | io_ifu_fetch_val[0]; // @[el2_ifu_mem_ctl.scala 529:81] - wire _T_2512 = _T_2511 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 529:103] - wire _T_2513 = _T_2512 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 529:126] - wire _T_2533 = _T_2511 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 536:103] - wire _T_2540 = ~io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 541:62] - wire _T_2541 = io_dec_tlu_flush_lower_wb & _T_2540; // @[el2_ifu_mem_ctl.scala 541:60] - wire _T_2542 = _T_2541 | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 541:88] - wire _T_2543 = _T_2542 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 541:115] + reg dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 479:58] + wire _T_2462 = ~dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 478:49] + wire _T_2467 = io_ic_error_start & _T_317; // @[el2_ifu_mem_ctl.scala 487:87] + wire _T_2481 = io_dec_tlu_flush_err_wb & io_dec_tlu_flush_lower_wb; // @[el2_ifu_mem_ctl.scala 497:54] + wire _T_2482 = _T_2481 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 497:84] + wire _T_2491 = perr_state == 3'h2; // @[el2_ifu_mem_ctl.scala 518:66] + wire _T_2492 = io_dec_tlu_flush_err_wb & _T_2491; // @[el2_ifu_mem_ctl.scala 518:52] + wire _T_2494 = _T_2492 & _T_2587; // @[el2_ifu_mem_ctl.scala 518:81] + wire _T_2496 = io_dec_tlu_flush_lower_wb | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 521:59] + wire _T_2497 = _T_2496 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 521:86] + wire _T_2511 = _T_2496 | io_ifu_fetch_val[0]; // @[el2_ifu_mem_ctl.scala 524:81] + wire _T_2512 = _T_2511 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 524:103] + wire _T_2513 = _T_2512 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 524:126] + wire _T_2533 = _T_2511 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 531:103] + wire _T_2540 = ~io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 536:62] + wire _T_2541 = io_dec_tlu_flush_lower_wb & _T_2540; // @[el2_ifu_mem_ctl.scala 536:60] + wire _T_2542 = _T_2541 | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 536:88] + wire _T_2543 = _T_2542 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 536:115] wire _GEN_51 = _T_2539 & _T_2497; // @[Conditional.scala 39:67] wire _GEN_54 = _T_2522 ? _T_2533 : _GEN_51; // @[Conditional.scala 39:67] wire _GEN_56 = _T_2522 | _T_2539; // @[Conditional.scala 39:67] @@ -3034,2025 +3034,2027 @@ module el2_ifu_mem_ctl( wire _GEN_60 = _T_2495 | _GEN_56; // @[Conditional.scala 39:67] wire err_stop_state_en = _T_2490 ? _T_2494 : _GEN_58; // @[Conditional.scala 40:58] reg ifu_bus_cmd_valid; // @[Reg.scala 27:20] - wire _T_2555 = ic_act_miss_f | ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 558:64] - wire _T_2557 = _T_2555 & _T_2587; // @[el2_ifu_mem_ctl.scala 558:85] + wire _T_2555 = ic_act_miss_f | ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 553:64] + wire _T_2557 = _T_2555 & _T_2587; // @[el2_ifu_mem_ctl.scala 553:85] reg [2:0] bus_cmd_beat_count; // @[Reg.scala 27:20] - wire _T_2559 = bus_cmd_beat_count == 3'h7; // @[el2_ifu_mem_ctl.scala 558:133] - wire _T_2560 = _T_2559 & ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 558:164] - wire _T_2561 = _T_2560 & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 558:184] - wire _T_2562 = _T_2561 & miss_pending; // @[el2_ifu_mem_ctl.scala 558:204] - wire _T_2563 = ~_T_2562; // @[el2_ifu_mem_ctl.scala 558:112] - wire ifc_bus_ic_req_ff_in = _T_2557 & _T_2563; // @[el2_ifu_mem_ctl.scala 558:110] - wire _T_2564 = io_ifu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 559:80] - wire ifu_bus_arready = io_ifu_axi_arready & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 590:45] - wire _T_2581 = io_ifu_axi_arvalid & ifu_bus_arready; // @[el2_ifu_mem_ctl.scala 593:35] - wire _T_2582 = _T_2581 & miss_pending; // @[el2_ifu_mem_ctl.scala 593:53] - wire bus_cmd_sent = _T_2582 & _T_2587; // @[el2_ifu_mem_ctl.scala 593:68] + wire _T_2559 = bus_cmd_beat_count == 3'h7; // @[el2_ifu_mem_ctl.scala 553:133] + wire _T_2560 = _T_2559 & ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 553:164] + wire _T_2561 = _T_2560 & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 553:184] + wire _T_2562 = _T_2561 & miss_pending; // @[el2_ifu_mem_ctl.scala 553:204] + wire _T_2563 = ~_T_2562; // @[el2_ifu_mem_ctl.scala 553:112] + wire ifc_bus_ic_req_ff_in = _T_2557 & _T_2563; // @[el2_ifu_mem_ctl.scala 553:110] + wire _T_2564 = io_ifu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 554:80] + wire ifu_bus_arready = io_ifu_axi_arready & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 585:45] + wire _T_2581 = io_ifu_axi_arvalid & ifu_bus_arready; // @[el2_ifu_mem_ctl.scala 588:35] + wire _T_2582 = _T_2581 & miss_pending; // @[el2_ifu_mem_ctl.scala 588:53] + wire bus_cmd_sent = _T_2582 & _T_2587; // @[el2_ifu_mem_ctl.scala 588:68] wire [2:0] _T_2572 = ifu_bus_cmd_valid ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_2574 = {miss_addr,bus_rd_addr_count,3'h0}; // @[Cat.scala 29:58] wire [31:0] _T_2576 = ifu_bus_cmd_valid ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] reg ifu_bus_arready_unq_ff; // @[Reg.scala 27:20] reg ifu_bus_arvalid_ff; // @[Reg.scala 27:20] - wire ifu_bus_arready_ff = ifu_bus_arready_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 591:51] - wire _T_2602 = ~scnd_miss_req; // @[el2_ifu_mem_ctl.scala 601:73] - wire _T_2603 = _T_2588 & _T_2602; // @[el2_ifu_mem_ctl.scala 601:71] - wire _T_2605 = last_data_recieved_ff & _T_1339; // @[el2_ifu_mem_ctl.scala 601:114] - wire last_data_recieved_in = _T_2603 | _T_2605; // @[el2_ifu_mem_ctl.scala 601:89] - wire [2:0] _T_2611 = bus_rd_addr_count + 3'h1; // @[el2_ifu_mem_ctl.scala 606:45] - wire _T_2614 = io_ifu_bus_clk_en | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 607:81] - wire _T_2615 = _T_2614 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 607:97] - wire _T_2617 = ifu_bus_cmd_valid & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 609:48] - wire _T_2618 = _T_2617 & miss_pending; // @[el2_ifu_mem_ctl.scala 609:68] - wire bus_inc_cmd_beat_cnt = _T_2618 & _T_2587; // @[el2_ifu_mem_ctl.scala 609:83] - wire bus_reset_cmd_beat_cnt_secondlast = ic_act_miss_f & uncacheable_miss_in; // @[el2_ifu_mem_ctl.scala 611:57] - wire _T_2622 = ~bus_inc_cmd_beat_cnt; // @[el2_ifu_mem_ctl.scala 612:31] - wire _T_2623 = ic_act_miss_f | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 612:71] - wire _T_2624 = _T_2623 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 612:87] - wire _T_2625 = ~_T_2624; // @[el2_ifu_mem_ctl.scala 612:55] - wire bus_hold_cmd_beat_cnt = _T_2622 & _T_2625; // @[el2_ifu_mem_ctl.scala 612:53] - wire _T_2626 = bus_inc_cmd_beat_cnt | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 613:46] - wire bus_cmd_beat_en = _T_2626 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 613:62] - wire [2:0] _T_2629 = bus_cmd_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 615:46] + wire ifu_bus_arready_ff = ifu_bus_arready_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 586:51] + wire _T_2602 = ~scnd_miss_req; // @[el2_ifu_mem_ctl.scala 596:73] + wire _T_2603 = _T_2588 & _T_2602; // @[el2_ifu_mem_ctl.scala 596:71] + wire _T_2605 = last_data_recieved_ff & _T_1339; // @[el2_ifu_mem_ctl.scala 596:114] + wire last_data_recieved_in = _T_2603 | _T_2605; // @[el2_ifu_mem_ctl.scala 596:89] + wire [2:0] _T_2611 = bus_rd_addr_count + 3'h1; // @[el2_ifu_mem_ctl.scala 601:45] + wire _T_2614 = io_ifu_bus_clk_en | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 602:81] + wire _T_2615 = _T_2614 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 602:97] + wire _T_2617 = ifu_bus_cmd_valid & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 604:48] + wire _T_2618 = _T_2617 & miss_pending; // @[el2_ifu_mem_ctl.scala 604:68] + wire bus_inc_cmd_beat_cnt = _T_2618 & _T_2587; // @[el2_ifu_mem_ctl.scala 604:83] + wire bus_reset_cmd_beat_cnt_secondlast = ic_act_miss_f & uncacheable_miss_in; // @[el2_ifu_mem_ctl.scala 606:57] + wire _T_2622 = ~bus_inc_cmd_beat_cnt; // @[el2_ifu_mem_ctl.scala 607:31] + wire _T_2623 = ic_act_miss_f | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 607:71] + wire _T_2624 = _T_2623 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 607:87] + wire _T_2625 = ~_T_2624; // @[el2_ifu_mem_ctl.scala 607:55] + wire bus_hold_cmd_beat_cnt = _T_2622 & _T_2625; // @[el2_ifu_mem_ctl.scala 607:53] + wire _T_2626 = bus_inc_cmd_beat_cnt | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 608:46] + wire bus_cmd_beat_en = _T_2626 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 608:62] + wire [2:0] _T_2629 = bus_cmd_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 610:46] wire [2:0] _T_2631 = bus_reset_cmd_beat_cnt_secondlast ? 3'h6 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_2632 = bus_inc_cmd_beat_cnt ? _T_2629 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_2633 = bus_hold_cmd_beat_cnt ? bus_cmd_beat_count : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_2635 = _T_2631 | _T_2632; // @[Mux.scala 27:72] wire [2:0] bus_new_cmd_beat_count = _T_2635 | _T_2633; // @[Mux.scala 27:72] - wire _T_2639 = _T_2615 & bus_cmd_beat_en; // @[el2_ifu_mem_ctl.scala 616:125] - reg ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 627:62] - wire _T_2667 = ~iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 632:50] - wire _T_2668 = io_ifc_dma_access_ok & _T_2667; // @[el2_ifu_mem_ctl.scala 632:47] - wire _T_2669 = ~io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 632:70] - wire ifc_dma_access_ok_d = _T_2668 & _T_2669; // @[el2_ifu_mem_ctl.scala 632:68] - wire _T_2673 = _T_2668 & ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 633:72] - wire _T_2674 = perr_state == 3'h0; // @[el2_ifu_mem_ctl.scala 633:111] - wire _T_2675 = _T_2673 & _T_2674; // @[el2_ifu_mem_ctl.scala 633:97] - wire ifc_dma_access_q_ok = _T_2675 & _T_2669; // @[el2_ifu_mem_ctl.scala 633:127] - wire _T_2678 = ifc_dma_access_q_ok & io_dma_iccm_req; // @[el2_ifu_mem_ctl.scala 636:40] - wire _T_2679 = _T_2678 & io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 636:58] - wire _T_2682 = ~io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 637:60] - wire _T_2683 = _T_2678 & _T_2682; // @[el2_ifu_mem_ctl.scala 637:58] - wire _T_2684 = io_ifc_iccm_access_bf & io_ifc_fetch_req_bf; // @[el2_ifu_mem_ctl.scala 637:104] + wire _T_2639 = _T_2615 & bus_cmd_beat_en; // @[el2_ifu_mem_ctl.scala 611:125] + reg ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 622:62] + wire _T_2667 = ~iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 627:50] + wire _T_2668 = io_ifc_dma_access_ok & _T_2667; // @[el2_ifu_mem_ctl.scala 627:47] + wire _T_2669 = ~io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 627:70] + wire ifc_dma_access_ok_d = _T_2668 & _T_2669; // @[el2_ifu_mem_ctl.scala 627:68] + wire _T_2673 = _T_2668 & ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 628:72] + wire _T_2674 = perr_state == 3'h0; // @[el2_ifu_mem_ctl.scala 628:111] + wire _T_2675 = _T_2673 & _T_2674; // @[el2_ifu_mem_ctl.scala 628:97] + wire ifc_dma_access_q_ok = _T_2675 & _T_2669; // @[el2_ifu_mem_ctl.scala 628:127] + wire _T_2678 = ifc_dma_access_q_ok & io_dma_iccm_req; // @[el2_ifu_mem_ctl.scala 631:40] + wire _T_2679 = _T_2678 & io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 631:58] + wire _T_2682 = ~io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 632:60] + wire _T_2683 = _T_2678 & _T_2682; // @[el2_ifu_mem_ctl.scala 632:58] + wire _T_2684 = io_ifc_iccm_access_bf & io_ifc_fetch_req_bf; // @[el2_ifu_mem_ctl.scala 632:104] wire [2:0] _T_2689 = io_dma_iccm_req ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [8:0] _T_2795 = {io_dma_mem_wdata[48],io_dma_mem_wdata[46],io_dma_mem_wdata[44],io_dma_mem_wdata[42],io_dma_mem_wdata[40],io_dma_mem_wdata[38],io_dma_mem_wdata[37],io_dma_mem_wdata[35],io_dma_mem_wdata[33]}; // @[el2_lib.scala 268:22] - wire [17:0] _T_2804 = {io_dma_mem_wdata[63],io_dma_mem_wdata[62],io_dma_mem_wdata[60],io_dma_mem_wdata[59],io_dma_mem_wdata[57],io_dma_mem_wdata[55],io_dma_mem_wdata[53],io_dma_mem_wdata[52],io_dma_mem_wdata[50],_T_2795}; // @[el2_lib.scala 268:22] - wire _T_2805 = ^_T_2804; // @[el2_lib.scala 268:29] - wire [8:0] _T_2813 = {io_dma_mem_wdata[47],io_dma_mem_wdata[46],io_dma_mem_wdata[43],io_dma_mem_wdata[42],io_dma_mem_wdata[39],io_dma_mem_wdata[38],io_dma_mem_wdata[36],io_dma_mem_wdata[35],io_dma_mem_wdata[32]}; // @[el2_lib.scala 268:39] - wire [17:0] _T_2822 = {io_dma_mem_wdata[63],io_dma_mem_wdata[61],io_dma_mem_wdata[60],io_dma_mem_wdata[58],io_dma_mem_wdata[57],io_dma_mem_wdata[54],io_dma_mem_wdata[53],io_dma_mem_wdata[51],io_dma_mem_wdata[50],_T_2813}; // @[el2_lib.scala 268:39] - wire _T_2823 = ^_T_2822; // @[el2_lib.scala 268:46] - wire [8:0] _T_2831 = {io_dma_mem_wdata[47],io_dma_mem_wdata[46],io_dma_mem_wdata[41],io_dma_mem_wdata[40],io_dma_mem_wdata[39],io_dma_mem_wdata[38],io_dma_mem_wdata[34],io_dma_mem_wdata[33],io_dma_mem_wdata[32]}; // @[el2_lib.scala 268:56] - wire [17:0] _T_2840 = {io_dma_mem_wdata[62],io_dma_mem_wdata[61],io_dma_mem_wdata[60],io_dma_mem_wdata[56],io_dma_mem_wdata[55],io_dma_mem_wdata[54],io_dma_mem_wdata[53],io_dma_mem_wdata[49],io_dma_mem_wdata[48],_T_2831}; // @[el2_lib.scala 268:56] - wire _T_2841 = ^_T_2840; // @[el2_lib.scala 268:63] - wire [6:0] _T_2847 = {io_dma_mem_wdata[44],io_dma_mem_wdata[43],io_dma_mem_wdata[42],io_dma_mem_wdata[41],io_dma_mem_wdata[40],io_dma_mem_wdata[39],io_dma_mem_wdata[38]}; // @[el2_lib.scala 268:73] - wire [14:0] _T_2855 = {io_dma_mem_wdata[59],io_dma_mem_wdata[58],io_dma_mem_wdata[57],io_dma_mem_wdata[56],io_dma_mem_wdata[55],io_dma_mem_wdata[54],io_dma_mem_wdata[53],io_dma_mem_wdata[45],_T_2847}; // @[el2_lib.scala 268:73] - wire _T_2856 = ^_T_2855; // @[el2_lib.scala 268:80] - wire [14:0] _T_2870 = {io_dma_mem_wdata[52],io_dma_mem_wdata[51],io_dma_mem_wdata[50],io_dma_mem_wdata[49],io_dma_mem_wdata[48],io_dma_mem_wdata[47],io_dma_mem_wdata[46],io_dma_mem_wdata[45],_T_2847}; // @[el2_lib.scala 268:90] - wire _T_2871 = ^_T_2870; // @[el2_lib.scala 268:97] - wire [5:0] _T_2876 = {io_dma_mem_wdata[37],io_dma_mem_wdata[36],io_dma_mem_wdata[35],io_dma_mem_wdata[34],io_dma_mem_wdata[33],io_dma_mem_wdata[32]}; // @[el2_lib.scala 268:107] - wire _T_2877 = ^_T_2876; // @[el2_lib.scala 268:114] - wire [5:0] _T_2882 = {_T_2805,_T_2823,_T_2841,_T_2856,_T_2871,_T_2877}; // @[Cat.scala 29:58] - wire _T_2883 = ^io_dma_mem_wdata[63:32]; // @[el2_lib.scala 269:13] - wire _T_2884 = ^_T_2882; // @[el2_lib.scala 269:23] - wire _T_2885 = _T_2883 ^ _T_2884; // @[el2_lib.scala 269:18] - wire [8:0] _T_2991 = {io_dma_mem_wdata[16],io_dma_mem_wdata[14],io_dma_mem_wdata[12],io_dma_mem_wdata[10],io_dma_mem_wdata[8],io_dma_mem_wdata[6],io_dma_mem_wdata[5],io_dma_mem_wdata[3],io_dma_mem_wdata[1]}; // @[el2_lib.scala 268:22] - wire [17:0] _T_3000 = {io_dma_mem_wdata[31],io_dma_mem_wdata[30],io_dma_mem_wdata[28],io_dma_mem_wdata[27],io_dma_mem_wdata[25],io_dma_mem_wdata[23],io_dma_mem_wdata[21],io_dma_mem_wdata[20],io_dma_mem_wdata[18],_T_2991}; // @[el2_lib.scala 268:22] - wire _T_3001 = ^_T_3000; // @[el2_lib.scala 268:29] - wire [8:0] _T_3009 = {io_dma_mem_wdata[15],io_dma_mem_wdata[14],io_dma_mem_wdata[11],io_dma_mem_wdata[10],io_dma_mem_wdata[7],io_dma_mem_wdata[6],io_dma_mem_wdata[4],io_dma_mem_wdata[3],io_dma_mem_wdata[0]}; // @[el2_lib.scala 268:39] - wire [17:0] _T_3018 = {io_dma_mem_wdata[31],io_dma_mem_wdata[29],io_dma_mem_wdata[28],io_dma_mem_wdata[26],io_dma_mem_wdata[25],io_dma_mem_wdata[22],io_dma_mem_wdata[21],io_dma_mem_wdata[19],io_dma_mem_wdata[18],_T_3009}; // @[el2_lib.scala 268:39] - wire _T_3019 = ^_T_3018; // @[el2_lib.scala 268:46] - wire [8:0] _T_3027 = {io_dma_mem_wdata[15],io_dma_mem_wdata[14],io_dma_mem_wdata[9],io_dma_mem_wdata[8],io_dma_mem_wdata[7],io_dma_mem_wdata[6],io_dma_mem_wdata[2],io_dma_mem_wdata[1],io_dma_mem_wdata[0]}; // @[el2_lib.scala 268:56] - wire [17:0] _T_3036 = {io_dma_mem_wdata[30],io_dma_mem_wdata[29],io_dma_mem_wdata[28],io_dma_mem_wdata[24],io_dma_mem_wdata[23],io_dma_mem_wdata[22],io_dma_mem_wdata[21],io_dma_mem_wdata[17],io_dma_mem_wdata[16],_T_3027}; // @[el2_lib.scala 268:56] - wire _T_3037 = ^_T_3036; // @[el2_lib.scala 268:63] - wire [6:0] _T_3043 = {io_dma_mem_wdata[12],io_dma_mem_wdata[11],io_dma_mem_wdata[10],io_dma_mem_wdata[9],io_dma_mem_wdata[8],io_dma_mem_wdata[7],io_dma_mem_wdata[6]}; // @[el2_lib.scala 268:73] - wire [14:0] _T_3051 = {io_dma_mem_wdata[27],io_dma_mem_wdata[26],io_dma_mem_wdata[25],io_dma_mem_wdata[24],io_dma_mem_wdata[23],io_dma_mem_wdata[22],io_dma_mem_wdata[21],io_dma_mem_wdata[13],_T_3043}; // @[el2_lib.scala 268:73] - wire _T_3052 = ^_T_3051; // @[el2_lib.scala 268:80] - wire [14:0] _T_3066 = {io_dma_mem_wdata[20],io_dma_mem_wdata[19],io_dma_mem_wdata[18],io_dma_mem_wdata[17],io_dma_mem_wdata[16],io_dma_mem_wdata[15],io_dma_mem_wdata[14],io_dma_mem_wdata[13],_T_3043}; // @[el2_lib.scala 268:90] - wire _T_3067 = ^_T_3066; // @[el2_lib.scala 268:97] - wire [5:0] _T_3072 = {io_dma_mem_wdata[5],io_dma_mem_wdata[4],io_dma_mem_wdata[3],io_dma_mem_wdata[2],io_dma_mem_wdata[1],io_dma_mem_wdata[0]}; // @[el2_lib.scala 268:107] - wire _T_3073 = ^_T_3072; // @[el2_lib.scala 268:114] - wire [5:0] _T_3078 = {_T_3001,_T_3019,_T_3037,_T_3052,_T_3067,_T_3073}; // @[Cat.scala 29:58] - wire _T_3079 = ^io_dma_mem_wdata[31:0]; // @[el2_lib.scala 269:13] - wire _T_3080 = ^_T_3078; // @[el2_lib.scala 269:23] - wire _T_3081 = _T_3079 ^ _T_3080; // @[el2_lib.scala 269:18] - wire [6:0] _T_3082 = {_T_3081,_T_3001,_T_3019,_T_3037,_T_3052,_T_3067,_T_3073}; // @[Cat.scala 29:58] - wire [13:0] dma_mem_ecc = {_T_2885,_T_2805,_T_2823,_T_2841,_T_2856,_T_2871,_T_2877,_T_3082}; // @[Cat.scala 29:58] - wire _T_3084 = ~_T_2678; // @[el2_ifu_mem_ctl.scala 642:45] - wire _T_3085 = iccm_correct_ecc & _T_3084; // @[el2_ifu_mem_ctl.scala 642:43] + wire [5:0] _T_2792 = {io_dma_mem_wdata[63],io_dma_mem_wdata[62],io_dma_mem_wdata[61],io_dma_mem_wdata[60],io_dma_mem_wdata[59],io_dma_mem_wdata[58]}; // @[el2_lib.scala 267:22] + wire _T_2793 = ^_T_2792; // @[el2_lib.scala 267:29] + wire [6:0] _T_2799 = {io_dma_mem_wdata[49],io_dma_mem_wdata[48],io_dma_mem_wdata[47],io_dma_mem_wdata[46],io_dma_mem_wdata[45],io_dma_mem_wdata[44],io_dma_mem_wdata[43]}; // @[el2_lib.scala 267:39] + wire [14:0] _T_2807 = {io_dma_mem_wdata[57],io_dma_mem_wdata[56],io_dma_mem_wdata[55],io_dma_mem_wdata[54],io_dma_mem_wdata[53],io_dma_mem_wdata[52],io_dma_mem_wdata[51],io_dma_mem_wdata[50],_T_2799}; // @[el2_lib.scala 267:39] + wire _T_2808 = ^_T_2807; // @[el2_lib.scala 267:46] + wire [6:0] _T_2814 = {io_dma_mem_wdata[42],io_dma_mem_wdata[41],io_dma_mem_wdata[40],io_dma_mem_wdata[39],io_dma_mem_wdata[38],io_dma_mem_wdata[37],io_dma_mem_wdata[36]}; // @[el2_lib.scala 267:56] + wire [14:0] _T_2822 = {io_dma_mem_wdata[57],io_dma_mem_wdata[56],io_dma_mem_wdata[55],io_dma_mem_wdata[54],io_dma_mem_wdata[53],io_dma_mem_wdata[52],io_dma_mem_wdata[51],io_dma_mem_wdata[50],_T_2814}; // @[el2_lib.scala 267:56] + wire _T_2823 = ^_T_2822; // @[el2_lib.scala 267:63] + wire [8:0] _T_2831 = {io_dma_mem_wdata[47],io_dma_mem_wdata[46],io_dma_mem_wdata[42],io_dma_mem_wdata[41],io_dma_mem_wdata[40],io_dma_mem_wdata[39],io_dma_mem_wdata[35],io_dma_mem_wdata[34],io_dma_mem_wdata[33]}; // @[el2_lib.scala 267:73] + wire [17:0] _T_2840 = {io_dma_mem_wdata[63],io_dma_mem_wdata[62],io_dma_mem_wdata[61],io_dma_mem_wdata[57],io_dma_mem_wdata[56],io_dma_mem_wdata[55],io_dma_mem_wdata[54],io_dma_mem_wdata[49],io_dma_mem_wdata[48],_T_2831}; // @[el2_lib.scala 267:73] + wire _T_2841 = ^_T_2840; // @[el2_lib.scala 267:80] + wire [8:0] _T_2849 = {io_dma_mem_wdata[45],io_dma_mem_wdata[44],io_dma_mem_wdata[42],io_dma_mem_wdata[41],io_dma_mem_wdata[38],io_dma_mem_wdata[37],io_dma_mem_wdata[35],io_dma_mem_wdata[34],io_dma_mem_wdata[32]}; // @[el2_lib.scala 267:90] + wire [17:0] _T_2858 = {io_dma_mem_wdata[63],io_dma_mem_wdata[60],io_dma_mem_wdata[59],io_dma_mem_wdata[57],io_dma_mem_wdata[56],io_dma_mem_wdata[53],io_dma_mem_wdata[52],io_dma_mem_wdata[49],io_dma_mem_wdata[48],_T_2849}; // @[el2_lib.scala 267:90] + wire _T_2859 = ^_T_2858; // @[el2_lib.scala 267:97] + wire [8:0] _T_2867 = {io_dma_mem_wdata[45],io_dma_mem_wdata[43],io_dma_mem_wdata[42],io_dma_mem_wdata[40],io_dma_mem_wdata[38],io_dma_mem_wdata[36],io_dma_mem_wdata[35],io_dma_mem_wdata[33],io_dma_mem_wdata[32]}; // @[el2_lib.scala 267:107] + wire [17:0] _T_2876 = {io_dma_mem_wdata[62],io_dma_mem_wdata[60],io_dma_mem_wdata[58],io_dma_mem_wdata[57],io_dma_mem_wdata[55],io_dma_mem_wdata[53],io_dma_mem_wdata[51],io_dma_mem_wdata[49],io_dma_mem_wdata[47],_T_2867}; // @[el2_lib.scala 267:107] + wire _T_2877 = ^_T_2876; // @[el2_lib.scala 267:114] + wire [5:0] _T_2882 = {_T_2793,_T_2808,_T_2823,_T_2841,_T_2859,_T_2877}; // @[Cat.scala 29:58] + wire _T_2883 = ^io_dma_mem_wdata[63:32]; // @[el2_lib.scala 268:13] + wire _T_2884 = ^_T_2882; // @[el2_lib.scala 268:23] + wire _T_2885 = _T_2883 ^ _T_2884; // @[el2_lib.scala 268:18] + wire [5:0] _T_2988 = {io_dma_mem_wdata[31],io_dma_mem_wdata[30],io_dma_mem_wdata[29],io_dma_mem_wdata[28],io_dma_mem_wdata[27],io_dma_mem_wdata[26]}; // @[el2_lib.scala 267:22] + wire _T_2989 = ^_T_2988; // @[el2_lib.scala 267:29] + wire [6:0] _T_2995 = {io_dma_mem_wdata[17],io_dma_mem_wdata[16],io_dma_mem_wdata[15],io_dma_mem_wdata[14],io_dma_mem_wdata[13],io_dma_mem_wdata[12],io_dma_mem_wdata[11]}; // @[el2_lib.scala 267:39] + wire [14:0] _T_3003 = {io_dma_mem_wdata[25],io_dma_mem_wdata[24],io_dma_mem_wdata[23],io_dma_mem_wdata[22],io_dma_mem_wdata[21],io_dma_mem_wdata[20],io_dma_mem_wdata[19],io_dma_mem_wdata[18],_T_2995}; // @[el2_lib.scala 267:39] + wire _T_3004 = ^_T_3003; // @[el2_lib.scala 267:46] + wire [6:0] _T_3010 = {io_dma_mem_wdata[10],io_dma_mem_wdata[9],io_dma_mem_wdata[8],io_dma_mem_wdata[7],io_dma_mem_wdata[6],io_dma_mem_wdata[5],io_dma_mem_wdata[4]}; // @[el2_lib.scala 267:56] + wire [14:0] _T_3018 = {io_dma_mem_wdata[25],io_dma_mem_wdata[24],io_dma_mem_wdata[23],io_dma_mem_wdata[22],io_dma_mem_wdata[21],io_dma_mem_wdata[20],io_dma_mem_wdata[19],io_dma_mem_wdata[18],_T_3010}; // @[el2_lib.scala 267:56] + wire _T_3019 = ^_T_3018; // @[el2_lib.scala 267:63] + wire [8:0] _T_3027 = {io_dma_mem_wdata[15],io_dma_mem_wdata[14],io_dma_mem_wdata[10],io_dma_mem_wdata[9],io_dma_mem_wdata[8],io_dma_mem_wdata[7],io_dma_mem_wdata[3],io_dma_mem_wdata[2],io_dma_mem_wdata[1]}; // @[el2_lib.scala 267:73] + wire [17:0] _T_3036 = {io_dma_mem_wdata[31],io_dma_mem_wdata[30],io_dma_mem_wdata[29],io_dma_mem_wdata[25],io_dma_mem_wdata[24],io_dma_mem_wdata[23],io_dma_mem_wdata[22],io_dma_mem_wdata[17],io_dma_mem_wdata[16],_T_3027}; // @[el2_lib.scala 267:73] + wire _T_3037 = ^_T_3036; // @[el2_lib.scala 267:80] + wire [8:0] _T_3045 = {io_dma_mem_wdata[13],io_dma_mem_wdata[12],io_dma_mem_wdata[10],io_dma_mem_wdata[9],io_dma_mem_wdata[6],io_dma_mem_wdata[5],io_dma_mem_wdata[3],io_dma_mem_wdata[2],io_dma_mem_wdata[0]}; // @[el2_lib.scala 267:90] + wire [17:0] _T_3054 = {io_dma_mem_wdata[31],io_dma_mem_wdata[28],io_dma_mem_wdata[27],io_dma_mem_wdata[25],io_dma_mem_wdata[24],io_dma_mem_wdata[21],io_dma_mem_wdata[20],io_dma_mem_wdata[17],io_dma_mem_wdata[16],_T_3045}; // @[el2_lib.scala 267:90] + wire _T_3055 = ^_T_3054; // @[el2_lib.scala 267:97] + wire [8:0] _T_3063 = {io_dma_mem_wdata[13],io_dma_mem_wdata[11],io_dma_mem_wdata[10],io_dma_mem_wdata[8],io_dma_mem_wdata[6],io_dma_mem_wdata[4],io_dma_mem_wdata[3],io_dma_mem_wdata[1],io_dma_mem_wdata[0]}; // @[el2_lib.scala 267:107] + wire [17:0] _T_3072 = {io_dma_mem_wdata[30],io_dma_mem_wdata[28],io_dma_mem_wdata[26],io_dma_mem_wdata[25],io_dma_mem_wdata[23],io_dma_mem_wdata[21],io_dma_mem_wdata[19],io_dma_mem_wdata[17],io_dma_mem_wdata[15],_T_3063}; // @[el2_lib.scala 267:107] + wire _T_3073 = ^_T_3072; // @[el2_lib.scala 267:114] + wire [5:0] _T_3078 = {_T_2989,_T_3004,_T_3019,_T_3037,_T_3055,_T_3073}; // @[Cat.scala 29:58] + wire _T_3079 = ^io_dma_mem_wdata[31:0]; // @[el2_lib.scala 268:13] + wire _T_3080 = ^_T_3078; // @[el2_lib.scala 268:23] + wire _T_3081 = _T_3079 ^ _T_3080; // @[el2_lib.scala 268:18] + wire [6:0] _T_3082 = {_T_3081,_T_2989,_T_3004,_T_3019,_T_3037,_T_3055,_T_3073}; // @[Cat.scala 29:58] + wire [13:0] dma_mem_ecc = {_T_2885,_T_2793,_T_2808,_T_2823,_T_2841,_T_2859,_T_2877,_T_3082}; // @[Cat.scala 29:58] + wire _T_3084 = ~_T_2678; // @[el2_ifu_mem_ctl.scala 637:45] + wire _T_3085 = iccm_correct_ecc & _T_3084; // @[el2_ifu_mem_ctl.scala 637:43] reg [38:0] iccm_ecc_corr_data_ff; // @[Reg.scala 27:20] wire [77:0] _T_3086 = {iccm_ecc_corr_data_ff,iccm_ecc_corr_data_ff}; // @[Cat.scala 29:58] wire [77:0] _T_3093 = {dma_mem_ecc[13:7],io_dma_mem_wdata[63:32],dma_mem_ecc[6:0],io_dma_mem_wdata[31:0]}; // @[Cat.scala 29:58] - reg [1:0] dma_mem_addr_ff; // @[el2_ifu_mem_ctl.scala 656:53] - wire _T_3425 = _T_3337[5:0] == 6'h27; // @[el2_lib.scala 307:41] - wire _T_3423 = _T_3337[5:0] == 6'h26; // @[el2_lib.scala 307:41] - wire _T_3421 = _T_3337[5:0] == 6'h25; // @[el2_lib.scala 307:41] - wire _T_3419 = _T_3337[5:0] == 6'h24; // @[el2_lib.scala 307:41] - wire _T_3417 = _T_3337[5:0] == 6'h23; // @[el2_lib.scala 307:41] - wire _T_3415 = _T_3337[5:0] == 6'h22; // @[el2_lib.scala 307:41] - wire _T_3413 = _T_3337[5:0] == 6'h21; // @[el2_lib.scala 307:41] - wire _T_3411 = _T_3337[5:0] == 6'h20; // @[el2_lib.scala 307:41] - wire _T_3409 = _T_3337[5:0] == 6'h1f; // @[el2_lib.scala 307:41] - wire _T_3407 = _T_3337[5:0] == 6'h1e; // @[el2_lib.scala 307:41] - wire [9:0] _T_3483 = {_T_3425,_T_3423,_T_3421,_T_3419,_T_3417,_T_3415,_T_3413,_T_3411,_T_3409,_T_3407}; // @[el2_lib.scala 310:69] - wire _T_3405 = _T_3337[5:0] == 6'h1d; // @[el2_lib.scala 307:41] - wire _T_3403 = _T_3337[5:0] == 6'h1c; // @[el2_lib.scala 307:41] - wire _T_3401 = _T_3337[5:0] == 6'h1b; // @[el2_lib.scala 307:41] - wire _T_3399 = _T_3337[5:0] == 6'h1a; // @[el2_lib.scala 307:41] - wire _T_3397 = _T_3337[5:0] == 6'h19; // @[el2_lib.scala 307:41] - wire _T_3395 = _T_3337[5:0] == 6'h18; // @[el2_lib.scala 307:41] - wire _T_3393 = _T_3337[5:0] == 6'h17; // @[el2_lib.scala 307:41] - wire _T_3391 = _T_3337[5:0] == 6'h16; // @[el2_lib.scala 307:41] - wire _T_3389 = _T_3337[5:0] == 6'h15; // @[el2_lib.scala 307:41] - wire _T_3387 = _T_3337[5:0] == 6'h14; // @[el2_lib.scala 307:41] - wire [9:0] _T_3474 = {_T_3405,_T_3403,_T_3401,_T_3399,_T_3397,_T_3395,_T_3393,_T_3391,_T_3389,_T_3387}; // @[el2_lib.scala 310:69] - wire _T_3385 = _T_3337[5:0] == 6'h13; // @[el2_lib.scala 307:41] - wire _T_3383 = _T_3337[5:0] == 6'h12; // @[el2_lib.scala 307:41] - wire _T_3381 = _T_3337[5:0] == 6'h11; // @[el2_lib.scala 307:41] - wire _T_3379 = _T_3337[5:0] == 6'h10; // @[el2_lib.scala 307:41] - wire _T_3377 = _T_3337[5:0] == 6'hf; // @[el2_lib.scala 307:41] - wire _T_3375 = _T_3337[5:0] == 6'he; // @[el2_lib.scala 307:41] - wire _T_3373 = _T_3337[5:0] == 6'hd; // @[el2_lib.scala 307:41] - wire _T_3371 = _T_3337[5:0] == 6'hc; // @[el2_lib.scala 307:41] - wire _T_3369 = _T_3337[5:0] == 6'hb; // @[el2_lib.scala 307:41] - wire _T_3367 = _T_3337[5:0] == 6'ha; // @[el2_lib.scala 307:41] - wire [9:0] _T_3464 = {_T_3385,_T_3383,_T_3381,_T_3379,_T_3377,_T_3375,_T_3373,_T_3371,_T_3369,_T_3367}; // @[el2_lib.scala 310:69] - wire _T_3365 = _T_3337[5:0] == 6'h9; // @[el2_lib.scala 307:41] - wire _T_3363 = _T_3337[5:0] == 6'h8; // @[el2_lib.scala 307:41] - wire _T_3361 = _T_3337[5:0] == 6'h7; // @[el2_lib.scala 307:41] - wire _T_3359 = _T_3337[5:0] == 6'h6; // @[el2_lib.scala 307:41] - wire _T_3357 = _T_3337[5:0] == 6'h5; // @[el2_lib.scala 307:41] - wire _T_3355 = _T_3337[5:0] == 6'h4; // @[el2_lib.scala 307:41] - wire _T_3353 = _T_3337[5:0] == 6'h3; // @[el2_lib.scala 307:41] - wire _T_3351 = _T_3337[5:0] == 6'h2; // @[el2_lib.scala 307:41] - wire _T_3349 = _T_3337[5:0] == 6'h1; // @[el2_lib.scala 307:41] - wire [18:0] _T_3465 = {_T_3464,_T_3365,_T_3363,_T_3361,_T_3359,_T_3357,_T_3355,_T_3353,_T_3351,_T_3349}; // @[el2_lib.scala 310:69] - wire [38:0] _T_3485 = {_T_3483,_T_3474,_T_3465}; // @[el2_lib.scala 310:69] + reg [1:0] dma_mem_addr_ff; // @[el2_ifu_mem_ctl.scala 651:53] + wire _T_3425 = _T_3337[5:0] == 6'h27; // @[el2_lib.scala 306:41] + wire _T_3423 = _T_3337[5:0] == 6'h26; // @[el2_lib.scala 306:41] + wire _T_3421 = _T_3337[5:0] == 6'h25; // @[el2_lib.scala 306:41] + wire _T_3419 = _T_3337[5:0] == 6'h24; // @[el2_lib.scala 306:41] + wire _T_3417 = _T_3337[5:0] == 6'h23; // @[el2_lib.scala 306:41] + wire _T_3415 = _T_3337[5:0] == 6'h22; // @[el2_lib.scala 306:41] + wire _T_3413 = _T_3337[5:0] == 6'h21; // @[el2_lib.scala 306:41] + wire _T_3411 = _T_3337[5:0] == 6'h20; // @[el2_lib.scala 306:41] + wire _T_3409 = _T_3337[5:0] == 6'h1f; // @[el2_lib.scala 306:41] + wire _T_3407 = _T_3337[5:0] == 6'h1e; // @[el2_lib.scala 306:41] + wire [9:0] _T_3483 = {_T_3425,_T_3423,_T_3421,_T_3419,_T_3417,_T_3415,_T_3413,_T_3411,_T_3409,_T_3407}; // @[el2_lib.scala 309:69] + wire _T_3405 = _T_3337[5:0] == 6'h1d; // @[el2_lib.scala 306:41] + wire _T_3403 = _T_3337[5:0] == 6'h1c; // @[el2_lib.scala 306:41] + wire _T_3401 = _T_3337[5:0] == 6'h1b; // @[el2_lib.scala 306:41] + wire _T_3399 = _T_3337[5:0] == 6'h1a; // @[el2_lib.scala 306:41] + wire _T_3397 = _T_3337[5:0] == 6'h19; // @[el2_lib.scala 306:41] + wire _T_3395 = _T_3337[5:0] == 6'h18; // @[el2_lib.scala 306:41] + wire _T_3393 = _T_3337[5:0] == 6'h17; // @[el2_lib.scala 306:41] + wire _T_3391 = _T_3337[5:0] == 6'h16; // @[el2_lib.scala 306:41] + wire _T_3389 = _T_3337[5:0] == 6'h15; // @[el2_lib.scala 306:41] + wire _T_3387 = _T_3337[5:0] == 6'h14; // @[el2_lib.scala 306:41] + wire [9:0] _T_3474 = {_T_3405,_T_3403,_T_3401,_T_3399,_T_3397,_T_3395,_T_3393,_T_3391,_T_3389,_T_3387}; // @[el2_lib.scala 309:69] + wire _T_3385 = _T_3337[5:0] == 6'h13; // @[el2_lib.scala 306:41] + wire _T_3383 = _T_3337[5:0] == 6'h12; // @[el2_lib.scala 306:41] + wire _T_3381 = _T_3337[5:0] == 6'h11; // @[el2_lib.scala 306:41] + wire _T_3379 = _T_3337[5:0] == 6'h10; // @[el2_lib.scala 306:41] + wire _T_3377 = _T_3337[5:0] == 6'hf; // @[el2_lib.scala 306:41] + wire _T_3375 = _T_3337[5:0] == 6'he; // @[el2_lib.scala 306:41] + wire _T_3373 = _T_3337[5:0] == 6'hd; // @[el2_lib.scala 306:41] + wire _T_3371 = _T_3337[5:0] == 6'hc; // @[el2_lib.scala 306:41] + wire _T_3369 = _T_3337[5:0] == 6'hb; // @[el2_lib.scala 306:41] + wire _T_3367 = _T_3337[5:0] == 6'ha; // @[el2_lib.scala 306:41] + wire [9:0] _T_3464 = {_T_3385,_T_3383,_T_3381,_T_3379,_T_3377,_T_3375,_T_3373,_T_3371,_T_3369,_T_3367}; // @[el2_lib.scala 309:69] + wire _T_3365 = _T_3337[5:0] == 6'h9; // @[el2_lib.scala 306:41] + wire _T_3363 = _T_3337[5:0] == 6'h8; // @[el2_lib.scala 306:41] + wire _T_3361 = _T_3337[5:0] == 6'h7; // @[el2_lib.scala 306:41] + wire _T_3359 = _T_3337[5:0] == 6'h6; // @[el2_lib.scala 306:41] + wire _T_3357 = _T_3337[5:0] == 6'h5; // @[el2_lib.scala 306:41] + wire _T_3355 = _T_3337[5:0] == 6'h4; // @[el2_lib.scala 306:41] + wire _T_3353 = _T_3337[5:0] == 6'h3; // @[el2_lib.scala 306:41] + wire _T_3351 = _T_3337[5:0] == 6'h2; // @[el2_lib.scala 306:41] + wire _T_3349 = _T_3337[5:0] == 6'h1; // @[el2_lib.scala 306:41] + wire [18:0] _T_3465 = {_T_3464,_T_3365,_T_3363,_T_3361,_T_3359,_T_3357,_T_3355,_T_3353,_T_3351,_T_3349}; // @[el2_lib.scala 309:69] + wire [38:0] _T_3485 = {_T_3483,_T_3474,_T_3465}; // @[el2_lib.scala 309:69] wire [7:0] _T_3440 = {io_iccm_rd_data_ecc[35],io_iccm_rd_data_ecc[3:1],io_iccm_rd_data_ecc[34],io_iccm_rd_data_ecc[0],io_iccm_rd_data_ecc[33:32]}; // @[Cat.scala 29:58] wire [38:0] _T_3446 = {io_iccm_rd_data_ecc[38],io_iccm_rd_data_ecc[31:26],io_iccm_rd_data_ecc[37],io_iccm_rd_data_ecc[25:11],io_iccm_rd_data_ecc[36],io_iccm_rd_data_ecc[10:4],_T_3440}; // @[Cat.scala 29:58] - wire [38:0] _T_3486 = _T_3485 ^ _T_3446; // @[el2_lib.scala 310:76] - wire [38:0] _T_3487 = _T_3341 ? _T_3486 : _T_3446; // @[el2_lib.scala 310:31] + wire [38:0] _T_3486 = _T_3485 ^ _T_3446; // @[el2_lib.scala 309:76] + wire [38:0] _T_3487 = _T_3341 ? _T_3486 : _T_3446; // @[el2_lib.scala 309:31] wire [31:0] iccm_corrected_data_0 = {_T_3487[37:32],_T_3487[30:16],_T_3487[14:8],_T_3487[6:4],_T_3487[2]}; // @[Cat.scala 29:58] - wire _T_3810 = _T_3722[5:0] == 6'h27; // @[el2_lib.scala 307:41] - wire _T_3808 = _T_3722[5:0] == 6'h26; // @[el2_lib.scala 307:41] - wire _T_3806 = _T_3722[5:0] == 6'h25; // @[el2_lib.scala 307:41] - wire _T_3804 = _T_3722[5:0] == 6'h24; // @[el2_lib.scala 307:41] - wire _T_3802 = _T_3722[5:0] == 6'h23; // @[el2_lib.scala 307:41] - wire _T_3800 = _T_3722[5:0] == 6'h22; // @[el2_lib.scala 307:41] - wire _T_3798 = _T_3722[5:0] == 6'h21; // @[el2_lib.scala 307:41] - wire _T_3796 = _T_3722[5:0] == 6'h20; // @[el2_lib.scala 307:41] - wire _T_3794 = _T_3722[5:0] == 6'h1f; // @[el2_lib.scala 307:41] - wire _T_3792 = _T_3722[5:0] == 6'h1e; // @[el2_lib.scala 307:41] - wire [9:0] _T_3868 = {_T_3810,_T_3808,_T_3806,_T_3804,_T_3802,_T_3800,_T_3798,_T_3796,_T_3794,_T_3792}; // @[el2_lib.scala 310:69] - wire _T_3790 = _T_3722[5:0] == 6'h1d; // @[el2_lib.scala 307:41] - wire _T_3788 = _T_3722[5:0] == 6'h1c; // @[el2_lib.scala 307:41] - wire _T_3786 = _T_3722[5:0] == 6'h1b; // @[el2_lib.scala 307:41] - wire _T_3784 = _T_3722[5:0] == 6'h1a; // @[el2_lib.scala 307:41] - wire _T_3782 = _T_3722[5:0] == 6'h19; // @[el2_lib.scala 307:41] - wire _T_3780 = _T_3722[5:0] == 6'h18; // @[el2_lib.scala 307:41] - wire _T_3778 = _T_3722[5:0] == 6'h17; // @[el2_lib.scala 307:41] - wire _T_3776 = _T_3722[5:0] == 6'h16; // @[el2_lib.scala 307:41] - wire _T_3774 = _T_3722[5:0] == 6'h15; // @[el2_lib.scala 307:41] - wire _T_3772 = _T_3722[5:0] == 6'h14; // @[el2_lib.scala 307:41] - wire [9:0] _T_3859 = {_T_3790,_T_3788,_T_3786,_T_3784,_T_3782,_T_3780,_T_3778,_T_3776,_T_3774,_T_3772}; // @[el2_lib.scala 310:69] - wire _T_3770 = _T_3722[5:0] == 6'h13; // @[el2_lib.scala 307:41] - wire _T_3768 = _T_3722[5:0] == 6'h12; // @[el2_lib.scala 307:41] - wire _T_3766 = _T_3722[5:0] == 6'h11; // @[el2_lib.scala 307:41] - wire _T_3764 = _T_3722[5:0] == 6'h10; // @[el2_lib.scala 307:41] - wire _T_3762 = _T_3722[5:0] == 6'hf; // @[el2_lib.scala 307:41] - wire _T_3760 = _T_3722[5:0] == 6'he; // @[el2_lib.scala 307:41] - wire _T_3758 = _T_3722[5:0] == 6'hd; // @[el2_lib.scala 307:41] - wire _T_3756 = _T_3722[5:0] == 6'hc; // @[el2_lib.scala 307:41] - wire _T_3754 = _T_3722[5:0] == 6'hb; // @[el2_lib.scala 307:41] - wire _T_3752 = _T_3722[5:0] == 6'ha; // @[el2_lib.scala 307:41] - wire [9:0] _T_3849 = {_T_3770,_T_3768,_T_3766,_T_3764,_T_3762,_T_3760,_T_3758,_T_3756,_T_3754,_T_3752}; // @[el2_lib.scala 310:69] - wire _T_3750 = _T_3722[5:0] == 6'h9; // @[el2_lib.scala 307:41] - wire _T_3748 = _T_3722[5:0] == 6'h8; // @[el2_lib.scala 307:41] - wire _T_3746 = _T_3722[5:0] == 6'h7; // @[el2_lib.scala 307:41] - wire _T_3744 = _T_3722[5:0] == 6'h6; // @[el2_lib.scala 307:41] - wire _T_3742 = _T_3722[5:0] == 6'h5; // @[el2_lib.scala 307:41] - wire _T_3740 = _T_3722[5:0] == 6'h4; // @[el2_lib.scala 307:41] - wire _T_3738 = _T_3722[5:0] == 6'h3; // @[el2_lib.scala 307:41] - wire _T_3736 = _T_3722[5:0] == 6'h2; // @[el2_lib.scala 307:41] - wire _T_3734 = _T_3722[5:0] == 6'h1; // @[el2_lib.scala 307:41] - wire [18:0] _T_3850 = {_T_3849,_T_3750,_T_3748,_T_3746,_T_3744,_T_3742,_T_3740,_T_3738,_T_3736,_T_3734}; // @[el2_lib.scala 310:69] - wire [38:0] _T_3870 = {_T_3868,_T_3859,_T_3850}; // @[el2_lib.scala 310:69] + wire _T_3810 = _T_3722[5:0] == 6'h27; // @[el2_lib.scala 306:41] + wire _T_3808 = _T_3722[5:0] == 6'h26; // @[el2_lib.scala 306:41] + wire _T_3806 = _T_3722[5:0] == 6'h25; // @[el2_lib.scala 306:41] + wire _T_3804 = _T_3722[5:0] == 6'h24; // @[el2_lib.scala 306:41] + wire _T_3802 = _T_3722[5:0] == 6'h23; // @[el2_lib.scala 306:41] + wire _T_3800 = _T_3722[5:0] == 6'h22; // @[el2_lib.scala 306:41] + wire _T_3798 = _T_3722[5:0] == 6'h21; // @[el2_lib.scala 306:41] + wire _T_3796 = _T_3722[5:0] == 6'h20; // @[el2_lib.scala 306:41] + wire _T_3794 = _T_3722[5:0] == 6'h1f; // @[el2_lib.scala 306:41] + wire _T_3792 = _T_3722[5:0] == 6'h1e; // @[el2_lib.scala 306:41] + wire [9:0] _T_3868 = {_T_3810,_T_3808,_T_3806,_T_3804,_T_3802,_T_3800,_T_3798,_T_3796,_T_3794,_T_3792}; // @[el2_lib.scala 309:69] + wire _T_3790 = _T_3722[5:0] == 6'h1d; // @[el2_lib.scala 306:41] + wire _T_3788 = _T_3722[5:0] == 6'h1c; // @[el2_lib.scala 306:41] + wire _T_3786 = _T_3722[5:0] == 6'h1b; // @[el2_lib.scala 306:41] + wire _T_3784 = _T_3722[5:0] == 6'h1a; // @[el2_lib.scala 306:41] + wire _T_3782 = _T_3722[5:0] == 6'h19; // @[el2_lib.scala 306:41] + wire _T_3780 = _T_3722[5:0] == 6'h18; // @[el2_lib.scala 306:41] + wire _T_3778 = _T_3722[5:0] == 6'h17; // @[el2_lib.scala 306:41] + wire _T_3776 = _T_3722[5:0] == 6'h16; // @[el2_lib.scala 306:41] + wire _T_3774 = _T_3722[5:0] == 6'h15; // @[el2_lib.scala 306:41] + wire _T_3772 = _T_3722[5:0] == 6'h14; // @[el2_lib.scala 306:41] + wire [9:0] _T_3859 = {_T_3790,_T_3788,_T_3786,_T_3784,_T_3782,_T_3780,_T_3778,_T_3776,_T_3774,_T_3772}; // @[el2_lib.scala 309:69] + wire _T_3770 = _T_3722[5:0] == 6'h13; // @[el2_lib.scala 306:41] + wire _T_3768 = _T_3722[5:0] == 6'h12; // @[el2_lib.scala 306:41] + wire _T_3766 = _T_3722[5:0] == 6'h11; // @[el2_lib.scala 306:41] + wire _T_3764 = _T_3722[5:0] == 6'h10; // @[el2_lib.scala 306:41] + wire _T_3762 = _T_3722[5:0] == 6'hf; // @[el2_lib.scala 306:41] + wire _T_3760 = _T_3722[5:0] == 6'he; // @[el2_lib.scala 306:41] + wire _T_3758 = _T_3722[5:0] == 6'hd; // @[el2_lib.scala 306:41] + wire _T_3756 = _T_3722[5:0] == 6'hc; // @[el2_lib.scala 306:41] + wire _T_3754 = _T_3722[5:0] == 6'hb; // @[el2_lib.scala 306:41] + wire _T_3752 = _T_3722[5:0] == 6'ha; // @[el2_lib.scala 306:41] + wire [9:0] _T_3849 = {_T_3770,_T_3768,_T_3766,_T_3764,_T_3762,_T_3760,_T_3758,_T_3756,_T_3754,_T_3752}; // @[el2_lib.scala 309:69] + wire _T_3750 = _T_3722[5:0] == 6'h9; // @[el2_lib.scala 306:41] + wire _T_3748 = _T_3722[5:0] == 6'h8; // @[el2_lib.scala 306:41] + wire _T_3746 = _T_3722[5:0] == 6'h7; // @[el2_lib.scala 306:41] + wire _T_3744 = _T_3722[5:0] == 6'h6; // @[el2_lib.scala 306:41] + wire _T_3742 = _T_3722[5:0] == 6'h5; // @[el2_lib.scala 306:41] + wire _T_3740 = _T_3722[5:0] == 6'h4; // @[el2_lib.scala 306:41] + wire _T_3738 = _T_3722[5:0] == 6'h3; // @[el2_lib.scala 306:41] + wire _T_3736 = _T_3722[5:0] == 6'h2; // @[el2_lib.scala 306:41] + wire _T_3734 = _T_3722[5:0] == 6'h1; // @[el2_lib.scala 306:41] + wire [18:0] _T_3850 = {_T_3849,_T_3750,_T_3748,_T_3746,_T_3744,_T_3742,_T_3740,_T_3738,_T_3736,_T_3734}; // @[el2_lib.scala 309:69] + wire [38:0] _T_3870 = {_T_3868,_T_3859,_T_3850}; // @[el2_lib.scala 309:69] wire [7:0] _T_3825 = {io_iccm_rd_data_ecc[74],io_iccm_rd_data_ecc[42:40],io_iccm_rd_data_ecc[73],io_iccm_rd_data_ecc[39],io_iccm_rd_data_ecc[72:71]}; // @[Cat.scala 29:58] wire [38:0] _T_3831 = {io_iccm_rd_data_ecc[77],io_iccm_rd_data_ecc[70:65],io_iccm_rd_data_ecc[76],io_iccm_rd_data_ecc[64:50],io_iccm_rd_data_ecc[75],io_iccm_rd_data_ecc[49:43],_T_3825}; // @[Cat.scala 29:58] - wire [38:0] _T_3871 = _T_3870 ^ _T_3831; // @[el2_lib.scala 310:76] - wire [38:0] _T_3872 = _T_3726 ? _T_3871 : _T_3831; // @[el2_lib.scala 310:31] + wire [38:0] _T_3871 = _T_3870 ^ _T_3831; // @[el2_lib.scala 309:76] + wire [38:0] _T_3872 = _T_3726 ? _T_3871 : _T_3831; // @[el2_lib.scala 309:31] wire [31:0] iccm_corrected_data_1 = {_T_3872[37:32],_T_3872[30:16],_T_3872[14:8],_T_3872[6:4],_T_3872[2]}; // @[Cat.scala 29:58] - wire [31:0] iccm_dma_rdata_1_muxed = dma_mem_addr_ff[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 648:35] - wire _T_3345 = ~_T_3337[6]; // @[el2_lib.scala 303:55] - wire _T_3346 = _T_3339 & _T_3345; // @[el2_lib.scala 303:53] - wire _T_3730 = ~_T_3722[6]; // @[el2_lib.scala 303:55] - wire _T_3731 = _T_3724 & _T_3730; // @[el2_lib.scala 303:53] + wire [31:0] iccm_dma_rdata_1_muxed = dma_mem_addr_ff[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 643:35] + wire _T_3345 = ~_T_3337[6]; // @[el2_lib.scala 302:55] + wire _T_3346 = _T_3339 & _T_3345; // @[el2_lib.scala 302:53] + wire _T_3730 = ~_T_3722[6]; // @[el2_lib.scala 302:55] + wire _T_3731 = _T_3724 & _T_3730; // @[el2_lib.scala 302:53] wire [1:0] iccm_double_ecc_error = {_T_3346,_T_3731}; // @[Cat.scala 29:58] - wire iccm_dma_ecc_error_in = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 650:53] + wire iccm_dma_ecc_error_in = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 645:53] wire [63:0] _T_3097 = {io_dma_mem_addr,io_dma_mem_addr}; // @[Cat.scala 29:58] wire [63:0] _T_3098 = {iccm_dma_rdata_1_muxed,_T_3487[37:32],_T_3487[30:16],_T_3487[14:8],_T_3487[6:4],_T_3487[2]}; // @[Cat.scala 29:58] - reg [2:0] dma_mem_tag_ff; // @[el2_ifu_mem_ctl.scala 652:54] - reg [2:0] iccm_dma_rtag_temp; // @[el2_ifu_mem_ctl.scala 653:74] - reg iccm_dma_rvalid_temp; // @[el2_ifu_mem_ctl.scala 658:76] - reg [63:0] iccm_dma_rdata_temp; // @[el2_ifu_mem_ctl.scala 662:75] - wire _T_3103 = _T_2678 & _T_2667; // @[el2_ifu_mem_ctl.scala 665:65] - wire _T_3106 = _T_3084 & iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 666:50] + reg [2:0] dma_mem_tag_ff; // @[el2_ifu_mem_ctl.scala 647:54] + reg [2:0] iccm_dma_rtag_temp; // @[el2_ifu_mem_ctl.scala 648:74] + reg iccm_dma_rvalid_temp; // @[el2_ifu_mem_ctl.scala 653:76] + reg [63:0] iccm_dma_rdata_temp; // @[el2_ifu_mem_ctl.scala 657:75] + wire _T_3103 = _T_2678 & _T_2667; // @[el2_ifu_mem_ctl.scala 660:65] + wire _T_3106 = _T_3084 & iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 661:50] reg [13:0] iccm_ecc_corr_index_ff; // @[Reg.scala 27:20] wire [14:0] _T_3107 = {iccm_ecc_corr_index_ff,1'h0}; // @[Cat.scala 29:58] - wire [15:0] _T_3109 = _T_3106 ? {{1'd0}, _T_3107} : io_ifc_fetch_addr_bf[15:0]; // @[el2_ifu_mem_ctl.scala 666:8] - wire [31:0] _T_3110 = _T_3103 ? io_dma_mem_addr : {{16'd0}, _T_3109}; // @[el2_ifu_mem_ctl.scala 665:25] - wire _T_3499 = _T_3337 == 7'h40; // @[el2_lib.scala 313:62] - wire _T_3500 = _T_3487[38] ^ _T_3499; // @[el2_lib.scala 313:44] + wire [15:0] _T_3109 = _T_3106 ? {{1'd0}, _T_3107} : io_ifc_fetch_addr_bf[15:0]; // @[el2_ifu_mem_ctl.scala 661:8] + wire [31:0] _T_3110 = _T_3103 ? io_dma_mem_addr : {{16'd0}, _T_3109}; // @[el2_ifu_mem_ctl.scala 660:25] + wire _T_3499 = _T_3337 == 7'h40; // @[el2_lib.scala 312:62] + wire _T_3500 = _T_3487[38] ^ _T_3499; // @[el2_lib.scala 312:44] wire [6:0] iccm_corrected_ecc_0 = {_T_3500,_T_3487[31],_T_3487[15],_T_3487[7],_T_3487[3],_T_3487[1:0]}; // @[Cat.scala 29:58] - wire _T_3884 = _T_3722 == 7'h40; // @[el2_lib.scala 313:62] - wire _T_3885 = _T_3872[38] ^ _T_3884; // @[el2_lib.scala 313:44] + wire _T_3884 = _T_3722 == 7'h40; // @[el2_lib.scala 312:62] + wire _T_3885 = _T_3872[38] ^ _T_3884; // @[el2_lib.scala 312:44] wire [6:0] iccm_corrected_ecc_1 = {_T_3885,_T_3872[31],_T_3872[15],_T_3872[7],_T_3872[3],_T_3872[1:0]}; // @[Cat.scala 29:58] - wire _T_3901 = _T_3 & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 678:58] - wire [31:0] iccm_corrected_data_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 680:38] - wire [6:0] iccm_corrected_ecc_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_ecc_0 : iccm_corrected_ecc_1; // @[el2_ifu_mem_ctl.scala 681:37] - reg iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 689:62] - wire _T_3909 = ~iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 683:76] - wire _T_3910 = io_iccm_rd_ecc_single_err & _T_3909; // @[el2_ifu_mem_ctl.scala 683:74] - wire _T_3912 = _T_3910 & _T_317; // @[el2_ifu_mem_ctl.scala 683:104] - wire iccm_ecc_write_status = _T_3912 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 683:127] - wire _T_3913 = io_iccm_rd_ecc_single_err | iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 684:67] - wire iccm_rd_ecc_single_err_hold_in = _T_3913 & _T_317; // @[el2_ifu_mem_ctl.scala 684:96] - reg [13:0] iccm_rw_addr_f; // @[el2_ifu_mem_ctl.scala 688:51] - wire [13:0] _T_3918 = iccm_rw_addr_f + 14'h1; // @[el2_ifu_mem_ctl.scala 687:102] + wire _T_3901 = _T_3 & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 673:58] + wire [31:0] iccm_corrected_data_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 675:38] + wire [6:0] iccm_corrected_ecc_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_ecc_0 : iccm_corrected_ecc_1; // @[el2_ifu_mem_ctl.scala 676:37] + reg iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 684:62] + wire _T_3909 = ~iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 678:76] + wire _T_3910 = io_iccm_rd_ecc_single_err & _T_3909; // @[el2_ifu_mem_ctl.scala 678:74] + wire _T_3912 = _T_3910 & _T_317; // @[el2_ifu_mem_ctl.scala 678:104] + wire iccm_ecc_write_status = _T_3912 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 678:127] + wire _T_3913 = io_iccm_rd_ecc_single_err | iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 679:67] + wire iccm_rd_ecc_single_err_hold_in = _T_3913 & _T_317; // @[el2_ifu_mem_ctl.scala 679:96] + reg [13:0] iccm_rw_addr_f; // @[el2_ifu_mem_ctl.scala 683:51] + wire [13:0] _T_3918 = iccm_rw_addr_f + 14'h1; // @[el2_ifu_mem_ctl.scala 682:102] wire [38:0] _T_3922 = {iccm_corrected_ecc_f_mux,iccm_corrected_data_f_mux}; // @[Cat.scala 29:58] - wire _T_3927 = ~io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 692:41] - wire _T_3928 = io_ifc_fetch_req_bf & _T_3927; // @[el2_ifu_mem_ctl.scala 692:39] - wire _T_3929 = ~io_ifc_iccm_access_bf; // @[el2_ifu_mem_ctl.scala 692:72] - wire _T_3930 = _T_3928 & _T_3929; // @[el2_ifu_mem_ctl.scala 692:70] - wire _T_3932 = ~miss_state_en; // @[el2_ifu_mem_ctl.scala 693:34] - wire _T_3933 = _T_2233 & _T_3932; // @[el2_ifu_mem_ctl.scala 693:32] - wire _T_3936 = _T_2249 & _T_3932; // @[el2_ifu_mem_ctl.scala 694:37] - wire _T_3937 = _T_3933 | _T_3936; // @[el2_ifu_mem_ctl.scala 693:88] - wire _T_3938 = miss_state == 3'h7; // @[el2_ifu_mem_ctl.scala 695:19] - wire _T_3940 = _T_3938 & _T_3932; // @[el2_ifu_mem_ctl.scala 695:41] - wire _T_3941 = _T_3937 | _T_3940; // @[el2_ifu_mem_ctl.scala 694:88] - wire _T_3942 = miss_state == 3'h3; // @[el2_ifu_mem_ctl.scala 696:19] - wire _T_3944 = _T_3942 & _T_3932; // @[el2_ifu_mem_ctl.scala 696:35] - wire _T_3945 = _T_3941 | _T_3944; // @[el2_ifu_mem_ctl.scala 695:88] - wire _T_3948 = _T_2248 & _T_3932; // @[el2_ifu_mem_ctl.scala 697:38] - wire _T_3949 = _T_3945 | _T_3948; // @[el2_ifu_mem_ctl.scala 696:88] - wire _T_3951 = _T_2249 & miss_state_en; // @[el2_ifu_mem_ctl.scala 698:37] - wire _T_3952 = miss_nxtstate == 3'h3; // @[el2_ifu_mem_ctl.scala 698:71] - wire _T_3953 = _T_3951 & _T_3952; // @[el2_ifu_mem_ctl.scala 698:54] - wire _T_3954 = _T_3949 | _T_3953; // @[el2_ifu_mem_ctl.scala 697:57] - wire _T_3955 = ~_T_3954; // @[el2_ifu_mem_ctl.scala 693:5] - wire _T_3956 = _T_3930 & _T_3955; // @[el2_ifu_mem_ctl.scala 692:96] - wire _T_3957 = io_ifc_fetch_req_bf & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 699:28] - wire _T_3959 = _T_3957 & _T_3927; // @[el2_ifu_mem_ctl.scala 699:50] - wire _T_3961 = _T_3959 & _T_3929; // @[el2_ifu_mem_ctl.scala 699:81] + wire _T_3927 = ~io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 687:41] + wire _T_3928 = io_ifc_fetch_req_bf & _T_3927; // @[el2_ifu_mem_ctl.scala 687:39] + wire _T_3929 = ~io_ifc_iccm_access_bf; // @[el2_ifu_mem_ctl.scala 687:72] + wire _T_3930 = _T_3928 & _T_3929; // @[el2_ifu_mem_ctl.scala 687:70] + wire _T_3932 = ~miss_state_en; // @[el2_ifu_mem_ctl.scala 688:34] + wire _T_3933 = _T_2233 & _T_3932; // @[el2_ifu_mem_ctl.scala 688:32] + wire _T_3936 = _T_2249 & _T_3932; // @[el2_ifu_mem_ctl.scala 689:37] + wire _T_3937 = _T_3933 | _T_3936; // @[el2_ifu_mem_ctl.scala 688:88] + wire _T_3938 = miss_state == 3'h7; // @[el2_ifu_mem_ctl.scala 690:19] + wire _T_3940 = _T_3938 & _T_3932; // @[el2_ifu_mem_ctl.scala 690:41] + wire _T_3941 = _T_3937 | _T_3940; // @[el2_ifu_mem_ctl.scala 689:88] + wire _T_3942 = miss_state == 3'h3; // @[el2_ifu_mem_ctl.scala 691:19] + wire _T_3944 = _T_3942 & _T_3932; // @[el2_ifu_mem_ctl.scala 691:35] + wire _T_3945 = _T_3941 | _T_3944; // @[el2_ifu_mem_ctl.scala 690:88] + wire _T_3948 = _T_2248 & _T_3932; // @[el2_ifu_mem_ctl.scala 692:38] + wire _T_3949 = _T_3945 | _T_3948; // @[el2_ifu_mem_ctl.scala 691:88] + wire _T_3951 = _T_2249 & miss_state_en; // @[el2_ifu_mem_ctl.scala 693:37] + wire _T_3952 = miss_nxtstate == 3'h3; // @[el2_ifu_mem_ctl.scala 693:71] + wire _T_3953 = _T_3951 & _T_3952; // @[el2_ifu_mem_ctl.scala 693:54] + wire _T_3954 = _T_3949 | _T_3953; // @[el2_ifu_mem_ctl.scala 692:57] + wire _T_3955 = ~_T_3954; // @[el2_ifu_mem_ctl.scala 688:5] + wire _T_3956 = _T_3930 & _T_3955; // @[el2_ifu_mem_ctl.scala 687:96] + wire _T_3957 = io_ifc_fetch_req_bf & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 694:28] + wire _T_3959 = _T_3957 & _T_3927; // @[el2_ifu_mem_ctl.scala 694:50] + wire _T_3961 = _T_3959 & _T_3929; // @[el2_ifu_mem_ctl.scala 694:81] wire [1:0] _T_3964 = write_ic_16_bytes ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_10402 = bus_ifu_wr_en_ff_q & replace_way_mb_any_1; // @[el2_ifu_mem_ctl.scala 796:74] - wire bus_wren_1 = _T_10402 & miss_pending; // @[el2_ifu_mem_ctl.scala 796:98] - wire _T_10401 = bus_ifu_wr_en_ff_q & replace_way_mb_any_0; // @[el2_ifu_mem_ctl.scala 796:74] - wire bus_wren_0 = _T_10401 & miss_pending; // @[el2_ifu_mem_ctl.scala 796:98] + wire _T_10402 = bus_ifu_wr_en_ff_q & replace_way_mb_any_1; // @[el2_ifu_mem_ctl.scala 791:74] + wire bus_wren_1 = _T_10402 & miss_pending; // @[el2_ifu_mem_ctl.scala 791:98] + wire _T_10401 = bus_ifu_wr_en_ff_q & replace_way_mb_any_0; // @[el2_ifu_mem_ctl.scala 791:74] + wire bus_wren_0 = _T_10401 & miss_pending; // @[el2_ifu_mem_ctl.scala 791:98] wire [1:0] bus_ic_wr_en = {bus_wren_1,bus_wren_0}; // @[Cat.scala 29:58] - wire _T_3970 = ~_T_108; // @[el2_ifu_mem_ctl.scala 702:106] - wire _T_3971 = _T_2233 & _T_3970; // @[el2_ifu_mem_ctl.scala 702:104] - wire _T_3972 = _T_2249 | _T_3971; // @[el2_ifu_mem_ctl.scala 702:77] - wire _T_3976 = ~_T_51; // @[el2_ifu_mem_ctl.scala 702:172] - wire _T_3977 = _T_3972 & _T_3976; // @[el2_ifu_mem_ctl.scala 702:170] - wire _T_3978 = ~_T_3977; // @[el2_ifu_mem_ctl.scala 702:44] - wire _T_3982 = reset_ic_in | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 705:64] - wire _T_3983 = ~_T_3982; // @[el2_ifu_mem_ctl.scala 705:50] - wire _T_3984 = _T_276 & _T_3983; // @[el2_ifu_mem_ctl.scala 705:48] - wire _T_3985 = ~reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 705:81] - wire ic_valid = _T_3984 & _T_3985; // @[el2_ifu_mem_ctl.scala 705:79] - wire _T_3987 = debug_c1_clken & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 706:82] - reg [6:0] ifu_status_wr_addr_ff; // @[el2_ifu_mem_ctl.scala 709:14] - wire _T_3990 = io_ic_debug_wr_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 712:74] - wire _T_10399 = bus_ifu_wr_en_ff_q & last_beat; // @[el2_ifu_mem_ctl.scala 795:45] - wire way_status_wr_en = _T_10399 | ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 795:58] - wire way_status_wr_en_w_debug = way_status_wr_en | _T_3990; // @[el2_ifu_mem_ctl.scala 712:53] - reg way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 714:14] - wire way_status_hit_new = io_ic_rd_hit[0]; // @[el2_ifu_mem_ctl.scala 791:41] - reg way_status_new_ff; // @[el2_ifu_mem_ctl.scala 722:14] - wire way_status_clken_0 = ifu_status_wr_addr_ff[6:3] == 4'h0; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_1 = ifu_status_wr_addr_ff[6:3] == 4'h1; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_2 = ifu_status_wr_addr_ff[6:3] == 4'h2; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_3 = ifu_status_wr_addr_ff[6:3] == 4'h3; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_4 = ifu_status_wr_addr_ff[6:3] == 4'h4; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_5 = ifu_status_wr_addr_ff[6:3] == 4'h5; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_6 = ifu_status_wr_addr_ff[6:3] == 4'h6; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_7 = ifu_status_wr_addr_ff[6:3] == 4'h7; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_8 = ifu_status_wr_addr_ff[6:3] == 4'h8; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_9 = ifu_status_wr_addr_ff[6:3] == 4'h9; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_10 = ifu_status_wr_addr_ff[6:3] == 4'ha; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_11 = ifu_status_wr_addr_ff[6:3] == 4'hb; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_12 = ifu_status_wr_addr_ff[6:3] == 4'hc; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_13 = ifu_status_wr_addr_ff[6:3] == 4'hd; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_14 = ifu_status_wr_addr_ff[6:3] == 4'he; // @[el2_ifu_mem_ctl.scala 724:132] - wire way_status_clken_15 = ifu_status_wr_addr_ff[6:3] == 4'hf; // @[el2_ifu_mem_ctl.scala 724:132] - wire _T_4010 = ifu_status_wr_addr_ff[2:0] == 3'h0; // @[el2_ifu_mem_ctl.scala 728:100] - wire _T_4011 = _T_4010 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 728:108] - wire _T_4012 = _T_4011 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4015 = ifu_status_wr_addr_ff[2:0] == 3'h1; // @[el2_ifu_mem_ctl.scala 728:100] - wire _T_4016 = _T_4015 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 728:108] - wire _T_4017 = _T_4016 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4020 = ifu_status_wr_addr_ff[2:0] == 3'h2; // @[el2_ifu_mem_ctl.scala 728:100] - wire _T_4021 = _T_4020 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 728:108] - wire _T_4022 = _T_4021 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4025 = ifu_status_wr_addr_ff[2:0] == 3'h3; // @[el2_ifu_mem_ctl.scala 728:100] - wire _T_4026 = _T_4025 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 728:108] - wire _T_4027 = _T_4026 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4030 = ifu_status_wr_addr_ff[2:0] == 3'h4; // @[el2_ifu_mem_ctl.scala 728:100] - wire _T_4031 = _T_4030 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 728:108] - wire _T_4032 = _T_4031 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4035 = ifu_status_wr_addr_ff[2:0] == 3'h5; // @[el2_ifu_mem_ctl.scala 728:100] - wire _T_4036 = _T_4035 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 728:108] - wire _T_4037 = _T_4036 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4040 = ifu_status_wr_addr_ff[2:0] == 3'h6; // @[el2_ifu_mem_ctl.scala 728:100] - wire _T_4041 = _T_4040 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 728:108] - wire _T_4042 = _T_4041 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4045 = ifu_status_wr_addr_ff[2:0] == 3'h7; // @[el2_ifu_mem_ctl.scala 728:100] - wire _T_4046 = _T_4045 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 728:108] - wire _T_4047 = _T_4046 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4052 = _T_4011 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4057 = _T_4016 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4062 = _T_4021 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4067 = _T_4026 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4072 = _T_4031 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4077 = _T_4036 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4082 = _T_4041 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4087 = _T_4046 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4092 = _T_4011 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4097 = _T_4016 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4102 = _T_4021 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4107 = _T_4026 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4112 = _T_4031 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4117 = _T_4036 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4122 = _T_4041 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4127 = _T_4046 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4132 = _T_4011 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4137 = _T_4016 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4142 = _T_4021 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4147 = _T_4026 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4152 = _T_4031 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4157 = _T_4036 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4162 = _T_4041 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4167 = _T_4046 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4172 = _T_4011 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4177 = _T_4016 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4182 = _T_4021 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4187 = _T_4026 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4192 = _T_4031 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4197 = _T_4036 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4202 = _T_4041 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4207 = _T_4046 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4212 = _T_4011 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4217 = _T_4016 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4222 = _T_4021 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4227 = _T_4026 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4232 = _T_4031 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4237 = _T_4036 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4242 = _T_4041 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4247 = _T_4046 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4252 = _T_4011 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4257 = _T_4016 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4262 = _T_4021 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4267 = _T_4026 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4272 = _T_4031 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4277 = _T_4036 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4282 = _T_4041 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4287 = _T_4046 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4292 = _T_4011 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4297 = _T_4016 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4302 = _T_4021 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4307 = _T_4026 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4312 = _T_4031 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4317 = _T_4036 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4322 = _T_4041 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4327 = _T_4046 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4332 = _T_4011 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4337 = _T_4016 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4342 = _T_4021 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4347 = _T_4026 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4352 = _T_4031 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4357 = _T_4036 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4362 = _T_4041 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4367 = _T_4046 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4372 = _T_4011 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4377 = _T_4016 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4382 = _T_4021 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4387 = _T_4026 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4392 = _T_4031 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4397 = _T_4036 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4402 = _T_4041 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4407 = _T_4046 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4412 = _T_4011 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4417 = _T_4016 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4422 = _T_4021 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4427 = _T_4026 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4432 = _T_4031 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4437 = _T_4036 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4442 = _T_4041 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4447 = _T_4046 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4452 = _T_4011 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4457 = _T_4016 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4462 = _T_4021 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4467 = _T_4026 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4472 = _T_4031 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4477 = _T_4036 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4482 = _T_4041 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4487 = _T_4046 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4492 = _T_4011 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4497 = _T_4016 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4502 = _T_4021 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4507 = _T_4026 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4512 = _T_4031 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4517 = _T_4036 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4522 = _T_4041 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4527 = _T_4046 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4532 = _T_4011 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4537 = _T_4016 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4542 = _T_4021 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4547 = _T_4026 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4552 = _T_4031 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4557 = _T_4036 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4562 = _T_4041 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4567 = _T_4046 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4572 = _T_4011 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4577 = _T_4016 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4582 = _T_4021 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4587 = _T_4026 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4592 = _T_4031 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4597 = _T_4036 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4602 = _T_4041 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4607 = _T_4046 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4612 = _T_4011 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4617 = _T_4016 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4622 = _T_4021 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4627 = _T_4026 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4632 = _T_4031 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4637 = _T_4036 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4642 = _T_4041 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_4647 = _T_4046 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 728:131] - wire _T_10405 = _T_100 & replace_way_mb_any_1; // @[el2_ifu_mem_ctl.scala 798:84] - wire _T_10406 = _T_10405 & miss_pending; // @[el2_ifu_mem_ctl.scala 798:108] - wire bus_wren_last_1 = _T_10406 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 798:123] - wire wren_reset_miss_1 = replace_way_mb_any_1 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 799:84] - wire _T_10408 = bus_wren_last_1 | wren_reset_miss_1; // @[el2_ifu_mem_ctl.scala 800:73] - wire _T_10403 = _T_100 & replace_way_mb_any_0; // @[el2_ifu_mem_ctl.scala 798:84] - wire _T_10404 = _T_10403 & miss_pending; // @[el2_ifu_mem_ctl.scala 798:108] - wire bus_wren_last_0 = _T_10404 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 798:123] - wire wren_reset_miss_0 = replace_way_mb_any_0 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 799:84] - wire _T_10407 = bus_wren_last_0 | wren_reset_miss_0; // @[el2_ifu_mem_ctl.scala 800:73] + wire _T_3970 = ~_T_108; // @[el2_ifu_mem_ctl.scala 697:106] + wire _T_3971 = _T_2233 & _T_3970; // @[el2_ifu_mem_ctl.scala 697:104] + wire _T_3972 = _T_2249 | _T_3971; // @[el2_ifu_mem_ctl.scala 697:77] + wire _T_3976 = ~_T_51; // @[el2_ifu_mem_ctl.scala 697:172] + wire _T_3977 = _T_3972 & _T_3976; // @[el2_ifu_mem_ctl.scala 697:170] + wire _T_3978 = ~_T_3977; // @[el2_ifu_mem_ctl.scala 697:44] + wire _T_3982 = reset_ic_in | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 700:64] + wire _T_3983 = ~_T_3982; // @[el2_ifu_mem_ctl.scala 700:50] + wire _T_3984 = _T_276 & _T_3983; // @[el2_ifu_mem_ctl.scala 700:48] + wire _T_3985 = ~reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 700:81] + wire ic_valid = _T_3984 & _T_3985; // @[el2_ifu_mem_ctl.scala 700:79] + wire _T_3987 = debug_c1_clken & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 701:82] + reg [6:0] ifu_status_wr_addr_ff; // @[el2_ifu_mem_ctl.scala 704:14] + wire _T_3990 = io_ic_debug_wr_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 707:74] + wire _T_10399 = bus_ifu_wr_en_ff_q & last_beat; // @[el2_ifu_mem_ctl.scala 790:45] + wire way_status_wr_en = _T_10399 | ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 790:58] + wire way_status_wr_en_w_debug = way_status_wr_en | _T_3990; // @[el2_ifu_mem_ctl.scala 707:53] + reg way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 709:14] + wire way_status_hit_new = io_ic_rd_hit[0]; // @[el2_ifu_mem_ctl.scala 786:41] + reg way_status_new_ff; // @[el2_ifu_mem_ctl.scala 717:14] + wire way_status_clken_0 = ifu_status_wr_addr_ff[6:3] == 4'h0; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_1 = ifu_status_wr_addr_ff[6:3] == 4'h1; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_2 = ifu_status_wr_addr_ff[6:3] == 4'h2; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_3 = ifu_status_wr_addr_ff[6:3] == 4'h3; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_4 = ifu_status_wr_addr_ff[6:3] == 4'h4; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_5 = ifu_status_wr_addr_ff[6:3] == 4'h5; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_6 = ifu_status_wr_addr_ff[6:3] == 4'h6; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_7 = ifu_status_wr_addr_ff[6:3] == 4'h7; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_8 = ifu_status_wr_addr_ff[6:3] == 4'h8; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_9 = ifu_status_wr_addr_ff[6:3] == 4'h9; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_10 = ifu_status_wr_addr_ff[6:3] == 4'ha; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_11 = ifu_status_wr_addr_ff[6:3] == 4'hb; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_12 = ifu_status_wr_addr_ff[6:3] == 4'hc; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_13 = ifu_status_wr_addr_ff[6:3] == 4'hd; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_14 = ifu_status_wr_addr_ff[6:3] == 4'he; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_15 = ifu_status_wr_addr_ff[6:3] == 4'hf; // @[el2_ifu_mem_ctl.scala 719:132] + wire _T_4010 = ifu_status_wr_addr_ff[2:0] == 3'h0; // @[el2_ifu_mem_ctl.scala 723:100] + wire _T_4011 = _T_4010 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:108] + wire _T_4012 = _T_4011 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4015 = ifu_status_wr_addr_ff[2:0] == 3'h1; // @[el2_ifu_mem_ctl.scala 723:100] + wire _T_4016 = _T_4015 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:108] + wire _T_4017 = _T_4016 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4020 = ifu_status_wr_addr_ff[2:0] == 3'h2; // @[el2_ifu_mem_ctl.scala 723:100] + wire _T_4021 = _T_4020 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:108] + wire _T_4022 = _T_4021 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4025 = ifu_status_wr_addr_ff[2:0] == 3'h3; // @[el2_ifu_mem_ctl.scala 723:100] + wire _T_4026 = _T_4025 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:108] + wire _T_4027 = _T_4026 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4030 = ifu_status_wr_addr_ff[2:0] == 3'h4; // @[el2_ifu_mem_ctl.scala 723:100] + wire _T_4031 = _T_4030 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:108] + wire _T_4032 = _T_4031 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4035 = ifu_status_wr_addr_ff[2:0] == 3'h5; // @[el2_ifu_mem_ctl.scala 723:100] + wire _T_4036 = _T_4035 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:108] + wire _T_4037 = _T_4036 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4040 = ifu_status_wr_addr_ff[2:0] == 3'h6; // @[el2_ifu_mem_ctl.scala 723:100] + wire _T_4041 = _T_4040 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:108] + wire _T_4042 = _T_4041 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4045 = ifu_status_wr_addr_ff[2:0] == 3'h7; // @[el2_ifu_mem_ctl.scala 723:100] + wire _T_4046 = _T_4045 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:108] + wire _T_4047 = _T_4046 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4052 = _T_4011 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4057 = _T_4016 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4062 = _T_4021 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4067 = _T_4026 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4072 = _T_4031 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4077 = _T_4036 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4082 = _T_4041 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4087 = _T_4046 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4092 = _T_4011 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4097 = _T_4016 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4102 = _T_4021 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4107 = _T_4026 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4112 = _T_4031 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4117 = _T_4036 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4122 = _T_4041 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4127 = _T_4046 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4132 = _T_4011 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4137 = _T_4016 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4142 = _T_4021 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4147 = _T_4026 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4152 = _T_4031 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4157 = _T_4036 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4162 = _T_4041 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4167 = _T_4046 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4172 = _T_4011 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4177 = _T_4016 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4182 = _T_4021 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4187 = _T_4026 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4192 = _T_4031 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4197 = _T_4036 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4202 = _T_4041 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4207 = _T_4046 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4212 = _T_4011 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4217 = _T_4016 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4222 = _T_4021 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4227 = _T_4026 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4232 = _T_4031 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4237 = _T_4036 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4242 = _T_4041 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4247 = _T_4046 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4252 = _T_4011 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4257 = _T_4016 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4262 = _T_4021 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4267 = _T_4026 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4272 = _T_4031 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4277 = _T_4036 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4282 = _T_4041 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4287 = _T_4046 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4292 = _T_4011 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4297 = _T_4016 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4302 = _T_4021 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4307 = _T_4026 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4312 = _T_4031 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4317 = _T_4036 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4322 = _T_4041 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4327 = _T_4046 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4332 = _T_4011 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4337 = _T_4016 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4342 = _T_4021 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4347 = _T_4026 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4352 = _T_4031 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4357 = _T_4036 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4362 = _T_4041 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4367 = _T_4046 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4372 = _T_4011 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4377 = _T_4016 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4382 = _T_4021 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4387 = _T_4026 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4392 = _T_4031 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4397 = _T_4036 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4402 = _T_4041 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4407 = _T_4046 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4412 = _T_4011 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4417 = _T_4016 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4422 = _T_4021 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4427 = _T_4026 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4432 = _T_4031 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4437 = _T_4036 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4442 = _T_4041 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4447 = _T_4046 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4452 = _T_4011 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4457 = _T_4016 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4462 = _T_4021 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4467 = _T_4026 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4472 = _T_4031 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4477 = _T_4036 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4482 = _T_4041 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4487 = _T_4046 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4492 = _T_4011 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4497 = _T_4016 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4502 = _T_4021 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4507 = _T_4026 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4512 = _T_4031 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4517 = _T_4036 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4522 = _T_4041 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4527 = _T_4046 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4532 = _T_4011 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4537 = _T_4016 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4542 = _T_4021 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4547 = _T_4026 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4552 = _T_4031 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4557 = _T_4036 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4562 = _T_4041 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4567 = _T_4046 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4572 = _T_4011 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4577 = _T_4016 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4582 = _T_4021 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4587 = _T_4026 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4592 = _T_4031 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4597 = _T_4036 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4602 = _T_4041 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4607 = _T_4046 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4612 = _T_4011 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4617 = _T_4016 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4622 = _T_4021 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4627 = _T_4026 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4632 = _T_4031 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4637 = _T_4036 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4642 = _T_4041 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_4647 = _T_4046 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:131] + wire _T_10405 = _T_100 & replace_way_mb_any_1; // @[el2_ifu_mem_ctl.scala 793:84] + wire _T_10406 = _T_10405 & miss_pending; // @[el2_ifu_mem_ctl.scala 793:108] + wire bus_wren_last_1 = _T_10406 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 793:123] + wire wren_reset_miss_1 = replace_way_mb_any_1 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 794:84] + wire _T_10408 = bus_wren_last_1 | wren_reset_miss_1; // @[el2_ifu_mem_ctl.scala 795:73] + wire _T_10403 = _T_100 & replace_way_mb_any_0; // @[el2_ifu_mem_ctl.scala 793:84] + wire _T_10404 = _T_10403 & miss_pending; // @[el2_ifu_mem_ctl.scala 793:108] + wire bus_wren_last_0 = _T_10404 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 793:123] + wire wren_reset_miss_0 = replace_way_mb_any_0 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 794:84] + wire _T_10407 = bus_wren_last_0 | wren_reset_miss_0; // @[el2_ifu_mem_ctl.scala 795:73] wire [1:0] ifu_tag_wren = {_T_10408,_T_10407}; // @[Cat.scala 29:58] wire [1:0] _T_10443 = _T_3990 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] ic_debug_tag_wr_en = _T_10443 & io_ic_debug_way; // @[el2_ifu_mem_ctl.scala 834:90] - wire [1:0] ifu_tag_wren_w_debug = ifu_tag_wren | ic_debug_tag_wr_en; // @[el2_ifu_mem_ctl.scala 741:45] - reg [1:0] ifu_tag_wren_ff; // @[el2_ifu_mem_ctl.scala 743:14] - reg ic_valid_ff; // @[el2_ifu_mem_ctl.scala 747:14] - wire _T_5181 = ifu_ic_rw_int_addr_ff[6:5] == 2'h0; // @[el2_ifu_mem_ctl.scala 751:78] - wire _T_5183 = _T_5181 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:87] - wire _T_5185 = perr_ic_index_ff[6:5] == 2'h0; // @[el2_ifu_mem_ctl.scala 752:70] - wire _T_5187 = _T_5185 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:79] - wire _T_5188 = _T_5183 | _T_5187; // @[el2_ifu_mem_ctl.scala 751:109] - wire _T_5189 = _T_5188 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:102] - wire _T_5193 = _T_5181 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:87] - wire _T_5197 = _T_5185 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:79] - wire _T_5198 = _T_5193 | _T_5197; // @[el2_ifu_mem_ctl.scala 751:109] - wire _T_5199 = _T_5198 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:102] + wire [1:0] ic_debug_tag_wr_en = _T_10443 & io_ic_debug_way; // @[el2_ifu_mem_ctl.scala 829:90] + wire [1:0] ifu_tag_wren_w_debug = ifu_tag_wren | ic_debug_tag_wr_en; // @[el2_ifu_mem_ctl.scala 736:45] + reg [1:0] ifu_tag_wren_ff; // @[el2_ifu_mem_ctl.scala 738:14] + reg ic_valid_ff; // @[el2_ifu_mem_ctl.scala 742:14] + wire _T_5181 = ifu_ic_rw_int_addr_ff[6:5] == 2'h0; // @[el2_ifu_mem_ctl.scala 746:78] + wire _T_5183 = _T_5181 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 746:87] + wire _T_5185 = perr_ic_index_ff[6:5] == 2'h0; // @[el2_ifu_mem_ctl.scala 747:70] + wire _T_5187 = _T_5185 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 747:79] + wire _T_5188 = _T_5183 | _T_5187; // @[el2_ifu_mem_ctl.scala 746:109] + wire _T_5189 = _T_5188 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:102] + wire _T_5193 = _T_5181 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 746:87] + wire _T_5197 = _T_5185 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 747:79] + wire _T_5198 = _T_5193 | _T_5197; // @[el2_ifu_mem_ctl.scala 746:109] + wire _T_5199 = _T_5198 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:102] wire [1:0] tag_valid_clken_0 = {_T_5199,_T_5189}; // @[Cat.scala 29:58] - wire _T_5201 = ifu_ic_rw_int_addr_ff[6:5] == 2'h1; // @[el2_ifu_mem_ctl.scala 751:78] - wire _T_5203 = _T_5201 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:87] - wire _T_5205 = perr_ic_index_ff[6:5] == 2'h1; // @[el2_ifu_mem_ctl.scala 752:70] - wire _T_5207 = _T_5205 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:79] - wire _T_5208 = _T_5203 | _T_5207; // @[el2_ifu_mem_ctl.scala 751:109] - wire _T_5209 = _T_5208 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:102] - wire _T_5213 = _T_5201 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:87] - wire _T_5217 = _T_5205 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:79] - wire _T_5218 = _T_5213 | _T_5217; // @[el2_ifu_mem_ctl.scala 751:109] - wire _T_5219 = _T_5218 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5201 = ifu_ic_rw_int_addr_ff[6:5] == 2'h1; // @[el2_ifu_mem_ctl.scala 746:78] + wire _T_5203 = _T_5201 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 746:87] + wire _T_5205 = perr_ic_index_ff[6:5] == 2'h1; // @[el2_ifu_mem_ctl.scala 747:70] + wire _T_5207 = _T_5205 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 747:79] + wire _T_5208 = _T_5203 | _T_5207; // @[el2_ifu_mem_ctl.scala 746:109] + wire _T_5209 = _T_5208 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:102] + wire _T_5213 = _T_5201 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 746:87] + wire _T_5217 = _T_5205 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 747:79] + wire _T_5218 = _T_5213 | _T_5217; // @[el2_ifu_mem_ctl.scala 746:109] + wire _T_5219 = _T_5218 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:102] wire [1:0] tag_valid_clken_1 = {_T_5219,_T_5209}; // @[Cat.scala 29:58] - wire _T_5221 = ifu_ic_rw_int_addr_ff[6:5] == 2'h2; // @[el2_ifu_mem_ctl.scala 751:78] - wire _T_5223 = _T_5221 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:87] - wire _T_5225 = perr_ic_index_ff[6:5] == 2'h2; // @[el2_ifu_mem_ctl.scala 752:70] - wire _T_5227 = _T_5225 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:79] - wire _T_5228 = _T_5223 | _T_5227; // @[el2_ifu_mem_ctl.scala 751:109] - wire _T_5229 = _T_5228 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:102] - wire _T_5233 = _T_5221 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:87] - wire _T_5237 = _T_5225 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:79] - wire _T_5238 = _T_5233 | _T_5237; // @[el2_ifu_mem_ctl.scala 751:109] - wire _T_5239 = _T_5238 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5221 = ifu_ic_rw_int_addr_ff[6:5] == 2'h2; // @[el2_ifu_mem_ctl.scala 746:78] + wire _T_5223 = _T_5221 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 746:87] + wire _T_5225 = perr_ic_index_ff[6:5] == 2'h2; // @[el2_ifu_mem_ctl.scala 747:70] + wire _T_5227 = _T_5225 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 747:79] + wire _T_5228 = _T_5223 | _T_5227; // @[el2_ifu_mem_ctl.scala 746:109] + wire _T_5229 = _T_5228 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:102] + wire _T_5233 = _T_5221 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 746:87] + wire _T_5237 = _T_5225 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 747:79] + wire _T_5238 = _T_5233 | _T_5237; // @[el2_ifu_mem_ctl.scala 746:109] + wire _T_5239 = _T_5238 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:102] wire [1:0] tag_valid_clken_2 = {_T_5239,_T_5229}; // @[Cat.scala 29:58] - wire _T_5241 = ifu_ic_rw_int_addr_ff[6:5] == 2'h3; // @[el2_ifu_mem_ctl.scala 751:78] - wire _T_5243 = _T_5241 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:87] - wire _T_5245 = perr_ic_index_ff[6:5] == 2'h3; // @[el2_ifu_mem_ctl.scala 752:70] - wire _T_5247 = _T_5245 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 752:79] - wire _T_5248 = _T_5243 | _T_5247; // @[el2_ifu_mem_ctl.scala 751:109] - wire _T_5249 = _T_5248 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:102] - wire _T_5253 = _T_5241 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:87] - wire _T_5257 = _T_5245 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 752:79] - wire _T_5258 = _T_5253 | _T_5257; // @[el2_ifu_mem_ctl.scala 751:109] - wire _T_5259 = _T_5258 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 752:102] + wire _T_5241 = ifu_ic_rw_int_addr_ff[6:5] == 2'h3; // @[el2_ifu_mem_ctl.scala 746:78] + wire _T_5243 = _T_5241 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 746:87] + wire _T_5245 = perr_ic_index_ff[6:5] == 2'h3; // @[el2_ifu_mem_ctl.scala 747:70] + wire _T_5247 = _T_5245 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 747:79] + wire _T_5248 = _T_5243 | _T_5247; // @[el2_ifu_mem_ctl.scala 746:109] + wire _T_5249 = _T_5248 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:102] + wire _T_5253 = _T_5241 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 746:87] + wire _T_5257 = _T_5245 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 747:79] + wire _T_5258 = _T_5253 | _T_5257; // @[el2_ifu_mem_ctl.scala 746:109] + wire _T_5259 = _T_5258 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:102] wire [1:0] tag_valid_clken_3 = {_T_5259,_T_5249}; // @[Cat.scala 29:58] - wire _T_5262 = ic_valid_ff & _T_195; // @[el2_ifu_mem_ctl.scala 760:66] - wire _T_5263 = ~perr_sel_invalidate; // @[el2_ifu_mem_ctl.scala 760:93] - wire _T_5264 = _T_5262 & _T_5263; // @[el2_ifu_mem_ctl.scala 760:91] - wire _T_5267 = _T_4789 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5268 = perr_ic_index_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5270 = _T_5268 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5271 = _T_5267 | _T_5270; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5272 = _T_5271 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5274 = _T_5272 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5284 = _T_4790 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5285 = perr_ic_index_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5287 = _T_5285 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5288 = _T_5284 | _T_5287; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5289 = _T_5288 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5291 = _T_5289 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5301 = _T_4791 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5302 = perr_ic_index_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5304 = _T_5302 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5305 = _T_5301 | _T_5304; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5306 = _T_5305 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5308 = _T_5306 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5318 = _T_4792 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5319 = perr_ic_index_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5321 = _T_5319 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5322 = _T_5318 | _T_5321; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5323 = _T_5322 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5325 = _T_5323 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5335 = _T_4793 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5336 = perr_ic_index_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5338 = _T_5336 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5339 = _T_5335 | _T_5338; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5340 = _T_5339 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5342 = _T_5340 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5352 = _T_4794 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5353 = perr_ic_index_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5355 = _T_5353 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5356 = _T_5352 | _T_5355; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5357 = _T_5356 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5359 = _T_5357 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5369 = _T_4795 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5370 = perr_ic_index_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5372 = _T_5370 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5373 = _T_5369 | _T_5372; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5374 = _T_5373 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5376 = _T_5374 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5386 = _T_4796 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5387 = perr_ic_index_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5389 = _T_5387 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5390 = _T_5386 | _T_5389; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5391 = _T_5390 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5393 = _T_5391 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5403 = _T_4797 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5404 = perr_ic_index_ff == 7'h8; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5406 = _T_5404 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5407 = _T_5403 | _T_5406; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5408 = _T_5407 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5410 = _T_5408 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5420 = _T_4798 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5421 = perr_ic_index_ff == 7'h9; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5423 = _T_5421 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5424 = _T_5420 | _T_5423; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5425 = _T_5424 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5427 = _T_5425 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5437 = _T_4799 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5438 = perr_ic_index_ff == 7'ha; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5440 = _T_5438 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5441 = _T_5437 | _T_5440; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5442 = _T_5441 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5444 = _T_5442 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5454 = _T_4800 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5455 = perr_ic_index_ff == 7'hb; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5457 = _T_5455 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5458 = _T_5454 | _T_5457; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5459 = _T_5458 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5461 = _T_5459 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5471 = _T_4801 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5472 = perr_ic_index_ff == 7'hc; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5474 = _T_5472 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5475 = _T_5471 | _T_5474; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5476 = _T_5475 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5478 = _T_5476 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5488 = _T_4802 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5489 = perr_ic_index_ff == 7'hd; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5491 = _T_5489 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5492 = _T_5488 | _T_5491; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5493 = _T_5492 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5495 = _T_5493 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5505 = _T_4803 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5506 = perr_ic_index_ff == 7'he; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5508 = _T_5506 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5509 = _T_5505 | _T_5508; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5510 = _T_5509 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5512 = _T_5510 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5522 = _T_4804 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5523 = perr_ic_index_ff == 7'hf; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5525 = _T_5523 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5526 = _T_5522 | _T_5525; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5527 = _T_5526 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5529 = _T_5527 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5539 = _T_4805 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5540 = perr_ic_index_ff == 7'h10; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5542 = _T_5540 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5543 = _T_5539 | _T_5542; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5544 = _T_5543 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5546 = _T_5544 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5556 = _T_4806 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5557 = perr_ic_index_ff == 7'h11; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5559 = _T_5557 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5560 = _T_5556 | _T_5559; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5561 = _T_5560 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5563 = _T_5561 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5573 = _T_4807 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5574 = perr_ic_index_ff == 7'h12; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5576 = _T_5574 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5577 = _T_5573 | _T_5576; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5578 = _T_5577 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5580 = _T_5578 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5590 = _T_4808 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5591 = perr_ic_index_ff == 7'h13; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5593 = _T_5591 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5594 = _T_5590 | _T_5593; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5595 = _T_5594 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5597 = _T_5595 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5607 = _T_4809 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5608 = perr_ic_index_ff == 7'h14; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5610 = _T_5608 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5611 = _T_5607 | _T_5610; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5612 = _T_5611 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5614 = _T_5612 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5624 = _T_4810 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5625 = perr_ic_index_ff == 7'h15; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5627 = _T_5625 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5628 = _T_5624 | _T_5627; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5629 = _T_5628 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5631 = _T_5629 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5641 = _T_4811 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5642 = perr_ic_index_ff == 7'h16; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5644 = _T_5642 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5645 = _T_5641 | _T_5644; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5646 = _T_5645 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5648 = _T_5646 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5658 = _T_4812 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5659 = perr_ic_index_ff == 7'h17; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5661 = _T_5659 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5662 = _T_5658 | _T_5661; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5663 = _T_5662 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5665 = _T_5663 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5675 = _T_4813 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5676 = perr_ic_index_ff == 7'h18; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5678 = _T_5676 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5679 = _T_5675 | _T_5678; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5680 = _T_5679 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5682 = _T_5680 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5692 = _T_4814 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5693 = perr_ic_index_ff == 7'h19; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5695 = _T_5693 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5696 = _T_5692 | _T_5695; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5697 = _T_5696 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5699 = _T_5697 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5709 = _T_4815 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5710 = perr_ic_index_ff == 7'h1a; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5712 = _T_5710 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5713 = _T_5709 | _T_5712; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5714 = _T_5713 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5716 = _T_5714 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5726 = _T_4816 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5727 = perr_ic_index_ff == 7'h1b; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5729 = _T_5727 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5730 = _T_5726 | _T_5729; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5731 = _T_5730 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5733 = _T_5731 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5743 = _T_4817 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5744 = perr_ic_index_ff == 7'h1c; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5746 = _T_5744 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5747 = _T_5743 | _T_5746; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5748 = _T_5747 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5750 = _T_5748 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5760 = _T_4818 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5761 = perr_ic_index_ff == 7'h1d; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5763 = _T_5761 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5764 = _T_5760 | _T_5763; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5765 = _T_5764 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5767 = _T_5765 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5777 = _T_4819 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5778 = perr_ic_index_ff == 7'h1e; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5780 = _T_5778 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5781 = _T_5777 | _T_5780; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5782 = _T_5781 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5784 = _T_5782 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5794 = _T_4820 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5795 = perr_ic_index_ff == 7'h1f; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_5797 = _T_5795 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5798 = _T_5794 | _T_5797; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5799 = _T_5798 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5801 = _T_5799 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5811 = _T_4789 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5814 = _T_5268 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5815 = _T_5811 | _T_5814; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5816 = _T_5815 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5818 = _T_5816 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5828 = _T_4790 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5831 = _T_5285 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5832 = _T_5828 | _T_5831; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5833 = _T_5832 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5835 = _T_5833 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5845 = _T_4791 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5848 = _T_5302 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5849 = _T_5845 | _T_5848; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5850 = _T_5849 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5852 = _T_5850 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5862 = _T_4792 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5865 = _T_5319 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5866 = _T_5862 | _T_5865; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5867 = _T_5866 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5869 = _T_5867 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5879 = _T_4793 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5882 = _T_5336 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5883 = _T_5879 | _T_5882; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5884 = _T_5883 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5886 = _T_5884 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5896 = _T_4794 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5899 = _T_5353 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5900 = _T_5896 | _T_5899; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5901 = _T_5900 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5903 = _T_5901 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5913 = _T_4795 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5916 = _T_5370 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5917 = _T_5913 | _T_5916; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5918 = _T_5917 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5920 = _T_5918 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5930 = _T_4796 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5933 = _T_5387 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5934 = _T_5930 | _T_5933; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5935 = _T_5934 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5937 = _T_5935 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5947 = _T_4797 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5950 = _T_5404 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5951 = _T_5947 | _T_5950; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5952 = _T_5951 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5954 = _T_5952 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5964 = _T_4798 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5967 = _T_5421 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5968 = _T_5964 | _T_5967; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5969 = _T_5968 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5971 = _T_5969 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5981 = _T_4799 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_5984 = _T_5438 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_5985 = _T_5981 | _T_5984; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_5986 = _T_5985 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_5988 = _T_5986 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_5998 = _T_4800 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6001 = _T_5455 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6002 = _T_5998 | _T_6001; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6003 = _T_6002 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6005 = _T_6003 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6015 = _T_4801 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6018 = _T_5472 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6019 = _T_6015 | _T_6018; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6020 = _T_6019 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6022 = _T_6020 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6032 = _T_4802 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6035 = _T_5489 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6036 = _T_6032 | _T_6035; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6037 = _T_6036 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6039 = _T_6037 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6049 = _T_4803 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6052 = _T_5506 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6053 = _T_6049 | _T_6052; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6054 = _T_6053 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6056 = _T_6054 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6066 = _T_4804 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6069 = _T_5523 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6070 = _T_6066 | _T_6069; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6071 = _T_6070 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6073 = _T_6071 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6083 = _T_4805 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6086 = _T_5540 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6087 = _T_6083 | _T_6086; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6088 = _T_6087 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6090 = _T_6088 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6100 = _T_4806 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6103 = _T_5557 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6104 = _T_6100 | _T_6103; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6105 = _T_6104 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6107 = _T_6105 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6117 = _T_4807 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6120 = _T_5574 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6121 = _T_6117 | _T_6120; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6122 = _T_6121 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6124 = _T_6122 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6134 = _T_4808 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6137 = _T_5591 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6138 = _T_6134 | _T_6137; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6139 = _T_6138 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6141 = _T_6139 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6151 = _T_4809 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6154 = _T_5608 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6155 = _T_6151 | _T_6154; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6156 = _T_6155 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6158 = _T_6156 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6168 = _T_4810 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6171 = _T_5625 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6172 = _T_6168 | _T_6171; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6173 = _T_6172 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6175 = _T_6173 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6185 = _T_4811 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6188 = _T_5642 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6189 = _T_6185 | _T_6188; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6190 = _T_6189 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6192 = _T_6190 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6202 = _T_4812 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6205 = _T_5659 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6206 = _T_6202 | _T_6205; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6207 = _T_6206 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6209 = _T_6207 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6219 = _T_4813 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6222 = _T_5676 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6223 = _T_6219 | _T_6222; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6224 = _T_6223 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6226 = _T_6224 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6236 = _T_4814 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6239 = _T_5693 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6240 = _T_6236 | _T_6239; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6241 = _T_6240 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6243 = _T_6241 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6253 = _T_4815 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6256 = _T_5710 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6257 = _T_6253 | _T_6256; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6258 = _T_6257 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6260 = _T_6258 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6270 = _T_4816 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6273 = _T_5727 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6274 = _T_6270 | _T_6273; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6275 = _T_6274 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6277 = _T_6275 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6287 = _T_4817 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6290 = _T_5744 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6291 = _T_6287 | _T_6290; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6292 = _T_6291 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6294 = _T_6292 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6304 = _T_4818 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6307 = _T_5761 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6308 = _T_6304 | _T_6307; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6309 = _T_6308 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6311 = _T_6309 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6321 = _T_4819 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6324 = _T_5778 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6325 = _T_6321 | _T_6324; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6326 = _T_6325 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6328 = _T_6326 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6338 = _T_4820 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6341 = _T_5795 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6342 = _T_6338 | _T_6341; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6343 = _T_6342 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6345 = _T_6343 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6355 = _T_4821 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6356 = perr_ic_index_ff == 7'h20; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6358 = _T_6356 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6359 = _T_6355 | _T_6358; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6360 = _T_6359 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6362 = _T_6360 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6372 = _T_4822 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6373 = perr_ic_index_ff == 7'h21; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6375 = _T_6373 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6376 = _T_6372 | _T_6375; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6377 = _T_6376 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6379 = _T_6377 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6389 = _T_4823 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6390 = perr_ic_index_ff == 7'h22; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6392 = _T_6390 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6393 = _T_6389 | _T_6392; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6394 = _T_6393 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6396 = _T_6394 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6406 = _T_4824 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6407 = perr_ic_index_ff == 7'h23; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6409 = _T_6407 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6410 = _T_6406 | _T_6409; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6411 = _T_6410 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6413 = _T_6411 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6423 = _T_4825 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6424 = perr_ic_index_ff == 7'h24; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6426 = _T_6424 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6427 = _T_6423 | _T_6426; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6428 = _T_6427 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6430 = _T_6428 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6440 = _T_4826 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6441 = perr_ic_index_ff == 7'h25; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6443 = _T_6441 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6444 = _T_6440 | _T_6443; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6445 = _T_6444 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6447 = _T_6445 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6457 = _T_4827 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6458 = perr_ic_index_ff == 7'h26; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6460 = _T_6458 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6461 = _T_6457 | _T_6460; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6462 = _T_6461 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6464 = _T_6462 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6474 = _T_4828 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6475 = perr_ic_index_ff == 7'h27; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6477 = _T_6475 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6478 = _T_6474 | _T_6477; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6479 = _T_6478 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6481 = _T_6479 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6491 = _T_4829 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6492 = perr_ic_index_ff == 7'h28; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6494 = _T_6492 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6495 = _T_6491 | _T_6494; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6496 = _T_6495 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6498 = _T_6496 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6508 = _T_4830 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6509 = perr_ic_index_ff == 7'h29; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6511 = _T_6509 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6512 = _T_6508 | _T_6511; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6513 = _T_6512 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6515 = _T_6513 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6525 = _T_4831 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6526 = perr_ic_index_ff == 7'h2a; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6528 = _T_6526 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6529 = _T_6525 | _T_6528; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6530 = _T_6529 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6532 = _T_6530 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6542 = _T_4832 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6543 = perr_ic_index_ff == 7'h2b; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6545 = _T_6543 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6546 = _T_6542 | _T_6545; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6547 = _T_6546 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6549 = _T_6547 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6559 = _T_4833 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6560 = perr_ic_index_ff == 7'h2c; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6562 = _T_6560 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6563 = _T_6559 | _T_6562; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6564 = _T_6563 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6566 = _T_6564 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6576 = _T_4834 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6577 = perr_ic_index_ff == 7'h2d; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6579 = _T_6577 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6580 = _T_6576 | _T_6579; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6581 = _T_6580 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6583 = _T_6581 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6593 = _T_4835 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6594 = perr_ic_index_ff == 7'h2e; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6596 = _T_6594 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6597 = _T_6593 | _T_6596; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6598 = _T_6597 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6600 = _T_6598 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6610 = _T_4836 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6611 = perr_ic_index_ff == 7'h2f; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6613 = _T_6611 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6614 = _T_6610 | _T_6613; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6615 = _T_6614 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6617 = _T_6615 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6627 = _T_4837 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6628 = perr_ic_index_ff == 7'h30; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6630 = _T_6628 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6631 = _T_6627 | _T_6630; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6632 = _T_6631 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6634 = _T_6632 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6644 = _T_4838 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6645 = perr_ic_index_ff == 7'h31; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6647 = _T_6645 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6648 = _T_6644 | _T_6647; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6649 = _T_6648 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6651 = _T_6649 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6661 = _T_4839 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6662 = perr_ic_index_ff == 7'h32; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6664 = _T_6662 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6665 = _T_6661 | _T_6664; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6666 = _T_6665 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6668 = _T_6666 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6678 = _T_4840 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6679 = perr_ic_index_ff == 7'h33; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6681 = _T_6679 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6682 = _T_6678 | _T_6681; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6683 = _T_6682 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6685 = _T_6683 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6695 = _T_4841 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6696 = perr_ic_index_ff == 7'h34; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6698 = _T_6696 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6699 = _T_6695 | _T_6698; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6700 = _T_6699 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6702 = _T_6700 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6712 = _T_4842 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6713 = perr_ic_index_ff == 7'h35; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6715 = _T_6713 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6716 = _T_6712 | _T_6715; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6717 = _T_6716 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6719 = _T_6717 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6729 = _T_4843 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6730 = perr_ic_index_ff == 7'h36; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6732 = _T_6730 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6733 = _T_6729 | _T_6732; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6734 = _T_6733 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6736 = _T_6734 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6746 = _T_4844 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6747 = perr_ic_index_ff == 7'h37; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6749 = _T_6747 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6750 = _T_6746 | _T_6749; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6751 = _T_6750 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6753 = _T_6751 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6763 = _T_4845 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6764 = perr_ic_index_ff == 7'h38; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6766 = _T_6764 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6767 = _T_6763 | _T_6766; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6768 = _T_6767 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6770 = _T_6768 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6780 = _T_4846 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6781 = perr_ic_index_ff == 7'h39; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6783 = _T_6781 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6784 = _T_6780 | _T_6783; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6785 = _T_6784 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6787 = _T_6785 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6797 = _T_4847 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6798 = perr_ic_index_ff == 7'h3a; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6800 = _T_6798 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6801 = _T_6797 | _T_6800; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6802 = _T_6801 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6804 = _T_6802 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6814 = _T_4848 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6815 = perr_ic_index_ff == 7'h3b; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6817 = _T_6815 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6818 = _T_6814 | _T_6817; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6819 = _T_6818 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6821 = _T_6819 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6831 = _T_4849 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6832 = perr_ic_index_ff == 7'h3c; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6834 = _T_6832 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6835 = _T_6831 | _T_6834; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6836 = _T_6835 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6838 = _T_6836 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6848 = _T_4850 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6849 = perr_ic_index_ff == 7'h3d; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6851 = _T_6849 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6852 = _T_6848 | _T_6851; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6853 = _T_6852 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6855 = _T_6853 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6865 = _T_4851 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6866 = perr_ic_index_ff == 7'h3e; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6868 = _T_6866 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6869 = _T_6865 | _T_6868; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6870 = _T_6869 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6872 = _T_6870 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6882 = _T_4852 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6883 = perr_ic_index_ff == 7'h3f; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_6885 = _T_6883 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6886 = _T_6882 | _T_6885; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6887 = _T_6886 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6889 = _T_6887 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6899 = _T_4821 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6902 = _T_6356 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6903 = _T_6899 | _T_6902; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6904 = _T_6903 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6906 = _T_6904 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6916 = _T_4822 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6919 = _T_6373 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6920 = _T_6916 | _T_6919; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6921 = _T_6920 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6923 = _T_6921 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6933 = _T_4823 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6936 = _T_6390 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6937 = _T_6933 | _T_6936; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6938 = _T_6937 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6940 = _T_6938 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6950 = _T_4824 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6953 = _T_6407 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6954 = _T_6950 | _T_6953; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6955 = _T_6954 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6957 = _T_6955 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6967 = _T_4825 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6970 = _T_6424 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6971 = _T_6967 | _T_6970; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6972 = _T_6971 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6974 = _T_6972 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_6984 = _T_4826 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_6987 = _T_6441 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_6988 = _T_6984 | _T_6987; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_6989 = _T_6988 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_6991 = _T_6989 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7001 = _T_4827 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7004 = _T_6458 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7005 = _T_7001 | _T_7004; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7006 = _T_7005 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7008 = _T_7006 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7018 = _T_4828 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7021 = _T_6475 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7022 = _T_7018 | _T_7021; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7023 = _T_7022 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7025 = _T_7023 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7035 = _T_4829 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7038 = _T_6492 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7039 = _T_7035 | _T_7038; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7040 = _T_7039 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7042 = _T_7040 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7052 = _T_4830 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7055 = _T_6509 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7056 = _T_7052 | _T_7055; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7057 = _T_7056 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7059 = _T_7057 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7069 = _T_4831 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7072 = _T_6526 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7073 = _T_7069 | _T_7072; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7074 = _T_7073 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7076 = _T_7074 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7086 = _T_4832 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7089 = _T_6543 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7090 = _T_7086 | _T_7089; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7091 = _T_7090 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7093 = _T_7091 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7103 = _T_4833 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7106 = _T_6560 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7107 = _T_7103 | _T_7106; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7108 = _T_7107 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7110 = _T_7108 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7120 = _T_4834 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7123 = _T_6577 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7124 = _T_7120 | _T_7123; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7125 = _T_7124 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7127 = _T_7125 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7137 = _T_4835 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7140 = _T_6594 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7141 = _T_7137 | _T_7140; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7142 = _T_7141 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7144 = _T_7142 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7154 = _T_4836 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7157 = _T_6611 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7158 = _T_7154 | _T_7157; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7159 = _T_7158 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7161 = _T_7159 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7171 = _T_4837 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7174 = _T_6628 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7175 = _T_7171 | _T_7174; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7176 = _T_7175 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7178 = _T_7176 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7188 = _T_4838 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7191 = _T_6645 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7192 = _T_7188 | _T_7191; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7193 = _T_7192 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7195 = _T_7193 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7205 = _T_4839 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7208 = _T_6662 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7209 = _T_7205 | _T_7208; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7210 = _T_7209 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7212 = _T_7210 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7222 = _T_4840 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7225 = _T_6679 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7226 = _T_7222 | _T_7225; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7227 = _T_7226 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7229 = _T_7227 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7239 = _T_4841 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7242 = _T_6696 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7243 = _T_7239 | _T_7242; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7244 = _T_7243 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7246 = _T_7244 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7256 = _T_4842 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7259 = _T_6713 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7260 = _T_7256 | _T_7259; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7261 = _T_7260 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7263 = _T_7261 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7273 = _T_4843 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7276 = _T_6730 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7277 = _T_7273 | _T_7276; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7278 = _T_7277 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7280 = _T_7278 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7290 = _T_4844 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7293 = _T_6747 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7294 = _T_7290 | _T_7293; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7295 = _T_7294 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7297 = _T_7295 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7307 = _T_4845 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7310 = _T_6764 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7311 = _T_7307 | _T_7310; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7312 = _T_7311 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7314 = _T_7312 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7324 = _T_4846 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7327 = _T_6781 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7328 = _T_7324 | _T_7327; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7329 = _T_7328 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7331 = _T_7329 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7341 = _T_4847 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7344 = _T_6798 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7345 = _T_7341 | _T_7344; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7346 = _T_7345 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7348 = _T_7346 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7358 = _T_4848 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7361 = _T_6815 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7362 = _T_7358 | _T_7361; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7363 = _T_7362 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7365 = _T_7363 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7375 = _T_4849 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7378 = _T_6832 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7379 = _T_7375 | _T_7378; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7380 = _T_7379 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7382 = _T_7380 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7392 = _T_4850 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7395 = _T_6849 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7396 = _T_7392 | _T_7395; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7397 = _T_7396 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7399 = _T_7397 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7409 = _T_4851 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7412 = _T_6866 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7413 = _T_7409 | _T_7412; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7414 = _T_7413 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7416 = _T_7414 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7426 = _T_4852 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7429 = _T_6883 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7430 = _T_7426 | _T_7429; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7431 = _T_7430 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7433 = _T_7431 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7443 = _T_4853 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7444 = perr_ic_index_ff == 7'h40; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7446 = _T_7444 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7447 = _T_7443 | _T_7446; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7448 = _T_7447 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7450 = _T_7448 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7460 = _T_4854 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7461 = perr_ic_index_ff == 7'h41; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7463 = _T_7461 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7464 = _T_7460 | _T_7463; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7465 = _T_7464 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7467 = _T_7465 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7477 = _T_4855 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7478 = perr_ic_index_ff == 7'h42; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7480 = _T_7478 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7481 = _T_7477 | _T_7480; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7482 = _T_7481 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7484 = _T_7482 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7494 = _T_4856 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7495 = perr_ic_index_ff == 7'h43; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7497 = _T_7495 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7498 = _T_7494 | _T_7497; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7499 = _T_7498 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7501 = _T_7499 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7511 = _T_4857 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7512 = perr_ic_index_ff == 7'h44; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7514 = _T_7512 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7515 = _T_7511 | _T_7514; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7516 = _T_7515 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7518 = _T_7516 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7528 = _T_4858 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7529 = perr_ic_index_ff == 7'h45; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7531 = _T_7529 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7532 = _T_7528 | _T_7531; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7533 = _T_7532 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7535 = _T_7533 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7545 = _T_4859 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7546 = perr_ic_index_ff == 7'h46; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7548 = _T_7546 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7549 = _T_7545 | _T_7548; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7550 = _T_7549 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7552 = _T_7550 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7562 = _T_4860 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7563 = perr_ic_index_ff == 7'h47; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7565 = _T_7563 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7566 = _T_7562 | _T_7565; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7567 = _T_7566 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7569 = _T_7567 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7579 = _T_4861 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7580 = perr_ic_index_ff == 7'h48; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7582 = _T_7580 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7583 = _T_7579 | _T_7582; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7584 = _T_7583 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7586 = _T_7584 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7596 = _T_4862 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7597 = perr_ic_index_ff == 7'h49; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7599 = _T_7597 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7600 = _T_7596 | _T_7599; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7601 = _T_7600 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7603 = _T_7601 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7613 = _T_4863 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7614 = perr_ic_index_ff == 7'h4a; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7616 = _T_7614 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7617 = _T_7613 | _T_7616; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7618 = _T_7617 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7620 = _T_7618 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7630 = _T_4864 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7631 = perr_ic_index_ff == 7'h4b; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7633 = _T_7631 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7634 = _T_7630 | _T_7633; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7635 = _T_7634 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7637 = _T_7635 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7647 = _T_4865 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7648 = perr_ic_index_ff == 7'h4c; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7650 = _T_7648 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7651 = _T_7647 | _T_7650; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7652 = _T_7651 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7654 = _T_7652 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7664 = _T_4866 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7665 = perr_ic_index_ff == 7'h4d; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7667 = _T_7665 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7668 = _T_7664 | _T_7667; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7669 = _T_7668 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7671 = _T_7669 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7681 = _T_4867 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7682 = perr_ic_index_ff == 7'h4e; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7684 = _T_7682 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7685 = _T_7681 | _T_7684; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7686 = _T_7685 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7688 = _T_7686 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7698 = _T_4868 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7699 = perr_ic_index_ff == 7'h4f; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7701 = _T_7699 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7702 = _T_7698 | _T_7701; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7703 = _T_7702 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7705 = _T_7703 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7715 = _T_4869 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7716 = perr_ic_index_ff == 7'h50; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7718 = _T_7716 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7719 = _T_7715 | _T_7718; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7720 = _T_7719 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7722 = _T_7720 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7732 = _T_4870 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7733 = perr_ic_index_ff == 7'h51; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7735 = _T_7733 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7736 = _T_7732 | _T_7735; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7737 = _T_7736 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7739 = _T_7737 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7749 = _T_4871 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7750 = perr_ic_index_ff == 7'h52; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7752 = _T_7750 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7753 = _T_7749 | _T_7752; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7754 = _T_7753 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7756 = _T_7754 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7766 = _T_4872 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7767 = perr_ic_index_ff == 7'h53; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7769 = _T_7767 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7770 = _T_7766 | _T_7769; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7771 = _T_7770 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7773 = _T_7771 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7783 = _T_4873 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7784 = perr_ic_index_ff == 7'h54; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7786 = _T_7784 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7787 = _T_7783 | _T_7786; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7788 = _T_7787 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7790 = _T_7788 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7800 = _T_4874 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7801 = perr_ic_index_ff == 7'h55; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7803 = _T_7801 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7804 = _T_7800 | _T_7803; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7805 = _T_7804 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7807 = _T_7805 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7817 = _T_4875 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7818 = perr_ic_index_ff == 7'h56; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7820 = _T_7818 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7821 = _T_7817 | _T_7820; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7822 = _T_7821 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7824 = _T_7822 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7834 = _T_4876 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7835 = perr_ic_index_ff == 7'h57; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7837 = _T_7835 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7838 = _T_7834 | _T_7837; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7839 = _T_7838 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7841 = _T_7839 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7851 = _T_4877 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7852 = perr_ic_index_ff == 7'h58; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7854 = _T_7852 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7855 = _T_7851 | _T_7854; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7856 = _T_7855 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7858 = _T_7856 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7868 = _T_4878 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7869 = perr_ic_index_ff == 7'h59; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7871 = _T_7869 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7872 = _T_7868 | _T_7871; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7873 = _T_7872 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7875 = _T_7873 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7885 = _T_4879 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7886 = perr_ic_index_ff == 7'h5a; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7888 = _T_7886 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7889 = _T_7885 | _T_7888; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7890 = _T_7889 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7892 = _T_7890 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7902 = _T_4880 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7903 = perr_ic_index_ff == 7'h5b; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7905 = _T_7903 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7906 = _T_7902 | _T_7905; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7907 = _T_7906 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7909 = _T_7907 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7919 = _T_4881 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7920 = perr_ic_index_ff == 7'h5c; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7922 = _T_7920 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7923 = _T_7919 | _T_7922; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7924 = _T_7923 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7926 = _T_7924 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7936 = _T_4882 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7937 = perr_ic_index_ff == 7'h5d; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7939 = _T_7937 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7940 = _T_7936 | _T_7939; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7941 = _T_7940 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7943 = _T_7941 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7953 = _T_4883 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7954 = perr_ic_index_ff == 7'h5e; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7956 = _T_7954 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7957 = _T_7953 | _T_7956; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7958 = _T_7957 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7960 = _T_7958 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7970 = _T_4884 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7971 = perr_ic_index_ff == 7'h5f; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_7973 = _T_7971 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7974 = _T_7970 | _T_7973; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7975 = _T_7974 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7977 = _T_7975 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_7987 = _T_4853 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_7990 = _T_7444 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_7991 = _T_7987 | _T_7990; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_7992 = _T_7991 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_7994 = _T_7992 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8004 = _T_4854 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8007 = _T_7461 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8008 = _T_8004 | _T_8007; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8009 = _T_8008 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8011 = _T_8009 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8021 = _T_4855 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8024 = _T_7478 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8025 = _T_8021 | _T_8024; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8026 = _T_8025 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8028 = _T_8026 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8038 = _T_4856 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8041 = _T_7495 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8042 = _T_8038 | _T_8041; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8043 = _T_8042 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8045 = _T_8043 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8055 = _T_4857 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8058 = _T_7512 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8059 = _T_8055 | _T_8058; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8060 = _T_8059 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8062 = _T_8060 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8072 = _T_4858 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8075 = _T_7529 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8076 = _T_8072 | _T_8075; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8077 = _T_8076 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8079 = _T_8077 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8089 = _T_4859 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8092 = _T_7546 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8093 = _T_8089 | _T_8092; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8094 = _T_8093 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8096 = _T_8094 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8106 = _T_4860 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8109 = _T_7563 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8110 = _T_8106 | _T_8109; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8111 = _T_8110 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8113 = _T_8111 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8123 = _T_4861 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8126 = _T_7580 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8127 = _T_8123 | _T_8126; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8128 = _T_8127 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8130 = _T_8128 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8140 = _T_4862 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8143 = _T_7597 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8144 = _T_8140 | _T_8143; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8145 = _T_8144 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8147 = _T_8145 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8157 = _T_4863 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8160 = _T_7614 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8161 = _T_8157 | _T_8160; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8162 = _T_8161 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8164 = _T_8162 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8174 = _T_4864 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8177 = _T_7631 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8178 = _T_8174 | _T_8177; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8179 = _T_8178 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8181 = _T_8179 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8191 = _T_4865 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8194 = _T_7648 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8195 = _T_8191 | _T_8194; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8196 = _T_8195 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8198 = _T_8196 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8208 = _T_4866 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8211 = _T_7665 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8212 = _T_8208 | _T_8211; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8213 = _T_8212 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8215 = _T_8213 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8225 = _T_4867 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8228 = _T_7682 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8229 = _T_8225 | _T_8228; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8230 = _T_8229 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8232 = _T_8230 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8242 = _T_4868 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8245 = _T_7699 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8246 = _T_8242 | _T_8245; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8247 = _T_8246 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8249 = _T_8247 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8259 = _T_4869 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8262 = _T_7716 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8263 = _T_8259 | _T_8262; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8264 = _T_8263 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8266 = _T_8264 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8276 = _T_4870 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8279 = _T_7733 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8280 = _T_8276 | _T_8279; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8281 = _T_8280 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8283 = _T_8281 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8293 = _T_4871 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8296 = _T_7750 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8297 = _T_8293 | _T_8296; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8298 = _T_8297 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8300 = _T_8298 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8310 = _T_4872 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8313 = _T_7767 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8314 = _T_8310 | _T_8313; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8315 = _T_8314 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8317 = _T_8315 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8327 = _T_4873 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8330 = _T_7784 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8331 = _T_8327 | _T_8330; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8332 = _T_8331 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8334 = _T_8332 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8344 = _T_4874 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8347 = _T_7801 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8348 = _T_8344 | _T_8347; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8349 = _T_8348 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8351 = _T_8349 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8361 = _T_4875 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8364 = _T_7818 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8365 = _T_8361 | _T_8364; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8366 = _T_8365 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8368 = _T_8366 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8378 = _T_4876 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8381 = _T_7835 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8382 = _T_8378 | _T_8381; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8383 = _T_8382 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8385 = _T_8383 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8395 = _T_4877 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8398 = _T_7852 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8399 = _T_8395 | _T_8398; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8400 = _T_8399 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8402 = _T_8400 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8412 = _T_4878 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8415 = _T_7869 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8416 = _T_8412 | _T_8415; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8417 = _T_8416 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8419 = _T_8417 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8429 = _T_4879 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8432 = _T_7886 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8433 = _T_8429 | _T_8432; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8434 = _T_8433 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8436 = _T_8434 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8446 = _T_4880 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8449 = _T_7903 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8450 = _T_8446 | _T_8449; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8451 = _T_8450 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8453 = _T_8451 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8463 = _T_4881 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8466 = _T_7920 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8467 = _T_8463 | _T_8466; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8468 = _T_8467 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8470 = _T_8468 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8480 = _T_4882 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8483 = _T_7937 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8484 = _T_8480 | _T_8483; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8485 = _T_8484 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8487 = _T_8485 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8497 = _T_4883 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8500 = _T_7954 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8501 = _T_8497 | _T_8500; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8502 = _T_8501 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8504 = _T_8502 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8514 = _T_4884 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8517 = _T_7971 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8518 = _T_8514 | _T_8517; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8519 = _T_8518 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8521 = _T_8519 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8531 = _T_4885 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8532 = perr_ic_index_ff == 7'h60; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8534 = _T_8532 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8535 = _T_8531 | _T_8534; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8536 = _T_8535 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8538 = _T_8536 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8548 = _T_4886 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8549 = perr_ic_index_ff == 7'h61; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8551 = _T_8549 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8552 = _T_8548 | _T_8551; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8553 = _T_8552 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8555 = _T_8553 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8565 = _T_4887 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8566 = perr_ic_index_ff == 7'h62; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8568 = _T_8566 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8569 = _T_8565 | _T_8568; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8570 = _T_8569 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8572 = _T_8570 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8582 = _T_4888 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8583 = perr_ic_index_ff == 7'h63; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8585 = _T_8583 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8586 = _T_8582 | _T_8585; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8587 = _T_8586 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8589 = _T_8587 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8599 = _T_4889 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8600 = perr_ic_index_ff == 7'h64; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8602 = _T_8600 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8603 = _T_8599 | _T_8602; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8604 = _T_8603 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8606 = _T_8604 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8616 = _T_4890 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8617 = perr_ic_index_ff == 7'h65; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8619 = _T_8617 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8620 = _T_8616 | _T_8619; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8621 = _T_8620 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8623 = _T_8621 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8633 = _T_4891 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8634 = perr_ic_index_ff == 7'h66; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8636 = _T_8634 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8637 = _T_8633 | _T_8636; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8638 = _T_8637 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8640 = _T_8638 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8650 = _T_4892 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8651 = perr_ic_index_ff == 7'h67; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8653 = _T_8651 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8654 = _T_8650 | _T_8653; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8655 = _T_8654 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8657 = _T_8655 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8667 = _T_4893 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8668 = perr_ic_index_ff == 7'h68; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8670 = _T_8668 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8671 = _T_8667 | _T_8670; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8672 = _T_8671 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8674 = _T_8672 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8684 = _T_4894 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8685 = perr_ic_index_ff == 7'h69; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8687 = _T_8685 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8688 = _T_8684 | _T_8687; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8689 = _T_8688 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8691 = _T_8689 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8701 = _T_4895 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8702 = perr_ic_index_ff == 7'h6a; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8704 = _T_8702 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8705 = _T_8701 | _T_8704; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8706 = _T_8705 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8708 = _T_8706 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8718 = _T_4896 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8719 = perr_ic_index_ff == 7'h6b; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8721 = _T_8719 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8722 = _T_8718 | _T_8721; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8723 = _T_8722 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8725 = _T_8723 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8735 = _T_4897 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8736 = perr_ic_index_ff == 7'h6c; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8738 = _T_8736 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8739 = _T_8735 | _T_8738; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8740 = _T_8739 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8742 = _T_8740 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8752 = _T_4898 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8753 = perr_ic_index_ff == 7'h6d; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8755 = _T_8753 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8756 = _T_8752 | _T_8755; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8757 = _T_8756 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8759 = _T_8757 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8769 = _T_4899 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8770 = perr_ic_index_ff == 7'h6e; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8772 = _T_8770 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8773 = _T_8769 | _T_8772; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8774 = _T_8773 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8776 = _T_8774 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8786 = _T_4900 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8787 = perr_ic_index_ff == 7'h6f; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8789 = _T_8787 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8790 = _T_8786 | _T_8789; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8791 = _T_8790 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8793 = _T_8791 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8803 = _T_4901 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8804 = perr_ic_index_ff == 7'h70; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8806 = _T_8804 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8807 = _T_8803 | _T_8806; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8808 = _T_8807 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8810 = _T_8808 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8820 = _T_4902 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8821 = perr_ic_index_ff == 7'h71; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8823 = _T_8821 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8824 = _T_8820 | _T_8823; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8825 = _T_8824 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8827 = _T_8825 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8837 = _T_4903 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8838 = perr_ic_index_ff == 7'h72; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8840 = _T_8838 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8841 = _T_8837 | _T_8840; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8842 = _T_8841 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8844 = _T_8842 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8854 = _T_4904 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8855 = perr_ic_index_ff == 7'h73; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8857 = _T_8855 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8858 = _T_8854 | _T_8857; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8859 = _T_8858 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8861 = _T_8859 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8871 = _T_4905 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8872 = perr_ic_index_ff == 7'h74; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8874 = _T_8872 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8875 = _T_8871 | _T_8874; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8876 = _T_8875 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8878 = _T_8876 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8888 = _T_4906 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8889 = perr_ic_index_ff == 7'h75; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8891 = _T_8889 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8892 = _T_8888 | _T_8891; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8893 = _T_8892 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8895 = _T_8893 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8905 = _T_4907 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8906 = perr_ic_index_ff == 7'h76; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8908 = _T_8906 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8909 = _T_8905 | _T_8908; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8910 = _T_8909 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8912 = _T_8910 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8922 = _T_4908 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8923 = perr_ic_index_ff == 7'h77; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8925 = _T_8923 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8926 = _T_8922 | _T_8925; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8927 = _T_8926 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8929 = _T_8927 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8939 = _T_4909 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8940 = perr_ic_index_ff == 7'h78; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8942 = _T_8940 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8943 = _T_8939 | _T_8942; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8944 = _T_8943 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8946 = _T_8944 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8956 = _T_4910 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8957 = perr_ic_index_ff == 7'h79; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8959 = _T_8957 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8960 = _T_8956 | _T_8959; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8961 = _T_8960 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8963 = _T_8961 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8973 = _T_4911 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8974 = perr_ic_index_ff == 7'h7a; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8976 = _T_8974 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8977 = _T_8973 | _T_8976; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8978 = _T_8977 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8980 = _T_8978 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_8990 = _T_4912 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_8991 = perr_ic_index_ff == 7'h7b; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_8993 = _T_8991 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_8994 = _T_8990 | _T_8993; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_8995 = _T_8994 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_8997 = _T_8995 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9007 = _T_4913 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9008 = perr_ic_index_ff == 7'h7c; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_9010 = _T_9008 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9011 = _T_9007 | _T_9010; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9012 = _T_9011 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9014 = _T_9012 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9024 = _T_4914 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9025 = perr_ic_index_ff == 7'h7d; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_9027 = _T_9025 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9028 = _T_9024 | _T_9027; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9029 = _T_9028 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9031 = _T_9029 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9041 = _T_4915 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9042 = perr_ic_index_ff == 7'h7e; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_9044 = _T_9042 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9045 = _T_9041 | _T_9044; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9046 = _T_9045 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9048 = _T_9046 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9058 = _T_4916 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9059 = perr_ic_index_ff == 7'h7f; // @[el2_ifu_mem_ctl.scala 761:102] - wire _T_9061 = _T_9059 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9062 = _T_9058 | _T_9061; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9063 = _T_9062 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9065 = _T_9063 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9075 = _T_4885 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9078 = _T_8532 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9079 = _T_9075 | _T_9078; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9080 = _T_9079 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9082 = _T_9080 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9092 = _T_4886 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9095 = _T_8549 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9096 = _T_9092 | _T_9095; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9097 = _T_9096 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9099 = _T_9097 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9109 = _T_4887 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9112 = _T_8566 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9113 = _T_9109 | _T_9112; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9114 = _T_9113 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9116 = _T_9114 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9126 = _T_4888 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9129 = _T_8583 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9130 = _T_9126 | _T_9129; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9131 = _T_9130 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9133 = _T_9131 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9143 = _T_4889 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9146 = _T_8600 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9147 = _T_9143 | _T_9146; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9148 = _T_9147 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9150 = _T_9148 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9160 = _T_4890 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9163 = _T_8617 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9164 = _T_9160 | _T_9163; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9165 = _T_9164 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9167 = _T_9165 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9177 = _T_4891 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9180 = _T_8634 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9181 = _T_9177 | _T_9180; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9182 = _T_9181 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9184 = _T_9182 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9194 = _T_4892 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9197 = _T_8651 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9198 = _T_9194 | _T_9197; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9199 = _T_9198 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9201 = _T_9199 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9211 = _T_4893 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9214 = _T_8668 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9215 = _T_9211 | _T_9214; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9216 = _T_9215 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9218 = _T_9216 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9228 = _T_4894 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9231 = _T_8685 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9232 = _T_9228 | _T_9231; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9233 = _T_9232 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9235 = _T_9233 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9245 = _T_4895 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9248 = _T_8702 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9249 = _T_9245 | _T_9248; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9250 = _T_9249 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9252 = _T_9250 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9262 = _T_4896 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9265 = _T_8719 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9266 = _T_9262 | _T_9265; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9267 = _T_9266 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9269 = _T_9267 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9279 = _T_4897 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9282 = _T_8736 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9283 = _T_9279 | _T_9282; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9284 = _T_9283 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9286 = _T_9284 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9296 = _T_4898 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9299 = _T_8753 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9300 = _T_9296 | _T_9299; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9301 = _T_9300 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9303 = _T_9301 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9313 = _T_4899 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9316 = _T_8770 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9317 = _T_9313 | _T_9316; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9318 = _T_9317 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9320 = _T_9318 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9330 = _T_4900 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9333 = _T_8787 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9334 = _T_9330 | _T_9333; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9335 = _T_9334 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9337 = _T_9335 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9347 = _T_4901 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9350 = _T_8804 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9351 = _T_9347 | _T_9350; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9352 = _T_9351 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9354 = _T_9352 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9364 = _T_4902 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9367 = _T_8821 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9368 = _T_9364 | _T_9367; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9369 = _T_9368 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9371 = _T_9369 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9381 = _T_4903 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9384 = _T_8838 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9385 = _T_9381 | _T_9384; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9386 = _T_9385 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9388 = _T_9386 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9398 = _T_4904 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9401 = _T_8855 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9402 = _T_9398 | _T_9401; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9403 = _T_9402 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9405 = _T_9403 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9415 = _T_4905 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9418 = _T_8872 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9419 = _T_9415 | _T_9418; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9420 = _T_9419 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9422 = _T_9420 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9432 = _T_4906 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9435 = _T_8889 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9436 = _T_9432 | _T_9435; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9437 = _T_9436 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9439 = _T_9437 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9449 = _T_4907 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9452 = _T_8906 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9453 = _T_9449 | _T_9452; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9454 = _T_9453 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9456 = _T_9454 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9466 = _T_4908 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9469 = _T_8923 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9470 = _T_9466 | _T_9469; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9471 = _T_9470 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9473 = _T_9471 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9483 = _T_4909 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9486 = _T_8940 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9487 = _T_9483 | _T_9486; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9488 = _T_9487 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9490 = _T_9488 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9500 = _T_4910 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9503 = _T_8957 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9504 = _T_9500 | _T_9503; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9505 = _T_9504 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9507 = _T_9505 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9517 = _T_4911 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9520 = _T_8974 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9521 = _T_9517 | _T_9520; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9522 = _T_9521 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9524 = _T_9522 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9534 = _T_4912 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9537 = _T_8991 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9538 = _T_9534 | _T_9537; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9539 = _T_9538 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9541 = _T_9539 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9551 = _T_4913 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9554 = _T_9008 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9555 = _T_9551 | _T_9554; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9556 = _T_9555 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9558 = _T_9556 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9568 = _T_4914 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9571 = _T_9025 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9572 = _T_9568 | _T_9571; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9573 = _T_9572 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9575 = _T_9573 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9585 = _T_4915 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9588 = _T_9042 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9589 = _T_9585 | _T_9588; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9590 = _T_9589 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9592 = _T_9590 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_9602 = _T_4916 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 761:59] - wire _T_9605 = _T_9059 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 761:124] - wire _T_9606 = _T_9602 | _T_9605; // @[el2_ifu_mem_ctl.scala 761:81] - wire _T_9607 = _T_9606 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 761:147] - wire _T_9609 = _T_9607 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 761:165] - wire _T_10411 = ~fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 816:63] - wire _T_10412 = _T_10411 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 816:85] + wire _T_5262 = ic_valid_ff & _T_195; // @[el2_ifu_mem_ctl.scala 755:66] + wire _T_5263 = ~perr_sel_invalidate; // @[el2_ifu_mem_ctl.scala 755:93] + wire _T_5264 = _T_5262 & _T_5263; // @[el2_ifu_mem_ctl.scala 755:91] + wire _T_5267 = _T_4789 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5268 = perr_ic_index_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5270 = _T_5268 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5271 = _T_5267 | _T_5270; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5272 = _T_5271 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5274 = _T_5272 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5284 = _T_4790 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5285 = perr_ic_index_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5287 = _T_5285 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5288 = _T_5284 | _T_5287; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5289 = _T_5288 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5291 = _T_5289 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5301 = _T_4791 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5302 = perr_ic_index_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5304 = _T_5302 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5305 = _T_5301 | _T_5304; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5306 = _T_5305 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5308 = _T_5306 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5318 = _T_4792 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5319 = perr_ic_index_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5321 = _T_5319 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5322 = _T_5318 | _T_5321; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5323 = _T_5322 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5325 = _T_5323 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5335 = _T_4793 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5336 = perr_ic_index_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5338 = _T_5336 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5339 = _T_5335 | _T_5338; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5340 = _T_5339 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5342 = _T_5340 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5352 = _T_4794 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5353 = perr_ic_index_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5355 = _T_5353 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5356 = _T_5352 | _T_5355; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5357 = _T_5356 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5359 = _T_5357 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5369 = _T_4795 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5370 = perr_ic_index_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5372 = _T_5370 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5373 = _T_5369 | _T_5372; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5374 = _T_5373 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5376 = _T_5374 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5386 = _T_4796 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5387 = perr_ic_index_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5389 = _T_5387 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5390 = _T_5386 | _T_5389; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5391 = _T_5390 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5393 = _T_5391 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5403 = _T_4797 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5404 = perr_ic_index_ff == 7'h8; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5406 = _T_5404 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5407 = _T_5403 | _T_5406; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5408 = _T_5407 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5410 = _T_5408 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5420 = _T_4798 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5421 = perr_ic_index_ff == 7'h9; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5423 = _T_5421 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5424 = _T_5420 | _T_5423; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5425 = _T_5424 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5427 = _T_5425 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5437 = _T_4799 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5438 = perr_ic_index_ff == 7'ha; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5440 = _T_5438 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5441 = _T_5437 | _T_5440; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5442 = _T_5441 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5444 = _T_5442 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5454 = _T_4800 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5455 = perr_ic_index_ff == 7'hb; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5457 = _T_5455 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5458 = _T_5454 | _T_5457; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5459 = _T_5458 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5461 = _T_5459 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5471 = _T_4801 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5472 = perr_ic_index_ff == 7'hc; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5474 = _T_5472 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5475 = _T_5471 | _T_5474; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5476 = _T_5475 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5478 = _T_5476 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5488 = _T_4802 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5489 = perr_ic_index_ff == 7'hd; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5491 = _T_5489 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5492 = _T_5488 | _T_5491; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5493 = _T_5492 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5495 = _T_5493 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5505 = _T_4803 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5506 = perr_ic_index_ff == 7'he; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5508 = _T_5506 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5509 = _T_5505 | _T_5508; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5510 = _T_5509 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5512 = _T_5510 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5522 = _T_4804 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5523 = perr_ic_index_ff == 7'hf; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5525 = _T_5523 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5526 = _T_5522 | _T_5525; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5527 = _T_5526 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5529 = _T_5527 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5539 = _T_4805 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5540 = perr_ic_index_ff == 7'h10; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5542 = _T_5540 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5543 = _T_5539 | _T_5542; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5544 = _T_5543 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5546 = _T_5544 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5556 = _T_4806 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5557 = perr_ic_index_ff == 7'h11; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5559 = _T_5557 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5560 = _T_5556 | _T_5559; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5561 = _T_5560 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5563 = _T_5561 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5573 = _T_4807 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5574 = perr_ic_index_ff == 7'h12; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5576 = _T_5574 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5577 = _T_5573 | _T_5576; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5578 = _T_5577 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5580 = _T_5578 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5590 = _T_4808 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5591 = perr_ic_index_ff == 7'h13; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5593 = _T_5591 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5594 = _T_5590 | _T_5593; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5595 = _T_5594 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5597 = _T_5595 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5607 = _T_4809 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5608 = perr_ic_index_ff == 7'h14; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5610 = _T_5608 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5611 = _T_5607 | _T_5610; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5612 = _T_5611 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5614 = _T_5612 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5624 = _T_4810 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5625 = perr_ic_index_ff == 7'h15; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5627 = _T_5625 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5628 = _T_5624 | _T_5627; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5629 = _T_5628 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5631 = _T_5629 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5641 = _T_4811 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5642 = perr_ic_index_ff == 7'h16; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5644 = _T_5642 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5645 = _T_5641 | _T_5644; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5646 = _T_5645 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5648 = _T_5646 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5658 = _T_4812 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5659 = perr_ic_index_ff == 7'h17; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5661 = _T_5659 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5662 = _T_5658 | _T_5661; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5663 = _T_5662 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5665 = _T_5663 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5675 = _T_4813 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5676 = perr_ic_index_ff == 7'h18; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5678 = _T_5676 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5679 = _T_5675 | _T_5678; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5680 = _T_5679 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5682 = _T_5680 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5692 = _T_4814 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5693 = perr_ic_index_ff == 7'h19; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5695 = _T_5693 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5696 = _T_5692 | _T_5695; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5697 = _T_5696 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5699 = _T_5697 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5709 = _T_4815 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5710 = perr_ic_index_ff == 7'h1a; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5712 = _T_5710 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5713 = _T_5709 | _T_5712; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5714 = _T_5713 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5716 = _T_5714 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5726 = _T_4816 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5727 = perr_ic_index_ff == 7'h1b; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5729 = _T_5727 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5730 = _T_5726 | _T_5729; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5731 = _T_5730 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5733 = _T_5731 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5743 = _T_4817 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5744 = perr_ic_index_ff == 7'h1c; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5746 = _T_5744 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5747 = _T_5743 | _T_5746; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5748 = _T_5747 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5750 = _T_5748 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5760 = _T_4818 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5761 = perr_ic_index_ff == 7'h1d; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5763 = _T_5761 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5764 = _T_5760 | _T_5763; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5765 = _T_5764 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5767 = _T_5765 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5777 = _T_4819 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5778 = perr_ic_index_ff == 7'h1e; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5780 = _T_5778 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5781 = _T_5777 | _T_5780; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5782 = _T_5781 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5784 = _T_5782 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5794 = _T_4820 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5795 = perr_ic_index_ff == 7'h1f; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_5797 = _T_5795 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5798 = _T_5794 | _T_5797; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5799 = _T_5798 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5801 = _T_5799 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5811 = _T_4789 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5814 = _T_5268 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5815 = _T_5811 | _T_5814; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5816 = _T_5815 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5818 = _T_5816 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5828 = _T_4790 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5831 = _T_5285 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5832 = _T_5828 | _T_5831; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5833 = _T_5832 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5835 = _T_5833 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5845 = _T_4791 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5848 = _T_5302 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5849 = _T_5845 | _T_5848; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5850 = _T_5849 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5852 = _T_5850 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5862 = _T_4792 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5865 = _T_5319 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5866 = _T_5862 | _T_5865; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5867 = _T_5866 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5869 = _T_5867 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5879 = _T_4793 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5882 = _T_5336 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5883 = _T_5879 | _T_5882; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5884 = _T_5883 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5886 = _T_5884 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5896 = _T_4794 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5899 = _T_5353 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5900 = _T_5896 | _T_5899; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5901 = _T_5900 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5903 = _T_5901 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5913 = _T_4795 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5916 = _T_5370 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5917 = _T_5913 | _T_5916; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5918 = _T_5917 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5920 = _T_5918 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5930 = _T_4796 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5933 = _T_5387 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5934 = _T_5930 | _T_5933; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5935 = _T_5934 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5937 = _T_5935 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5947 = _T_4797 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5950 = _T_5404 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5951 = _T_5947 | _T_5950; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5952 = _T_5951 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5954 = _T_5952 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5964 = _T_4798 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5967 = _T_5421 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5968 = _T_5964 | _T_5967; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5969 = _T_5968 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5971 = _T_5969 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5981 = _T_4799 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_5984 = _T_5438 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_5985 = _T_5981 | _T_5984; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_5986 = _T_5985 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_5988 = _T_5986 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_5998 = _T_4800 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6001 = _T_5455 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6002 = _T_5998 | _T_6001; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6003 = _T_6002 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6005 = _T_6003 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6015 = _T_4801 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6018 = _T_5472 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6019 = _T_6015 | _T_6018; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6020 = _T_6019 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6022 = _T_6020 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6032 = _T_4802 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6035 = _T_5489 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6036 = _T_6032 | _T_6035; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6037 = _T_6036 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6039 = _T_6037 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6049 = _T_4803 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6052 = _T_5506 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6053 = _T_6049 | _T_6052; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6054 = _T_6053 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6056 = _T_6054 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6066 = _T_4804 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6069 = _T_5523 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6070 = _T_6066 | _T_6069; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6071 = _T_6070 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6073 = _T_6071 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6083 = _T_4805 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6086 = _T_5540 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6087 = _T_6083 | _T_6086; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6088 = _T_6087 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6090 = _T_6088 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6100 = _T_4806 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6103 = _T_5557 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6104 = _T_6100 | _T_6103; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6105 = _T_6104 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6107 = _T_6105 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6117 = _T_4807 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6120 = _T_5574 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6121 = _T_6117 | _T_6120; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6122 = _T_6121 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6124 = _T_6122 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6134 = _T_4808 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6137 = _T_5591 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6138 = _T_6134 | _T_6137; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6139 = _T_6138 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6141 = _T_6139 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6151 = _T_4809 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6154 = _T_5608 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6155 = _T_6151 | _T_6154; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6156 = _T_6155 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6158 = _T_6156 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6168 = _T_4810 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6171 = _T_5625 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6172 = _T_6168 | _T_6171; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6173 = _T_6172 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6175 = _T_6173 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6185 = _T_4811 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6188 = _T_5642 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6189 = _T_6185 | _T_6188; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6190 = _T_6189 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6192 = _T_6190 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6202 = _T_4812 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6205 = _T_5659 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6206 = _T_6202 | _T_6205; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6207 = _T_6206 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6209 = _T_6207 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6219 = _T_4813 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6222 = _T_5676 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6223 = _T_6219 | _T_6222; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6224 = _T_6223 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6226 = _T_6224 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6236 = _T_4814 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6239 = _T_5693 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6240 = _T_6236 | _T_6239; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6241 = _T_6240 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6243 = _T_6241 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6253 = _T_4815 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6256 = _T_5710 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6257 = _T_6253 | _T_6256; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6258 = _T_6257 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6260 = _T_6258 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6270 = _T_4816 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6273 = _T_5727 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6274 = _T_6270 | _T_6273; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6275 = _T_6274 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6277 = _T_6275 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6287 = _T_4817 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6290 = _T_5744 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6291 = _T_6287 | _T_6290; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6292 = _T_6291 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6294 = _T_6292 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6304 = _T_4818 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6307 = _T_5761 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6308 = _T_6304 | _T_6307; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6309 = _T_6308 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6311 = _T_6309 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6321 = _T_4819 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6324 = _T_5778 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6325 = _T_6321 | _T_6324; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6326 = _T_6325 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6328 = _T_6326 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6338 = _T_4820 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6341 = _T_5795 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6342 = _T_6338 | _T_6341; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6343 = _T_6342 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6345 = _T_6343 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6355 = _T_4821 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6356 = perr_ic_index_ff == 7'h20; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6358 = _T_6356 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6359 = _T_6355 | _T_6358; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6360 = _T_6359 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6362 = _T_6360 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6372 = _T_4822 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6373 = perr_ic_index_ff == 7'h21; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6375 = _T_6373 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6376 = _T_6372 | _T_6375; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6377 = _T_6376 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6379 = _T_6377 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6389 = _T_4823 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6390 = perr_ic_index_ff == 7'h22; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6392 = _T_6390 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6393 = _T_6389 | _T_6392; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6394 = _T_6393 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6396 = _T_6394 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6406 = _T_4824 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6407 = perr_ic_index_ff == 7'h23; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6409 = _T_6407 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6410 = _T_6406 | _T_6409; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6411 = _T_6410 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6413 = _T_6411 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6423 = _T_4825 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6424 = perr_ic_index_ff == 7'h24; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6426 = _T_6424 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6427 = _T_6423 | _T_6426; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6428 = _T_6427 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6430 = _T_6428 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6440 = _T_4826 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6441 = perr_ic_index_ff == 7'h25; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6443 = _T_6441 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6444 = _T_6440 | _T_6443; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6445 = _T_6444 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6447 = _T_6445 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6457 = _T_4827 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6458 = perr_ic_index_ff == 7'h26; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6460 = _T_6458 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6461 = _T_6457 | _T_6460; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6462 = _T_6461 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6464 = _T_6462 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6474 = _T_4828 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6475 = perr_ic_index_ff == 7'h27; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6477 = _T_6475 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6478 = _T_6474 | _T_6477; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6479 = _T_6478 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6481 = _T_6479 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6491 = _T_4829 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6492 = perr_ic_index_ff == 7'h28; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6494 = _T_6492 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6495 = _T_6491 | _T_6494; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6496 = _T_6495 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6498 = _T_6496 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6508 = _T_4830 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6509 = perr_ic_index_ff == 7'h29; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6511 = _T_6509 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6512 = _T_6508 | _T_6511; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6513 = _T_6512 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6515 = _T_6513 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6525 = _T_4831 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6526 = perr_ic_index_ff == 7'h2a; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6528 = _T_6526 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6529 = _T_6525 | _T_6528; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6530 = _T_6529 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6532 = _T_6530 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6542 = _T_4832 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6543 = perr_ic_index_ff == 7'h2b; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6545 = _T_6543 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6546 = _T_6542 | _T_6545; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6547 = _T_6546 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6549 = _T_6547 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6559 = _T_4833 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6560 = perr_ic_index_ff == 7'h2c; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6562 = _T_6560 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6563 = _T_6559 | _T_6562; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6564 = _T_6563 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6566 = _T_6564 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6576 = _T_4834 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6577 = perr_ic_index_ff == 7'h2d; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6579 = _T_6577 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6580 = _T_6576 | _T_6579; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6581 = _T_6580 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6583 = _T_6581 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6593 = _T_4835 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6594 = perr_ic_index_ff == 7'h2e; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6596 = _T_6594 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6597 = _T_6593 | _T_6596; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6598 = _T_6597 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6600 = _T_6598 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6610 = _T_4836 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6611 = perr_ic_index_ff == 7'h2f; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6613 = _T_6611 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6614 = _T_6610 | _T_6613; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6615 = _T_6614 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6617 = _T_6615 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6627 = _T_4837 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6628 = perr_ic_index_ff == 7'h30; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6630 = _T_6628 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6631 = _T_6627 | _T_6630; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6632 = _T_6631 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6634 = _T_6632 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6644 = _T_4838 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6645 = perr_ic_index_ff == 7'h31; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6647 = _T_6645 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6648 = _T_6644 | _T_6647; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6649 = _T_6648 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6651 = _T_6649 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6661 = _T_4839 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6662 = perr_ic_index_ff == 7'h32; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6664 = _T_6662 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6665 = _T_6661 | _T_6664; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6666 = _T_6665 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6668 = _T_6666 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6678 = _T_4840 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6679 = perr_ic_index_ff == 7'h33; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6681 = _T_6679 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6682 = _T_6678 | _T_6681; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6683 = _T_6682 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6685 = _T_6683 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6695 = _T_4841 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6696 = perr_ic_index_ff == 7'h34; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6698 = _T_6696 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6699 = _T_6695 | _T_6698; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6700 = _T_6699 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6702 = _T_6700 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6712 = _T_4842 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6713 = perr_ic_index_ff == 7'h35; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6715 = _T_6713 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6716 = _T_6712 | _T_6715; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6717 = _T_6716 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6719 = _T_6717 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6729 = _T_4843 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6730 = perr_ic_index_ff == 7'h36; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6732 = _T_6730 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6733 = _T_6729 | _T_6732; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6734 = _T_6733 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6736 = _T_6734 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6746 = _T_4844 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6747 = perr_ic_index_ff == 7'h37; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6749 = _T_6747 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6750 = _T_6746 | _T_6749; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6751 = _T_6750 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6753 = _T_6751 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6763 = _T_4845 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6764 = perr_ic_index_ff == 7'h38; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6766 = _T_6764 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6767 = _T_6763 | _T_6766; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6768 = _T_6767 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6770 = _T_6768 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6780 = _T_4846 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6781 = perr_ic_index_ff == 7'h39; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6783 = _T_6781 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6784 = _T_6780 | _T_6783; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6785 = _T_6784 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6787 = _T_6785 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6797 = _T_4847 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6798 = perr_ic_index_ff == 7'h3a; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6800 = _T_6798 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6801 = _T_6797 | _T_6800; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6802 = _T_6801 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6804 = _T_6802 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6814 = _T_4848 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6815 = perr_ic_index_ff == 7'h3b; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6817 = _T_6815 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6818 = _T_6814 | _T_6817; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6819 = _T_6818 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6821 = _T_6819 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6831 = _T_4849 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6832 = perr_ic_index_ff == 7'h3c; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6834 = _T_6832 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6835 = _T_6831 | _T_6834; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6836 = _T_6835 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6838 = _T_6836 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6848 = _T_4850 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6849 = perr_ic_index_ff == 7'h3d; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6851 = _T_6849 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6852 = _T_6848 | _T_6851; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6853 = _T_6852 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6855 = _T_6853 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6865 = _T_4851 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6866 = perr_ic_index_ff == 7'h3e; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6868 = _T_6866 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6869 = _T_6865 | _T_6868; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6870 = _T_6869 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6872 = _T_6870 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6882 = _T_4852 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6883 = perr_ic_index_ff == 7'h3f; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_6885 = _T_6883 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6886 = _T_6882 | _T_6885; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6887 = _T_6886 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6889 = _T_6887 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6899 = _T_4821 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6902 = _T_6356 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6903 = _T_6899 | _T_6902; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6904 = _T_6903 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6906 = _T_6904 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6916 = _T_4822 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6919 = _T_6373 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6920 = _T_6916 | _T_6919; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6921 = _T_6920 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6923 = _T_6921 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6933 = _T_4823 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6936 = _T_6390 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6937 = _T_6933 | _T_6936; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6938 = _T_6937 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6940 = _T_6938 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6950 = _T_4824 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6953 = _T_6407 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6954 = _T_6950 | _T_6953; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6955 = _T_6954 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6957 = _T_6955 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6967 = _T_4825 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6970 = _T_6424 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6971 = _T_6967 | _T_6970; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6972 = _T_6971 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6974 = _T_6972 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_6984 = _T_4826 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_6987 = _T_6441 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_6988 = _T_6984 | _T_6987; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_6989 = _T_6988 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_6991 = _T_6989 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7001 = _T_4827 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7004 = _T_6458 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7005 = _T_7001 | _T_7004; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7006 = _T_7005 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7008 = _T_7006 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7018 = _T_4828 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7021 = _T_6475 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7022 = _T_7018 | _T_7021; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7023 = _T_7022 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7025 = _T_7023 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7035 = _T_4829 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7038 = _T_6492 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7039 = _T_7035 | _T_7038; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7040 = _T_7039 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7042 = _T_7040 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7052 = _T_4830 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7055 = _T_6509 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7056 = _T_7052 | _T_7055; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7057 = _T_7056 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7059 = _T_7057 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7069 = _T_4831 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7072 = _T_6526 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7073 = _T_7069 | _T_7072; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7074 = _T_7073 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7076 = _T_7074 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7086 = _T_4832 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7089 = _T_6543 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7090 = _T_7086 | _T_7089; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7091 = _T_7090 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7093 = _T_7091 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7103 = _T_4833 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7106 = _T_6560 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7107 = _T_7103 | _T_7106; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7108 = _T_7107 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7110 = _T_7108 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7120 = _T_4834 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7123 = _T_6577 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7124 = _T_7120 | _T_7123; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7125 = _T_7124 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7127 = _T_7125 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7137 = _T_4835 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7140 = _T_6594 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7141 = _T_7137 | _T_7140; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7142 = _T_7141 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7144 = _T_7142 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7154 = _T_4836 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7157 = _T_6611 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7158 = _T_7154 | _T_7157; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7159 = _T_7158 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7161 = _T_7159 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7171 = _T_4837 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7174 = _T_6628 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7175 = _T_7171 | _T_7174; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7176 = _T_7175 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7178 = _T_7176 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7188 = _T_4838 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7191 = _T_6645 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7192 = _T_7188 | _T_7191; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7193 = _T_7192 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7195 = _T_7193 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7205 = _T_4839 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7208 = _T_6662 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7209 = _T_7205 | _T_7208; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7210 = _T_7209 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7212 = _T_7210 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7222 = _T_4840 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7225 = _T_6679 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7226 = _T_7222 | _T_7225; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7227 = _T_7226 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7229 = _T_7227 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7239 = _T_4841 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7242 = _T_6696 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7243 = _T_7239 | _T_7242; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7244 = _T_7243 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7246 = _T_7244 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7256 = _T_4842 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7259 = _T_6713 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7260 = _T_7256 | _T_7259; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7261 = _T_7260 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7263 = _T_7261 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7273 = _T_4843 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7276 = _T_6730 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7277 = _T_7273 | _T_7276; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7278 = _T_7277 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7280 = _T_7278 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7290 = _T_4844 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7293 = _T_6747 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7294 = _T_7290 | _T_7293; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7295 = _T_7294 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7297 = _T_7295 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7307 = _T_4845 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7310 = _T_6764 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7311 = _T_7307 | _T_7310; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7312 = _T_7311 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7314 = _T_7312 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7324 = _T_4846 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7327 = _T_6781 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7328 = _T_7324 | _T_7327; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7329 = _T_7328 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7331 = _T_7329 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7341 = _T_4847 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7344 = _T_6798 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7345 = _T_7341 | _T_7344; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7346 = _T_7345 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7348 = _T_7346 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7358 = _T_4848 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7361 = _T_6815 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7362 = _T_7358 | _T_7361; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7363 = _T_7362 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7365 = _T_7363 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7375 = _T_4849 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7378 = _T_6832 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7379 = _T_7375 | _T_7378; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7380 = _T_7379 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7382 = _T_7380 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7392 = _T_4850 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7395 = _T_6849 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7396 = _T_7392 | _T_7395; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7397 = _T_7396 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7399 = _T_7397 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7409 = _T_4851 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7412 = _T_6866 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7413 = _T_7409 | _T_7412; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7414 = _T_7413 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7416 = _T_7414 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7426 = _T_4852 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7429 = _T_6883 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7430 = _T_7426 | _T_7429; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7431 = _T_7430 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7433 = _T_7431 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7443 = _T_4853 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7444 = perr_ic_index_ff == 7'h40; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7446 = _T_7444 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7447 = _T_7443 | _T_7446; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7448 = _T_7447 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7450 = _T_7448 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7460 = _T_4854 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7461 = perr_ic_index_ff == 7'h41; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7463 = _T_7461 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7464 = _T_7460 | _T_7463; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7465 = _T_7464 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7467 = _T_7465 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7477 = _T_4855 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7478 = perr_ic_index_ff == 7'h42; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7480 = _T_7478 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7481 = _T_7477 | _T_7480; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7482 = _T_7481 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7484 = _T_7482 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7494 = _T_4856 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7495 = perr_ic_index_ff == 7'h43; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7497 = _T_7495 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7498 = _T_7494 | _T_7497; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7499 = _T_7498 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7501 = _T_7499 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7511 = _T_4857 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7512 = perr_ic_index_ff == 7'h44; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7514 = _T_7512 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7515 = _T_7511 | _T_7514; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7516 = _T_7515 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7518 = _T_7516 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7528 = _T_4858 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7529 = perr_ic_index_ff == 7'h45; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7531 = _T_7529 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7532 = _T_7528 | _T_7531; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7533 = _T_7532 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7535 = _T_7533 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7545 = _T_4859 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7546 = perr_ic_index_ff == 7'h46; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7548 = _T_7546 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7549 = _T_7545 | _T_7548; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7550 = _T_7549 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7552 = _T_7550 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7562 = _T_4860 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7563 = perr_ic_index_ff == 7'h47; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7565 = _T_7563 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7566 = _T_7562 | _T_7565; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7567 = _T_7566 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7569 = _T_7567 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7579 = _T_4861 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7580 = perr_ic_index_ff == 7'h48; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7582 = _T_7580 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7583 = _T_7579 | _T_7582; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7584 = _T_7583 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7586 = _T_7584 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7596 = _T_4862 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7597 = perr_ic_index_ff == 7'h49; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7599 = _T_7597 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7600 = _T_7596 | _T_7599; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7601 = _T_7600 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7603 = _T_7601 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7613 = _T_4863 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7614 = perr_ic_index_ff == 7'h4a; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7616 = _T_7614 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7617 = _T_7613 | _T_7616; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7618 = _T_7617 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7620 = _T_7618 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7630 = _T_4864 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7631 = perr_ic_index_ff == 7'h4b; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7633 = _T_7631 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7634 = _T_7630 | _T_7633; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7635 = _T_7634 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7637 = _T_7635 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7647 = _T_4865 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7648 = perr_ic_index_ff == 7'h4c; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7650 = _T_7648 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7651 = _T_7647 | _T_7650; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7652 = _T_7651 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7654 = _T_7652 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7664 = _T_4866 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7665 = perr_ic_index_ff == 7'h4d; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7667 = _T_7665 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7668 = _T_7664 | _T_7667; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7669 = _T_7668 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7671 = _T_7669 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7681 = _T_4867 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7682 = perr_ic_index_ff == 7'h4e; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7684 = _T_7682 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7685 = _T_7681 | _T_7684; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7686 = _T_7685 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7688 = _T_7686 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7698 = _T_4868 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7699 = perr_ic_index_ff == 7'h4f; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7701 = _T_7699 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7702 = _T_7698 | _T_7701; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7703 = _T_7702 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7705 = _T_7703 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7715 = _T_4869 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7716 = perr_ic_index_ff == 7'h50; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7718 = _T_7716 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7719 = _T_7715 | _T_7718; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7720 = _T_7719 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7722 = _T_7720 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7732 = _T_4870 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7733 = perr_ic_index_ff == 7'h51; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7735 = _T_7733 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7736 = _T_7732 | _T_7735; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7737 = _T_7736 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7739 = _T_7737 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7749 = _T_4871 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7750 = perr_ic_index_ff == 7'h52; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7752 = _T_7750 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7753 = _T_7749 | _T_7752; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7754 = _T_7753 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7756 = _T_7754 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7766 = _T_4872 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7767 = perr_ic_index_ff == 7'h53; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7769 = _T_7767 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7770 = _T_7766 | _T_7769; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7771 = _T_7770 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7773 = _T_7771 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7783 = _T_4873 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7784 = perr_ic_index_ff == 7'h54; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7786 = _T_7784 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7787 = _T_7783 | _T_7786; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7788 = _T_7787 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7790 = _T_7788 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7800 = _T_4874 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7801 = perr_ic_index_ff == 7'h55; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7803 = _T_7801 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7804 = _T_7800 | _T_7803; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7805 = _T_7804 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7807 = _T_7805 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7817 = _T_4875 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7818 = perr_ic_index_ff == 7'h56; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7820 = _T_7818 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7821 = _T_7817 | _T_7820; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7822 = _T_7821 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7824 = _T_7822 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7834 = _T_4876 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7835 = perr_ic_index_ff == 7'h57; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7837 = _T_7835 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7838 = _T_7834 | _T_7837; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7839 = _T_7838 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7841 = _T_7839 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7851 = _T_4877 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7852 = perr_ic_index_ff == 7'h58; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7854 = _T_7852 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7855 = _T_7851 | _T_7854; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7856 = _T_7855 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7858 = _T_7856 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7868 = _T_4878 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7869 = perr_ic_index_ff == 7'h59; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7871 = _T_7869 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7872 = _T_7868 | _T_7871; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7873 = _T_7872 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7875 = _T_7873 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7885 = _T_4879 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7886 = perr_ic_index_ff == 7'h5a; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7888 = _T_7886 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7889 = _T_7885 | _T_7888; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7890 = _T_7889 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7892 = _T_7890 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7902 = _T_4880 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7903 = perr_ic_index_ff == 7'h5b; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7905 = _T_7903 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7906 = _T_7902 | _T_7905; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7907 = _T_7906 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7909 = _T_7907 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7919 = _T_4881 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7920 = perr_ic_index_ff == 7'h5c; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7922 = _T_7920 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7923 = _T_7919 | _T_7922; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7924 = _T_7923 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7926 = _T_7924 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7936 = _T_4882 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7937 = perr_ic_index_ff == 7'h5d; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7939 = _T_7937 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7940 = _T_7936 | _T_7939; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7941 = _T_7940 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7943 = _T_7941 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7953 = _T_4883 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7954 = perr_ic_index_ff == 7'h5e; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7956 = _T_7954 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7957 = _T_7953 | _T_7956; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7958 = _T_7957 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7960 = _T_7958 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7970 = _T_4884 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7971 = perr_ic_index_ff == 7'h5f; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_7973 = _T_7971 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7974 = _T_7970 | _T_7973; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7975 = _T_7974 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7977 = _T_7975 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_7987 = _T_4853 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_7990 = _T_7444 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_7991 = _T_7987 | _T_7990; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_7992 = _T_7991 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_7994 = _T_7992 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8004 = _T_4854 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8007 = _T_7461 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8008 = _T_8004 | _T_8007; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8009 = _T_8008 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8011 = _T_8009 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8021 = _T_4855 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8024 = _T_7478 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8025 = _T_8021 | _T_8024; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8026 = _T_8025 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8028 = _T_8026 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8038 = _T_4856 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8041 = _T_7495 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8042 = _T_8038 | _T_8041; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8043 = _T_8042 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8045 = _T_8043 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8055 = _T_4857 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8058 = _T_7512 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8059 = _T_8055 | _T_8058; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8060 = _T_8059 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8062 = _T_8060 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8072 = _T_4858 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8075 = _T_7529 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8076 = _T_8072 | _T_8075; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8077 = _T_8076 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8079 = _T_8077 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8089 = _T_4859 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8092 = _T_7546 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8093 = _T_8089 | _T_8092; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8094 = _T_8093 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8096 = _T_8094 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8106 = _T_4860 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8109 = _T_7563 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8110 = _T_8106 | _T_8109; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8111 = _T_8110 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8113 = _T_8111 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8123 = _T_4861 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8126 = _T_7580 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8127 = _T_8123 | _T_8126; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8128 = _T_8127 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8130 = _T_8128 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8140 = _T_4862 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8143 = _T_7597 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8144 = _T_8140 | _T_8143; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8145 = _T_8144 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8147 = _T_8145 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8157 = _T_4863 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8160 = _T_7614 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8161 = _T_8157 | _T_8160; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8162 = _T_8161 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8164 = _T_8162 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8174 = _T_4864 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8177 = _T_7631 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8178 = _T_8174 | _T_8177; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8179 = _T_8178 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8181 = _T_8179 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8191 = _T_4865 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8194 = _T_7648 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8195 = _T_8191 | _T_8194; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8196 = _T_8195 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8198 = _T_8196 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8208 = _T_4866 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8211 = _T_7665 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8212 = _T_8208 | _T_8211; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8213 = _T_8212 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8215 = _T_8213 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8225 = _T_4867 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8228 = _T_7682 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8229 = _T_8225 | _T_8228; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8230 = _T_8229 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8232 = _T_8230 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8242 = _T_4868 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8245 = _T_7699 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8246 = _T_8242 | _T_8245; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8247 = _T_8246 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8249 = _T_8247 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8259 = _T_4869 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8262 = _T_7716 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8263 = _T_8259 | _T_8262; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8264 = _T_8263 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8266 = _T_8264 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8276 = _T_4870 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8279 = _T_7733 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8280 = _T_8276 | _T_8279; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8281 = _T_8280 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8283 = _T_8281 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8293 = _T_4871 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8296 = _T_7750 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8297 = _T_8293 | _T_8296; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8298 = _T_8297 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8300 = _T_8298 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8310 = _T_4872 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8313 = _T_7767 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8314 = _T_8310 | _T_8313; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8315 = _T_8314 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8317 = _T_8315 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8327 = _T_4873 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8330 = _T_7784 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8331 = _T_8327 | _T_8330; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8332 = _T_8331 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8334 = _T_8332 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8344 = _T_4874 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8347 = _T_7801 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8348 = _T_8344 | _T_8347; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8349 = _T_8348 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8351 = _T_8349 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8361 = _T_4875 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8364 = _T_7818 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8365 = _T_8361 | _T_8364; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8366 = _T_8365 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8368 = _T_8366 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8378 = _T_4876 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8381 = _T_7835 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8382 = _T_8378 | _T_8381; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8383 = _T_8382 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8385 = _T_8383 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8395 = _T_4877 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8398 = _T_7852 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8399 = _T_8395 | _T_8398; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8400 = _T_8399 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8402 = _T_8400 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8412 = _T_4878 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8415 = _T_7869 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8416 = _T_8412 | _T_8415; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8417 = _T_8416 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8419 = _T_8417 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8429 = _T_4879 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8432 = _T_7886 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8433 = _T_8429 | _T_8432; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8434 = _T_8433 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8436 = _T_8434 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8446 = _T_4880 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8449 = _T_7903 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8450 = _T_8446 | _T_8449; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8451 = _T_8450 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8453 = _T_8451 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8463 = _T_4881 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8466 = _T_7920 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8467 = _T_8463 | _T_8466; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8468 = _T_8467 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8470 = _T_8468 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8480 = _T_4882 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8483 = _T_7937 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8484 = _T_8480 | _T_8483; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8485 = _T_8484 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8487 = _T_8485 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8497 = _T_4883 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8500 = _T_7954 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8501 = _T_8497 | _T_8500; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8502 = _T_8501 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8504 = _T_8502 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8514 = _T_4884 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8517 = _T_7971 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8518 = _T_8514 | _T_8517; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8519 = _T_8518 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8521 = _T_8519 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8531 = _T_4885 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8532 = perr_ic_index_ff == 7'h60; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8534 = _T_8532 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8535 = _T_8531 | _T_8534; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8536 = _T_8535 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8538 = _T_8536 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8548 = _T_4886 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8549 = perr_ic_index_ff == 7'h61; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8551 = _T_8549 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8552 = _T_8548 | _T_8551; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8553 = _T_8552 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8555 = _T_8553 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8565 = _T_4887 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8566 = perr_ic_index_ff == 7'h62; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8568 = _T_8566 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8569 = _T_8565 | _T_8568; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8570 = _T_8569 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8572 = _T_8570 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8582 = _T_4888 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8583 = perr_ic_index_ff == 7'h63; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8585 = _T_8583 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8586 = _T_8582 | _T_8585; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8587 = _T_8586 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8589 = _T_8587 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8599 = _T_4889 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8600 = perr_ic_index_ff == 7'h64; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8602 = _T_8600 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8603 = _T_8599 | _T_8602; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8604 = _T_8603 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8606 = _T_8604 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8616 = _T_4890 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8617 = perr_ic_index_ff == 7'h65; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8619 = _T_8617 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8620 = _T_8616 | _T_8619; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8621 = _T_8620 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8623 = _T_8621 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8633 = _T_4891 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8634 = perr_ic_index_ff == 7'h66; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8636 = _T_8634 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8637 = _T_8633 | _T_8636; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8638 = _T_8637 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8640 = _T_8638 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8650 = _T_4892 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8651 = perr_ic_index_ff == 7'h67; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8653 = _T_8651 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8654 = _T_8650 | _T_8653; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8655 = _T_8654 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8657 = _T_8655 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8667 = _T_4893 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8668 = perr_ic_index_ff == 7'h68; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8670 = _T_8668 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8671 = _T_8667 | _T_8670; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8672 = _T_8671 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8674 = _T_8672 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8684 = _T_4894 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8685 = perr_ic_index_ff == 7'h69; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8687 = _T_8685 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8688 = _T_8684 | _T_8687; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8689 = _T_8688 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8691 = _T_8689 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8701 = _T_4895 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8702 = perr_ic_index_ff == 7'h6a; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8704 = _T_8702 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8705 = _T_8701 | _T_8704; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8706 = _T_8705 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8708 = _T_8706 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8718 = _T_4896 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8719 = perr_ic_index_ff == 7'h6b; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8721 = _T_8719 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8722 = _T_8718 | _T_8721; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8723 = _T_8722 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8725 = _T_8723 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8735 = _T_4897 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8736 = perr_ic_index_ff == 7'h6c; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8738 = _T_8736 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8739 = _T_8735 | _T_8738; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8740 = _T_8739 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8742 = _T_8740 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8752 = _T_4898 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8753 = perr_ic_index_ff == 7'h6d; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8755 = _T_8753 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8756 = _T_8752 | _T_8755; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8757 = _T_8756 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8759 = _T_8757 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8769 = _T_4899 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8770 = perr_ic_index_ff == 7'h6e; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8772 = _T_8770 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8773 = _T_8769 | _T_8772; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8774 = _T_8773 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8776 = _T_8774 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8786 = _T_4900 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8787 = perr_ic_index_ff == 7'h6f; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8789 = _T_8787 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8790 = _T_8786 | _T_8789; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8791 = _T_8790 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8793 = _T_8791 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8803 = _T_4901 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8804 = perr_ic_index_ff == 7'h70; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8806 = _T_8804 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8807 = _T_8803 | _T_8806; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8808 = _T_8807 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8810 = _T_8808 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8820 = _T_4902 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8821 = perr_ic_index_ff == 7'h71; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8823 = _T_8821 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8824 = _T_8820 | _T_8823; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8825 = _T_8824 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8827 = _T_8825 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8837 = _T_4903 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8838 = perr_ic_index_ff == 7'h72; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8840 = _T_8838 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8841 = _T_8837 | _T_8840; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8842 = _T_8841 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8844 = _T_8842 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8854 = _T_4904 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8855 = perr_ic_index_ff == 7'h73; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8857 = _T_8855 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8858 = _T_8854 | _T_8857; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8859 = _T_8858 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8861 = _T_8859 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8871 = _T_4905 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8872 = perr_ic_index_ff == 7'h74; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8874 = _T_8872 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8875 = _T_8871 | _T_8874; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8876 = _T_8875 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8878 = _T_8876 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8888 = _T_4906 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8889 = perr_ic_index_ff == 7'h75; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8891 = _T_8889 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8892 = _T_8888 | _T_8891; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8893 = _T_8892 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8895 = _T_8893 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8905 = _T_4907 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8906 = perr_ic_index_ff == 7'h76; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8908 = _T_8906 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8909 = _T_8905 | _T_8908; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8910 = _T_8909 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8912 = _T_8910 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8922 = _T_4908 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8923 = perr_ic_index_ff == 7'h77; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8925 = _T_8923 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8926 = _T_8922 | _T_8925; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8927 = _T_8926 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8929 = _T_8927 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8939 = _T_4909 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8940 = perr_ic_index_ff == 7'h78; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8942 = _T_8940 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8943 = _T_8939 | _T_8942; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8944 = _T_8943 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8946 = _T_8944 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8956 = _T_4910 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8957 = perr_ic_index_ff == 7'h79; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8959 = _T_8957 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8960 = _T_8956 | _T_8959; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8961 = _T_8960 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8963 = _T_8961 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8973 = _T_4911 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8974 = perr_ic_index_ff == 7'h7a; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8976 = _T_8974 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8977 = _T_8973 | _T_8976; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8978 = _T_8977 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8980 = _T_8978 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_8990 = _T_4912 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_8991 = perr_ic_index_ff == 7'h7b; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_8993 = _T_8991 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_8994 = _T_8990 | _T_8993; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_8995 = _T_8994 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_8997 = _T_8995 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9007 = _T_4913 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9008 = perr_ic_index_ff == 7'h7c; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_9010 = _T_9008 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9011 = _T_9007 | _T_9010; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9012 = _T_9011 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9014 = _T_9012 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9024 = _T_4914 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9025 = perr_ic_index_ff == 7'h7d; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_9027 = _T_9025 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9028 = _T_9024 | _T_9027; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9029 = _T_9028 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9031 = _T_9029 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9041 = _T_4915 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9042 = perr_ic_index_ff == 7'h7e; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_9044 = _T_9042 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9045 = _T_9041 | _T_9044; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9046 = _T_9045 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9048 = _T_9046 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9058 = _T_4916 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9059 = perr_ic_index_ff == 7'h7f; // @[el2_ifu_mem_ctl.scala 756:102] + wire _T_9061 = _T_9059 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9062 = _T_9058 | _T_9061; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9063 = _T_9062 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9065 = _T_9063 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9075 = _T_4885 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9078 = _T_8532 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9079 = _T_9075 | _T_9078; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9080 = _T_9079 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9082 = _T_9080 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9092 = _T_4886 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9095 = _T_8549 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9096 = _T_9092 | _T_9095; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9097 = _T_9096 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9099 = _T_9097 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9109 = _T_4887 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9112 = _T_8566 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9113 = _T_9109 | _T_9112; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9114 = _T_9113 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9116 = _T_9114 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9126 = _T_4888 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9129 = _T_8583 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9130 = _T_9126 | _T_9129; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9131 = _T_9130 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9133 = _T_9131 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9143 = _T_4889 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9146 = _T_8600 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9147 = _T_9143 | _T_9146; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9148 = _T_9147 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9150 = _T_9148 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9160 = _T_4890 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9163 = _T_8617 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9164 = _T_9160 | _T_9163; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9165 = _T_9164 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9167 = _T_9165 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9177 = _T_4891 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9180 = _T_8634 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9181 = _T_9177 | _T_9180; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9182 = _T_9181 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9184 = _T_9182 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9194 = _T_4892 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9197 = _T_8651 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9198 = _T_9194 | _T_9197; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9199 = _T_9198 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9201 = _T_9199 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9211 = _T_4893 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9214 = _T_8668 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9215 = _T_9211 | _T_9214; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9216 = _T_9215 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9218 = _T_9216 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9228 = _T_4894 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9231 = _T_8685 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9232 = _T_9228 | _T_9231; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9233 = _T_9232 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9235 = _T_9233 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9245 = _T_4895 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9248 = _T_8702 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9249 = _T_9245 | _T_9248; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9250 = _T_9249 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9252 = _T_9250 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9262 = _T_4896 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9265 = _T_8719 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9266 = _T_9262 | _T_9265; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9267 = _T_9266 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9269 = _T_9267 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9279 = _T_4897 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9282 = _T_8736 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9283 = _T_9279 | _T_9282; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9284 = _T_9283 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9286 = _T_9284 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9296 = _T_4898 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9299 = _T_8753 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9300 = _T_9296 | _T_9299; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9301 = _T_9300 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9303 = _T_9301 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9313 = _T_4899 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9316 = _T_8770 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9317 = _T_9313 | _T_9316; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9318 = _T_9317 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9320 = _T_9318 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9330 = _T_4900 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9333 = _T_8787 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9334 = _T_9330 | _T_9333; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9335 = _T_9334 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9337 = _T_9335 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9347 = _T_4901 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9350 = _T_8804 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9351 = _T_9347 | _T_9350; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9352 = _T_9351 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9354 = _T_9352 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9364 = _T_4902 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9367 = _T_8821 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9368 = _T_9364 | _T_9367; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9369 = _T_9368 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9371 = _T_9369 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9381 = _T_4903 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9384 = _T_8838 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9385 = _T_9381 | _T_9384; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9386 = _T_9385 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9388 = _T_9386 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9398 = _T_4904 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9401 = _T_8855 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9402 = _T_9398 | _T_9401; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9403 = _T_9402 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9405 = _T_9403 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9415 = _T_4905 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9418 = _T_8872 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9419 = _T_9415 | _T_9418; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9420 = _T_9419 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9422 = _T_9420 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9432 = _T_4906 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9435 = _T_8889 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9436 = _T_9432 | _T_9435; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9437 = _T_9436 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9439 = _T_9437 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9449 = _T_4907 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9452 = _T_8906 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9453 = _T_9449 | _T_9452; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9454 = _T_9453 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9456 = _T_9454 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9466 = _T_4908 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9469 = _T_8923 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9470 = _T_9466 | _T_9469; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9471 = _T_9470 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9473 = _T_9471 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9483 = _T_4909 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9486 = _T_8940 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9487 = _T_9483 | _T_9486; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9488 = _T_9487 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9490 = _T_9488 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9500 = _T_4910 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9503 = _T_8957 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9504 = _T_9500 | _T_9503; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9505 = _T_9504 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9507 = _T_9505 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9517 = _T_4911 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9520 = _T_8974 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9521 = _T_9517 | _T_9520; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9522 = _T_9521 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9524 = _T_9522 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9534 = _T_4912 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9537 = _T_8991 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9538 = _T_9534 | _T_9537; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9539 = _T_9538 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9541 = _T_9539 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9551 = _T_4913 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9554 = _T_9008 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9555 = _T_9551 | _T_9554; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9556 = _T_9555 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9558 = _T_9556 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9568 = _T_4914 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9571 = _T_9025 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9572 = _T_9568 | _T_9571; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9573 = _T_9572 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9575 = _T_9573 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9585 = _T_4915 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9588 = _T_9042 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9589 = _T_9585 | _T_9588; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9590 = _T_9589 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9592 = _T_9590 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_9602 = _T_4916 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 756:59] + wire _T_9605 = _T_9059 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 756:124] + wire _T_9606 = _T_9602 | _T_9605; // @[el2_ifu_mem_ctl.scala 756:81] + wire _T_9607 = _T_9606 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 756:147] + wire _T_9609 = _T_9607 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 756:165] + wire _T_10411 = ~fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 811:63] + wire _T_10412 = _T_10411 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 811:85] wire [1:0] _T_10414 = _T_10412 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg _T_10421; // @[el2_ifu_mem_ctl.scala 821:57] - reg _T_10422; // @[el2_ifu_mem_ctl.scala 822:56] - reg _T_10423; // @[el2_ifu_mem_ctl.scala 823:59] - wire _T_10424 = ~ifu_bus_arready_ff; // @[el2_ifu_mem_ctl.scala 824:80] - wire _T_10425 = ifu_bus_arvalid_ff & _T_10424; // @[el2_ifu_mem_ctl.scala 824:78] - wire _T_10426 = _T_10425 & miss_pending; // @[el2_ifu_mem_ctl.scala 824:100] - reg _T_10427; // @[el2_ifu_mem_ctl.scala 824:58] - reg _T_10428; // @[el2_ifu_mem_ctl.scala 825:58] - wire _T_10431 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h3; // @[el2_ifu_mem_ctl.scala 832:71] - wire _T_10433 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h2; // @[el2_ifu_mem_ctl.scala 832:124] - wire _T_10435 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h1; // @[el2_ifu_mem_ctl.scala 833:50] - wire _T_10437 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h0; // @[el2_ifu_mem_ctl.scala 833:103] + reg _T_10421; // @[el2_ifu_mem_ctl.scala 816:57] + reg _T_10422; // @[el2_ifu_mem_ctl.scala 817:56] + reg _T_10423; // @[el2_ifu_mem_ctl.scala 818:59] + wire _T_10424 = ~ifu_bus_arready_ff; // @[el2_ifu_mem_ctl.scala 819:80] + wire _T_10425 = ifu_bus_arvalid_ff & _T_10424; // @[el2_ifu_mem_ctl.scala 819:78] + wire _T_10426 = _T_10425 & miss_pending; // @[el2_ifu_mem_ctl.scala 819:100] + reg _T_10427; // @[el2_ifu_mem_ctl.scala 819:58] + reg _T_10428; // @[el2_ifu_mem_ctl.scala 820:58] + wire _T_10431 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h3; // @[el2_ifu_mem_ctl.scala 827:71] + wire _T_10433 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h2; // @[el2_ifu_mem_ctl.scala 827:124] + wire _T_10435 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h1; // @[el2_ifu_mem_ctl.scala 828:50] + wire _T_10437 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h0; // @[el2_ifu_mem_ctl.scala 828:103] wire [3:0] _T_10440 = {_T_10431,_T_10433,_T_10435,_T_10437}; // @[Cat.scala 29:58] - wire ic_debug_ict_array_sel_in = io_ic_debug_rd_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 835:53] + wire ic_debug_ict_array_sel_in = io_ic_debug_rd_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 830:53] reg _T_10451; // @[Reg.scala 27:20] - assign io_ifu_miss_state_idle = miss_state == 3'h0; // @[el2_ifu_mem_ctl.scala 332:26] - assign io_ifu_ic_mb_empty = _T_326 | _T_231; // @[el2_ifu_mem_ctl.scala 331:22] - assign io_ic_dma_active = _T_11 | io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 196:20] - assign io_ic_write_stall = write_ic_16_bytes & _T_3978; // @[el2_ifu_mem_ctl.scala 702:21] - assign io_ifu_pmu_ic_miss = _T_10421; // @[el2_ifu_mem_ctl.scala 821:22] - assign io_ifu_pmu_ic_hit = _T_10422; // @[el2_ifu_mem_ctl.scala 822:21] - assign io_ifu_pmu_bus_error = _T_10423; // @[el2_ifu_mem_ctl.scala 823:24] - assign io_ifu_pmu_bus_busy = _T_10427; // @[el2_ifu_mem_ctl.scala 824:23] - assign io_ifu_pmu_bus_trxn = _T_10428; // @[el2_ifu_mem_ctl.scala 825:23] - assign io_ifu_axi_awvalid = 1'h0; // @[el2_ifu_mem_ctl.scala 146:22] - assign io_ifu_axi_awid = 3'h0; // @[el2_ifu_mem_ctl.scala 145:19] - assign io_ifu_axi_awaddr = 32'h0; // @[el2_ifu_mem_ctl.scala 140:21] - assign io_ifu_axi_awregion = 4'h0; // @[el2_ifu_mem_ctl.scala 144:23] - assign io_ifu_axi_awlen = 8'h0; // @[el2_ifu_mem_ctl.scala 142:20] - assign io_ifu_axi_awsize = 3'h0; // @[el2_ifu_mem_ctl.scala 153:21] - assign io_ifu_axi_awburst = 2'h0; // @[el2_ifu_mem_ctl.scala 155:22] - assign io_ifu_axi_awlock = 1'h0; // @[el2_ifu_mem_ctl.scala 150:21] - assign io_ifu_axi_awcache = 4'h0; // @[el2_ifu_mem_ctl.scala 148:22] - assign io_ifu_axi_awprot = 3'h0; // @[el2_ifu_mem_ctl.scala 141:21] - assign io_ifu_axi_awqos = 4'h0; // @[el2_ifu_mem_ctl.scala 139:20] - assign io_ifu_axi_wvalid = 1'h0; // @[el2_ifu_mem_ctl.scala 137:21] - assign io_ifu_axi_wdata = 64'h0; // @[el2_ifu_mem_ctl.scala 138:20] - assign io_ifu_axi_wstrb = 8'h0; // @[el2_ifu_mem_ctl.scala 147:20] - assign io_ifu_axi_wlast = 1'h0; // @[el2_ifu_mem_ctl.scala 156:20] - assign io_ifu_axi_bready = 1'h0; // @[el2_ifu_mem_ctl.scala 151:21] - assign io_ifu_axi_arvalid = ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 564:22] - assign io_ifu_axi_arid = bus_rd_addr_count & _T_2572; // @[el2_ifu_mem_ctl.scala 565:19] - assign io_ifu_axi_araddr = _T_2574 & _T_2576; // @[el2_ifu_mem_ctl.scala 566:21] - assign io_ifu_axi_arregion = ifu_ic_req_addr_f[28:25]; // @[el2_ifu_mem_ctl.scala 569:23] - assign io_ifu_axi_arlen = 8'h0; // @[el2_ifu_mem_ctl.scala 152:20] - assign io_ifu_axi_arsize = 3'h3; // @[el2_ifu_mem_ctl.scala 567:21] - assign io_ifu_axi_arburst = 2'h1; // @[el2_ifu_mem_ctl.scala 570:22] - assign io_ifu_axi_arlock = 1'h0; // @[el2_ifu_mem_ctl.scala 143:21] - assign io_ifu_axi_arcache = 4'hf; // @[el2_ifu_mem_ctl.scala 568:22] - assign io_ifu_axi_arprot = 3'h0; // @[el2_ifu_mem_ctl.scala 154:21] - assign io_ifu_axi_arqos = 4'h0; // @[el2_ifu_mem_ctl.scala 149:20] - assign io_ifu_axi_rready = 1'h1; // @[el2_ifu_mem_ctl.scala 571:21] - assign io_iccm_dma_ecc_error = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 661:25] - assign io_iccm_dma_rvalid = iccm_dma_rvalid_temp; // @[el2_ifu_mem_ctl.scala 659:22] - assign io_iccm_dma_rdata = iccm_dma_rdata_temp; // @[el2_ifu_mem_ctl.scala 663:21] - assign io_iccm_dma_rtag = iccm_dma_rtag_temp; // @[el2_ifu_mem_ctl.scala 654:20] - assign io_iccm_ready = _T_2675 & _T_2669; // @[el2_ifu_mem_ctl.scala 634:17] - assign io_ic_rw_addr = _T_338 | _T_339; // @[el2_ifu_mem_ctl.scala 341:17] - assign io_ic_wr_en = bus_ic_wr_en & _T_3964; // @[el2_ifu_mem_ctl.scala 701:15] - assign io_ic_rd_en = _T_3956 | _T_3961; // @[el2_ifu_mem_ctl.scala 692:15] - assign io_ic_wr_data_0 = ic_wr_16bytes_data[70:0]; // @[el2_ifu_mem_ctl.scala 348:17] - assign io_ic_wr_data_1 = ic_wr_16bytes_data[141:71]; // @[el2_ifu_mem_ctl.scala 348:17] - assign io_ic_debug_wr_data = io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_ifu_mem_ctl.scala 349:23] - assign io_ifu_ic_debug_rd_data = _T_1209; // @[el2_ifu_mem_ctl.scala 357:27] - assign io_ic_debug_addr = io_dec_tlu_ic_diag_pkt_icache_dicawics[9:0]; // @[el2_ifu_mem_ctl.scala 828:20] - assign io_ic_debug_rd_en = io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_ifu_mem_ctl.scala 830:21] - assign io_ic_debug_wr_en = io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_ifu_mem_ctl.scala 831:21] - assign io_ic_debug_tag_array = io_dec_tlu_ic_diag_pkt_icache_dicawics[16]; // @[el2_ifu_mem_ctl.scala 829:25] - assign io_ic_debug_way = _T_10440[1:0]; // @[el2_ifu_mem_ctl.scala 832:19] - assign io_ic_tag_valid = ic_tag_valid_unq & _T_10414; // @[el2_ifu_mem_ctl.scala 816:19] - assign io_iccm_rw_addr = _T_3110[14:0]; // @[el2_ifu_mem_ctl.scala 665:19] - assign io_iccm_wren = _T_2679 | iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 636:16] - assign io_iccm_rden = _T_2683 | _T_2684; // @[el2_ifu_mem_ctl.scala 637:16] - assign io_iccm_wr_data = _T_3085 ? _T_3086 : _T_3093; // @[el2_ifu_mem_ctl.scala 642:19] - assign io_iccm_wr_size = _T_2689 & io_dma_mem_sz; // @[el2_ifu_mem_ctl.scala 639:19] - assign io_ic_hit_f = _T_263 | _T_264; // @[el2_ifu_mem_ctl.scala 293:15] - assign io_ic_access_fault_f = _T_2457 & _T_317; // @[el2_ifu_mem_ctl.scala 389:24] - assign io_ic_access_fault_type_f = io_iccm_rd_ecc_double_err ? 2'h1 : _T_1271; // @[el2_ifu_mem_ctl.scala 390:29] - assign io_iccm_rd_ecc_single_err = _T_3901 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 678:29] - assign io_iccm_rd_ecc_double_err = iccm_dma_ecc_error_in & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 679:29] - assign io_ic_error_start = _T_1197 | ic_rd_parity_final_err; // @[el2_ifu_mem_ctl.scala 351:21] - assign io_ifu_async_error_start = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 195:28] - assign io_iccm_dma_sb_error = _T_3 & dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 194:24] - assign io_ic_fetch_val_f = {_T_1279,fetch_req_f_qual}; // @[el2_ifu_mem_ctl.scala 393:21] - assign io_ic_data_f = io_ic_rd_data[31:0]; // @[el2_ifu_mem_ctl.scala 386:16] - assign io_ic_premux_data = ic_premux_data_temp[63:0]; // @[el2_ifu_mem_ctl.scala 383:21] - assign io_ic_sel_premux_data = fetch_req_iccm_f | sel_byp_data; // @[el2_ifu_mem_ctl.scala 384:25] - assign io_ifu_ic_debug_rd_data_valid = _T_10451; // @[el2_ifu_mem_ctl.scala 839:33] - assign io_iccm_buf_correct_ecc = iccm_correct_ecc & _T_2462; // @[el2_ifu_mem_ctl.scala 483:27] - assign io_iccm_correction_state = _T_2490 ? 1'h0 : _GEN_60; // @[el2_ifu_mem_ctl.scala 518:28 el2_ifu_mem_ctl.scala 531:32 el2_ifu_mem_ctl.scala 538:32 el2_ifu_mem_ctl.scala 545:32] + assign io_ifu_miss_state_idle = miss_state == 3'h0; // @[el2_ifu_mem_ctl.scala 327:26] + assign io_ifu_ic_mb_empty = _T_326 | _T_231; // @[el2_ifu_mem_ctl.scala 326:22] + assign io_ic_dma_active = _T_11 | io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 191:20] + assign io_ic_write_stall = write_ic_16_bytes & _T_3978; // @[el2_ifu_mem_ctl.scala 697:21] + assign io_ifu_pmu_ic_miss = _T_10421; // @[el2_ifu_mem_ctl.scala 816:22] + assign io_ifu_pmu_ic_hit = _T_10422; // @[el2_ifu_mem_ctl.scala 817:21] + assign io_ifu_pmu_bus_error = _T_10423; // @[el2_ifu_mem_ctl.scala 818:24] + assign io_ifu_pmu_bus_busy = _T_10427; // @[el2_ifu_mem_ctl.scala 819:23] + assign io_ifu_pmu_bus_trxn = _T_10428; // @[el2_ifu_mem_ctl.scala 820:23] + assign io_ifu_axi_awvalid = 1'h0; // @[el2_ifu_mem_ctl.scala 141:22] + assign io_ifu_axi_awid = 3'h0; // @[el2_ifu_mem_ctl.scala 140:19] + assign io_ifu_axi_awaddr = 32'h0; // @[el2_ifu_mem_ctl.scala 135:21] + assign io_ifu_axi_awregion = 4'h0; // @[el2_ifu_mem_ctl.scala 139:23] + assign io_ifu_axi_awlen = 8'h0; // @[el2_ifu_mem_ctl.scala 137:20] + assign io_ifu_axi_awsize = 3'h0; // @[el2_ifu_mem_ctl.scala 148:21] + assign io_ifu_axi_awburst = 2'h0; // @[el2_ifu_mem_ctl.scala 150:22] + assign io_ifu_axi_awlock = 1'h0; // @[el2_ifu_mem_ctl.scala 145:21] + assign io_ifu_axi_awcache = 4'h0; // @[el2_ifu_mem_ctl.scala 143:22] + assign io_ifu_axi_awprot = 3'h0; // @[el2_ifu_mem_ctl.scala 136:21] + assign io_ifu_axi_awqos = 4'h0; // @[el2_ifu_mem_ctl.scala 134:20] + assign io_ifu_axi_wvalid = 1'h0; // @[el2_ifu_mem_ctl.scala 132:21] + assign io_ifu_axi_wdata = 64'h0; // @[el2_ifu_mem_ctl.scala 133:20] + assign io_ifu_axi_wstrb = 8'h0; // @[el2_ifu_mem_ctl.scala 142:20] + assign io_ifu_axi_wlast = 1'h0; // @[el2_ifu_mem_ctl.scala 151:20] + assign io_ifu_axi_bready = 1'h0; // @[el2_ifu_mem_ctl.scala 146:21] + assign io_ifu_axi_arvalid = ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 559:22] + assign io_ifu_axi_arid = bus_rd_addr_count & _T_2572; // @[el2_ifu_mem_ctl.scala 560:19] + assign io_ifu_axi_araddr = _T_2574 & _T_2576; // @[el2_ifu_mem_ctl.scala 561:21] + assign io_ifu_axi_arregion = ifu_ic_req_addr_f[28:25]; // @[el2_ifu_mem_ctl.scala 564:23] + assign io_ifu_axi_arlen = 8'h0; // @[el2_ifu_mem_ctl.scala 147:20] + assign io_ifu_axi_arsize = 3'h3; // @[el2_ifu_mem_ctl.scala 562:21] + assign io_ifu_axi_arburst = 2'h1; // @[el2_ifu_mem_ctl.scala 565:22] + assign io_ifu_axi_arlock = 1'h0; // @[el2_ifu_mem_ctl.scala 138:21] + assign io_ifu_axi_arcache = 4'hf; // @[el2_ifu_mem_ctl.scala 563:22] + assign io_ifu_axi_arprot = 3'h0; // @[el2_ifu_mem_ctl.scala 149:21] + assign io_ifu_axi_arqos = 4'h0; // @[el2_ifu_mem_ctl.scala 144:20] + assign io_ifu_axi_rready = 1'h1; // @[el2_ifu_mem_ctl.scala 566:21] + assign io_iccm_dma_ecc_error = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 656:25] + assign io_iccm_dma_rvalid = iccm_dma_rvalid_temp; // @[el2_ifu_mem_ctl.scala 654:22] + assign io_iccm_dma_rdata = iccm_dma_rdata_temp; // @[el2_ifu_mem_ctl.scala 658:21] + assign io_iccm_dma_rtag = iccm_dma_rtag_temp; // @[el2_ifu_mem_ctl.scala 649:20] + assign io_iccm_ready = _T_2675 & _T_2669; // @[el2_ifu_mem_ctl.scala 629:17] + assign io_ic_rw_addr = _T_338 | _T_339; // @[el2_ifu_mem_ctl.scala 336:17] + assign io_ic_wr_en = bus_ic_wr_en & _T_3964; // @[el2_ifu_mem_ctl.scala 696:15] + assign io_ic_rd_en = _T_3956 | _T_3961; // @[el2_ifu_mem_ctl.scala 687:15] + assign io_ic_wr_data_0 = ic_wr_16bytes_data[70:0]; // @[el2_ifu_mem_ctl.scala 343:17] + assign io_ic_wr_data_1 = ic_wr_16bytes_data[141:71]; // @[el2_ifu_mem_ctl.scala 343:17] + assign io_ic_debug_wr_data = io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_ifu_mem_ctl.scala 344:23] + assign io_ifu_ic_debug_rd_data = _T_1209; // @[el2_ifu_mem_ctl.scala 352:27] + assign io_ic_debug_addr = io_dec_tlu_ic_diag_pkt_icache_dicawics[9:0]; // @[el2_ifu_mem_ctl.scala 823:20] + assign io_ic_debug_rd_en = io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_ifu_mem_ctl.scala 825:21] + assign io_ic_debug_wr_en = io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_ifu_mem_ctl.scala 826:21] + assign io_ic_debug_tag_array = io_dec_tlu_ic_diag_pkt_icache_dicawics[16]; // @[el2_ifu_mem_ctl.scala 824:25] + assign io_ic_debug_way = _T_10440[1:0]; // @[el2_ifu_mem_ctl.scala 827:19] + assign io_ic_tag_valid = ic_tag_valid_unq & _T_10414; // @[el2_ifu_mem_ctl.scala 811:19] + assign io_iccm_rw_addr = _T_3110[14:0]; // @[el2_ifu_mem_ctl.scala 660:19] + assign io_iccm_wren = _T_2679 | iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 631:16] + assign io_iccm_rden = _T_2683 | _T_2684; // @[el2_ifu_mem_ctl.scala 632:16] + assign io_iccm_wr_data = _T_3085 ? _T_3086 : _T_3093; // @[el2_ifu_mem_ctl.scala 637:19] + assign io_iccm_wr_size = _T_2689 & io_dma_mem_sz; // @[el2_ifu_mem_ctl.scala 634:19] + assign io_ic_hit_f = _T_263 | _T_264; // @[el2_ifu_mem_ctl.scala 288:15] + assign io_ic_access_fault_f = _T_2457 & _T_317; // @[el2_ifu_mem_ctl.scala 384:24] + assign io_ic_access_fault_type_f = io_iccm_rd_ecc_double_err ? 2'h1 : _T_1271; // @[el2_ifu_mem_ctl.scala 385:29] + assign io_iccm_rd_ecc_single_err = _T_3901 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 673:29] + assign io_iccm_rd_ecc_double_err = iccm_dma_ecc_error_in & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 674:29] + assign io_ic_error_start = _T_1197 | ic_rd_parity_final_err; // @[el2_ifu_mem_ctl.scala 346:21] + assign io_ifu_async_error_start = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 190:28] + assign io_iccm_dma_sb_error = _T_3 & dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 189:24] + assign io_ic_fetch_val_f = {_T_1279,fetch_req_f_qual}; // @[el2_ifu_mem_ctl.scala 388:21] + assign io_ic_data_f = io_ic_rd_data[31:0]; // @[el2_ifu_mem_ctl.scala 381:16] + assign io_ic_premux_data = ic_premux_data_temp[63:0]; // @[el2_ifu_mem_ctl.scala 378:21] + assign io_ic_sel_premux_data = fetch_req_iccm_f | sel_byp_data; // @[el2_ifu_mem_ctl.scala 379:25] + assign io_ifu_ic_debug_rd_data_valid = _T_10451; // @[el2_ifu_mem_ctl.scala 834:33] + assign io_iccm_buf_correct_ecc = iccm_correct_ecc & _T_2462; // @[el2_ifu_mem_ctl.scala 478:27] + assign io_iccm_correction_state = _T_2490 ? 1'h0 : _GEN_60; // @[el2_ifu_mem_ctl.scala 513:28 el2_ifu_mem_ctl.scala 526:32 el2_ifu_mem_ctl.scala 533:32 el2_ifu_mem_ctl.scala 540:32] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif diff --git a/src/main/scala/ifu/el2_ifu_mem_ctl.scala b/src/main/scala/ifu/el2_ifu_mem_ctl.scala index f9e96ad1..e0d70867 100644 --- a/src/main/scala/ifu/el2_ifu_mem_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_mem_ctl.scala @@ -845,8 +845,6 @@ class el2_ifu_mem_ctl extends Module with el2_lib { ifc_region_acc_fault_final_bf := io.ifc_region_acc_fault_bf | ifc_region_acc_fault_memory_bf ifc_region_acc_fault_memory_f := withClock(io.free_clk){RegNext(ifc_region_acc_fault_memory_bf, false.B)} - - // io.tagv_mb_in := tagv_mb_in } object ifu_mem extends App { println((new chisel3.stage.ChiselStage).emitVerilog(new el2_ifu_mem_ctl())) diff --git a/src/main/scala/lib/el2_lib.scala b/src/main/scala/lib/el2_lib.scala index 570a059a..4ca628a5 100644 --- a/src/main/scala/lib/el2_lib.scala +++ b/src/main/scala/lib/el2_lib.scala @@ -241,12 +241,12 @@ trait el2_lib extends param{ // Move rvecc_encode to a proper trait def rvecc_encode(din:UInt) = { //Done for verification and testing - val mask0 = Array(0,1,0,1,0,1,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,1,0,1,0,1,0,1,1,0,1,1) - val mask1 = Array(1,0,0,1,1,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,1,1,0,0,1,1,0,1,1,0,1) - val mask2 = Array(1,1,1,0,0,0,1,1,1,1,0,0,0,0,1,1,1,1,0,0,0,1,1,1,1,0,0,0,1,1,1,0) - val mask3 = Array(0,0,0,0,0,0,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0,1,1,1,1,1,1,1,0,0,0,0) - val mask4 = Array(0,0,0,0,0,0,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0,0,0,0,0) - val mask5 = Array(1,1,1,1,1,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0) + val mask0 = Array(1,1,0,1,1,0,1,0,1,0,1,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,1,0,1,0,1,0) + val mask1 = Array(1,0,1,1,0,1,1,0,0,1,1,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,1,1,0,0,1) + val mask2 = Array(0,1,1,1,0,0,0,1,1,1,1,0,0,0,1,1,1,1,0,0,0,0,1,1,1,1,0,0,0,1,1,1) + val mask3 = Array(0,0,0,0,1,1,1,1,1,1,1,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,0,0,0,0,0,0) + val mask4 = Array(0,0,0,0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0) + val mask5 = Array(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,1,1,1,1,1) val w0 = Wire(Vec(18,UInt(1.W))) val w1 = Wire(Vec(18,UInt(1.W))) val w2 = Wire(Vec(18,UInt(1.W))) @@ -255,7 +255,6 @@ trait el2_lib extends param{ val w5 = Wire(Vec(6, UInt(1.W))) var j = 0;var k = 0;var m = 0; var x = 0;var y = 0;var z = 0 - for(i <- 0 to 31) { if(mask0(i)==1) {w0(j) := din(i); j = j +1 } @@ -265,7 +264,7 @@ trait el2_lib extends param{ if(mask4(i)==1) {w4(y) := din(i); y = y +1 } if(mask5(i)==1) {w5(z) := din(i); z = z +1 } } - val w6 = Cat((w0.asUInt.xorR),(w1.asUInt.xorR),(w2.asUInt.xorR),(w3.asUInt.xorR),(w4.asUInt.xorR),(w5.asUInt.xorR)) + val w6 = Cat((w5.asUInt.xorR),(w4.asUInt.xorR),(w3.asUInt.xorR),(w2.asUInt.xorR),(w1.asUInt.xorR),(w0.asUInt.xorR)) Cat(din.xorR ^ w6.xorR, w6) } diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala new file mode 100644 index 00000000..3e907b1c --- /dev/null +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -0,0 +1,632 @@ +// +//package lsu +//import chisel3._ +//import chisel3.util._ +//import lib._ +//import include._ +//import snapshot._ +//import chisel3.experimental.{ChiselEnum, chiselName} +//import chisel3.util.ImplicitConversions.intToUInt +// +//@chiselName +//class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib +//{ +// val io = IO (new Bundle { +// val scan_mode = Input(Bool()) +// val dec_tlu_external_ldfwd_disable = Input(Bool()) +// val dec_tlu_wb_coalescing_disable = Input(Bool()) +// val dec_tlu_sideeffect_posted_disable = Input(Bool()) +// val dec_tlu_force_halt = Input(Bool()) +// val lsu_c2_r_clk = Input(Clock()) +// val lsu_bus_ibuf_c1_clk = Input(Clock()) +// val lsu_bus_obuf_c1_clk = Input(Clock()) +// val lsu_bus_buf_c1_clk = Input(Clock()) +// val lsu_free_c2_clk = Input(Clock()) +// val lsu_busm_clk = Input(Clock()) +// val dec_lsu_valid_raw_d = Input(Bool()) +// val lsu_pkt_m = Input(new el2_lsu_pkt_t) +// val lsu_pkt_r = Input(new el2_lsu_pkt_t) +// val lsu_addr_m = Input(UInt(32.W)) +// val end_addr_m = Input(UInt(32.W)) +// val lsu_addr_r = Input(UInt(32.W)) +// val end_addr_r = Input(UInt(32.W)) +// val store_data_r = Input(UInt(32.W)) +// val no_word_merge_r = Input(Bool()) +// val no_dword_merge_r = Input(Bool()) +// val lsu_busreq_m = Input(Bool()) +// val ld_full_hit_m = Input(Bool()) +// val flush_m_up = Input(Bool()) +// val flush_r = Input(Bool()) +// val lsu_commit_r = Input(Bool()) +// val is_sideeffects_r = Input(Bool()) +// val ldst_dual_d = Input(Bool()) +// val ldst_dual_m = Input(Bool()) +// val ldst_dual_r = Input(Bool()) +// val ldst_byteen_ext_m = Input(UInt(8.W)) +// val lsu_axi_awready = Input(Bool()) +// val lsu_axi_wready = Input(Bool()) +// val lsu_axi_bvalid = Input(Bool()) +// val lsu_axi_bresp = Input(UInt(2.W)) +// val lsu_axi_bid = Input(UInt(LSU_BUS_TAG.W)) +// val lsu_axi_arready = Input(Bool()) +// val lsu_axi_rvalid = Input(Bool()) +// val lsu_axi_rid = Input(UInt(LSU_BUS_TAG.W)) +// val lsu_axi_rdata = Input(UInt(64.W)) +// val lsu_axi_rresp = Input(UInt(2.W)) +// val lsu_bus_clk_en = Input(Bool()) +// val lsu_bus_clk_en_q = Input(Bool()) +// +// val lsu_busreq_r = Output(Bool()) +// val lsu_bus_buffer_pend_any = Output(Bool()) +// val lsu_bus_buffer_full_any = Output(Bool()) +// val lsu_bus_buffer_empty_any = Output(Bool()) +// val lsu_bus_idle_any = Output(Bool()) +// val ld_byte_hit_buf_lo = Output((UInt(4.W))) +// val ld_byte_hit_buf_hi = Output((UInt(4.W))) +// val ld_fwddata_buf_lo = Output((UInt(32.W))) +// val ld_fwddata_buf_hi = Output((UInt(32.W))) +// val lsu_imprecise_error_load_any = Output(Bool()) +// val lsu_imprecise_error_store_any = Output(Bool()) +// val lsu_imprecise_error_addr_any = Output(UInt(32.W)) +// val lsu_nonblock_load_valid_m = Output(Bool()) +// val lsu_nonblock_load_tag_m = Output(UInt(pt1.LSU_NUM_NBLOAD_WIDTH.W)) +// val lsu_nonblock_load_inv_r = Output(Bool()) +// val lsu_nonblock_load_inv_tag_r = Output(UInt(pt1.LSU_NUM_NBLOAD_WIDTH.W)) +// val lsu_nonblock_load_data_valid = Output(Bool()) +// val lsu_nonblock_load_data_error = Output(Bool()) +// val lsu_nonblock_load_data_tag = Output(UInt(pt1.LSU_NUM_NBLOAD_WIDTH.W)) +// val lsu_nonblock_load_data = Output(UInt(32.W)) +// val lsu_pmu_bus_trxn = Output(Bool()) +// val lsu_pmu_bus_misaligned = Output(Bool()) +// val lsu_pmu_bus_error = Output(Bool()) +// val lsu_pmu_bus_busy = Output(Bool()) +// val lsu_axi_awvalid = Output(Bool()) +// val lsu_axi_awid = Output(UInt(pt1.LSU_BUS_TAG.W)) +// val lsu_axi_awaddr = Output(UInt(32.W)) +// val lsu_axi_awregion = Output(UInt(4.W)) +// val lsu_axi_awlen = Output(UInt(8.W)) +// val lsu_axi_awsize = Output(UInt(3.W)) +// val lsu_axi_awburst = Output(UInt(2.W)) +// val lsu_axi_awlock = Output(Bool()) +// val lsu_axi_awcache = Output(UInt(4.W)) +// val lsu_axi_awprot = Output(UInt(3.W)) +// val lsu_axi_awqos = Output(UInt(4.W)) +// val lsu_axi_wvalid = Output(Bool()) +// val lsu_axi_wdata = Output(UInt(64.W)) +// val lsu_axi_wstrb = Output(UInt(8.W)) +// val lsu_axi_wlast = Output(Bool()) +// val lsu_axi_bready = Output(Bool()) +// val lsu_axi_arvalid = Output(Bool()) +// val lsu_axi_arid = Output(UInt(pt1.LSU_BUS_TAG.W)) +// val lsu_axi_araddr = Output(UInt(32.W)) +// val lsu_axi_arregion = Output(UInt(4.W)) +// val lsu_axi_arlen = Output(UInt(8.W)) +// val lsu_axi_arsize = Output(UInt(3.W)) +// val lsu_axi_arburst = Output(UInt(2.W)) +// val lsu_axi_arlock = Output(Bool()) +// val lsu_axi_arcache = Output(UInt(4.W)) +// val lsu_axi_arprot = Output(UInt(3.W)) +// val lsu_axi_arqos = Output(UInt(4.W)) +// val lsu_axi_rready = Output(Bool()) +// +// }) +// +// val DEPTH = LSU_NUM_NBLOAD +// val DEPTH_LOG2 = LSU_NUM_NBLOAD_WIDTH +// val TIMER = 8 +// val TIMER_MAX = TIMER - 1 +// val TIMER_LOG2 = if (TIMER < 2) 1 else log2Ceil(TIMER) +// +// val idle_C :: wait_C :: cmd_C :: resp_C :: done_partial_C :: done_wait_C :: done_C :: Nil = Enum(7) +// val buf_addr = Wire(Vec(DEPTH, UInt(32.W))) +// val buf_state = Wire(Vec(DEPTH, UInt(3.W))) +// val buf_write = WireInit(UInt(DEPTH.W), 0.U) +// +// +// val ldst_byteen_hi_m = io.ldst_byteen_ext_m(7,4) +// val ldst_byteen_lo_m = io.ldst_byteen_ext_m(3,0) +// +// val ld_addr_hitvec_lo = (0 until DEPTH).map(i=>(io.lsu_addr_m(31,2)===buf_addr(i)(31,2)) & buf_write(i) & (buf_state(i) =/= idle_C) & io.lsu_busreq_m) +// val ld_addr_hitvec_hi = (0 until DEPTH).map(i=>(io.end_addr_m(31,2)===buf_addr(i)(31,2)) & buf_write(i) & (buf_state(i) =/= idle_C) & io.lsu_busreq_m) +// val ld_byte_hitvecfn_lo = Wire(Vec(4, UInt(DEPTH.W))) +// val ld_byte_ibuf_hit_lo = WireInit(UInt(4.W)) +// val ld_byte_hitvecfn_hi = Wire(Vec(4, UInt(DEPTH.W))) +// val ld_byte_ibuf_hit_hi = WireInit(UInt(4.W)) +// val buf_byteen = Wire(Vec(DEPTH, UInt(4.W))) +// +// io.ld_byte_hit_buf_lo := (0 until 4).map(i=>ld_byte_hitvecfn_lo(i).orR | ld_byte_ibuf_hit_lo(i)).reverse.reduce(Cat(_,_)) +// io.ld_byte_hit_buf_hi := (0 until 4).map(i=>ld_byte_hitvecfn_hi(i).orR | ld_byte_ibuf_hit_hi(i)).reverse.reduce(Cat(_,_)) +// +// val ld_byte_hitvec_lo = (0 until 4).map(j=>(0 until DEPTH).map(i=>ld_addr_hitvec_lo(i) & buf_byteen(i)(j) & ldst_byteen_lo_m(j)).reverse.reduce(Cat(_,_))) +// val ld_byte_hitvec_hi = (0 until 4).map(j=>(0 until DEPTH).map(i=>ld_addr_hitvec_hi(i) & buf_byteen(i)(j) & ldst_byteen_hi_m(j)).reverse.reduce(Cat(_,_))) +// +// val buf_age_younger = Wire(Vec(DEPTH, UInt(DEPTH.W))) +// ld_byte_hitvecfn_lo := (0 until 4).map(j=>(0 until DEPTH).map(i=>ld_byte_hitvec_lo(j)(i) & !(ld_byte_hitvec_lo(j) & buf_age_younger(i)).orR & !ld_byte_ibuf_hit_lo(j)).reverse.reduce(Cat(_,_))) +// ld_byte_hitvecfn_hi := (0 until 4).map(j=>(0 until DEPTH).map(i=>ld_byte_hitvec_hi(j)(i) & !(ld_byte_hitvec_hi(j) & buf_age_younger(i)).orR & !ld_byte_ibuf_hit_hi(j)).reverse.reduce(Cat(_,_))) +// +// val ibuf_addr = WireInit(UInt(32.W), 0.U) +// val ibuf_write = WireInit(Bool(),false.B) +// val ibuf_valid = WireInit(Bool(),false.B) +// val ld_addr_ibuf_hit_lo = (io.lsu_addr_m(31,2)===ibuf_addr(31,2)) & ibuf_write & ibuf_valid & io.lsu_busreq_m +// val ld_addr_ibuf_hit_hi = (io.end_addr_m(31,2)===ibuf_addr(31,2)) & ibuf_write & ibuf_valid & io.lsu_busreq_m +// +// val ibuf_byteen = WireInit(UInt(4.W), 0.U) +// for(i<-0 until 4){ +// ld_byte_ibuf_hit_lo := ld_addr_ibuf_hit_lo & ibuf_byteen(i) & ldst_byteen_lo_m(i) +// ld_byte_ibuf_hit_hi := ld_addr_ibuf_hit_hi & ibuf_byteen(i) & ldst_byteen_hi_m(i) +// } +// val buf_data = Wire(Vec(DEPTH, UInt(32.W))) +// +// val fwd_data = WireInit(UInt(32.W)) +// +// io.ld_fwddata_buf_lo := Cat((0 until DEPTH).map(i=> Fill(8, ld_byte_hitvecfn_lo(3)(i)) & buf_data(i)(31,23)).reduce(_|_), +// (0 until DEPTH).map(i=> Fill(8, ld_byte_hitvecfn_lo(2)(i)) & buf_data(i)(23,16)).reduce(_|_), +// (0 until DEPTH).map(i=> Fill(8, ld_byte_hitvecfn_lo(1)(i)) & buf_data(i)(15,8)).reduce(_|_), +// (0 until DEPTH).map(i=> Fill(8, ld_byte_hitvecfn_lo(0)(i)) & buf_data(i)(7,0)).reduce(_|_)) +// +// io.ld_fwddata_buf_hi := Cat((0 until DEPTH).map(i=> Fill(8, ld_byte_hitvecfn_hi(3)(i)) & buf_data(i)(31,23)).reduce(_|_), +// (0 until DEPTH).map(i=> Fill(8, ld_byte_hitvecfn_hi(2)(i)) & buf_data(i)(23,16)).reduce(_|_), +// (0 until DEPTH).map(i=> Fill(8, ld_byte_hitvecfn_hi(1)(i)) & buf_data(i)(15,8)).reduce(_|_), +// (0 until DEPTH).map(i=> Fill(8, ld_byte_hitvecfn_hi(0)(i)) & buf_data(i)(7,0)).reduce(_|_)) +// +// val bus_coalescing_disable = io.dec_tlu_wb_coalescing_disable | BUILD_AHB_LITE.B +// val ldst_byteen_r = Mux1H(Seq(io.lsu_pkt_r.by->1.U(4.W), +// io.lsu_pkt_r.half->3.U(4.W), +// io.lsu_pkt_r.word->15.U(4.W))) +// val byteen = Cat(0.U(4.W), ldst_byteen_r) << io.lsu_addr_r(1,0) +// val ldst_byteen_hi_r = byteen(7,4) +// val ldst_byteen_lo_r = byteen(3,0) +// val store_data = Cat(0.U(32.W), io.store_data_r) << (8*io.lsu_addr_r(1,0)) +// val store_data_hi_r = store_data(63, 32) +// val store_data_lo_r = store_data(31, 0 ) +// val ldst_samedw_r = io.lsu_addr_r(3) === io.end_addr_r(3) +// val is_aligned_r = Mux1H(Seq(io.lsu_pkt_r.word->(io.lsu_addr_r(1,0)===0.U), +// io.lsu_pkt_r.half-> !io.lsu_addr_r(0), +// io.lsu_pkt_r.by -> 1.U)) +// val ibuf_byp = io.lsu_busreq_r & (io.lsu_pkt_r.load | io.no_word_merge_r) & !ibuf_valid +// val ibuf_wr_en = io.lsu_busreq_r & io.lsu_commit_r & !ibuf_byp +// val ibuf_drain_vld = WireInit(Bool(), false.B) +// val ibuf_rst = (ibuf_drain_vld & !ibuf_wr_en) | io.dec_tlu_force_halt +// val ibuf_force_drain = io.lsu_busreq_m & !io.lsu_busreq_r & ibuf_valid & (io.lsu_pkt_m.load | (ibuf_addr(31,2) =/= io.lsu_addr_m(31,2))) +// val ibuf_sideeffect = WireInit(Bool(), false.B) +// val ibuf_timer = WireInit(UInt(TIMER_LOG2.W), 0.U) +// val ibuf_merge_en = WireInit(Bool(), false.B) +// val ibuf_merge_in = WireInit(Bool(), false.B) +// val ibuf_drain_vld = ibuf_valid & (((ibuf_wr_en | (ibuf_timer===TIMER_MAX.U)) & !(ibuf_merge_en & ibuf_merge_in)) +// | ibuf_byp | ibuf_force_drain | ibuf_sideeffect | !ibuf_write | bus_coalescing_disable) +// val ibuf_tag = WireInit(UInt(DEPTH_LOG2.W), 0.U) +// val WrPtr1_r = WireInit(UInt(DEPTH_LOG2.W), 0.U) +// val WrPtr0_r = WireInit(UInt(DEPTH_LOG2.W), 0.U) +// +// val ibuf_tag_in = Mux(ibuf_merge_en & ibuf_merge_in, ibuf_tag, Mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r)) +// val ibuf_dualtag_in = WrPtr0_r +// val ibuf_sz_in = Cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) +// val ibuf_addr_in = Mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) +// val ibuf_byteen_in = Mux(ibuf_merge_en & ibuf_merge_in, ibuf_byteen(3,0) | ldst_byteen_lo_r(3,0), +// Mux(io.ldst_dual_r, ldst_byteen_hi_r(3,0), ldst_byteen_lo_r(3,0))) +// val ibuf_data = WireInit(UInt(32.W), 0.U) +// +// val ibuf_data_in = (0 until 4).map(i=>Mux(ibuf_merge_en & ibuf_merge_in, +// Mux(ldst_byteen_lo_r(i), store_data_lo_r((8*i)+7, 8*i), ibuf_data((8*i)+7, 8*i)), ibuf_data((8*i)+7, 8*i))).reverse.reduce(Cat(_,_)) +// +// //ibuf_valid := RegEnable(true.B, false.B, ) +// ibuf_tag := RegEnable(ibuf_tag_in, 0.U, ibuf_wr_en & io.lsu_bus_ibuf_c1_clk.asBool()) +// val ibuf_dualtag = RegEnable(ibuf_dualtag_in, 0.U, ibuf_wr_en & io.lsu_bus_ibuf_c1_clk.asBool()) +// //val ibuf_dual = RegEnable(ldst_dual_r, 0.U, ibuf_wr_en & io.lsu_bus_ibuf_c1_clk.asBool()) +// +// +// +// +// +// +// +// +// +// //Forwarding MUX +// io.ld_fwddata_buf_lo := (0 until 4).map(i =>(Mux(ld_byte_ibuf_hit_lo(i),ibuf_data(i*8+7,i*8),Mux1H((0 until DEPTH).map(j =>(ld_byte_hitvecfn_lo(i)(j)) -> buf_data(j)(i*8+7,i*8)))))).reverse.reduce(Cat(_,_)) +// io.ld_fwddata_buf_hi := (0 until 4).map(i =>(Mux(ld_byte_ibuf_hit_hi(i),ibuf_data(i*8+7,i*8),Mux1H((0 until DEPTH).map(j =>(ld_byte_hitvecfn_hi(i)(j)) -> buf_data(j)(i*8+7,i*8)))))).reverse.reduce(Cat(_,_)) +// +// ///////////////////////////////////////////////////////////////////////////// +// bus_coalescing_disable := io.dec_tlu_wb_coalescing_disable | pt.BUILD_AHB_LITE +// ldst_byteen_r := Mux1H(Seq( +// io.lsu_pkt_r.word.asBool -> 15.U(4.W), +// io.lsu_pkt_r.half.asBool -> 3.U(4.W), +// io.lsu_pkt_r.by.asBool -> 1.U(4.W) +// )) +// val ldst_byteen_extended_r = Cat(Fill(4,0.U),ldst_byteen_r(3,0)) << io.lsu_addr_r(1,0) +// val store_data_extended_r = Cat(Fill(32,0.U),io.store_data_r(31,0)) << (8.U*io.lsu_addr_r(1,0)) +// ldst_byteen_hi_r := ldst_byteen_extended_r(7,4) +// ldst_byteen_lo_r := ldst_byteen_extended_r(3,0) +// store_data_hi_r := store_data_extended_r(63,32) +// store_data_lo_r := store_data_extended_r(31, 0) +// ldst_samedw_r := io.lsu_addr_r(3) === io.end_addr_r(3) +// is_aligned_r := Mux1H(Seq( +// io.lsu_pkt_r.by.asBool -> true.B, +// io.lsu_pkt_r.half.asBool -> (io.lsu_addr_r(0).asUInt === 0.U), +// io.lsu_pkt_r.word.asBool -> (io.lsu_addr_r(1,0).asUInt === 0.U) +// )) +// //////////////////////////////////////////////////////////////////////////// +// ibuf_byp := (io.lsu_busreq_r & (io.lsu_pkt_r.load | io.no_word_merge_r) & !ibuf_valid).asBool +// ibuf_wr_en := (io.lsu_busreq_r & io.lsu_commit_r & !ibuf_byp).asBool +// ibuf_rst := ((ibuf_drain_vld & !ibuf_wr_en) | io.dec_tlu_force_halt).asBool +// ibuf_force_drain := (io.lsu_busreq_m & !io.lsu_busreq_r & ibuf_valid & (io.lsu_pkt_m.load | (ibuf_addr(31,2) =/= io.lsu_addr_m(31,2)))).asBool +// ibuf_drain_vld := ibuf_valid & (((ibuf_wr_en | (ibuf_timer === (TIMER_MAX.asUInt(TIMER_LOG2.W)))) & !(ibuf_merge_en & ibuf_merge_in)) | +// ibuf_byp | ibuf_force_drain | ibuf_sideeffect | !ibuf_write | bus_coalescing_disable) +// ibuf_tag_in := Mux((ibuf_merge_en & ibuf_merge_in), ibuf_tag(DEPTH_LOG2-1,0),Mux(io.ldst_dual_r,WrPtr1_r,WrPtr0_r)) +// ibuf_dualtag_in := WrPtr0_r(DEPTH_LOG2-1,0) +// ibuf_sz_in := Cat(io.lsu_pkt_r.word,io.lsu_pkt_r.half) +// ibuf_addr_in := Mux(io.ldst_dual_r,io.end_addr_r,io.lsu_addr_r) +// ibuf_byteen_in := Mux(ibuf_merge_en & ibuf_merge_in, ibuf_byteen(3,0) | ldst_byteen_lo_r(3,0), Mux(io.ldst_dual_r, ldst_byteen_hi_r(3,0), ldst_byteen_lo_r(3,0))) +// ibuf_data_in := (0 until 4).map(i =>(Mux((ibuf_merge_en & ibuf_merge_in),Mux(ldst_byteen_lo_r(i),store_data_lo_r((8*i)+7,(8*i)) , ibuf_data((8*i)+7,(8*i))),Mux(io.ldst_dual_r, store_data_hi_r((8*i)+7,(8*i)), store_data_lo_r((8*i)+7,(8*i)))))).reverse.reduce(Cat(_,_)) +// ibuf_timer_in := Mux(ibuf_wr_en, 0.U, Mux(ibuf_timer < (TIMER_MAX.asUInt(TIMER_LOG2.W)), ibuf_timer+1.U, ibuf_timer)) +// ibuf_byteen_out := (0 until 4).map(i =>(Mux((ibuf_merge_en & ~ibuf_merge_in),ibuf_byteen(i) | ldst_byteen_lo_r(i), ibuf_byteen(i))).asUInt).reverse.reduce(Cat(_,_)) +// ibuf_data_out := (0 until 4).map(i =>(Mux((ibuf_merge_en & ~ibuf_merge_in),Mux(ldst_byteen_lo_r(i),store_data_lo_r((8*i)+7,(8*i)) , ibuf_data((8*i)+7,(8*i))),ibuf_data(i*8+7,i*8)))).reverse.reduce(Cat(_,_)) +// ibuf_merge_en := io.lsu_busreq_r & io.lsu_commit_r & io.lsu_pkt_r.store & ibuf_valid & ibuf_write & io.lsu_addr_r(31,2)===ibuf_addr(31,2) & ~io.is_sideeffects_r & ~bus_coalescing_disable +// ibuf_merge_in := ~io.ldst_dual_r.asUInt() +// +// withClock(io.lsu_free_c2_clk){ +// ibuf_valid := RegNext(Mux(ibuf_wr_en.asBool(),1.U ,ibuf_valid) & !ibuf_rst, false.B) +// ibuf_timer := RegNext(ibuf_timer_in ,init = 0.U) +// } +// withClock(io.lsu_bus_ibuf_c1_clk) { +// ibuf_dual := RegEnable(io.ldst_dual_r ,init = 0.U, ibuf_wr_en) +// ibuf_samedw := RegEnable(ldst_samedw_r ,init = 0.U, ibuf_wr_en) +// ibuf_nomerge := RegEnable(io.no_dword_merge_r ,init = 0.U, ibuf_wr_en) +// ibuf_sideeffect := RegEnable(io.is_sideeffects_r ,init = 0.U, ibuf_wr_en) +// ibuf_unsign := RegEnable(io.lsu_pkt_r.unsign ,init = 0.U, ibuf_wr_en) +// ibuf_write := RegEnable(io.lsu_pkt_r.store ,init = 0.U, ibuf_wr_en) +// ibuf_sz := RegEnable(ibuf_sz_in(1, 0) ,init = 0.U, ibuf_wr_en) +// ibuf_byteen := RegEnable(ibuf_byteen_in ,init = 0.U, ibuf_wr_en) +// ibuf_addr := RegEnable(ibuf_addr_in(31, 0) ,init = 0.U, ibuf_wr_en) +// ibuf_data := RegEnable(ibuf_data_in(31, 0) ,init = 0.U, ibuf_wr_en) +// ibuf_tag := RegEnable(ibuf_tag_in ,init = 0.U, ibuf_wr_en) +// ibuf_dualtag := RegEnable(ibuf_dualtag_in ,init = 0.U, ibuf_wr_en) +// } +// /////////////////////////////////////////////////////////////////////////////////////// +// +// ibuf_buf_byp := (ibuf_byp & (buf_numvld_pend_any(3,0) === 0.U) & (~io.lsu_pkt_r.store | io.no_dword_merge_r)) +// obuf_force_wr_en := io.lsu_busreq_m & ~io.lsu_busreq_r & ~ibuf_valid & (buf_numvld_cmd_any(3,0) === 1.U(4.W)) & (io.lsu_addr_m(31,2) =/= buf_addr(CmdPtr0)(31,2)) +// obuf_wr_wait := (buf_numvld_wrcmd_any(3,0) === 1.U(4.W)) & (buf_numvld_cmd_any(3,0) === 1.U(4.W)) & (obuf_wr_timer =/= (TIMER_MAX.asUInt(TIMER_LOG2.W))) & +// ~bus_coalescing_disable & ~buf_nomerge(CmdPtr0) & ~buf_sideeffect(CmdPtr0) & ~obuf_force_wr_en +// obuf_wr_en := ((ibuf_buf_byp & io.lsu_commit_r & ~(io.is_sideeffects_r & bus_sideeffect_pend)) | +// ((buf_state(CmdPtr0) === cmd_C) & found_cmdptr0 & ~buf_cmd_state_bus_en(CmdPtr0) & ~(buf_sideeffect(CmdPtr0) & bus_sideeffect_pend) & +// (~(buf_dual(CmdPtr0) & buf_samedw(CmdPtr0) & ~buf_write(CmdPtr0)) | found_cmdptr1 | buf_nomerge(CmdPtr0) | obuf_force_wr_en))) & +// (bus_cmd_ready | ~obuf_valid | obuf_nosend) & ~obuf_wr_wait & ~lsu_bus_cntr_overflow & ~bus_addr_match_pending & io.lsu_bus_clk_en +// obuf_rst := ((bus_cmd_sent | (obuf_valid & obuf_nosend)) & ~obuf_wr_en & io.lsu_bus_clk_en) | io.dec_tlu_force_halt +// obuf_write_in := Mux(ibuf_buf_byp, io.lsu_pkt_r.store, buf_write(CmdPtr0)) +// obuf_nosend_in := (obuf_addr_in(31,3) === obuf_addr(31,3)) & obuf_aligned_in & ~obuf_sideeffect & ~obuf_write & ~obuf_write_in & ~io.dec_tlu_external_ldfwd_disable & +// ((obuf_valid & ~obuf_nosend) | (obuf_rdrsp_pend & ~(bus_rsp_read & (bus_rsp_read_tag === obuf_rdrsp_tag)))) +// obuf_rdrsp_pend_in := (~(obuf_wr_en & ~obuf_nosend_in) & obuf_rdrsp_pend & ~(bus_rsp_read & (bus_rsp_read_tag === obuf_rdrsp_tag))) | ((bus_cmd_sent & ~obuf_write) & ~io.dec_tlu_force_halt) +// obuf_sideeffect_in := Mux(ibuf_buf_byp, io.is_sideeffects_r, buf_sideeffect(CmdPtr0)) +// obuf_aligned_in := Mux(ibuf_buf_byp, is_aligned_r, (obuf_sz_in(1,0) === 0.U(2.W) | (obuf_sz_in(0) & ~obuf_addr_in(0)) | (obuf_sz_in(1) & ~(obuf_addr_in(1,0).orR)))) +// obuf_addr_in := Mux(ibuf_buf_byp, io.lsu_addr_r, buf_addr(CmdPtr0)) +// obuf_data_in := (0 until 8).map(i =>(Mux((obuf_merge_en & obuf_byteen1_in(i)),obuf_data1_in((8*i)+7,(8*i)), obuf_data0_in((8*i)+7,(8*i)))).asUInt).reverse.reduce(Cat(_,_)) +// obuf_sz_in := Mux(ibuf_buf_byp, Cat(io.lsu_pkt_r.word,io.lsu_pkt_r.half), buf_sz(CmdPtr0)) +// obuf_byteen_in := (0 until 8).map(i =>(obuf_byteen0_in(i) | (obuf_merge_en & obuf_byteen1_in(i))).asUInt).reverse.reduce(Cat(_,_)) +// obuf_merge_in := obuf_merge_en +// obuf_cmd_done_in := ~(obuf_wr_en | obuf_rst) & (obuf_cmd_done | bus_wcmd_sent ) +// obuf_data_done_in := ~(obuf_wr_en | obuf_rst) & (obuf_data_done | bus_wdata_sent) +// obuf_tag0_in := Mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) +// obuf_tag1_in := Mux(ibuf_buf_byp, WrPtr1_r, CmdPtr0) +// obuf_rdrsp_tag_in := Mux((bus_cmd_sent & ~obuf_write), obuf_tag0(pt1.LSU_BUS_TAG-1,0), obuf_rdrsp_tag(pt1.LSU_BUS_TAG-1,0)) +// +// obuf_merge_en := ((CmdPtr0 =/= CmdPtr1) & found_cmdptr0 & found_cmdptr1 & (buf_state(CmdPtr0) === cmd_C) & (buf_state(CmdPtr1) === cmd_C) & +// ~buf_cmd_state_bus_en(CmdPtr0) & ~buf_sideeffect(CmdPtr0) & +// ((buf_write(CmdPtr0) & buf_write(CmdPtr1) & (buf_addr(CmdPtr0)(31,3) === buf_addr(CmdPtr1)(31,3)) & ~bus_coalescing_disable & ~pt.BUILD_AXI_NATIVE) | +// (~buf_write(CmdPtr0) & buf_dual(CmdPtr0) & ~buf_dualhi(CmdPtr0) & buf_samedw(CmdPtr0)))) | +// (ibuf_buf_byp & ldst_samedw_r & io.ldst_dual_r) +// obuf_wr_timer_in := Mux(obuf_wr_en, 0.U, Mux(((buf_numvld_cmd_any > 0.U(4.W)) & (obuf_wr_timer < TIMER_MAX.asUInt(TIMER_LOG2.W))), (obuf_wr_timer + 1.U), obuf_wr_timer)) +// obuf_byteen0_in := Mux(ibuf_buf_byp, Mux(io.lsu_addr_r(2), Cat(ldst_byteen_lo_r(3,0),0.U(4.W)), Cat(0.U(4.W),ldst_byteen_lo_r(3,0))), Mux(buf_addr(CmdPtr0)(2), Cat(buf_byteen(CmdPtr0),0.U(4.W)), Cat(0.U(4.W),buf_byteen(CmdPtr0)))) +// obuf_byteen1_in := Mux(ibuf_buf_byp, Mux(io.end_addr_r(2), Cat(ldst_byteen_hi_r(3,0),0.U(4.W)), Cat(0.U(4.W),ldst_byteen_hi_r(3,0))), Mux(buf_addr(CmdPtr1)(2), Cat(buf_byteen(CmdPtr1),0.U(4.W)), Cat(0.U(4.W),buf_byteen(CmdPtr1)))) +// obuf_data0_in := Mux(ibuf_buf_byp, Mux(io.lsu_addr_r(2), Cat(store_data_lo_r(31,0),0.U(32.W)), Cat(0.U(32.W),store_data_lo_r(31,0))), Mux(buf_addr(CmdPtr0)(2), Cat(buf_data(CmdPtr0), 0.U(32.W)), Cat(0.U(32.W), buf_data(CmdPtr0)))) +// obuf_data1_in := Mux(ibuf_buf_byp, Mux(io.lsu_addr_r(2), Cat(store_data_hi_r(31,0),0.U(32.W)), Cat(0.U(32.W),store_data_hi_r(31,0))), Mux(buf_addr(CmdPtr1)(2), Cat(buf_data(CmdPtr1), 0.U(32.W)), Cat(0.U(32.W), buf_data(CmdPtr1)))) +// +// obuf_addr := RegEnable(obuf_addr_in , init = 0.U, obuf_wr_en) +// obuf_data := RegEnable(obuf_data_in , init = 0.U, obuf_wr_en) +// withClock(io.lsu_busm_clk){ +// obuf_rdrsp_pend := RegNext(obuf_rdrsp_pend_in , init = 0.U) +// obuf_rdrsp_tag := RegNext(obuf_rdrsp_tag_in , init = 0.U) +// obuf_cmd_done := RegNext(obuf_cmd_done_in , init = 0.U) +// obuf_data_done := RegNext(obuf_data_done_in , init = 0.U) +// obuf_wr_timer := RegNext(obuf_wr_timer_in , init = 0.U) +// obuf_wr_enQ := RegNext(obuf_wr_en , init = 0.U) +// } +// withClock(io.lsu_free_c2_clk){ +// obuf_valid := RegNext(Mux(obuf_wr_en.asBool(),1.U ,obuf_valid) & !obuf_rst, false.B) +// obuf_nosend := RegEnable(obuf_nosend_in , init = 0.U, obuf_wr_en) +// } +// withClock(io.lsu_bus_obuf_c1_clk){ +// obuf_write := RegEnable(obuf_write_in , init = 0.U, obuf_wr_en) +// obuf_sideeffect := RegEnable(obuf_sideeffect_in , init = 0.U, obuf_wr_en) +// obuf_sz := RegEnable(obuf_sz_in , init = 0.U, obuf_wr_en) +// obuf_byteen := RegEnable(obuf_byteen_in , init = 0.U, obuf_wr_en) +// obuf_merge := RegEnable(obuf_merge_in , init = 0.U, obuf_wr_en) +// obuf_tag0 := RegEnable(obuf_tag0_in , init = 0.U, obuf_wr_en) +// obuf_tag1 := RegEnable(obuf_tag1_in , init = 0.U, obuf_wr_en) +// } +// //////////////////////////////////////////////////////////////////////////////////// +// +// // WrPtr0_m := PriorityMux((0 until DEPTH).map(i =>(((buf_state(i)===IDLE.U) & !((ibuf_valid & (ibuf_tag====i.U)) | (io.lsu_busreq_r & ((WrPtr0_r === i) | (io.ldst_dual_r & (WrPtr1_r === i)))))).asBool -> i.asUInt(DEPTH_LOG2.W)))) +// val test_seq = (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & ibuf_tag===i.U) | +// (io.lsu_busreq_r & ((WrPtr0_r===i.U) | (io.ldst_dual_r & (WrPtr1_r===i.U)))))).asBool() -> i.U) +// WrPtr0_m := MuxCase(0.U, test_seq) +// val test_seq2 = (0 until DEPTH).map(i=>((buf_state(i) === idle_C) & !((ibuf_valid & (ibuf_tag === i.U)) | +// (io.lsu_busreq_m & (WrPtr0_m === i.U)) | (io.lsu_busreq_r & (WrPtr0_r === i.U) | +// (io.ldst_dual_r & (WrPtr1_r === i.U))))).asBool -> i.U) +// WrPtr1_m := MuxCase(0.U, test_seq2) +// +// for { +// i <- 0 until DEPTH +// j <- 0 until DEPTH +// }{ +// CmdPtr0Dec(i) := ~(buf_age(i).asUInt.orR()) & (buf_state(i) === cmd_C) & ~buf_cmd_state_bus_en(i) +// CmdPtr1Dec(i) := ~((buf_age(i).asUInt & ~CmdPtr0Dec.asUInt).orR()) & ~CmdPtr0Dec(i) & (buf_state(i) === cmd_C) & ~buf_cmd_state_bus_en(i) +// RspPtrDec(i) := ~(buf_rsp_pickage(i).asUInt.orR()) & (buf_state(i) === done_wait_C) +// +// buf_age_in(i)(j) := (((buf_state(i) === idle_C) & buf_state_en(i)) & +// (((buf_state(j) === wait_C) | ((buf_state(j) === cmd_C) & ~buf_cmd_state_bus_en(j))) | +// (ibuf_drain_vld & io.lsu_busreq_r & (ibuf_byp | io.ldst_dual_r) & (i === WrPtr0_r) & (j === ibuf_tag)) | +// (ibuf_byp & io.lsu_busreq_r & io.ldst_dual_r & (i === WrPtr1_r) & (j === WrPtr0_r)))) | buf_age(i)(j) +// +// buf_age(i)(j) := buf_ageQ(i)(j) & ~((buf_state(j) === cmd_C) & buf_cmd_state_bus_en(j)) +// buf_age_younger(i)(j) := Mux(i.asUInt(DEPTH_LOG2.W) === j.asUInt(DEPTH_LOG2.W), 0.U, (~buf_age(i)(j) & (buf_state(j) =/= idle_C))) +// +// buf_rspage_set(i)(j) := ((buf_state(i) === idle_C) & buf_state_en(i)) & (~((buf_state(j) === idle_C) | (buf_state(j) === done_C)) | +// (ibuf_drain_vld & io.lsu_busreq_r & (ibuf_byp | io.ldst_dual_r) & (i === WrPtr0_r) & (j === ibuf_tag)) | +// (ibuf_byp & io.lsu_busreq_r & io.ldst_dual_r & (i === WrPtr1_r) & (j === WrPtr0_r))) +// buf_rspage_in(i)(j) := buf_rspage_set(i)(j) | buf_rspage(i)(j) +// buf_rspage(i)(j) := buf_rspageQ(i)(j) & ~((buf_state(j) === done_C) | (buf_state(j) === idle_C)) +// buf_rsp_pickage(i)(j) := buf_rspageQ(i)(j) & (buf_state(j) === done_wait_C) +// } +// +// CmdPtr0 := PriorityEncoderOH(CmdPtr0Dec.asUInt) +// CmdPtr1 := PriorityEncoderOH(CmdPtr1Dec.asUInt) +// RspPtr := PriorityEncoderOH(RspPtrDec.asUInt) +// found_cmdptr0 := CmdPtr0Dec.reduce(_|_) +// found_cmdptr1 := CmdPtr1Dec.reduce(_|_) +// +// ////////////////////////// FSM /////////////////////////////////////// +// for (i <- 0 until DEPTH){ +// buf_nxtstate(i) := idle_C +// buf_state_en(i) := 0.U +// buf_cmd_state_bus_en(i) := 0.U +// buf_resp_state_bus_en(i) := 0.U +// buf_state_bus_en(i) := 0.U +// buf_wr_en(i) := 0.U +// buf_data_in(i) := 0.U +// buf_data_en(i) := 0.U +// buf_error_en(i) := 0.U +// buf_rst(i) := 0.U +// buf_ldfwd_en(i) := 0.U +// buf_ldfwd_in(i) := 0.U +// buf_ldfwdtag_in(i) := 0.U +// +// ibuf_drainvec_vld(i) := (ibuf_drain_vld & (i === ibuf_tag)) +// buf_byteen_in(i) := Mux(ibuf_drainvec_vld(i), ibuf_byteen_out(3,0), Mux((ibuf_byp & io.ldst_dual_r & (i === WrPtr1_r)).asBool(), ldst_byteen_hi_r(3, 0), ldst_byteen_lo_r(3, 0))) +// buf_addr_in(i) := Mux(ibuf_drainvec_vld(i), ibuf_addr(31,0), Mux((ibuf_byp & io.ldst_dual_r & (i === WrPtr1_r)).asBool(), io.end_addr_r(31, 0), io.lsu_addr_r(31, 0))) +// buf_dual_in(i) := Mux(ibuf_drainvec_vld(i), ibuf_dual, io.ldst_dual_r) +// buf_samedw_in(i) := Mux(ibuf_drainvec_vld(i), ibuf_samedw, ldst_samedw_r) +// buf_nomerge_in(i) := Mux(ibuf_drainvec_vld(i), (ibuf_nomerge | ibuf_force_drain), io.no_dword_merge_r) +// buf_dualhi_in(i) := Mux(ibuf_drainvec_vld(i), ibuf_dual, (ibuf_byp & io.ldst_dual_r & (i === WrPtr1_r))) +// buf_dualtag_in(i) := Mux(ibuf_drainvec_vld(i), ibuf_dualtag, Mux((ibuf_byp & io.ldst_dual_r & (i === WrPtr1_r)).asBool(), WrPtr0_r, WrPtr1_r)) +// buf_sideeffect_in(i) := Mux(ibuf_drainvec_vld(i), ibuf_sideeffect, io.is_sideeffects_r) +// buf_unsign_in(i) := Mux(ibuf_drainvec_vld(i), ibuf_unsign, io.lsu_pkt_r.unsign) +// buf_sz_in(i) := Mux(ibuf_drainvec_vld(i), ibuf_sz, Cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half)) +// buf_write_in(i) := Mux(ibuf_drainvec_vld(i), ibuf_write, io.lsu_pkt_r.store) +// +// // Buffer entry state machine +// switch (buf_state(i)){ +// is (idle_C) { +// buf_nxtstate(i) := Mux(io.lsu_bus_clk_en.asBool(), cmd_C, wait_C) +// buf_state_en(i) := (io.lsu_busreq_r & io.lsu_commit_r & (((ibuf_byp | io.ldst_dual_r) & !ibuf_merge_en & (i === WrPtr0_r)) | (ibuf_byp & io.ldst_dual_r & (i === WrPtr1_r)))) | (ibuf_drain_vld & (i === ibuf_tag)) +// buf_wr_en(i) := buf_state_en(i) +// buf_data_en(i) := buf_state_en(i) +// buf_data_in(i) := Mux((ibuf_drain_vld & (i === ibuf_tag)).asBool(), ibuf_data_out(31, 0), store_data_lo_r(31, 0)) +// } +// is (wait_C) { +// buf_nxtstate(i) := Mux(io.dec_tlu_force_halt.asBool(), idle_C, cmd_C) +// buf_state_en(i) := io.lsu_bus_clk_en | io.dec_tlu_force_halt +// } +// is (cmd_C) { +// buf_nxtstate(i) := Mux(io.dec_tlu_force_halt.asBool(), idle_C, Mux((obuf_nosend & bus_rsp_read & (bus_rsp_read_tag === obuf_rdrsp_tag)), done_wait_C, resp_C)) +// buf_cmd_state_bus_en(i) := ((obuf_tag0 === i.asUInt(pt1.LSU_BUS_TAG.W)) | (obuf_merge & (obuf_tag1 === i.asUInt(pt1.LSU_BUS_TAG.W)))) & obuf_valid & obuf_wr_enQ +// buf_state_bus_en(i) := buf_cmd_state_bus_en(i) +// buf_state_en(i) := (buf_state_bus_en(i) & io.lsu_bus_clk_en) | io.dec_tlu_force_halt +// buf_ldfwd_in(i) := 1.U(1.W) +// buf_ldfwd_en(i) := buf_state_en(i) & !buf_write(i) & obuf_nosend & !io.dec_tlu_force_halt +// buf_ldfwdtag_in(i) := (obuf_rdrsp_tag(pt1.LSU_BUS_TAG - 2,0)).asUInt +// buf_data_en(i) := buf_state_bus_en(i) & io.lsu_bus_clk_en & obuf_nosend & bus_rsp_read +// buf_error_en(i) := buf_state_bus_en(i) & io.lsu_bus_clk_en & obuf_nosend & bus_rsp_read_error +// buf_data_in(i) := Mux(buf_error_en(i), bus_rsp_rdata(31,0), Mux(buf_addr(i)(2), bus_rsp_rdata(63, 32), bus_rsp_rdata(31, 0))) +// } +// is (resp_C){ +// buf_nxtstate(i) := Mux((io.dec_tlu_force_halt | (buf_write(i) & ~(pt.BUILD_AXI_NATIVE & bus_rsp_write_error))).asBool(), idle_C, +// Mux((buf_dual(i) & ~ buf_samedw(i) & ~ buf_write(i) &(buf_state(buf_dualtag(i)) =/= done_partial_C)), done_partial_C, +// Mux((buf_ldfwd(i) | any_done_wait_state | (buf_dual(i) & ~ buf_samedw(i) & ~ buf_write(i) & buf_ldfwd(buf_dualtag(i)) & (buf_state(buf_dualtag(i)) === done_partial_C) & any_done_wait_state)), done_wait_C, done_C))) +// buf_resp_state_bus_en(i):= (bus_rsp_write & (bus_rsp_write_tag === (i.asUInt(pt1.LSU_BUS_TAG.W)))) | +// (bus_rsp_read & ((bus_rsp_read_tag === (i.asUInt(pt1.LSU_BUS_TAG.W))) | +// (buf_ldfwd(i) & (bus_rsp_read_tag === (buf_ldfwdtag(i)))) | +// (buf_dual(i) & buf_dualhi(i) & ~buf_write(i) & buf_samedw(i) & (bus_rsp_read_tag === (buf_dualtag(i)))))) +// buf_state_bus_en(i) := buf_resp_state_bus_en(i) +// buf_state_en(i) := (buf_state_bus_en(i) & io.lsu_bus_clk_en) | io.dec_tlu_force_halt +// buf_data_en(i) := buf_state_bus_en(i) & bus_rsp_read & io.lsu_bus_clk_en +// buf_error_en(i) := buf_state_bus_en(i) & io.lsu_bus_clk_en & ((bus_rsp_read_error & (bus_rsp_read_tag === (i.asUInt(pt1.LSU_BUS_TAG.W))) ) | +// (bus_rsp_read_error & buf_ldfwd(i) & (bus_rsp_read_tag === buf_ldfwdtag(i))) | +// (bus_rsp_write_error & pt.BUILD_AXI_NATIVE & (bus_rsp_write_tag === i.asUInt(pt1.LSU_BUS_TAG.W)))) +// buf_data_in(i) := Mux((buf_state_en(i) & !buf_error_en(i)), Mux(buf_addr(i)(2), bus_rsp_rdata(63, 32), bus_rsp_rdata(31, 0)), bus_rsp_rdata(31, 0)) +// } +// is (done_partial_C){ // Other part of dual load hasn't returned +// buf_nxtstate(i) := Mux(io.dec_tlu_force_halt.asBool(), idle_C, Mux((buf_ldfwd(i) | buf_ldfwd(buf_dualtag(i)) | any_done_wait_state), done_wait_C, done_C)) +// buf_state_bus_en(i) := bus_rsp_read & ((bus_rsp_read_tag === buf_dualtag(i).asUInt()) | +// (buf_ldfwd(buf_dualtag(i)) & (bus_rsp_read_tag === buf_ldfwdtag(buf_dualtag(i)).asUInt()))) +// buf_state_en(i) := (buf_state_bus_en(i) & io.lsu_bus_clk_en) | io.dec_tlu_force_halt +// } +// is (done_wait_C) { // WAIT state if there are multiple outstanding nb returns +// buf_nxtstate(i) := Mux(io.dec_tlu_force_halt.asBool(), idle_C, done_C) +// buf_state_en(i) := ((RspPtr === i.asUInt(DEPTH_LOG2.W)) |(buf_dual(i) & (buf_dualtag(i) === RspPtr))) | io.dec_tlu_force_halt +// } +// is (done_C) { +// buf_nxtstate(i) := idle_C +// buf_rst(i) := 1.U +// buf_state_en(i) := 1.U +// buf_ldfwd_in(i) := 0.U +// buf_ldfwd_en(i) := buf_state_en(i) +// } +// } +// +// buf_byteen(i) := RegEnable(buf_byteen_in(i) , init = 0.U ,buf_wr_en(i)) +// buf_data(i) := RegEnable(buf_data_in(i) , init = 0.U ,buf_data_en(i)) +// withClock(io.lsu_bus_buf_c1_clk){ +// buf_state(i) := RegEnable(buf_nxtstate(i) , init = idle_C ,buf_state_en(i)) +// buf_dualtag(i) := RegEnable(buf_dualtag_in(i) , init = 0.U ,buf_wr_en(i)) +// buf_dual(i) := RegEnable(buf_dual_in(i) , init = 0.U ,buf_wr_en(i)) +// buf_samedw(i) := RegEnable(buf_samedw_in(i) , init = 0.U ,buf_wr_en(i)) +// buf_nomerge(i) := RegEnable(buf_nomerge_in(i) , init = 0.U ,buf_wr_en(i)) +// buf_dualhi(i) := RegEnable(buf_dualhi_in(i) , init = 0.U ,buf_wr_en(i)) +// buf_sideeffect(i) := RegEnable(buf_sideeffect_in(i) , init = 0.U ,buf_wr_en(i)) +// buf_unsign(i) := RegEnable(buf_unsign_in(i) , init = 0.U ,buf_wr_en(i)) +// buf_write(i) := RegEnable(buf_write_in(i) , init = 0.U ,buf_wr_en(i)) +// buf_sz(i) := RegEnable(buf_sz_in(i) , init = 0.U ,buf_wr_en(i)) +// buf_addr(i) := RegEnable(buf_addr_in(i) , init = 0.U ,buf_wr_en(i)) +// buf_ldfwd(i) := RegEnable(buf_ldfwd_in(i) , init = 0.U ,buf_ldfwd_en(i)) +// buf_ldfwdtag(i) := RegEnable(buf_ldfwdtag_in(i) , init = 0.U ,buf_ldfwd_en(i)) +// buf_error(i) := RegEnable(~buf_rst(i) , init = 0.U ,(buf_error_en(i)|buf_rst(i)).asBool) +// buf_ageQ(i) := RegNext(buf_age_in(i) , init = VecInit((0 until 4).map(i=>false.B))) +// buf_rspageQ(i) := RegNext(buf_rspage_in(i) , init = VecInit((0 until 4).map(i=>false.B))) +// } +// } +// +// ////////////////////////////////////////////////////////////////////////////////// +// buf_numvld_any := (io.lsu_busreq_m << io.ldst_dual_m) + (io.lsu_busreq_r << io.ldst_dual_r) + ibuf_valid + +// {for(i <- 0 until DEPTH) yield ( buf_state(i) =/= idle_C).asUInt }.reduce(_+_) +// buf_numvld_wrcmd_any := {for(i <- 0 until DEPTH) yield (( buf_state(i) === cmd_C) & ~buf_cmd_state_bus_en(i) & buf_write(i)).asUInt }.reduce(_+_) +// buf_numvld_cmd_any := {for(i <- 0 until DEPTH) yield (( buf_state(i) === cmd_C) & ~buf_cmd_state_bus_en(i)).asUInt }.reduce(_+_) +// buf_numvld_pend_any := {for(i <- 0 until DEPTH) yield (((buf_state(i) === cmd_C) & ~buf_cmd_state_bus_en(i)) | (buf_state(i) === wait_C)).asUInt }.reduce(_+_) +// any_done_wait_state := {for(i <- 0 until DEPTH) yield buf_state(i) === done_wait_C }.reduce(_|_) +// +// io.lsu_bus_buffer_pend_any := buf_numvld_pend_any =/= 0.U +// io.lsu_bus_buffer_full_any := Mux((io.ldst_dual_d & io.dec_lsu_valid_raw_d),buf_numvld_any(3,0) >= (DEPTH-1).asUInt(4.W), buf_numvld_any(3,0) === DEPTH.asUInt(4.W)) +// io.lsu_bus_buffer_empty_any := ~((0 until DEPTH).map(i =>(buf_state(i)).asUInt).reduce(_|_)) & ~ibuf_valid & ~obuf_valid +// +// io.lsu_nonblock_load_valid_m := io.lsu_busreq_m & io.lsu_pkt_m.valid & io.lsu_pkt_m.load & ~io.flush_m_up & ~ io.ld_full_hit_m +// io.lsu_nonblock_load_tag_m := WrPtr0_m(DEPTH_LOG2-1,0) +// io.lsu_nonblock_load_inv_r := lsu_nonblock_load_valid_r & ~io.lsu_commit_r +// io.lsu_nonblock_load_inv_tag_r := WrPtr0_r(DEPTH_LOG2-1,0) +// +// lsu_nonblock_load_data_ready := Mux1H((0 until DEPTH).map(i =>(buf_state(i) === done_C) -> ~(pt.BUILD_AXI_NATIVE & buf_write(i)))) +// io.lsu_nonblock_load_data_error := Mux1H((0 until DEPTH).map(i =>(buf_state(i) === done_C & ~buf_write(i)) -> (buf_error(i)))) +// io.lsu_nonblock_load_data_tag := Mux1H((0 until DEPTH).map(i =>(buf_state(i) === done_C & (~buf_dual(i) | ~buf_dualhi(i)) & ~buf_write(i)) -> intToUInt(i))) +// lsu_nonblock_load_data_lo := Mux1H((0 until DEPTH).map(i =>(buf_state(i) === done_C & ~buf_write(i) & (~buf_dual(i) | ~buf_dualhi(i))) -> buf_data(i))) +// lsu_nonblock_load_data_hi := Mux1H((0 until DEPTH).map(i =>(buf_state(i) === done_C & ~buf_write(i) & ( buf_dual(i) & buf_dualhi(i))) -> buf_data(i))) +// +// lsu_nonblock_addr_offset := buf_addr(io.lsu_nonblock_load_data_tag)(1,0) +// lsu_nonblock_sz := buf_sz(io.lsu_nonblock_load_data_tag)(1,0) +// lsu_nonblock_unsign := buf_unsign(io.lsu_nonblock_load_data_tag) +// lsu_nonblock_dual := buf_dual(io.lsu_nonblock_load_data_tag) +// lsu_nonblock_data_unalgn := (Cat(lsu_nonblock_load_data_hi(31,0), lsu_nonblock_load_data_lo(31,0)) >> 8*lsu_nonblock_addr_offset(1,0))(31,0) +// io.lsu_nonblock_load_data_valid := lsu_nonblock_load_data_ready & ~io.lsu_nonblock_load_data_error +// io.lsu_nonblock_load_data := Mux1H(Seq( +// (lsu_nonblock_unsign & lsu_nonblock_sz === 0.U) -> Cat(Fill(24,0.U(1.W)),lsu_nonblock_data_unalgn(7,0)), +// (lsu_nonblock_unsign & lsu_nonblock_sz === 1.U) -> Cat(Fill(16,0.U(1.W)),lsu_nonblock_data_unalgn(15,0)), +// (~lsu_nonblock_unsign & lsu_nonblock_sz === 0.U) -> Cat(Fill(24,lsu_nonblock_data_unalgn(7)),lsu_nonblock_data_unalgn(7,0)), +// (~lsu_nonblock_unsign & lsu_nonblock_sz === 1.U) -> Cat(Fill(16,lsu_nonblock_data_unalgn(15)),lsu_nonblock_data_unalgn(15,0)), +// (lsu_nonblock_unsign & lsu_nonblock_sz === 2.U) -> lsu_nonblock_data_unalgn(31,0) +// )) +// bus_sideeffect_pend := Mux(obuf_valid,obuf_sideeffect & io.dec_tlu_sideeffect_posted_disable,Mux1H((0 until DEPTH).map(i =>(buf_state(i) === resp_C) -> (buf_sideeffect(i) & io.dec_tlu_sideeffect_posted_disable)))) +// bus_addr_match_pending := Mux1H((0 until DEPTH).map(i =>(pt.BUILD_AXI_NATIVE & obuf_valid & (obuf_addr(31,3) === buf_addr(i)(31,3))).asBool -> ((buf_state(i) === resp_C) & ~((obuf_tag0 === intToUInt(i)) | (obuf_merge & (obuf_tag1 === intToUInt(i))))))) +// +// bus_cmd_ready := Mux(obuf_write, Mux((obuf_cmd_done | obuf_data_done), Mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready), (io.lsu_axi_awready & io.lsu_axi_wready)), io.lsu_axi_arready) +// bus_wcmd_sent := io.lsu_axi_awvalid & io.lsu_axi_awready +// bus_wdata_sent := io.lsu_axi_wvalid & io.lsu_axi_wready +// bus_cmd_sent := ((obuf_cmd_done | bus_wcmd_sent) & (obuf_data_done | bus_wdata_sent)) | (io.lsu_axi_arvalid & io.lsu_axi_arready) +// +// bus_rsp_read := io.lsu_axi_rvalid & io.lsu_axi_rready +// bus_rsp_write := io.lsu_axi_bvalid & io.lsu_axi_bready +// bus_rsp_read_tag := io.lsu_axi_rid(pt1.LSU_BUS_TAG-1,0) +// bus_rsp_write_tag := io.lsu_axi_bid(pt1.LSU_BUS_TAG-1,0) +// bus_rsp_write_error := bus_rsp_write & (io.lsu_axi_bresp(1,0) =/= 0.U(2.W)) +// bus_rsp_read_error := bus_rsp_read & (io.lsu_axi_rresp(1,0) =/= 0.U(2.W)) +// bus_rsp_rdata := io.lsu_axi_rdata(63,0) +// ////////////////////////////////////////////////////////////////////////////////// +// lsu_axi_rdata_q := RegEnable(io.lsu_axi_rdata, init = 0.U, io.lsu_axi_rvalid&io.lsu_bus_clk_en) +// withClock(io.lsu_c2_r_clk){ +// io.lsu_busreq_r := RegNext((io.lsu_busreq_m & !io.flush_r & !io.ld_full_hit_m), 0.U) +// WrPtr0_r := RegNext(WrPtr0_m, init = 0.U) +// WrPtr1_r := RegNext(WrPtr1_m, init = 0.U) +// lsu_nonblock_load_valid_r := RegNext(io.lsu_nonblock_load_valid_m, init = 0.U) +// } +// withClock(io.lsu_busm_clk){ +// lsu_axi_awvalid_q := RegNext(io.lsu_axi_awvalid, init = 0.U) +// lsu_axi_awready_q := RegNext(io.lsu_axi_awready, init = 0.U) +// lsu_axi_wvalid_q := RegNext(io.lsu_axi_wvalid, init = 0.U) +// lsu_axi_wready_q := RegNext(io.lsu_axi_wready, init = 0.U) +// lsu_axi_arvalid_q := RegNext(io.lsu_axi_arvalid, init = 0.U) +// lsu_axi_arready_q := RegNext(io.lsu_axi_arready, init = 0.U) +// lsu_axi_bvalid_q := RegNext(io.lsu_axi_bvalid, init = 0.U) +// lsu_axi_bready_q := RegNext(io.lsu_axi_bready, init = 0.U) +// lsu_axi_rvalid_q := RegNext(io.lsu_axi_rvalid, init = 0.U) +// lsu_axi_rready_q := RegNext(io.lsu_axi_rready, init = 0.U) +// lsu_axi_bid_q := RegNext(io.lsu_axi_bid, init = 0.U) +// lsu_axi_rid_q := RegNext(io.lsu_axi_rid, init = 0.U) +// lsu_axi_bresp_q := RegNext(io.lsu_axi_bresp, init = 0.U) +// lsu_axi_rresp_q := RegNext(io.lsu_axi_rresp, init = 0.U) +// } +// /////////////////////////////////////////////////////////////////////////////////// +// +// io.ld_fwddata_buf_lo := 0.U +// io.ld_fwddata_buf_hi := 0.U +// +// lsu_imprecise_error_store_tag := Mux1H((0 until DEPTH).map(i =>(((buf_state(i) === done_C) & buf_error(i) & buf_write(i)) -> intToUInt(i)))) +// io.lsu_imprecise_error_load_any := io.lsu_nonblock_load_data_error & ~io.lsu_imprecise_error_store_any +// io.lsu_imprecise_error_store_any := {for(i <- 0 until DEPTH) yield io.lsu_bus_clk_en_q & (buf_state(i) === done_C) & buf_error(i) & buf_write(i)}.reduce(_|_) +// io.lsu_imprecise_error_addr_any := Mux(io.lsu_imprecise_error_store_any, buf_addr(lsu_imprecise_error_store_tag), buf_addr(io.lsu_nonblock_load_data_tag)) +// +// bus_pend_trxnQ := 0.U(8.W) +// bus_pend_trxn := 0.U(8.W) +// bus_pend_trxn_ns := 0.U(8.W) +// lsu_bus_cntr_overflow := 0.U(1.W) +// io.lsu_bus_idle_any := true.B +// +// io.lsu_pmu_bus_trxn := (io.lsu_axi_awvalid & io.lsu_axi_awready) | (io.lsu_axi_wvalid & io.lsu_axi_wready) | (io.lsu_axi_arvalid & io.lsu_axi_arready) +// io.lsu_pmu_bus_misaligned := io.lsu_busreq_r & io.ldst_dual_r & io.lsu_commit_r +// io.lsu_pmu_bus_error := io.lsu_imprecise_error_load_any | io.lsu_imprecise_error_store_any +// io.lsu_pmu_bus_busy := (io.lsu_axi_awvalid & ~io.lsu_axi_awready | (io.lsu_axi_wvalid & ~io.lsu_axi_wready) | (io.lsu_axi_arvalid & ~io.lsu_axi_arready)) +// +// io.lsu_axi_awvalid := obuf_valid & obuf_write & ~obuf_cmd_done & ~bus_addr_match_pending +// io.lsu_axi_awid := obuf_tag0.asUInt +// io.lsu_axi_awaddr := Mux(obuf_sideeffect, obuf_addr,Cat(obuf_addr(31,3),0.U(3.W))) +// io.lsu_axi_awregion := obuf_addr(31,28) +// io.lsu_axi_awlen := 0.U(8.W) +// io.lsu_axi_awsize := Mux(obuf_sideeffect, Cat(false.B,obuf_sz),3.U(3.W)) +// io.lsu_axi_awburst := 1.U(2.W) +// io.lsu_axi_awlock := 0.U +// io.lsu_axi_awcache := Mux(obuf_sideeffect, 0.U(4.W),15.U(4.W)) +// io.lsu_axi_awprot := 0.U(3.W) +// io.lsu_axi_awqos := 0.U(4.W) +// +// io.lsu_axi_wvalid := obuf_valid & obuf_write & ~obuf_data_done & ~bus_addr_match_pending +// io.lsu_axi_wdata := obuf_data +// io.lsu_axi_wstrb := obuf_byteen & Fill(8,obuf_write) +// io.lsu_axi_wlast := 1.U +// +// io.lsu_axi_arvalid := obuf_valid & ~obuf_write & ~obuf_nosend & ~bus_addr_match_pending +// io.lsu_axi_arid := obuf_tag0.asUInt +// io.lsu_axi_araddr := io.lsu_axi_awaddr +// io.lsu_axi_arregion := obuf_addr(31,28) +// io.lsu_axi_arlen := 0.U(8.W) +// io.lsu_axi_arsize := io.lsu_axi_awsize +// io.lsu_axi_arburst := 1.U(2.W) +// io.lsu_axi_arlock := 0.U +// io.lsu_axi_arcache := io.lsu_axi_awcache +// io.lsu_axi_arprot := 0.U +// io.lsu_axi_arqos := 0.U +// +// io.lsu_axi_bready := 1.U +// io.lsu_axi_rready := 1.U +// +// +//} +//object BusBufmain extends App{ +// println("Generate Verilog") +// println((new chisel3.stage.ChiselStage).emitVerilog((new el2_lsu_bus_buffer()))) +//} diff --git a/target/scala-2.12/classes/ifu/ifu_mem$.class b/target/scala-2.12/classes/ifu/ifu_mem$.class index 046793391b6a8d542e240e7a2614662089e904e4..e7b75f573e9605d4a08dd345a606b1eee3fb536a 100644 GIT binary patch delta 99 zcmZ1?w?uBkOD@L1$*;IKPX54K0Tj*T+YJE1jU2ZC delta 99 zcmZ1?w?uBkOD@LX$*;IUCF@3xQaoUaW#Vt T;~Iug#2J0O?X7eG^D;VpV5cH#vb-bn<)FiK43*xENP6C^N2M Uuwh)w5X!iYA&+s@-ORwnxP?KPaVvuj T<2Hs+#_bGwjGHG2J0O?X7eG^D;VpV6{F*$)%bn<)FiK1H=xEQxGC^K$j UuwmTJ5X!iNA&+s(P39M9V>~)}n!senW0SQ6qZtoPZW9av%HI}rU_3He zM#zEj=;l}ZZpNDo{EP=CuMoKk E08#8D5&!@I delta 100 zcmeyX^;c^{E;eMos7pAegT!23ZDZicM*x^JjlS!c#DCb@!;eYB3A)H Cmm}W* diff --git a/target/scala-2.12/classes/lib/el2_lib$rvclkhdr.class b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr.class index 4498033c48fa7db062a4d496a11038c3d645454d..ee76a36503c7e08dfbc15179db147dbec9702f1b 100644 GIT binary patch delta 165 zcmaE5`O0!bry%35$z6hzfux+!#)($~&AlO>$+&y6lSJlZ zM+x!Cha`e0ua@B7tRl(DB)f@0lyNhI2IEeKM8;hV#~61r8Zho*oW;18iG^{~W-sXl Fi~vSQI5hwO delta 163 zcmaE5`O0!bry%3*$z6hzfux+!v3&Cte9O^@e!n}Cd0#w`pQjJp^T8Fw=rW8A}Nz_^!j7UMo97RJq+y`&c~ F0ss^>I(h&A diff --git a/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class b/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class index 65f77906767a1bf93b9a44869d08b0b88e60ef60..47b567eb10b9493d924af7ee1d6316ef736f8d57 100644 GIT binary patch delta 246 zcmX}kJx&5q6vgp(LMVuFu$WCmP)3^ z1-Jt;z-Z!p;RYbHH6o?ulKZa3H#b1e zi#k#8vpz0G2QDv-=9TC0Uf*HO7u^?|=>g)feOQK$R2M7th_#wwqka&hi7%Yto1>$+ zl>7%K$oT5@C7*i(6yfQy;6!jLI1`);E(C7{|ML1IMZ}JOBcUh1Av^VNk;uho#hlAW Y&$MJGrXd@cab>CY@TaO+hFdej4s6(1`~Uy| delta 246 zcmX}ly-vbl7zN<(gg6kB;y`IXDfVMA{tSl6!IFQ@Aa+dXWd53Om~ok$NM|bkvhjpJz%Y-h}9Po9O46~_~f7- zm(u@%kvw?u+SoAnIw-=EhTv3iCO8+o5nKq~3jXHRL5hfH{!7?n&0Ds)xS(^X= diff --git a/target/scala-2.12/classes/lib/el2_lib$rvecc_encode_64$$anon$1.class b/target/scala-2.12/classes/lib/el2_lib$rvecc_encode_64$$anon$1.class index feacaa8b343a73bfb1216cd83973a419fb978d07..325362e85782a848b850cfb104ded9e5078d62f2 100644 GIT binary patch delta 43 zcmZqTYvS9mn3d6P@5D;wsL{NmF$Ap54phMsNnwQ_}`MjUQ1HWf|etmxRij&Hi zb%H7|x_~a16%Snv+-1}51`fHcc<*jd72_VD;<4h7r$IGb_f~ORwqwT|p$s;BJviu7 zu+QhfG+SaHuuJSV`;L|T3ikRPm|(N)vR|Pr@&OMvxxDs!AqNx~171wCqwFfX#QtPI zvICL=x8%h&Nuh%H=1WQCr){aw-nqWkzS_6DKa1TN+}-tH>;0`@0rw>b{svWSNl}c2 z6tNdW|M%DScFV1;qAo`$g*$SJ&ku5)?<=E(_?%QOU{FcGpq|ECMZ*oXh_b4|87^Xz zU195C4Y5cOzr$MdMdTaxmURuPkd4M^na=7JI;Vd^RYRK2H(%}FGVzTJ#vOcqkK3`) zFJYxW3Qt_Z4|a+@8js>RyU2DVBz$5EtelAAUg8q}nQl!r)Vd|al1`K~Czlyf4vX?k zv-8_DKg;Z}Xx4#N%ePxev`Nt>+U=rfYog7x+ni{v*{K@niXjwIZ{aJ zdMK?^DMM3qO#g_63_CR38KdKSF4IWYQ#xV%MOo7joiyE~Q>HJJGe>CDJV$x+8yd6N Xs9*_E(Q<^&SaMXe8Y$KEr5@8i4A0Wy delta 703 zcmX}pNoW&M9LMqelW4O@YzPfBd#1@u7PSGT$uy=eU96hMCLvZ+%iuwUwufqk3O%?S zh8_xvzoSJ@f&sx|EnWl_ya~0QMASn;dr^c6>P66hUIs7odH?TY7T&DQugx#d`q1Wg z;kr-99k#=-qdVY2!>>~vnE)^rbm474$5-}TP^Sre3xYIU3yo7B*25jx3Mr_Cz1S00 zaGRZC->?tZ9T6|Sut!-bqCjW0h(g2S&Lu9kXb2nZ2rEbV3G5X6j$LL0QV2iUvuv-V z&?rjLAg)RZHe+5qlM;x<)7aV7nu}en`JOR^%5}U}a=4;YaWbL8ny6rdy~$1|Rrrz>{ATa7H&QAx zsS2OmAtg=|D~;4&>Krrabc)<`nwF?;R%qP(n9f)Vy!~?5XFGoMq_N&3;XpQxU{=B+ zJITIhpR#f;4Qo!qEL+Y=d@2XCUcPQ+J-C~@$p5EZO<1&c37NbPHPy#uMwGpxtg0T~ zE$~`q2SjuAHe1PPHPJ4JrWx(LXfH&YG1{DHop}$xVRt@h)!e29kuHn0kRK`+OdZr` zO3-1Nq<-^58nAq)!EG5D+J1?Sv^}9=+b=q1@2BJTTU4}vq7g@mN{%@?;dn)(PB&GY VajH7^QO#K-&1Iv)N_hVx`UfA>)(HRr diff --git a/target/scala-2.12/classes/lib/el2_lib.class b/target/scala-2.12/classes/lib/el2_lib.class index 4791d68430134beb977de15bc73269c34599dbe0..4dc7eb0adf8354f6c5febc4f30fc0ca42f7e4132 100644 GIT binary patch delta 2529 zcmY*adr(wm6yLM=F6LedX^683x3coSQhZ&EqfI~1# z7LLmU7Q(j>&IdF01hyHpx-Y?${tuYr)7EDJNOAXu$3(EHNF`LY9dmY5UvvQ-GcI&y9qn~+g<6e*STPRO^zskOnSSV|DvEv~6IqrI~Ut-37=9{6U ze*Hr1*;K%|qbz`d0Upu3pGS-*B2q6yA(qwhi1Rlh;ymK^13cmquVK3om2$JI-hn&nR>6IV#Dhi=iX|Uq zvZJ!XgxY!+YD0N~m%hwfRaxN0xuG&B!KIBV9tb%I4X;%3!;nnqdT1s+13?vw8`yq+ zgO{G6h9aRvs^RI+G*}5Y;Iico{INkM+{W$puq>9ynr^;%G;C#?UhdTrR)tb&7ArNg zTCt~m{ZfSJbesrVh+oL-H8y4t&gHLF+GqzKRp}Fa(mbB&SYsC9l^kDfoJMTrMitYW ztfEiWba7>WlN0nr_*06^}IK5x$p6WS&ncz)y#KeX$cWHfIz649k$s z8dsGvr+Bq8CTDrMj+pdRM%MHtgVGc=Z7MUy3;JZURrJf6H+j)5VH0LFy8^Oi7_2ZK zrojYcY1<)Na6yi60c@fP6mcck#TYompFq|5V7jgoa&-s6r5lA?bzecD@pC9L^+2&X z1YYwQm}UM9N-}f(92+{A3-7FWR7*DXD?9?D>Ywhf*V&cV~-zwk`jy-=0j2G#m= z5HuKIqhSSXG7Lej;RmQQmO{O;9YV%S5H@}djmAGClXPq zBaf`7lTl^0lToL56dQZQzr$aO#va>TX3h+J$_i)TBKNJVGvT!ZRvgCZm@$wej7T2x zv?H5>Ba)5d;6V1G?bJLwsByZeX}Wb9cs^}D>`ZTmUHS{K+hBqh4J%=fAqIO5Kfyku z4|28Qg=`bQi;Yj@QzymrE>F`yY7&8z$JL<%O5hrFIF2&+8 zEAAy6K0F8iJ1L`c$ca}8|2-z-sv}OkXJ`(tJuc%}!ZE_duVi5EQBSt0sRW(oT}T5D zq{BYY!|z~-?;5TEm@z3eV^Zpy*iESgBR8c^5xyZMAGs;jO!$V>43fH?r1DAX4w7<{ zR6%_0(Z>O1(@%G{FqMsEdz9&WaqSq> z_Tt;Lu08HW_i?7_#XYpTPs~-eknUE}eU@~$k!}m=wrb1axp?b|E`Wi_^aDISoJ^#D v>SQAQd$h9j#itVKkye)eFIrjp0ZRW8r9VjNU#9efl>QK4BPh5<5mG}Avkl1!4xv^<)$GtKldjY*qyCdp(%CY_f4C_TFp z#{Qw*Z_jtmch2tJJ?j55tN+Wa*~*|%s0oT#PrwMK+U3wlI{2f4w(1huI6onhkQ%Whp4oN)&LsPSr8HLBK0@c2v8p_`$whnMLO@ zdp;YM33&5RK?#Fy#*oOy`yyo4(oaW>o_?e>xX+G9cjn^ctIJV%Ef=4SS$XmTuE2&u zq2_TBXLl50e4h#;esb83O@k_Vr^|7QIN6?f}$!d_K+*_c5^ZD)frx^nwIcSXZTGSUZdw z4By6hEDAXVi)`UI7_tyvMz|O(I2<}+6tsT>v;MzeN#*Rx1yE8Yb-xhtYP}av)qBv` z;Ki~L1AbSp;#R@~y#`#>pyCAKXoCm8qS+cZ;3o|#xbcyAK8;z8y@F46vwYyg!z~Zv z$4wSOb8otoU#jAwN`3NURH*|Co6Hokg5#ez*~vH5ocCU2TEwQhkH2_%h$UR?%ZNTjbBrAU(Jyk>j}kI7 zCYW#blEd`j@WE2XonZkC9On_8uk(o6bj0G%ma{3_e)#pMvt_oK}05`R}a4hU3G`Y=%zY8mbZiUNf3^lp1 zEW*}nB7Pdf5uXr{8+nRL5gXwMZr6fvM^`uSpAn~g0-xK~%3OQ?_^ zVP#kwRL7!^Ux6@Mfb(q@;@9(k_YS#^i4aYKhS=W)w|46QomJx~0qvFX;C#*u*MDbD0<2)n>x%&Z3Y_ zGYL7c26AB@^0H!(FBHKt;ayNg6F9_e;1o}TOZ)~3v@5}_?S&%k3GisAVY&8m@JWAy zGSe{l&0#1vUxF3pKSCh;2dJ=~g-Ur2s%+ixcxtHg6@Wc>d%G|7)S>SU*xTd9P>%<1 z(ERc92CNuS(ca5mxB>6>s8~msz4CRvD!xT{px1+UXpS}*aK2ZC2!GiTRE`b^G3q4j zRmO0BgA4z0)J_(6#zL1W_epkyi`!95_A{E?D(Id&@x)6q1<%suW=%3iPdeQsbxWFH zOx~Ok?HP69+CGImX-8bAk?TzKah<*rVvcZ2QAmpS%TLuoHSEob!am_D>=*wB^|~jZ zK_7)i!&Nw7G{QmSR%kX(K#TD!Xq5sGmSPZ*u0gx>cj%D*1)Zh<#8S`p7Xutkb-%pY zh~h~4tiB#rv4(KjhzC#5%+Bcxn%OyBr!y^&vu^0YE}EZEdUZqy|_@t4_N1PGmhVsb~gSB4x=JBkSv#fZ>BK*1ehI z2#;cYj~3_BQH%Q?S?4lQ)y)f07kCuweZ+sjH$}aVEv_?X2L8YbXW%35Tias7&&F&p zg{RSl*~jyRDcMWD4rGmQO15*{bUbgvOZ15P=mGW9<9S)Dfmd{E;FvxJuNvNk*Ni3@ zFm8iE<7pT&egnhON*IxPAuip3QRxdvNdJa0Qxzm-Jxs}?a3*#A_)oRiHXguh(=wJO z-T3Kv1^Qp;V=m%A4AC<{5xT>A!b{@F-n2>VffTBN?P=H;tv!&3I>;)lr7KW>^h= ze4pmK=lxi6mgV;20L{D3`tf_3%P#sMx{y1H>bZ65Aa1{6y-X I7Ynuj2S